Skip to content
体验新版
项目
组织
正在加载...
登录
切换导航
打开侧边栏
饶先宏
hdl4se
提交
4b0c450d
H
hdl4se
项目概览
饶先宏
/
hdl4se
通知
12
Star
1
Fork
0
代码
文件
提交
分支
Tags
贡献者
分支图
Diff
Issue
0
列表
看板
标记
里程碑
合并请求
0
DevOps
流水线
流水线任务
计划
Wiki
0
Wiki
分析
仓库
DevOps
项目成员
Pages
H
hdl4se
项目概览
项目概览
详情
发布
仓库
仓库
文件
提交
分支
标签
贡献者
分支图
比较
Issue
0
Issue
0
列表
看板
标记
里程碑
合并请求
0
合并请求
0
Pages
DevOps
DevOps
流水线
流水线任务
计划
分析
分析
仓库分析
DevOps
Wiki
0
Wiki
成员
成员
收起侧边栏
关闭侧边栏
动态
分支图
创建新Issue
流水线任务
提交
Issue看板
体验新版 GitCode,发现更多精彩内容 >>
提交
4b0c450d
编写于
9月 01, 2021
作者:
饶先宏
浏览文件
操作
浏览文件
下载
电子邮件补丁
差异文件
202109012059
上级
5ab96d26
变更
20
展开全部
显示空白变更内容
内联
并排
Showing
20 changed file
with
7520 addition
and
1368 deletion
+7520
-1368
examples/hdl4se_riscv/de2/de2_riscv_v3.qsf
examples/hdl4se_riscv/de2/de2_riscv_v3.qsf
+1
-1
examples/hdl4se_riscv/de2/de2_riscv_v3.qws
examples/hdl4se_riscv/de2/de2_riscv_v3.qws
+0
-0
examples/hdl4se_riscv/de2/de2_riscv_v3.v
examples/hdl4se_riscv/de2/de2_riscv_v3.v
+1
-1
examples/hdl4se_riscv/de2/de2_riscv_v4.htm
examples/hdl4se_riscv/de2/de2_riscv_v4.htm
+2979
-0
examples/hdl4se_riscv/de2/de2_riscv_v4.pin
examples/hdl4se_riscv/de2/de2_riscv_v4.pin
+850
-0
examples/hdl4se_riscv/de2/de2_riscv_v4.qpf
examples/hdl4se_riscv/de2/de2_riscv_v4.qpf
+6
-0
examples/hdl4se_riscv/de2/de2_riscv_v4.qsf
examples/hdl4se_riscv/de2/de2_riscv_v4.qsf
+1013
-0
examples/hdl4se_riscv/de2/de2_riscv_v4.qws
examples/hdl4se_riscv/de2/de2_riscv_v4.qws
+0
-0
examples/hdl4se_riscv/de2/de2_riscv_v4.sdc
examples/hdl4se_riscv/de2/de2_riscv_v4.sdc
+86
-0
examples/hdl4se_riscv/de2/de2_riscv_v4.sof
examples/hdl4se_riscv/de2/de2_riscv_v4.sof
+0
-0
examples/hdl4se_riscv/de2/de2_riscv_v4.v
examples/hdl4se_riscv/de2/de2_riscv_v4.v
+517
-0
examples/hdl4se_riscv/de2/de2_riscv_v4_assignment_defaults.qdf
...les/hdl4se_riscv/de2/de2_riscv_v4_assignment_defaults.qdf
+692
-0
examples/hdl4se_riscv/test_code/console.c
examples/hdl4se_riscv/test_code/console.c
+3
-1
examples/hdl4se_riscv/test_code/test.cod
examples/hdl4se_riscv/test_code/test.cod
+109
-108
examples/hdl4se_riscv/test_code/test.elf
examples/hdl4se_riscv/test_code/test.elf
+0
-0
examples/hdl4se_riscv/test_code/test.hex
examples/hdl4se_riscv/test_code/test.hex
+176
-175
examples/hdl4se_riscv/test_code/test.info
examples/hdl4se_riscv/test_code/test.info
+62
-62
examples/hdl4se_riscv/test_code/test.mif
examples/hdl4se_riscv/test_code/test.mif
+406
-406
examples/hdl4se_riscv/test_code/test.txt
examples/hdl4se_riscv/test_code/test.txt
+484
-475
examples/hdl4se_riscv/verilog/riscv_core_v4.v
examples/hdl4se_riscv/verilog/riscv_core_v4.v
+135
-139
未找到文件。
examples/hdl4se_riscv/de2/de2_riscv_v3.qsf
浏览文件 @
4b0c450d
...
...
@@ -993,8 +993,8 @@ set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_global_assignment -name VERILOG_FILE ../verilog/uart/uart_ctrl.v
set_global_assignment -name VERILOG_FILE ../verilog/altera/uart/uart_fifo.v
set_global_assignment -name VERILOG_FILE ../verilog/altera/uart/uart_ctrl.v
set_global_assignment -name VERILOG_FILE ../verilog/altera/uart/altera_uart.v
set_global_assignment -name VERILOG_FILE ../verilog/altera/regfile/regfile.v
set_global_assignment -name VERILOG_FILE ../verilog/altera/ram/ram128kB.v
...
...
examples/hdl4se_riscv/de2/de2_riscv_v3.qws
0 → 100644
浏览文件 @
4b0c450d
文件已添加
examples/hdl4se_riscv/de2/de2_riscv_v3.v
浏览文件 @
4b0c450d
...
...
@@ -455,7 +455,7 @@ inout [35:0] GPIO;
regfile
regs
(
regno
,
regena
,
wClk
,
regwrdata
,
regwren
,
regrddata
);
regfile
regs2
(
regno2
,
regena2
,
wClk
,
regwrdata2
,
regwren2
,
regrddata2
);
ram1
28
kB
ram
(
ramaddr
,
~
bWriteMask
,
wClk
,
bWriteData
,
((
bWriteAddr
&
32'hff000000
)
==
0
)
?
wWrite
:
1'b0
,
bReadDataRam
);
ram1
6
kB
ram
(
ramaddr
,
~
bWriteMask
,
wClk
,
bWriteData
,
((
bWriteAddr
&
32'hff000000
)
==
0
)
?
wWrite
:
1'b0
,
bReadDataRam
);
riscv_core
core
(
wClk
,
nwReset
,
wWrite
,
bWriteAddr
,
bWriteData
,
bWriteMask
,
wRead
,
bReadAddr
,
bReadData
,
regno
,
regena
,
regwrdata
,
regwren
,
(
lastregno
==
0
)
?
0
:
regrddata
,
regno2
,
regena2
,
regwrdata2
,
regwren2
,
(
lastregno2
==
0
)
?
0
:
regrddata2
...
...
examples/hdl4se_riscv/de2/de2_riscv_v4.htm
0 → 100644
浏览文件 @
4b0c450d
此差异已折叠。
点击以展开。
examples/hdl4se_riscv/de2/de2_riscv_v4.pin
0 → 100644
浏览文件 @
4b0c450d
此差异已折叠。
点击以展开。
examples/hdl4se_riscv/de2/de2_riscv_v4.qpf
0 → 100644
浏览文件 @
4b0c450d
DATE = "19:51:38 September 01, 2021"
QUARTUS_VERSION = "12.0"
# Revisions
PROJECT_REVISION = "de2_riscv_v4"
examples/hdl4se_riscv/de2/de2_riscv_v4.qsf
0 → 100644
浏览文件 @
4b0c450d
此差异已折叠。
点击以展开。
examples/hdl4se_riscv/de2/de2_riscv_v4.qws
0 → 100644
浏览文件 @
4b0c450d
文件已添加
examples/hdl4se_riscv/de2/de2_riscv_v4.sdc
0 → 100644
浏览文件 @
4b0c450d
#**************************************************************
# This .sdc file is created by Terasic Tool.
# Users are recommended to modify this file to match users logic.
#**************************************************************
#**************************************************************
# Create Clock
#**************************************************************
create_clock -period 20 [get_ports CLOCK_50]
create_clock -period 20 [get_ports CLOCK2_50]
create_clock -period 20 [get_ports CLOCK3_50]
#**************************************************************
# Create Generated Clock
#**************************************************************
derive_pll_clocks
#**************************************************************
# Set Clock Latency
#**************************************************************
#**************************************************************
# Set Clock Uncertainty
#**************************************************************
derive_clock_uncertainty
#**************************************************************
# Set Input Delay
#**************************************************************
#**************************************************************
# Set Output Delay
#**************************************************************
#**************************************************************
# Set Clock Groups
#**************************************************************
#**************************************************************
# Set False Path
#**************************************************************
#**************************************************************
# Set Multicycle Path
#**************************************************************
#**************************************************************
# Set Maximum Delay
#**************************************************************
#**************************************************************
# Set Minimum Delay
#**************************************************************
#**************************************************************
# Set Input Transition
#**************************************************************
#**************************************************************
# Set Load
#**************************************************************
examples/hdl4se_riscv/de2/de2_riscv_v
3
.sof
→
examples/hdl4se_riscv/de2/de2_riscv_v
4
.sof
浏览文件 @
4b0c450d
无法预览此类型文件
examples/hdl4se_riscv/de2/de2_riscv_v4.v
0 → 100644
浏览文件 @
4b0c450d
此差异已折叠。
点击以展开。
examples/hdl4se_riscv/de2/de2_riscv_v4_assignment_defaults.qdf
0 → 100644
浏览文件 @
4b0c450d
此差异已折叠。
点击以展开。
examples/hdl4se_riscv/test_code/console.c
浏览文件 @
4b0c450d
...
...
@@ -292,7 +292,7 @@ void dispmem()
temp
[
0
]
=
disp
[
i
];
}
else
{
temp
[
0
]
=
'
'
;
temp
[
0
]
=
'
.
'
;
}
temp
[
1
]
=
0
;
_strcat
(
buf
,
temp
);
...
...
@@ -315,6 +315,8 @@ int main(int argc, char* argv[])
char
buf
[
256
];
_puts
(
">>"
);
_gets
(
buf
,
255
);
_puts
(
":"
);
_puts
(
buf
);
if
(
_strncmp
(
buf
,
"help "
,
4
)
==
0
)
{
_puts
(
" d <addr> -- display memory
\n
"
);
_puts
(
" b <baudrate> -- set baudrate
\n
"
);
...
...
examples/hdl4se_riscv/test_code/test.cod
浏览文件 @
4b0c450d
此差异已折叠。
点击以展开。
examples/hdl4se_riscv/test_code/test.elf
浏览文件 @
4b0c450d
无法预览此类型文件
examples/hdl4se_riscv/test_code/test.hex
浏览文件 @
4b0c450d
此差异已折叠。
点击以展开。
examples/hdl4se_riscv/test_code/test.info
浏览文件 @
4b0c450d
此差异已折叠。
点击以展开。
examples/hdl4se_riscv/test_code/test.mif
浏览文件 @
4b0c450d
此差异已折叠。
点击以展开。
examples/hdl4se_riscv/test_code/test.txt
浏览文件 @
4b0c450d
此差异已折叠。
点击以展开。
examples/hdl4se_riscv/verilog/riscv_core_v4.v
浏览文件 @
4b0c450d
此差异已折叠。
点击以展开。
编辑
预览
Markdown
is supported
0%
请重试
或
添加新附件
.
添加附件
取消
You are about to add
0
people
to the discussion. Proceed with caution.
先完成此消息的编辑!
取消
想要评论请
注册
或
登录