提交 0904bff1 编写于 作者: 饶先宏's avatar 饶先宏

202108260757

上级 55792653
因为 它太大了无法显示 source diff 。你可以改为 查看blob
Fitter Status : Successful - Tue Aug 24 20:33:45 2021
Fitter Status : Successful - Thu Aug 26 07:56:09 2021
Quartus II 64-Bit Version : 13.1.0 Build 162 10/23/2013 SJ Full Version
Revision Name : de1_riscv
Top-level Entity Name : de1_riscv
Family : Cyclone V
Device : 5CSEMA5F31C6
Timing Models : Preliminary
Logic utilization (in ALMs) : 101 / 32,070 ( < 1 % )
Total registers : 97
Logic utilization (in ALMs) : 57 / 32,070 ( < 1 % )
Total registers : 45
Total pins : 204 / 457 ( 45 % )
Total virtual pins : 0
Total block memory bits : 65,536 / 4,065,280 ( 2 % )
Total block memory bits : 0 / 4,065,280 ( 0 % )
Total DSP Blocks : 0 / 87 ( 0 % )
Total HSSI RX PCSs : 0
Total HSSI PMA RX Deserializers : 0
Total HSSI TX PCSs : 0
Total HSSI TX Channels : 0
Total PLLs : 1 / 6 ( 17 % )
Total PLLs : 0 / 6 ( 0 % )
Total DLLs : 0 / 4 ( 0 % )
Flow report for de1_riscv
Tue Aug 24 20:34:05 2021
Thu Aug 26 07:56:28 2021
Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version
......@@ -40,25 +40,25 @@ applicable agreement for further details.
+-------------------------------------------------------------------------------+
; Flow Summary ;
+---------------------------------+---------------------------------------------+
; Flow Status ; Successful - Tue Aug 24 20:33:54 2021 ;
; Flow Status ; Successful - Thu Aug 26 07:56:28 2021 ;
; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Full Version ;
; Revision Name ; de1_riscv ;
; Top-level Entity Name ; de1_riscv ;
; Family ; Cyclone V ;
; Device ; 5CSEMA5F31C6 ;
; Timing Models ; Preliminary ;
; Logic utilization (in ALMs) ; 101 / 32,070 ( < 1 % ) ;
; Total registers ; 97 ;
; Total pins ; 204 / 457 ( 45 % ) ;
; Logic utilization (in ALMs) ; N/A ;
; Total registers ; 44 ;
; Total pins ; 204 ;
; Total virtual pins ; 0 ;
; Total block memory bits ; 65,536 / 4,065,280 ( 2 % ) ;
; Total DSP Blocks ; 0 / 87 ( 0 % ) ;
; Total block memory bits ; 0 ;
; Total DSP Blocks ; 0 ;
; Total HSSI RX PCSs ; 0 ;
; Total HSSI PMA RX Deserializers ; 0 ;
; Total HSSI TX PCSs ; 0 ;
; Total HSSI TX Channels ; 0 ;
; Total PLLs ; 1 / 6 ( 17 % ) ;
; Total DLLs ; 0 / 4 ( 0 % ) ;
; Total PLLs ; 0 ;
; Total DLLs ; 0 ;
+---------------------------------+---------------------------------------------+
......@@ -67,7 +67,7 @@ applicable agreement for further details.
+-------------------+---------------------+
; Option ; Setting ;
+-------------------+---------------------+
; Start date & time ; 08/24/2021 20:33:08 ;
; Start date & time ; 08/26/2021 07:56:27 ;
; Main task ; Compilation ;
; Revision Name ; de1_riscv ;
+-------------------+---------------------+
......@@ -78,12 +78,15 @@ applicable agreement for further details.
+-------------------------------------+---------------------------------------+---------------+-------------+------------+
; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ;
+-------------------------------------+---------------------------------------+---------------+-------------+------------+
; COMPILER_SIGNATURE_ID ; 101574253398716.162980838804024 ; -- ; -- ; -- ;
; COMPILER_SIGNATURE_ID ; 621136229624.162993578607428 ; -- ; -- ; -- ;
; IP_TOOL_NAME ; RAM: 1-PORT ; -- ; -- ; -- ;
; IP_TOOL_NAME ; RAM: 1-PORT ; -- ; -- ; -- ;
; IP_TOOL_VERSION ; 13.1 ; -- ; -- ; -- ;
; IP_TOOL_VERSION ; 13.1 ; -- ; -- ; -- ;
; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ;
; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ;
; MISC_FILE ; ram/ram8kb_bb.v ; -- ; -- ; -- ;
; MISC_FILE ; regfile/regfile_bb.v ; -- ; -- ; -- ;
; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ;
; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ;
; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ;
......@@ -92,38 +95,29 @@ applicable agreement for further details.
+-------------------------------------+---------------------------------------+---------------+-------------+------------+
+-------------------------------------------------------------------------------------------------------------------------------+
; Flow Elapsed Time ;
+---------------------------+--------------+-------------------------+---------------------+------------------------------------+
; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
+---------------------------+--------------+-------------------------+---------------------+------------------------------------+
; Analysis & Synthesis ; 00:00:02 ; 1.0 ; 4737 MB ; 00:00:02 ;
; Fitter ; 00:00:34 ; 1.8 ; 6573 MB ; 00:00:55 ;
; Assembler ; 00:00:08 ; 1.0 ; 4764 MB ; 00:00:08 ;
; TimeQuest Timing Analyzer ; 00:00:10 ; 1.0 ; 5227 MB ; 00:00:10 ;
; Total ; 00:00:54 ; -- ; -- ; 00:01:15 ;
+---------------------------+--------------+-------------------------+---------------------+------------------------------------+
+--------------------------------------------------------------------------------------------------------------------------+
; Flow Elapsed Time ;
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
; Analysis & Synthesis ; 00:00:02 ; 1.0 ; 521 MB ; 00:00:02 ;
; Total ; 00:00:02 ; -- ; -- ; 00:00:02 ;
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
+----------------------------------------------------------------------------------------+
; Flow OS Summary ;
+---------------------------+------------------+-----------+------------+----------------+
; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ;
+---------------------------+------------------+-----------+------------+----------------+
; Analysis & Synthesis ; DESKTOP-I91JIJO ; Windows 7 ; 6.2 ; x86_64 ;
; Fitter ; DESKTOP-I91JIJO ; Windows 7 ; 6.2 ; x86_64 ;
; Assembler ; DESKTOP-I91JIJO ; Windows 7 ; 6.2 ; x86_64 ;
; TimeQuest Timing Analyzer ; DESKTOP-I91JIJO ; Windows 7 ; 6.2 ; x86_64 ;
+---------------------------+------------------+-----------+------------+----------------+
+-----------------------------------------------------------------------------------+
; Flow OS Summary ;
+----------------------+------------------+-----------+------------+----------------+
; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ;
+----------------------+------------------+-----------+------------+----------------+
; Analysis & Synthesis ; RG6MXLMTA6KAGXI ; Windows 7 ; 6.1 ; x86_64 ;
+----------------------+------------------+-----------+------------+----------------+
------------
; Flow Log ;
------------
quartus_map --read_settings_files=on --write_settings_files=off de1_riscv -c de1_riscv
quartus_fit --read_settings_files=off --write_settings_files=off de1_riscv -c de1_riscv
quartus_asm --read_settings_files=off --write_settings_files=off de1_riscv -c de1_riscv
quartus_sta de1_riscv -c de1_riscv
Analysis & Synthesis Status : Successful - Tue Aug 24 20:33:10 2021
Analysis & Synthesis Status : Successful - Thu Aug 26 07:56:28 2021
Quartus II 64-Bit Version : 13.1.0 Build 162 10/23/2013 SJ Full Version
Revision Name : de1_riscv
Top-level Entity Name : de1_riscv
Family : Cyclone V
Logic utilization (in ALMs) : N/A
Total registers : 92
Total registers : 44
Total pins : 204
Total virtual pins : 0
Total block memory bits : 65,536
Total block memory bits : 0
Total DSP Blocks : 0
Total HSSI RX PCSs : 0
Total HSSI PMA RX Deserializers : 0
Total HSSI TX PCSs : 0
Total HSSI TX Channels : 0
Total PLLs : 1
Total PLLs : 0
Total DLLs : 0
......@@ -493,4 +493,5 @@ set_global_assignment -name VERILOG_FILE vgasig.v
set_global_assignment -name VERILOG_FILE vga_pll/vga_pll_0002.v
set_global_assignment -name SDC_FILE de1_riscv.SDC
set_global_assignment -name QIP_FILE ram/ram8kb.qip
set_global_assignment -name QIP_FILE regfile/regfile.qip
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file
......@@ -90,6 +90,7 @@ module de1_riscv(
inout [35:0] GPIO
);
/*
wire vgaclk65M;
wire clk100M;
wire nReset;
......@@ -141,7 +142,7 @@ module de1_riscv(
end
ram8kb ram_0(bRamAddr, bRamWREnable, wClk, bRamWriteData, wRamWrite, bRamReadData);
*/
//led controller
reg [19:0] counter;
reg [3:0] counter_0;
......@@ -150,7 +151,20 @@ module de1_riscv(
reg [3:0] counter_3;
reg [3:0] counter_4;
reg [3:0] counter_5;
always @(posedge vgaclk65M) begin
always @(posedge CLOCK_50) begin
if (KEY[1] == 0) begin
counter <= 0;
counter_0 <= 0;
counter_1 <= 0;
counter_2 <= 0;
counter_3 <= 0;
counter_4 <= 0;
counter_5 <= 0;
end
else
if (KEY[2] == 0) begin
end
else
if (counter == 0) begin
if (counter_0 == 4'd9) begin
counter_0 <= 4'd0;
......
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册