riscv_sim_dump_v2.v 11.1 KB
Newer Older
饶先宏's avatar
饶先宏 已提交
1 2 3 4 5 6 7 8 9 10 11 12 13 14

(* 
	HDL4SE = "LCOM",
	CLSID = "638E8BC3-B0E0-41DC-9EDD-D35A39FD8051",
	softmodule = "hdl4se"
*)
module riscv_core
	(
	input  wClk,
	input  nwReset,
	output  wWrite,
	output  [32'h1f:32'h0]  bWriteAddr,
	output  [32'h1f:32'h0]  bWriteData,
	output  [32'h3:32'h0]  bWriteMask,
饶先宏's avatar
饶先宏 已提交
15 16
	output reg wRead,
	output reg [32'h1f:32'h0]  bReadAddr,
饶先宏's avatar
饶先宏 已提交
17
	input  [32'h1f:32'h0]  bReadData,
饶先宏's avatar
饶先宏 已提交
18 19 20 21
	output reg [32'h4:32'h0]  regno,
	output reg [32'h3:32'h0]  regena,
	output reg [32'h1f:32'h0]  regwrdata,
	output reg regwren,
饶先宏's avatar
饶先宏 已提交
22 23 24 25
	input  regrddata
	)
	;
	
饶先宏's avatar
饶先宏 已提交
26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359 360 361 362 363 364 365 366 367 368 369 370 371 372 373 374 375 376 377 378 379 380 381 382 383 384 385 386 387 388 389 390 391 392 393 394 395 396 397 398 399 400 401 402 403 404 405 406 407 408 409 410 411 412 413 414 415 416 417 418 419 420 421 422 423
	wire [32'h4:32'h0]  opcode;
	wire [32'h1f:32'h0]  rs2;
	wire [32'h4:32'h0]  rd;
	wire [32'h2:32'h0]  func3;
	wire [32'h1f:32'h0]  rs1_s;
	wire [32'h1f:32'h0]  rs2_s;
	wire [32'h1f:32'h0]  imm_s;
	wire [32'h1f:32'h0]  add_result;
	wire [32'h1f:32'h0]  sub_result;
	wire [32'h3f:32'h0]  mul_result;
	wire [32'h3f:32'h0]  muls_result;
	wire [32'h1f:32'h0]  div_result;
	wire [32'h1f:32'h0]  mod_result;
	wire [32'h1f:32'h0]  divs_result;
	wire [32'h1f:32'h0]  mods_result;
	assign wWrite = write;
	assign bWriteAddr = writeaddr;
	assign bWriteData = writedata;
	assign bWriteMask = writemask;
	assign opcode = instr [6:2] ;
	assign rs2 = regrddata;
	assign rd = instr [11:7] ;
	assign func3 = instr [14:12] ;
	assign rs1_s = rs1;
	assign rs2_s = rs2;
	assign imm_s = imm;
	adder add( rs1, rs2, add_result );
	suber sub( rs1, rs2, sub_result );
	mult mul( rs1, rs2, mul_result );
	mult_s mul_s( rs1, rs2, muls_result );
	div div( rs1, rs2, div_result, mod_result );
	div_s divs( rs1, rs2, divs_result, mods_result );
	always @*
	case (func3)
		0: cond = (rs1==rs2);
		1: cond = (rs1!=rs2);
		4: cond = (rs1_s<rs2_s);
		5: cond = (rs1_s>=rs2_s);
		6: cond = (rs1<rs2);
		7: cond = (rs1>=rs2);
		default: cond = 1'b0;
	endcase
	
	always @(posedge wClk)
	if (((!(nwReset))==0))
		begin
			pc <= 32'h00000074;
		end
		else
		begin
			if ((state==6))
			begin
				case (opcode)
				5'h1b: pc <= (pc+imm);
				5'h19: pc <= (rs1+imm);
				5'h18: pc <= ((cond)?((pc+imm)):((pc+4)));
				default: pc <= (pc+4);
			endcase
			
			end
		end
	always @(posedge wClk)
	if ((state==3))
		instr <= bReadData;
	always @(posedge wClk)
	if ((state==6))
		if ((opcode==5'h00))
			readreg <= rd;
	always @(posedge wClk)
	if ((state==4))
		rs1 <= regrddata;
	always @(posedge wClk)
	if ((!(nwReset)))
		begin
			write <= 0;
		end
		else
		if ((state==6))
			begin
				write <= 0;
				if ((opcode==5'h08))
				begin
					writeaddr <= (rs1+imm);
					writemask <= 4'h0;
					writedata <= rs2;
					write <= 1'b1;
					case (func3)
					0: begin
						case (writeaddr)
						0: begin
							writemask <= 4'he;
							writedata <= rs2;
						end
						1: begin
							writemask <= 4'hd;
							writedata <= {rs2 [23:0] ,8'b0};
						end
						2: begin
							writemask <= 4'hb;
							writedata <= {rs2 [15:0] ,16'b0};
						end
						3: begin
							writemask <= 4'h7;
							writedata <= {rs2 [7:0] ,24'b0};
						end
					endcase
					
					end
					1: begin
						case (writeaddr)
						0: begin
							writemask <= 4'hc;
							writedata <= rs2;
						end
						1: begin
							writemask <= 4'hd;
							writedata <= {rs2 [23:0] ,8'b0};
						end
						2: begin
							writemask <= 4'hb;
							writedata <= {rs2 [15:0] ,16'b0};
						end
					endcase
					
					end
				endcase
				
				end
			end
	always @(posedge wClk)
	if ((!(nwReset)))
		begin
			state <= 0;
		end
		else
		begin
			case (state)
			0: state <= 1;
			1: state <= 2;
			2: state <= 3;
			3: state <= 4;
			4: state <= 6;
			5: state <= 2;
			6: begin
				if ((opcode==5'h00))
				state <= 7;
				else
				if ((opcode==5'h08))
					state <= 8;
					else
					state <= 5;
			end
			7: state <= 5;
			8: state <= 2;
		endcase
		
		end
	always @(posedge wClk)
	if ((state==4))
		begin
			case (opcode)
			5'h0d: imm <= {instr [31:12] ,12'b0};
			5'h05: imm <= {instr [31:12] ,12'b0};
			5'h1b: imm <= {{12{instr [31] }{,instr [19:12] ,instr [20] ,instr [30:21] ,1'b0};
			5'h19: imm <= {{20{instr [31] }{,instr [31:20] };
			5'h18: imm <= {{20{instr [31] }{,instr [7] ,instr [30:25] ,instr [11:8] ,1'b0};
			5'h00: imm <= {{20{instr [31] }{,instr [31:20] };
			5'h08: imm <= {{20{instr [31] }{,instr [31:25] ,instr [11:7] };
			5'h04: imm <= {{20{instr [31] }{,instr [31:20] };
		endcase
		
		end
	always @*
	case (state)
		3: begin
			regno = bReadData [19:15] ;
			regwren = 0;
			regena = 0;
			regwrdata = 0;
		end
		4: begin
			regno = instr [24:20] ;
			regwren = 0;
			regena = 0;
			regwrdata = 0;
		end
		5: begin
			regwren = (((dstreg!=0))?(1):(0));
			regno = dstreg;
			regena = 4'hf;
			regwrdata = dstvalue;
		end
		0: begin
			regwren = 1;
			regno = 1;
			regena = 4'hf;
			regwrdata = 32'h8c;
		end
		1: begin
			regwren = 1;
			regno = 2;
			regena = 4'hf;
			regwrdata = ((2048*4)-16);
		end
		default: begin
			regwren = 0;
			regno = 0;
			regena = 0;
			regwrdata = 0;
		end
	endcase
	
	always @(posedge wClk)
	if ((state==2))
		begin
			ldaddr <= pc;
		end
		else
		if ((state==6))
			begin
				if ((opcode==5'h00))
				begin
					ldaddr <= (rs1+imm);
				end
			end
	always @(posedge wClk)
	case (state)
		7: begin
			dstreg <= readreg;
			case (func3)
			0: begin
				case (ldaddr)
				0: dstvalue <= {{24{bReadData [7] }{,bReadData [7:0] };
				1: dstvalue <= {{24{bReadData [15] }{,bReadData [15:8] };
				2: dstvalue <= {{24{bReadData [23] }{,bReadData [23:16] };
				3: dstvalue <= {{24{bReadData [31] }{,bReadData [31:24] };
			endcase
			
			end
			1: begin
				case (ldaddr)
				0: dstvalue <= {{16{bReadData [15] }{,bReadData [15:0] };
				1: dstvalue <= {{16{bReadData [23] }{,bReadData [23:8] };
				2: dstvalue <= {{16{bReadData [31] }{,bReadData [31:16] };
				3: dstvalue <= 32'hdeadbeef;
			endcase
			
			end
			2: dstvalue <= bReadData;
			4: begin
				case (ldaddr)
				0: dstvalue <= {24'b0,bReadData [7:0] };
				1: dstvalue <= {24'b0,bReadData [15:8] };
				2: dstvalue <= {24'b0,bReadData [23:16] };
				3: dstvalue <= {24'b0,bReadData [31:24] };
			endcase
			
			end
			5: begin
				case (ldaddr)
				0: dstvalue <= {16'b0,bReadData [15:0] };
				1: dstvalue <= {16'b0,bReadData [23:8] };
				2: dstvalue <= {16'b0,bReadData [31:16] };
				3: dstvalue <= 32'hdeadbeef;
			endcase
			
			end
		endcase
		
		end
		6: begin
			dstreg <= rd;
			case (opcode)
			5'h0d: begin
				dstvalue <= imm;
			end
			5'h05: begin
				dstvalue <= (imm+pc);
			end
			5'h1b: begin
				dstvalue <= (pc+4);
			end
			5'h19: begin
				dstvalue <= (pc+4);
			end
			5'h04: begin
				case (func3)
				0: dstvalue <= (rs1+imm);
				1: dstvalue <= (rs1<<imm [4:0] );
				2: dstvalue <= (((rs1_s<imm_s))?(1):(0));
				3: dstvalue <= (((rs1<imm))?(1):(0));
				4: dstvalue <= (rs1^imm);
				5: dstvalue <= ((instr [30] )?((rs1_s>>imm [4:0] )):((rs1>>imm [4:0] )));
				6: dstvalue <= (rs1|imm);
				7: dstvalue <= (rs1&imm);
				default: begin
					dstreg <= 0;
					dstvalue <= 0;
				end
			endcase
			
			end
			5'h0c: begin
				if (instr [25] )
				begin
					case (func3)
					0: begin
						dstvalue <= muls_result [31:0] ;
					end
					1: begin
						dstvalue <= muls_result [63:32] ;
					end
					2: begin
						dstvalue <= muls_result [63:32] ;
					end
					3: begin
						dstvalue <= mul_result [63:32] ;
					end
					4: begin
						dstvalue <= divs_result;
					end
					5: begin
						dstvalue <= div_result;
					end
					6: begin
						dstvalue <= mods_result;
					end
					7: begin
						dstvalue <= mod_result;
					end
				endcase
				
				end
				else
				begin
					case (func3)
					0: begin
						if (instr [30] )
						dstvalue <= sub_result;
						else
						dstvalue <= add_result;
					end
					1: begin
						dstvalue <= (rs1<<rs2 [4:0] );
					end
					2: begin
						dstvalue <= (((rs1_s<rs2_s))?(1):(0));
					end
					3: begin
						dstvalue <= (((rs1<rs2))?(1):(0));
					end
					4: begin
						dstvalue <= (rs1^rs2);
					end
					5: begin
						if (instr [30] )
						dstvalue <= (rs1>>rs2 [4:0] );
						else
						dstvalue <= (rs1_s>>rs2 [4:0] );
					end
					6: begin
						dstvalue <= (rs1|rs2);
					end
					7: begin
						dstvalue <= (rs1&rs2);
					end
				endcase
				
				end
			end
			default: begin
				dstreg <= 0;
				dstvalue <= 0;
			end
		endcase
		
		end
	endcase
	
	always @*
	begin
		wRead = 0;
		bReadAddr = 0;
		if ((state==2))
		begin
			wRead = 1;
			bReadAddr = pc;
		end
		else
		if ((state==6))
			begin
				if ((opcode==5'h00))
				begin
					bReadAddr = (rs1+imm);
					wRead = 1;
				end
			end
	end
饶先宏's avatar
饶先宏 已提交
424 425 426 427 428 429 430 431 432 433 434 435 436 437 438 439 440 441 442 443 444 445 446 447 448 449 450 451 452 453 454 455 456 457 458 459 460 461 462 463 464 465 466 467 468 469 470 471 472 473 474 475 476 477 478 479 480 481 482 483 484 485 486 487 488 489 490 491 492 493 494 495 496 497 498 499 500 501 502 503 504 505 506 507 508 509 510 511 512 513 514 515 516 517 518 519 520 521 522 523 524 525 526 527 528 529 530 531 532 533 534 535 536 537 538 539 540 541 542 543 544 545 546 547 548 549 550
endmodule



(* 
	HDL4SE = "LCOM",
	CLSID = "2925e2cf-dd49-4155-b31d-41d48f0f98dc",
	softmodule = "hdl4se"
*)
module digitled
	(
	input  wClk,
	input  nwReset,
	input  wWrite,
	input  [32'h1f:32'h0]  bWriteAddr,
	input  [32'h1f:32'h0]  bWriteData,
	input  [32'h3:32'h0]  bWriteMask,
	input  wRead,
	input  [32'h1f:32'h0]  bReadAddr,
	output  [32'h1f:32'h0]  bReadData
	)
	;
	
endmodule



(* 
	HDL4SE = "LCOM",
	CLSID = "EE3409B2-6D04-42B3-A44D-7F2444DDC00D",
	softmodule = "hdl4se"
*)
module ram8kb
	(
	input  [32'ha:32'h0]  address,
	input  [32'h3:32'h0]  byteena,
	input  clock,
	input  [32'h1f:32'h0]  data,
	input  wren,
	output  [32'h1f:32'h0]  q
	)
	;
	
endmodule



(* 
	HDL4SE = "LCOM",
	CLSID = "2E577C6B-2FF1-425E-90B3-947EB523B863",
	softmodule = "hdl4se"
*)
module regfile
	(
	input  [32'h4:32'h0]  address,
	input  [32'h3:32'h0]  byteena,
	input  clock,
	input  [32'h1f:32'h0]  data,
	input  wren,
	output  [32'h1f:32'h0]  q
	)
	;
	
endmodule



(* 
	HDL4SE = "LCOM",
	CLSID = "76FBFD4B-FEAD-45fd-AA27-AFC58AC241C2",
	softmodule = "hdl4se"
*)
module hdl4se_reg
	#(
	parameter WIDTH = 32'h8
	)
	(
	input  wClk,
	input  [(WIDTH-32'h1):32'h0]  wirein,
	output  [(WIDTH-32'h1):32'h0]  wireout
	)
	;
	
	wire [(WIDTH-32'h1):32'h0]  wirein;
	always @(posedge wClk)
	wireout <= wirein;
endmodule


module top
	(
	input  wClk,
	input  nwReset
	)
	;
	
	wire wWrite;
	wire wRead;
	wire [32'h1f:32'h0]  bWriteAddr;
	wire [32'h1f:32'h0]  bWriteData;
	wire [32'h1f:32'h0]  bReadAddr;
	wire [32'h1f:32'h0]  bReadData;
	wire [32'h1f:32'h0]  bReadDataRam;
	wire [32'h1f:32'h0]  bReadDataKey;
	wire [32'h3:32'h0]  bWriteMask;
	wire wRead_out;
	wire [32'h1f:32'h0]  bReadAddr_out;
	wire [32'ha:32'h0]  ramaddr;
	wire [32'h4:32'h0]  regno;
	wire [32'h3:32'h0]  regena;
	wire [32'h1f:32'h0]  regwrdata;
	wire regwren;
	wire [32'h1f:32'h0]  regrddata;
	assign bReadData = ((((bReadAddr_out&32'hffffff00)==32'hf0000000))?(bReadDataKey):(((((bReadAddr_out&32'hffffc000)==32'h0))?(bReadDataRam):(32'h0))));
	assign ramaddr = ((wWrite)?(bWriteAddr [12:2] ):(bReadAddr [12:2] ));
	hdl4se_reg #( 32'h1 ) readcmd( wClk, wRead, wRead_out );
	hdl4se_reg #( 32'h20 ) readaddr( wClk, bReadAddr, bReadAddr_out );
	regfile regs( regno, regena, wClk, regwrdata, regwren, regrddata
   );
	ram8kb ram( ramaddr, (~(bWriteMask)), wClk, bWriteData, wWrite, bReadDataRam
   );
	digitled led( wClk, nwReset, wWrite, bWriteAddr, bWriteData, bWriteMask
  , wRead, bReadAddr, bReadDataKey );
	riscv_core core( wClk, nwReset, wWrite, bWriteAddr, bWriteData, bWriteMask
  , wRead, bReadAddr, bReadData, regno, regena
  , regwrdata, regwren, regrddata );
endmodule
饶先宏's avatar
饶先宏 已提交
551 552 553 554 555 556
module adder not found when declare instance add
module suber not found when declare instance sub
module mult not found when declare instance mul
module mult_s not found when declare instance mul_s
module div not found when declare instance div
module div_s not found when declare instance divs