i2c-nomadik.c 28.3 KB
Newer Older
1
/*
L
Linus Walleij 已提交
2
 * Copyright (C) 2009 ST-Ericsson SA
3 4 5 6 7 8 9 10 11 12 13 14 15 16
 * Copyright (C) 2009 STMicroelectronics
 *
 * I2C master mode controller driver, used in Nomadik 8815
 * and Ux500 platforms.
 *
 * Author: Srinidhi Kasagar <srinidhi.kasagar@stericsson.com>
 * Author: Sachin Verma <sachin.verma@st.com>
 *
 * This program is free software; you can redistribute it and/or modify
 * it under the terms of the GNU General Public License version 2, as
 * published by the Free Software Foundation.
 */
#include <linux/init.h>
#include <linux/module.h>
17
#include <linux/amba/bus.h>
18
#include <linux/slab.h>
19 20 21 22 23
#include <linux/interrupt.h>
#include <linux/i2c.h>
#include <linux/err.h>
#include <linux/clk.h>
#include <linux/io.h>
R
Rabin Vincent 已提交
24
#include <linux/pm_runtime.h>
25
#include <linux/platform_data/i2c-nomadik.h>
26
#include <linux/of.h>
27
#include <linux/pinctrl/consumer.h>
28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65

#define DRIVER_NAME "nmk-i2c"

/* I2C Controller register offsets */
#define I2C_CR		(0x000)
#define I2C_SCR		(0x004)
#define I2C_HSMCR	(0x008)
#define I2C_MCR		(0x00C)
#define I2C_TFR		(0x010)
#define I2C_SR		(0x014)
#define I2C_RFR		(0x018)
#define I2C_TFTR	(0x01C)
#define I2C_RFTR	(0x020)
#define I2C_DMAR	(0x024)
#define I2C_BRCR	(0x028)
#define I2C_IMSCR	(0x02C)
#define I2C_RISR	(0x030)
#define I2C_MISR	(0x034)
#define I2C_ICR		(0x038)

/* Control registers */
#define I2C_CR_PE		(0x1 << 0)	/* Peripheral Enable */
#define I2C_CR_OM		(0x3 << 1)	/* Operating mode */
#define I2C_CR_SAM		(0x1 << 3)	/* Slave addressing mode */
#define I2C_CR_SM		(0x3 << 4)	/* Speed mode */
#define I2C_CR_SGCM		(0x1 << 6)	/* Slave general call mode */
#define I2C_CR_FTX		(0x1 << 7)	/* Flush Transmit */
#define I2C_CR_FRX		(0x1 << 8)	/* Flush Receive */
#define I2C_CR_DMA_TX_EN	(0x1 << 9)	/* DMA Tx enable */
#define I2C_CR_DMA_RX_EN	(0x1 << 10)	/* DMA Rx Enable */
#define I2C_CR_DMA_SLE		(0x1 << 11)	/* DMA sync. logic enable */
#define I2C_CR_LM		(0x1 << 12)	/* Loopback mode */
#define I2C_CR_FON		(0x3 << 13)	/* Filtering on */
#define I2C_CR_FS		(0x3 << 15)	/* Force stop enable */

/* Master controller (MCR) register */
#define I2C_MCR_OP		(0x1 << 0)	/* Operation */
#define I2C_MCR_A7		(0x7f << 1)	/* 7-bit address */
66
#define I2C_MCR_EA10		(0x7 << 8)	/* 10-bit Extended address */
67 68
#define I2C_MCR_SB		(0x1 << 11)	/* Extended address */
#define I2C_MCR_AM		(0x3 << 12)	/* Address type */
69 70
#define I2C_MCR_STOP		(0x1 << 14)	/* Stop condition */
#define I2C_MCR_LENGTH		(0x7ff << 15)	/* Transaction length */
71 72 73 74 75 76 77 78 79

/* Status register (SR) */
#define I2C_SR_OP		(0x3 << 0)	/* Operation */
#define I2C_SR_STATUS		(0x3 << 2)	/* controller status */
#define I2C_SR_CAUSE		(0x7 << 4)	/* Abort cause */
#define I2C_SR_TYPE		(0x3 << 7)	/* Receive type */
#define I2C_SR_LENGTH		(0x7ff << 9)	/* Transfer length */

/* Interrupt mask set/clear (IMSCR) bits */
80
#define I2C_IT_TXFE		(0x1 << 0)
81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106
#define I2C_IT_TXFNE		(0x1 << 1)
#define I2C_IT_TXFF		(0x1 << 2)
#define I2C_IT_TXFOVR		(0x1 << 3)
#define I2C_IT_RXFE		(0x1 << 4)
#define I2C_IT_RXFNF		(0x1 << 5)
#define I2C_IT_RXFF		(0x1 << 6)
#define I2C_IT_RFSR		(0x1 << 16)
#define I2C_IT_RFSE		(0x1 << 17)
#define I2C_IT_WTSR		(0x1 << 18)
#define I2C_IT_MTD		(0x1 << 19)
#define I2C_IT_STD		(0x1 << 20)
#define I2C_IT_MAL		(0x1 << 24)
#define I2C_IT_BERR		(0x1 << 25)
#define I2C_IT_MTDWS		(0x1 << 28)

#define GEN_MASK(val, mask, sb)  (((val) << (sb)) & (mask))

/* some bits in ICR are reserved */
#define I2C_CLEAR_ALL_INTS	0x131f007f

/* first three msb bits are reserved */
#define IRQ_MASK(mask)		(mask & 0x1fffffff)

/* maximum threshold value */
#define MAX_I2C_FIFO_THRESHOLD	15

107 108 109 110 111 112 113 114 115 116
/**
 * struct i2c_vendor_data - per-vendor variations
 * @has_mtdws: variant has the MTDWS bit
 * @fifodepth: variant FIFO depth
 */
struct i2c_vendor_data {
	bool has_mtdws;
	u32 fifodepth;
};

117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133
enum i2c_status {
	I2C_NOP,
	I2C_ON_GOING,
	I2C_OK,
	I2C_ABORT
};

/* operation */
enum i2c_operation {
	I2C_NO_OPERATION = 0xff,
	I2C_WRITE = 0x00,
	I2C_READ = 0x01
};

/**
 * struct i2c_nmk_client - client specific data
 * @slave_adr: 7-bit slave address
L
Lucas De Marchi 已提交
134
 * @count: no. bytes to be transferred
135
 * @buffer: client data buffer
L
Lucas De Marchi 已提交
136
 * @xfer_bytes: bytes transferred till now
137 138 139 140 141 142 143 144 145 146 147
 * @operation: current I2C operation
 */
struct i2c_nmk_client {
	unsigned short		slave_adr;
	unsigned long		count;
	unsigned char		*buffer;
	unsigned long		xfer_bytes;
	enum i2c_operation	operation;
};

/**
148
 * struct nmk_i2c_dev - private data structure of the controller.
149
 * @vendor: vendor data for this variant.
150
 * @adev: parent amba device.
151 152 153 154 155 156 157 158 159 160
 * @adap: corresponding I2C adapter.
 * @irq: interrupt line for the controller.
 * @virtbase: virtual io memory area.
 * @clk: hardware i2c block clock.
 * @cfg: machine provided controller configuration.
 * @cli: holder of client specific data.
 * @stop: stop condition.
 * @xfer_complete: acknowledge completion for a I2C message.
 * @result: controller propogated result.
 * @busy: Busy doing transfer.
161 162
 */
struct nmk_i2c_dev {
163
	struct i2c_vendor_data		*vendor;
164
	struct amba_device		*adev;
165 166
	struct i2c_adapter		adap;
	int				irq;
167 168 169 170
	void __iomem			*virtbase;
	struct clk			*clk;
	struct nmk_i2c_controller	cfg;
	struct i2c_nmk_client		cli;
171
	int				stop;
172
	struct completion		xfer_complete;
173
	int				result;
J
Jonas Aberg 已提交
174
	bool				busy;
175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220
};

/* controller's abort causes */
static const char *abort_causes[] = {
	"no ack received after address transmission",
	"no ack received during data phase",
	"ack received after xmission of master code",
	"master lost arbitration",
	"slave restarts",
	"slave reset",
	"overflow, maxsize is 2047 bytes",
};

static inline void i2c_set_bit(void __iomem *reg, u32 mask)
{
	writel(readl(reg) | mask, reg);
}

static inline void i2c_clr_bit(void __iomem *reg, u32 mask)
{
	writel(readl(reg) & ~mask, reg);
}

/**
 * flush_i2c_fifo() - This function flushes the I2C FIFO
 * @dev: private data of I2C Driver
 *
 * This function flushes the I2C Tx and Rx FIFOs. It returns
 * 0 on successful flushing of FIFO
 */
static int flush_i2c_fifo(struct nmk_i2c_dev *dev)
{
#define LOOP_ATTEMPTS 10
	int i;
	unsigned long timeout;

	/*
	 * flush the transmit and receive FIFO. The flushing
	 * operation takes several cycles before to be completed.
	 * On the completion, the I2C internal logic clears these
	 * bits, until then no one must access Tx, Rx FIFO and
	 * should poll on these bits waiting for the completion.
	 */
	writel((I2C_CR_FTX | I2C_CR_FRX), dev->virtbase + I2C_CR);

	for (i = 0; i < LOOP_ATTEMPTS; i++) {
221
		timeout = jiffies + dev->adap.timeout;
222 223 224 225 226 227 228 229

		while (!time_after(jiffies, timeout)) {
			if ((readl(dev->virtbase + I2C_CR) &
				(I2C_CR_FTX | I2C_CR_FRX)) == 0)
					return 0;
		}
	}

230
	dev_err(&dev->adev->dev,
231 232
		"flushing operation timed out giving up after %d attempts",
		LOOP_ATTEMPTS);
233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267

	return -ETIMEDOUT;
}

/**
 * disable_all_interrupts() - Disable all interrupts of this I2c Bus
 * @dev: private data of I2C Driver
 */
static void disable_all_interrupts(struct nmk_i2c_dev *dev)
{
	u32 mask = IRQ_MASK(0);
	writel(mask, dev->virtbase + I2C_IMSCR);
}

/**
 * clear_all_interrupts() - Clear all interrupts of I2C Controller
 * @dev: private data of I2C Driver
 */
static void clear_all_interrupts(struct nmk_i2c_dev *dev)
{
	u32 mask;
	mask = IRQ_MASK(I2C_CLEAR_ALL_INTS);
	writel(mask, dev->virtbase + I2C_ICR);
}

/**
 * init_hw() - initialize the I2C hardware
 * @dev: private data of I2C Driver
 */
static int init_hw(struct nmk_i2c_dev *dev)
{
	int stat;

	stat = flush_i2c_fifo(dev);
	if (stat)
J
Jonas Aberg 已提交
268
		goto exit;
269 270 271 272 273 274 275 276 277 278

	/* disable the controller */
	i2c_clr_bit(dev->virtbase + I2C_CR , I2C_CR_PE);

	disable_all_interrupts(dev);

	clear_all_interrupts(dev);

	dev->cli.operation = I2C_NO_OPERATION;

J
Jonas Aberg 已提交
279 280
exit:
	return stat;
281 282 283
}

/* enable peripheral, master mode operation */
284
#define DEFAULT_I2C_REG_CR	((1 << 1) | I2C_CR_PE)
285 286 287 288

/**
 * load_i2c_mcr_reg() - load the MCR register
 * @dev: private data of controller
289
 * @flags: message flags
290
 */
291
static u32 load_i2c_mcr_reg(struct nmk_i2c_dev *dev, u16 flags)
292 293
{
	u32 mcr = 0;
294
	unsigned short slave_adr_3msb_bits;
295 296 297

	mcr |= GEN_MASK(dev->cli.slave_adr, I2C_MCR_A7, 1);

298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314
	if (unlikely(flags & I2C_M_TEN)) {
		/* 10-bit address transaction */
		mcr |= GEN_MASK(2, I2C_MCR_AM, 12);
		/*
		 * Get the top 3 bits.
		 * EA10 represents extended address in MCR. This includes
		 * the extension (MSB bits) of the 7 bit address loaded
		 * in A7
		 */
		slave_adr_3msb_bits = (dev->cli.slave_adr >> 7) & 0x7;

		mcr |= GEN_MASK(slave_adr_3msb_bits, I2C_MCR_EA10, 8);
	} else {
		/* 7-bit address transaction */
		mcr |= GEN_MASK(1, I2C_MCR_AM, 12);
	}

315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355
	/* start byte procedure not applied */
	mcr |= GEN_MASK(0, I2C_MCR_SB, 11);

	/* check the operation, master read/write? */
	if (dev->cli.operation == I2C_WRITE)
		mcr |= GEN_MASK(I2C_WRITE, I2C_MCR_OP, 0);
	else
		mcr |= GEN_MASK(I2C_READ, I2C_MCR_OP, 0);

	/* stop or repeated start? */
	if (dev->stop)
		mcr |= GEN_MASK(1, I2C_MCR_STOP, 14);
	else
		mcr &= ~(GEN_MASK(1, I2C_MCR_STOP, 14));

	mcr |= GEN_MASK(dev->cli.count, I2C_MCR_LENGTH, 15);

	return mcr;
}

/**
 * setup_i2c_controller() - setup the controller
 * @dev: private data of controller
 */
static void setup_i2c_controller(struct nmk_i2c_dev *dev)
{
	u32 brcr1, brcr2;
	u32 i2c_clk, div;

	writel(0x0, dev->virtbase + I2C_CR);
	writel(0x0, dev->virtbase + I2C_HSMCR);
	writel(0x0, dev->virtbase + I2C_TFTR);
	writel(0x0, dev->virtbase + I2C_RFTR);
	writel(0x0, dev->virtbase + I2C_DMAR);

	/*
	 * set the slsu:
	 *
	 * slsu defines the data setup time after SCL clock
	 * stretching in terms of i2c clk cycles. The
	 * needed setup time for the three modes are 250ns,
L
Lucas De Marchi 已提交
356
	 * 100ns, 10ns respectively thus leading to the values
357 358 359 360 361 362 363 364 365 366 367 368 369 370 371 372 373 374 375 376 377 378 379 380 381 382 383 384 385
	 * of 14, 6, 2 for a 48 MHz i2c clk.
	 */
	writel(dev->cfg.slsu << 16, dev->virtbase + I2C_SCR);

	i2c_clk = clk_get_rate(dev->clk);

	/*
	 * The spec says, in case of std. mode the divider is
	 * 2 whereas it is 3 for fast and fastplus mode of
	 * operation. TODO - high speed support.
	 */
	div = (dev->cfg.clk_freq > 100000) ? 3 : 2;

	/*
	 * generate the mask for baud rate counters. The controller
	 * has two baud rate counters. One is used for High speed
	 * operation, and the other is for std, fast mode, fast mode
	 * plus operation. Currently we do not supprt high speed mode
	 * so set brcr1 to 0.
	 */
	brcr1 = 0 << 16;
	brcr2 = (i2c_clk/(dev->cfg.clk_freq * div)) & 0xffff;

	/* set the baud rate counter register */
	writel((brcr1 | brcr2), dev->virtbase + I2C_BRCR);

	/*
	 * set the speed mode. Currently we support
	 * only standard and fast mode of operation
L
Lucas De Marchi 已提交
386
	 * TODO - support for fast mode plus (up to 1Mb/s)
387 388 389
	 * and high speed (up to 3.4 Mb/s)
	 */
	if (dev->cfg.sm > I2C_FREQ_MODE_FAST) {
390
		dev_err(&dev->adev->dev,
391
			"do not support this mode defaulting to std. mode\n");
392 393 394 395 396 397 398 399 400 401 402 403 404 405 406
		brcr2 = i2c_clk/(100000 * 2) & 0xffff;
		writel((brcr1 | brcr2), dev->virtbase + I2C_BRCR);
		writel(I2C_FREQ_MODE_STANDARD << 4,
				dev->virtbase + I2C_CR);
	}
	writel(dev->cfg.sm << 4, dev->virtbase + I2C_CR);

	/* set the Tx and Rx FIFO threshold */
	writel(dev->cfg.tft, dev->virtbase + I2C_TFTR);
	writel(dev->cfg.rft, dev->virtbase + I2C_RFTR);
}

/**
 * read_i2c() - Read from I2C client device
 * @dev: private data of I2C Driver
407
 * @flags: message flags
408 409 410 411 412
 *
 * This function reads from i2c client device when controller is in
 * master mode. There is a completion timeout. If there is no transfer
 * before timeout error is returned.
 */
413
static int read_i2c(struct nmk_i2c_dev *dev, u16 flags)
414 415
{
	u32 status = 0;
416
	u32 mcr, irq_mask;
417 418
	int timeout;

419
	mcr = load_i2c_mcr_reg(dev, flags);
420 421 422 423 424 425 426 427 428 429 430 431 432 433 434
	writel(mcr, dev->virtbase + I2C_MCR);

	/* load the current CR value */
	writel(readl(dev->virtbase + I2C_CR) | DEFAULT_I2C_REG_CR,
			dev->virtbase + I2C_CR);

	/* enable the controller */
	i2c_set_bit(dev->virtbase + I2C_CR, I2C_CR_PE);

	init_completion(&dev->xfer_complete);

	/* enable interrupts by setting the mask */
	irq_mask = (I2C_IT_RXFNF | I2C_IT_RXFF |
			I2C_IT_MAL | I2C_IT_BERR);

435
	if (dev->stop || !dev->vendor->has_mtdws)
436 437 438 439 440 441 442 443 444
		irq_mask |= I2C_IT_MTD;
	else
		irq_mask |= I2C_IT_MTDWS;

	irq_mask = I2C_CLEAR_ALL_INTS & IRQ_MASK(irq_mask);

	writel(readl(dev->virtbase + I2C_IMSCR) | irq_mask,
			dev->virtbase + I2C_IMSCR);

445
	timeout = wait_for_completion_timeout(
446
		&dev->xfer_complete, dev->adap.timeout);
447 448

	if (timeout == 0) {
449
		/* Controller timed out */
450
		dev_err(&dev->adev->dev, "read from slave 0x%x timed out\n",
451
				dev->cli.slave_adr);
452 453 454 455 456
		status = -ETIMEDOUT;
	}
	return status;
}

457 458 459 460 461 462 463 464 465 466 467 468 469 470 471 472 473 474
static void fill_tx_fifo(struct nmk_i2c_dev *dev, int no_bytes)
{
	int count;

	for (count = (no_bytes - 2);
			(count > 0) &&
			(dev->cli.count != 0);
			count--) {
		/* write to the Tx FIFO */
		writeb(*dev->cli.buffer,
			dev->virtbase + I2C_TFR);
		dev->cli.buffer++;
		dev->cli.count--;
		dev->cli.xfer_bytes++;
	}

}

475 476 477
/**
 * write_i2c() - Write data to I2C client.
 * @dev: private data of I2C Driver
478
 * @flags: message flags
479 480 481
 *
 * This function writes data to I2C client
 */
482
static int write_i2c(struct nmk_i2c_dev *dev, u16 flags)
483 484
{
	u32 status = 0;
485
	u32 mcr, irq_mask;
486 487
	int timeout;

488
	mcr = load_i2c_mcr_reg(dev, flags);
489 490 491 492 493 494 495 496 497 498 499 500 501

	writel(mcr, dev->virtbase + I2C_MCR);

	/* load the current CR value */
	writel(readl(dev->virtbase + I2C_CR) | DEFAULT_I2C_REG_CR,
			dev->virtbase + I2C_CR);

	/* enable the controller */
	i2c_set_bit(dev->virtbase + I2C_CR , I2C_CR_PE);

	init_completion(&dev->xfer_complete);

	/* enable interrupts by settings the masks */
502 503 504 505 506 507 508
	irq_mask = (I2C_IT_TXFOVR | I2C_IT_MAL | I2C_IT_BERR);

	/* Fill the TX FIFO with transmit data */
	fill_tx_fifo(dev, MAX_I2C_FIFO_THRESHOLD);

	if (dev->cli.count != 0)
		irq_mask |= I2C_IT_TXFNE;
509 510 511 512 513 514

	/*
	 * check if we want to transfer a single or multiple bytes, if so
	 * set the MTDWS bit (Master Transaction Done Without Stop)
	 * to start repeated start operation
	 */
515
	if (dev->stop || !dev->vendor->has_mtdws)
516 517 518 519 520 521 522 523 524
		irq_mask |= I2C_IT_MTD;
	else
		irq_mask |= I2C_IT_MTDWS;

	irq_mask = I2C_CLEAR_ALL_INTS & IRQ_MASK(irq_mask);

	writel(readl(dev->virtbase + I2C_IMSCR) | irq_mask,
			dev->virtbase + I2C_IMSCR);

525
	timeout = wait_for_completion_timeout(
526
		&dev->xfer_complete, dev->adap.timeout);
527 528

	if (timeout == 0) {
529
		/* Controller timed out */
530
		dev_err(&dev->adev->dev, "write to slave 0x%x timed out\n",
531
				dev->cli.slave_adr);
532 533 534 535 536 537
		status = -ETIMEDOUT;
	}

	return status;
}

538 539 540 541 542 543 544 545 546 547 548 549
/**
 * nmk_i2c_xfer_one() - transmit a single I2C message
 * @dev: device with a message encoded into it
 * @flags: message flags
 */
static int nmk_i2c_xfer_one(struct nmk_i2c_dev *dev, u16 flags)
{
	int status;

	if (flags & I2C_M_RD) {
		/* read operation */
		dev->cli.operation = I2C_READ;
550
		status = read_i2c(dev, flags);
551 552 553
	} else {
		/* write operation */
		dev->cli.operation = I2C_WRITE;
554
		status = write_i2c(dev, flags);
555 556 557 558 559 560 561 562 563 564 565 566 567 568
	}

	if (status || (dev->result)) {
		u32 i2c_sr;
		u32 cause;

		i2c_sr = readl(dev->virtbase + I2C_SR);
		/*
		 * Check if the controller I2C operation status
		 * is set to ABORT(11b).
		 */
		if (((i2c_sr >> 2) & 0x3) == 0x3) {
			/* get the abort cause */
			cause =	(i2c_sr >> 4) & 0x7;
569
			dev_err(&dev->adev->dev, "%s\n",
570
				cause >= ARRAY_SIZE(abort_causes) ?
571 572 573 574 575 576 577 578 579 580 581 582
				"unknown reason" :
				abort_causes[cause]);
		}

		(void) init_hw(dev);

		status = status ? status : dev->result;
	}

	return status;
}

583 584
/**
 * nmk_i2c_xfer() - I2C transfer function used by kernel framework
L
Linus Walleij 已提交
585 586 587
 * @i2c_adap: Adapter pointer to the controller
 * @msgs: Pointer to data to be written.
 * @num_msgs: Number of messages to be executed
588 589 590 591 592 593 594
 *
 * This is the function called by the generic kernel i2c_transfer()
 * or i2c_smbus...() API calls. Note that this code is protected by the
 * semaphore set in the kernel i2c_transfer() function.
 *
 * NOTE:
 * READ TRANSFER : We impose a restriction of the first message to be the
595 596 597 598 599 600 601
 *		index message for any read transaction.
 *		- a no index is coded as '0',
 *		- 2byte big endian index is coded as '3'
 *		!!! msg[0].buf holds the actual index.
 *		This is compatible with generic messages of smbus emulator
 *		that send a one byte index.
 *		eg. a I2C transation to read 2 bytes from index 0
602 603 604 605 606 607 608 609 610 611 612 613 614 615 616 617 618 619 620 621 622 623 624 625 626 627 628 629 630 631 632 633 634 635
 *			idx = 0;
 *			msg[0].addr = client->addr;
 *			msg[0].flags = 0x0;
 *			msg[0].len = 1;
 *			msg[0].buf = &idx;
 *
 *			msg[1].addr = client->addr;
 *			msg[1].flags = I2C_M_RD;
 *			msg[1].len = 2;
 *			msg[1].buf = rd_buff
 *			i2c_transfer(adap, msg, 2);
 *
 * WRITE TRANSFER : The I2C standard interface interprets all data as payload.
 *		If you want to emulate an SMBUS write transaction put the
 *		index as first byte(or first and second) in the payload.
 *		eg. a I2C transation to write 2 bytes from index 1
 *			wr_buff[0] = 0x1;
 *			wr_buff[1] = 0x23;
 *			wr_buff[2] = 0x46;
 *			msg[0].flags = 0x0;
 *			msg[0].len = 3;
 *			msg[0].buf = wr_buff;
 *			i2c_transfer(adap, msg, 1);
 *
 * To read or write a block of data (multiple bytes) using SMBUS emulation
 * please use the i2c_smbus_read_i2c_block_data()
 * or i2c_smbus_write_i2c_block_data() API
 */
static int nmk_i2c_xfer(struct i2c_adapter *i2c_adap,
		struct i2c_msg msgs[], int num_msgs)
{
	int status;
	int i;
	struct nmk_i2c_dev *dev = i2c_get_adapdata(i2c_adap);
636
	int j;
637

J
Jonas Aberg 已提交
638 639
	dev->busy = true;

640
	pm_runtime_get_sync(&dev->adev->dev);
J
Jonas Aberg 已提交
641

642 643 644 645 646
	status = clk_prepare_enable(dev->clk);
	if (status) {
		dev_err(&dev->adev->dev, "can't prepare_enable clock\n");
		goto out_clk;
	}
647

648
	/* Optionaly enable pins to be muxed in and configured */
649
	pinctrl_pm_select_default_state(&dev->adev->dev);
650

651 652
	status = init_hw(dev);
	if (status)
653
		goto out;
L
Linus Walleij 已提交
654

655
	/* Attempt three times to send the message queue */
656 657 658
	for (j = 0; j < 3; j++) {
		/* setup the i2c controller */
		setup_i2c_controller(dev);
659

660 661 662 663 664 665 666
		for (i = 0; i < num_msgs; i++) {
			dev->cli.slave_adr	= msgs[i].addr;
			dev->cli.buffer		= msgs[i].buf;
			dev->cli.count		= msgs[i].len;
			dev->stop = (i < (num_msgs - 1)) ? 0 : 1;
			dev->result = 0;

667 668
			status = nmk_i2c_xfer_one(dev, msgs[i].flags);
			if (status != 0)
669
				break;
670
		}
671 672
		if (status == 0)
			break;
673
	}
J
Jonas Aberg 已提交
674 675

out:
676 677
	clk_disable_unprepare(dev->clk);
out_clk:
678
	/* Optionally let pins go into idle state */
679
	pinctrl_pm_select_idle_state(&dev->adev->dev);
680

681
	pm_runtime_put_sync(&dev->adev->dev);
J
Jonas Aberg 已提交
682 683

	dev->busy = false;
L
Linus Walleij 已提交
684

685 686 687 688 689 690 691 692 693 694
	/* return the no. messages processed */
	if (status)
		return status;
	else
		return num_msgs;
}

/**
 * disable_interrupts() - disable the interrupts
 * @dev: private data of controller
L
Linus Walleij 已提交
695
 * @irq: interrupt number
696 697 698 699 700 701 702 703 704 705 706 707 708 709 710 711 712 713 714 715 716 717 718 719 720
 */
static int disable_interrupts(struct nmk_i2c_dev *dev, u32 irq)
{
	irq = IRQ_MASK(irq);
	writel(readl(dev->virtbase + I2C_IMSCR) & ~(I2C_CLEAR_ALL_INTS & irq),
			dev->virtbase + I2C_IMSCR);
	return 0;
}

/**
 * i2c_irq_handler() - interrupt routine
 * @irq: interrupt number
 * @arg: data passed to the handler
 *
 * This is the interrupt handler for the i2c driver. Currently
 * it handles the major interrupts like Rx & Tx FIFO management
 * interrupts, master transaction interrupts, arbitration and
 * bus error interrupts. The rest of the interrupts are treated as
 * unhandled.
 */
static irqreturn_t i2c_irq_handler(int irq, void *arg)
{
	struct nmk_i2c_dev *dev = arg;
	u32 tft, rft;
	u32 count;
721
	u32 misr, src;
722 723 724 725 726 727 728 729 730 731 732 733 734 735 736 737 738 739 740 741 742

	/* load Tx FIFO and Rx FIFO threshold values */
	tft = readl(dev->virtbase + I2C_TFTR);
	rft = readl(dev->virtbase + I2C_RFTR);

	/* read interrupt status register */
	misr = readl(dev->virtbase + I2C_MISR);

	src = __ffs(misr);
	switch ((1 << src)) {

	/* Transmit FIFO nearly empty interrupt */
	case I2C_IT_TXFNE:
	{
		if (dev->cli.operation == I2C_READ) {
			/*
			 * in read operation why do we care for writing?
			 * so disable the Transmit FIFO interrupt
			 */
			disable_interrupts(dev, I2C_IT_TXFNE);
		} else {
743
			fill_tx_fifo(dev, (MAX_I2C_FIFO_THRESHOLD - tft));
744 745 746 747 748 749 750 751 752 753 754 755 756 757 758 759 760 761 762 763 764 765 766 767 768 769 770 771 772 773 774 775 776 777 778 779 780 781 782 783
			/*
			 * if done, close the transfer by disabling the
			 * corresponding TXFNE interrupt
			 */
			if (dev->cli.count == 0)
				disable_interrupts(dev,	I2C_IT_TXFNE);
		}
	}
	break;

	/*
	 * Rx FIFO nearly full interrupt.
	 * This is set when the numer of entries in Rx FIFO is
	 * greater or equal than the threshold value programmed
	 * in RFT
	 */
	case I2C_IT_RXFNF:
		for (count = rft; count > 0; count--) {
			/* Read the Rx FIFO */
			*dev->cli.buffer = readb(dev->virtbase + I2C_RFR);
			dev->cli.buffer++;
		}
		dev->cli.count -= rft;
		dev->cli.xfer_bytes += rft;
		break;

	/* Rx FIFO full */
	case I2C_IT_RXFF:
		for (count = MAX_I2C_FIFO_THRESHOLD; count > 0; count--) {
			*dev->cli.buffer = readb(dev->virtbase + I2C_RFR);
			dev->cli.buffer++;
		}
		dev->cli.count -= MAX_I2C_FIFO_THRESHOLD;
		dev->cli.xfer_bytes += MAX_I2C_FIFO_THRESHOLD;
		break;

	/* Master Transaction Done with/without stop */
	case I2C_IT_MTD:
	case I2C_IT_MTDWS:
		if (dev->cli.operation == I2C_READ) {
784 785
			while (!(readl(dev->virtbase + I2C_RISR)
				 & I2C_IT_RXFE)) {
786 787 788 789 790 791 792 793 794 795
				if (dev->cli.count == 0)
					break;
				*dev->cli.buffer =
					readb(dev->virtbase + I2C_RFR);
				dev->cli.buffer++;
				dev->cli.count--;
				dev->cli.xfer_bytes++;
			}
		}

796 797
		disable_all_interrupts(dev);
		clear_all_interrupts(dev);
798 799

		if (dev->cli.count) {
800
			dev->result = -EIO;
801
			dev_err(&dev->adev->dev,
802 803
				"%lu bytes still remain to be xfered\n",
				dev->cli.count);
804 805 806 807 808 809 810 811
			(void) init_hw(dev);
		}
		complete(&dev->xfer_complete);

		break;

	/* Master Arbitration lost interrupt */
	case I2C_IT_MAL:
812
		dev->result = -EIO;
813 814 815 816 817 818 819 820 821 822 823 824 825
		(void) init_hw(dev);

		i2c_set_bit(dev->virtbase + I2C_ICR, I2C_IT_MAL);
		complete(&dev->xfer_complete);

		break;

	/*
	 * Bus Error interrupt.
	 * This happens when an unexpected start/stop condition occurs
	 * during the transaction.
	 */
	case I2C_IT_BERR:
826
		dev->result = -EIO;
827 828 829 830 831 832 833 834 835 836 837 838 839 840 841
		/* get the status */
		if (((readl(dev->virtbase + I2C_SR) >> 2) & 0x3) == I2C_ABORT)
			(void) init_hw(dev);

		i2c_set_bit(dev->virtbase + I2C_ICR, I2C_IT_BERR);
		complete(&dev->xfer_complete);

		break;

	/*
	 * Tx FIFO overrun interrupt.
	 * This is set when a write operation in Tx FIFO is performed and
	 * the Tx FIFO is full.
	 */
	case I2C_IT_TXFOVR:
842
		dev->result = -EIO;
843 844
		(void) init_hw(dev);

845
		dev_err(&dev->adev->dev, "Tx Fifo Over run\n");
846 847 848 849 850 851 852 853 854 855 856 857
		complete(&dev->xfer_complete);

		break;

	/* unhandled interrupts by this driver - TODO*/
	case I2C_IT_TXFE:
	case I2C_IT_TXFF:
	case I2C_IT_RXFE:
	case I2C_IT_RFSR:
	case I2C_IT_RFSE:
	case I2C_IT_WTSR:
	case I2C_IT_STD:
858
		dev_err(&dev->adev->dev, "unhandled Interrupt\n");
859 860
		break;
	default:
861
		dev_err(&dev->adev->dev, "spurious Interrupt..\n");
862 863 864 865 866 867
		break;
	}

	return IRQ_HANDLED;
}

J
Jonas Aberg 已提交
868 869

#ifdef CONFIG_PM
R
Rabin Vincent 已提交
870
static int nmk_i2c_suspend(struct device *dev)
J
Jonas Aberg 已提交
871
{
872 873
	struct amba_device *adev = to_amba_device(dev);
	struct nmk_i2c_dev *nmk_i2c = amba_get_drvdata(adev);
J
Jonas Aberg 已提交
874

R
Rabin Vincent 已提交
875
	if (nmk_i2c->busy)
J
Jonas Aberg 已提交
876
		return -EBUSY;
R
Rabin Vincent 已提交
877

878
	pinctrl_pm_select_sleep_state(dev);
879

R
Rabin Vincent 已提交
880 881 882 883 884
	return 0;
}

static int nmk_i2c_resume(struct device *dev)
{
885
	/* First go to the default state */
886
	pinctrl_pm_select_default_state(dev);
887
	/* Then let's idle the pins until the next transfer happens */
888 889
	pinctrl_pm_select_idle_state(dev);

R
Rabin Vincent 已提交
890
	return 0;
J
Jonas Aberg 已提交
891 892 893
}
#else
#define nmk_i2c_suspend	NULL
R
Rabin Vincent 已提交
894
#define nmk_i2c_resume	NULL
J
Jonas Aberg 已提交
895 896
#endif

R
Rabin Vincent 已提交
897 898 899 900 901 902 903 904 905 906
/*
 * We use noirq so that we suspend late and resume before the wakeup interrupt
 * to ensure that we do the !pm_runtime_suspended() check in resume before
 * there has been a regular pm runtime resume (via pm_runtime_get_sync()).
 */
static const struct dev_pm_ops nmk_i2c_pm = {
	.suspend_noirq	= nmk_i2c_suspend,
	.resume_noirq	= nmk_i2c_resume,
};

907 908
static unsigned int nmk_i2c_functionality(struct i2c_adapter *adap)
{
909
	return I2C_FUNC_I2C | I2C_FUNC_SMBUS_EMUL | I2C_FUNC_10BIT_ADDR;
910 911 912 913 914 915 916
}

static const struct i2c_algorithm nmk_i2c_algo = {
	.master_xfer	= nmk_i2c_xfer,
	.functionality	= nmk_i2c_functionality
};

917 918 919 920 921 922 923 924 925 926 927 928 929
static struct nmk_i2c_controller u8500_i2c = {
	/*
	 * Slave data setup time; 250ns, 100ns, and 10ns, which
	 * is 14, 6 and 2 respectively for a 48Mhz i2c clock.
	 */
	.slsu           = 0xe,
	.tft            = 1,      /* Tx FIFO threshold */
	.rft            = 8,      /* Rx FIFO threshold */
	.clk_freq       = 400000, /* fast mode operation */
	.timeout        = 200,    /* Slave response timeout(ms) */
	.sm             = I2C_FREQ_MODE_FAST,
};

930 931 932 933 934 935 936 937 938 939 940 941
static void nmk_i2c_of_probe(struct device_node *np,
			struct nmk_i2c_controller *pdata)
{
	of_property_read_u32(np, "clock-frequency", &pdata->clk_freq);

	/* This driver only supports 'standard' and 'fast' modes of operation. */
	if (pdata->clk_freq <= 100000)
		pdata->sm = I2C_FREQ_MODE_STANDARD;
	else
		pdata->sm = I2C_FREQ_MODE_FAST;
}

942
static int nmk_i2c_probe(struct amba_device *adev, const struct amba_id *id)
943 944
{
	int ret = 0;
J
Jingoo Han 已提交
945
	struct nmk_i2c_controller *pdata = dev_get_platdata(&adev->dev);
946
	struct device_node *np = adev->dev.of_node;
947 948
	struct nmk_i2c_dev	*dev;
	struct i2c_adapter *adap;
949 950
	struct i2c_vendor_data *vendor = id->data;
	u32 max_fifo_threshold = (vendor->fifodepth / 2) - 1;
951

952 953 954 955 956 957 958 959 960 961 962 963 964 965
	if (!pdata) {
		if (np) {
			pdata = devm_kzalloc(&adev->dev, sizeof(*pdata), GFP_KERNEL);
			if (!pdata) {
				ret = -ENOMEM;
				goto err_no_mem;
			}
			/* Provide the default configuration as a base. */
			memcpy(pdata, &u8500_i2c, sizeof(struct nmk_i2c_controller));
			nmk_i2c_of_probe(np, pdata);
		} else
			/* No i2c configuration found, using the default. */
			pdata = &u8500_i2c;
	}
966

967 968 969 970 971 972 973 974 975 976 977 978
	if (pdata->tft > max_fifo_threshold) {
		dev_warn(&adev->dev, "requested TX FIFO threshold %u, adjusted down to %u\n",
			pdata->tft, max_fifo_threshold);
		pdata->tft = max_fifo_threshold;
	}

	if (pdata->rft > max_fifo_threshold) {
		dev_warn(&adev->dev, "requested RX FIFO threshold %u, adjusted down to %u\n",
			pdata->rft, max_fifo_threshold);
		pdata->rft = max_fifo_threshold;
	}

979 980
	dev = kzalloc(sizeof(struct nmk_i2c_dev), GFP_KERNEL);
	if (!dev) {
981
		dev_err(&adev->dev, "cannot allocate memory\n");
982 983 984
		ret = -ENOMEM;
		goto err_no_mem;
	}
985
	dev->vendor = vendor;
J
Jonas Aberg 已提交
986
	dev->busy = false;
987 988
	dev->adev = adev;
	amba_set_drvdata(adev, dev);
989

990 991 992 993
	/* Select default pin state */
	pinctrl_pm_select_default_state(&adev->dev);
	/* If possible, let's go to idle until the first transfer */
	pinctrl_pm_select_idle_state(&adev->dev);
994

995
	dev->virtbase = ioremap(adev->res.start, resource_size(&adev->res));
996 997 998 999 1000
	if (!dev->virtbase) {
		ret = -ENOMEM;
		goto err_no_ioremap;
	}

1001
	dev->irq = adev->irq[0];
Y
Yong Zhang 已提交
1002
	ret = request_irq(dev->irq, i2c_irq_handler, 0,
1003 1004
				DRIVER_NAME, dev);
	if (ret) {
1005
		dev_err(&adev->dev, "cannot claim the irq %d\n", dev->irq);
1006 1007 1008
		goto err_irq;
	}

1009
	pm_suspend_ignore_children(&adev->dev, true);
R
Rabin Vincent 已提交
1010

1011
	dev->clk = clk_get(&adev->dev, NULL);
1012
	if (IS_ERR(dev->clk)) {
1013
		dev_err(&adev->dev, "could not get i2c clock\n");
1014 1015 1016 1017 1018
		ret = PTR_ERR(dev->clk);
		goto err_no_clk;
	}

	adap = &dev->adap;
1019
	adap->dev.of_node = np;
1020
	adap->dev.parent = &adev->dev;
1021 1022 1023
	adap->owner	= THIS_MODULE;
	adap->class	= I2C_CLASS_HWMON | I2C_CLASS_SPD;
	adap->algo	= &nmk_i2c_algo;
1024
	adap->timeout	= msecs_to_jiffies(pdata->timeout);
1025
	snprintf(adap->name, sizeof(adap->name),
1026
		 "Nomadik I2C at %pR", &adev->res);
1027 1028 1029 1030 1031 1032 1033 1034 1035 1036

	/* fetch the controller configuration from machine */
	dev->cfg.clk_freq = pdata->clk_freq;
	dev->cfg.slsu	= pdata->slsu;
	dev->cfg.tft	= pdata->tft;
	dev->cfg.rft	= pdata->rft;
	dev->cfg.sm	= pdata->sm;

	i2c_set_adapdata(adap, dev);

1037
	dev_info(&adev->dev,
1038 1039
		 "initialize %s on virtual base %p\n",
		 adap->name, dev->virtbase);
1040

1041
	ret = i2c_add_adapter(adap);
1042
	if (ret) {
1043
		dev_err(&adev->dev, "failed to add adapter\n");
1044 1045 1046
		goto err_add_adap;
	}

1047 1048
	pm_runtime_put(&adev->dev);

1049 1050 1051 1052 1053 1054 1055 1056 1057 1058 1059 1060 1061 1062 1063
	return 0;

 err_add_adap:
	clk_put(dev->clk);
 err_no_clk:
	free_irq(dev->irq, dev);
 err_irq:
	iounmap(dev->virtbase);
 err_no_ioremap:
	kfree(dev);
 err_no_mem:

	return ret;
}

1064
static int nmk_i2c_remove(struct amba_device *adev)
1065
{
1066 1067
	struct resource *res = &adev->res;
	struct nmk_i2c_dev *dev = amba_get_drvdata(adev);
1068 1069 1070 1071 1072 1073 1074 1075 1076

	i2c_del_adapter(&dev->adap);
	flush_i2c_fifo(dev);
	disable_all_interrupts(dev);
	clear_all_interrupts(dev);
	/* disable the controller */
	i2c_clr_bit(dev->virtbase + I2C_CR, I2C_CR_PE);
	free_irq(dev->irq, dev);
	iounmap(dev->virtbase);
1077 1078
	if (res)
		release_mem_region(res->start, resource_size(res));
1079
	clk_put(dev->clk);
1080
	pm_runtime_disable(&adev->dev);
1081 1082 1083 1084 1085
	kfree(dev);

	return 0;
}

1086 1087 1088 1089 1090 1091 1092 1093 1094 1095
static struct i2c_vendor_data vendor_stn8815 = {
	.has_mtdws = false,
	.fifodepth = 16, /* Guessed from TFTR/RFTR = 7 */
};

static struct i2c_vendor_data vendor_db8500 = {
	.has_mtdws = true,
	.fifodepth = 32, /* Guessed from TFTR/RFTR = 15 */
};

1096 1097 1098 1099
static struct amba_id nmk_i2c_ids[] = {
	{
		.id	= 0x00180024,
		.mask	= 0x00ffffff,
1100
		.data	= &vendor_stn8815,
1101 1102 1103 1104
	},
	{
		.id	= 0x00380024,
		.mask	= 0x00ffffff,
1105
		.data	= &vendor_db8500,
1106 1107 1108 1109 1110 1111 1112 1113
	},
	{},
};

MODULE_DEVICE_TABLE(amba, nmk_i2c_ids);

static struct amba_driver nmk_i2c_driver = {
	.drv = {
1114 1115
		.owner = THIS_MODULE,
		.name = DRIVER_NAME,
R
Rabin Vincent 已提交
1116
		.pm = &nmk_i2c_pm,
1117
	},
1118
	.id_table = nmk_i2c_ids,
1119
	.probe = nmk_i2c_probe,
1120
	.remove = nmk_i2c_remove,
1121 1122 1123 1124
};

static int __init nmk_i2c_init(void)
{
1125
	return amba_driver_register(&nmk_i2c_driver);
1126 1127 1128 1129
}

static void __exit nmk_i2c_exit(void)
{
1130
	amba_driver_unregister(&nmk_i2c_driver);
1131 1132 1133 1134 1135 1136 1137 1138
}

subsys_initcall(nmk_i2c_init);
module_exit(nmk_i2c_exit);

MODULE_AUTHOR("Sachin Verma, Srinidhi KASAGAR");
MODULE_DESCRIPTION("Nomadik/Ux500 I2C driver");
MODULE_LICENSE("GPL");