i2c-nomadik.c 30.5 KB
Newer Older
1
/*
L
Linus Walleij 已提交
2
 * Copyright (C) 2009 ST-Ericsson SA
3 4 5 6 7 8 9 10 11 12 13 14 15 16
 * Copyright (C) 2009 STMicroelectronics
 *
 * I2C master mode controller driver, used in Nomadik 8815
 * and Ux500 platforms.
 *
 * Author: Srinidhi Kasagar <srinidhi.kasagar@stericsson.com>
 * Author: Sachin Verma <sachin.verma@st.com>
 *
 * This program is free software; you can redistribute it and/or modify
 * it under the terms of the GNU General Public License version 2, as
 * published by the Free Software Foundation.
 */
#include <linux/init.h>
#include <linux/module.h>
17 18
#include <linux/amba/bus.h>
#include <linux/atomic.h>
19
#include <linux/slab.h>
20 21 22 23 24
#include <linux/interrupt.h>
#include <linux/i2c.h>
#include <linux/err.h>
#include <linux/clk.h>
#include <linux/io.h>
R
Rabin Vincent 已提交
25
#include <linux/pm_runtime.h>
26
#include <linux/platform_data/i2c-nomadik.h>
27 28
#include <linux/of.h>
#include <linux/of_i2c.h>
29
#include <linux/pinctrl/consumer.h>
30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67

#define DRIVER_NAME "nmk-i2c"

/* I2C Controller register offsets */
#define I2C_CR		(0x000)
#define I2C_SCR		(0x004)
#define I2C_HSMCR	(0x008)
#define I2C_MCR		(0x00C)
#define I2C_TFR		(0x010)
#define I2C_SR		(0x014)
#define I2C_RFR		(0x018)
#define I2C_TFTR	(0x01C)
#define I2C_RFTR	(0x020)
#define I2C_DMAR	(0x024)
#define I2C_BRCR	(0x028)
#define I2C_IMSCR	(0x02C)
#define I2C_RISR	(0x030)
#define I2C_MISR	(0x034)
#define I2C_ICR		(0x038)

/* Control registers */
#define I2C_CR_PE		(0x1 << 0)	/* Peripheral Enable */
#define I2C_CR_OM		(0x3 << 1)	/* Operating mode */
#define I2C_CR_SAM		(0x1 << 3)	/* Slave addressing mode */
#define I2C_CR_SM		(0x3 << 4)	/* Speed mode */
#define I2C_CR_SGCM		(0x1 << 6)	/* Slave general call mode */
#define I2C_CR_FTX		(0x1 << 7)	/* Flush Transmit */
#define I2C_CR_FRX		(0x1 << 8)	/* Flush Receive */
#define I2C_CR_DMA_TX_EN	(0x1 << 9)	/* DMA Tx enable */
#define I2C_CR_DMA_RX_EN	(0x1 << 10)	/* DMA Rx Enable */
#define I2C_CR_DMA_SLE		(0x1 << 11)	/* DMA sync. logic enable */
#define I2C_CR_LM		(0x1 << 12)	/* Loopback mode */
#define I2C_CR_FON		(0x3 << 13)	/* Filtering on */
#define I2C_CR_FS		(0x3 << 15)	/* Force stop enable */

/* Master controller (MCR) register */
#define I2C_MCR_OP		(0x1 << 0)	/* Operation */
#define I2C_MCR_A7		(0x7f << 1)	/* 7-bit address */
68
#define I2C_MCR_EA10		(0x7 << 8)	/* 10-bit Extended address */
69 70
#define I2C_MCR_SB		(0x1 << 11)	/* Extended address */
#define I2C_MCR_AM		(0x3 << 12)	/* Address type */
71 72
#define I2C_MCR_STOP		(0x1 << 14)	/* Stop condition */
#define I2C_MCR_LENGTH		(0x7ff << 15)	/* Transaction length */
73 74 75 76 77 78 79 80 81

/* Status register (SR) */
#define I2C_SR_OP		(0x3 << 0)	/* Operation */
#define I2C_SR_STATUS		(0x3 << 2)	/* controller status */
#define I2C_SR_CAUSE		(0x7 << 4)	/* Abort cause */
#define I2C_SR_TYPE		(0x3 << 7)	/* Receive type */
#define I2C_SR_LENGTH		(0x7ff << 9)	/* Transfer length */

/* Interrupt mask set/clear (IMSCR) bits */
82
#define I2C_IT_TXFE		(0x1 << 0)
83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108
#define I2C_IT_TXFNE		(0x1 << 1)
#define I2C_IT_TXFF		(0x1 << 2)
#define I2C_IT_TXFOVR		(0x1 << 3)
#define I2C_IT_RXFE		(0x1 << 4)
#define I2C_IT_RXFNF		(0x1 << 5)
#define I2C_IT_RXFF		(0x1 << 6)
#define I2C_IT_RFSR		(0x1 << 16)
#define I2C_IT_RFSE		(0x1 << 17)
#define I2C_IT_WTSR		(0x1 << 18)
#define I2C_IT_MTD		(0x1 << 19)
#define I2C_IT_STD		(0x1 << 20)
#define I2C_IT_MAL		(0x1 << 24)
#define I2C_IT_BERR		(0x1 << 25)
#define I2C_IT_MTDWS		(0x1 << 28)

#define GEN_MASK(val, mask, sb)  (((val) << (sb)) & (mask))

/* some bits in ICR are reserved */
#define I2C_CLEAR_ALL_INTS	0x131f007f

/* first three msb bits are reserved */
#define IRQ_MASK(mask)		(mask & 0x1fffffff)

/* maximum threshold value */
#define MAX_I2C_FIFO_THRESHOLD	15

109 110 111 112 113 114 115 116 117 118
/**
 * struct i2c_vendor_data - per-vendor variations
 * @has_mtdws: variant has the MTDWS bit
 * @fifodepth: variant FIFO depth
 */
struct i2c_vendor_data {
	bool has_mtdws;
	u32 fifodepth;
};

119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135
enum i2c_status {
	I2C_NOP,
	I2C_ON_GOING,
	I2C_OK,
	I2C_ABORT
};

/* operation */
enum i2c_operation {
	I2C_NO_OPERATION = 0xff,
	I2C_WRITE = 0x00,
	I2C_READ = 0x01
};

/**
 * struct i2c_nmk_client - client specific data
 * @slave_adr: 7-bit slave address
L
Lucas De Marchi 已提交
136
 * @count: no. bytes to be transferred
137
 * @buffer: client data buffer
L
Lucas De Marchi 已提交
138
 * @xfer_bytes: bytes transferred till now
139 140 141 142 143 144 145 146 147 148 149
 * @operation: current I2C operation
 */
struct i2c_nmk_client {
	unsigned short		slave_adr;
	unsigned long		count;
	unsigned char		*buffer;
	unsigned long		xfer_bytes;
	enum i2c_operation	operation;
};

/**
150
 * struct nmk_i2c_dev - private data structure of the controller.
151
 * @vendor: vendor data for this variant.
152
 * @adev: parent amba device.
153 154 155 156 157 158 159 160 161
 * @adap: corresponding I2C adapter.
 * @irq: interrupt line for the controller.
 * @virtbase: virtual io memory area.
 * @clk: hardware i2c block clock.
 * @cfg: machine provided controller configuration.
 * @cli: holder of client specific data.
 * @stop: stop condition.
 * @xfer_complete: acknowledge completion for a I2C message.
 * @result: controller propogated result.
162 163 164 165
 * @pinctrl: pinctrl handle.
 * @pins_default: default state for the pins.
 * @pins_idle: idle state for the pins.
 * @pins_sleep: sleep state for the pins.
166
 * @busy: Busy doing transfer.
167 168
 */
struct nmk_i2c_dev {
169
	struct i2c_vendor_data		*vendor;
170
	struct amba_device		*adev;
171 172
	struct i2c_adapter		adap;
	int				irq;
173 174 175 176
	void __iomem			*virtbase;
	struct clk			*clk;
	struct nmk_i2c_controller	cfg;
	struct i2c_nmk_client		cli;
177
	int				stop;
178
	struct completion		xfer_complete;
179
	int				result;
180 181 182 183 184
	/* Three pin states - default, idle & sleep */
	struct pinctrl			*pinctrl;
	struct pinctrl_state		*pins_default;
	struct pinctrl_state		*pins_idle;
	struct pinctrl_state		*pins_sleep;
J
Jonas Aberg 已提交
185
	bool				busy;
186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231
};

/* controller's abort causes */
static const char *abort_causes[] = {
	"no ack received after address transmission",
	"no ack received during data phase",
	"ack received after xmission of master code",
	"master lost arbitration",
	"slave restarts",
	"slave reset",
	"overflow, maxsize is 2047 bytes",
};

static inline void i2c_set_bit(void __iomem *reg, u32 mask)
{
	writel(readl(reg) | mask, reg);
}

static inline void i2c_clr_bit(void __iomem *reg, u32 mask)
{
	writel(readl(reg) & ~mask, reg);
}

/**
 * flush_i2c_fifo() - This function flushes the I2C FIFO
 * @dev: private data of I2C Driver
 *
 * This function flushes the I2C Tx and Rx FIFOs. It returns
 * 0 on successful flushing of FIFO
 */
static int flush_i2c_fifo(struct nmk_i2c_dev *dev)
{
#define LOOP_ATTEMPTS 10
	int i;
	unsigned long timeout;

	/*
	 * flush the transmit and receive FIFO. The flushing
	 * operation takes several cycles before to be completed.
	 * On the completion, the I2C internal logic clears these
	 * bits, until then no one must access Tx, Rx FIFO and
	 * should poll on these bits waiting for the completion.
	 */
	writel((I2C_CR_FTX | I2C_CR_FRX), dev->virtbase + I2C_CR);

	for (i = 0; i < LOOP_ATTEMPTS; i++) {
232
		timeout = jiffies + dev->adap.timeout;
233 234 235 236 237 238 239 240

		while (!time_after(jiffies, timeout)) {
			if ((readl(dev->virtbase + I2C_CR) &
				(I2C_CR_FTX | I2C_CR_FRX)) == 0)
					return 0;
		}
	}

241
	dev_err(&dev->adev->dev,
242 243
		"flushing operation timed out giving up after %d attempts",
		LOOP_ATTEMPTS);
244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278

	return -ETIMEDOUT;
}

/**
 * disable_all_interrupts() - Disable all interrupts of this I2c Bus
 * @dev: private data of I2C Driver
 */
static void disable_all_interrupts(struct nmk_i2c_dev *dev)
{
	u32 mask = IRQ_MASK(0);
	writel(mask, dev->virtbase + I2C_IMSCR);
}

/**
 * clear_all_interrupts() - Clear all interrupts of I2C Controller
 * @dev: private data of I2C Driver
 */
static void clear_all_interrupts(struct nmk_i2c_dev *dev)
{
	u32 mask;
	mask = IRQ_MASK(I2C_CLEAR_ALL_INTS);
	writel(mask, dev->virtbase + I2C_ICR);
}

/**
 * init_hw() - initialize the I2C hardware
 * @dev: private data of I2C Driver
 */
static int init_hw(struct nmk_i2c_dev *dev)
{
	int stat;

	stat = flush_i2c_fifo(dev);
	if (stat)
J
Jonas Aberg 已提交
279
		goto exit;
280 281 282 283 284 285 286 287 288 289

	/* disable the controller */
	i2c_clr_bit(dev->virtbase + I2C_CR , I2C_CR_PE);

	disable_all_interrupts(dev);

	clear_all_interrupts(dev);

	dev->cli.operation = I2C_NO_OPERATION;

J
Jonas Aberg 已提交
290 291
exit:
	return stat;
292 293 294
}

/* enable peripheral, master mode operation */
295
#define DEFAULT_I2C_REG_CR	((1 << 1) | I2C_CR_PE)
296 297 298 299

/**
 * load_i2c_mcr_reg() - load the MCR register
 * @dev: private data of controller
300
 * @flags: message flags
301
 */
302
static u32 load_i2c_mcr_reg(struct nmk_i2c_dev *dev, u16 flags)
303 304
{
	u32 mcr = 0;
305
	unsigned short slave_adr_3msb_bits;
306 307 308

	mcr |= GEN_MASK(dev->cli.slave_adr, I2C_MCR_A7, 1);

309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325
	if (unlikely(flags & I2C_M_TEN)) {
		/* 10-bit address transaction */
		mcr |= GEN_MASK(2, I2C_MCR_AM, 12);
		/*
		 * Get the top 3 bits.
		 * EA10 represents extended address in MCR. This includes
		 * the extension (MSB bits) of the 7 bit address loaded
		 * in A7
		 */
		slave_adr_3msb_bits = (dev->cli.slave_adr >> 7) & 0x7;

		mcr |= GEN_MASK(slave_adr_3msb_bits, I2C_MCR_EA10, 8);
	} else {
		/* 7-bit address transaction */
		mcr |= GEN_MASK(1, I2C_MCR_AM, 12);
	}

326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359 360 361 362 363 364 365 366
	/* start byte procedure not applied */
	mcr |= GEN_MASK(0, I2C_MCR_SB, 11);

	/* check the operation, master read/write? */
	if (dev->cli.operation == I2C_WRITE)
		mcr |= GEN_MASK(I2C_WRITE, I2C_MCR_OP, 0);
	else
		mcr |= GEN_MASK(I2C_READ, I2C_MCR_OP, 0);

	/* stop or repeated start? */
	if (dev->stop)
		mcr |= GEN_MASK(1, I2C_MCR_STOP, 14);
	else
		mcr &= ~(GEN_MASK(1, I2C_MCR_STOP, 14));

	mcr |= GEN_MASK(dev->cli.count, I2C_MCR_LENGTH, 15);

	return mcr;
}

/**
 * setup_i2c_controller() - setup the controller
 * @dev: private data of controller
 */
static void setup_i2c_controller(struct nmk_i2c_dev *dev)
{
	u32 brcr1, brcr2;
	u32 i2c_clk, div;

	writel(0x0, dev->virtbase + I2C_CR);
	writel(0x0, dev->virtbase + I2C_HSMCR);
	writel(0x0, dev->virtbase + I2C_TFTR);
	writel(0x0, dev->virtbase + I2C_RFTR);
	writel(0x0, dev->virtbase + I2C_DMAR);

	/*
	 * set the slsu:
	 *
	 * slsu defines the data setup time after SCL clock
	 * stretching in terms of i2c clk cycles. The
	 * needed setup time for the three modes are 250ns,
L
Lucas De Marchi 已提交
367
	 * 100ns, 10ns respectively thus leading to the values
368 369 370 371 372 373 374 375 376 377 378 379 380 381 382 383 384 385 386 387 388 389 390 391 392 393 394 395 396
	 * of 14, 6, 2 for a 48 MHz i2c clk.
	 */
	writel(dev->cfg.slsu << 16, dev->virtbase + I2C_SCR);

	i2c_clk = clk_get_rate(dev->clk);

	/*
	 * The spec says, in case of std. mode the divider is
	 * 2 whereas it is 3 for fast and fastplus mode of
	 * operation. TODO - high speed support.
	 */
	div = (dev->cfg.clk_freq > 100000) ? 3 : 2;

	/*
	 * generate the mask for baud rate counters. The controller
	 * has two baud rate counters. One is used for High speed
	 * operation, and the other is for std, fast mode, fast mode
	 * plus operation. Currently we do not supprt high speed mode
	 * so set brcr1 to 0.
	 */
	brcr1 = 0 << 16;
	brcr2 = (i2c_clk/(dev->cfg.clk_freq * div)) & 0xffff;

	/* set the baud rate counter register */
	writel((brcr1 | brcr2), dev->virtbase + I2C_BRCR);

	/*
	 * set the speed mode. Currently we support
	 * only standard and fast mode of operation
L
Lucas De Marchi 已提交
397
	 * TODO - support for fast mode plus (up to 1Mb/s)
398 399 400
	 * and high speed (up to 3.4 Mb/s)
	 */
	if (dev->cfg.sm > I2C_FREQ_MODE_FAST) {
401
		dev_err(&dev->adev->dev,
402
			"do not support this mode defaulting to std. mode\n");
403 404 405 406 407 408 409 410 411 412 413 414 415 416 417
		brcr2 = i2c_clk/(100000 * 2) & 0xffff;
		writel((brcr1 | brcr2), dev->virtbase + I2C_BRCR);
		writel(I2C_FREQ_MODE_STANDARD << 4,
				dev->virtbase + I2C_CR);
	}
	writel(dev->cfg.sm << 4, dev->virtbase + I2C_CR);

	/* set the Tx and Rx FIFO threshold */
	writel(dev->cfg.tft, dev->virtbase + I2C_TFTR);
	writel(dev->cfg.rft, dev->virtbase + I2C_RFTR);
}

/**
 * read_i2c() - Read from I2C client device
 * @dev: private data of I2C Driver
418
 * @flags: message flags
419 420 421 422 423
 *
 * This function reads from i2c client device when controller is in
 * master mode. There is a completion timeout. If there is no transfer
 * before timeout error is returned.
 */
424
static int read_i2c(struct nmk_i2c_dev *dev, u16 flags)
425 426
{
	u32 status = 0;
427
	u32 mcr, irq_mask;
428 429
	int timeout;

430
	mcr = load_i2c_mcr_reg(dev, flags);
431 432 433 434 435 436 437 438 439 440 441 442 443 444 445
	writel(mcr, dev->virtbase + I2C_MCR);

	/* load the current CR value */
	writel(readl(dev->virtbase + I2C_CR) | DEFAULT_I2C_REG_CR,
			dev->virtbase + I2C_CR);

	/* enable the controller */
	i2c_set_bit(dev->virtbase + I2C_CR, I2C_CR_PE);

	init_completion(&dev->xfer_complete);

	/* enable interrupts by setting the mask */
	irq_mask = (I2C_IT_RXFNF | I2C_IT_RXFF |
			I2C_IT_MAL | I2C_IT_BERR);

446
	if (dev->stop || !dev->vendor->has_mtdws)
447 448 449 450 451 452 453 454 455
		irq_mask |= I2C_IT_MTD;
	else
		irq_mask |= I2C_IT_MTDWS;

	irq_mask = I2C_CLEAR_ALL_INTS & IRQ_MASK(irq_mask);

	writel(readl(dev->virtbase + I2C_IMSCR) | irq_mask,
			dev->virtbase + I2C_IMSCR);

456
	timeout = wait_for_completion_timeout(
457
		&dev->xfer_complete, dev->adap.timeout);
458 459

	if (timeout == 0) {
460
		/* Controller timed out */
461
		dev_err(&dev->adev->dev, "read from slave 0x%x timed out\n",
462
				dev->cli.slave_adr);
463 464 465 466 467
		status = -ETIMEDOUT;
	}
	return status;
}

468 469 470 471 472 473 474 475 476 477 478 479 480 481 482 483 484 485
static void fill_tx_fifo(struct nmk_i2c_dev *dev, int no_bytes)
{
	int count;

	for (count = (no_bytes - 2);
			(count > 0) &&
			(dev->cli.count != 0);
			count--) {
		/* write to the Tx FIFO */
		writeb(*dev->cli.buffer,
			dev->virtbase + I2C_TFR);
		dev->cli.buffer++;
		dev->cli.count--;
		dev->cli.xfer_bytes++;
	}

}

486 487 488
/**
 * write_i2c() - Write data to I2C client.
 * @dev: private data of I2C Driver
489
 * @flags: message flags
490 491 492
 *
 * This function writes data to I2C client
 */
493
static int write_i2c(struct nmk_i2c_dev *dev, u16 flags)
494 495
{
	u32 status = 0;
496
	u32 mcr, irq_mask;
497 498
	int timeout;

499
	mcr = load_i2c_mcr_reg(dev, flags);
500 501 502 503 504 505 506 507 508 509 510 511 512

	writel(mcr, dev->virtbase + I2C_MCR);

	/* load the current CR value */
	writel(readl(dev->virtbase + I2C_CR) | DEFAULT_I2C_REG_CR,
			dev->virtbase + I2C_CR);

	/* enable the controller */
	i2c_set_bit(dev->virtbase + I2C_CR , I2C_CR_PE);

	init_completion(&dev->xfer_complete);

	/* enable interrupts by settings the masks */
513 514 515 516 517 518 519
	irq_mask = (I2C_IT_TXFOVR | I2C_IT_MAL | I2C_IT_BERR);

	/* Fill the TX FIFO with transmit data */
	fill_tx_fifo(dev, MAX_I2C_FIFO_THRESHOLD);

	if (dev->cli.count != 0)
		irq_mask |= I2C_IT_TXFNE;
520 521 522 523 524 525

	/*
	 * check if we want to transfer a single or multiple bytes, if so
	 * set the MTDWS bit (Master Transaction Done Without Stop)
	 * to start repeated start operation
	 */
526
	if (dev->stop || !dev->vendor->has_mtdws)
527 528 529 530 531 532 533 534 535
		irq_mask |= I2C_IT_MTD;
	else
		irq_mask |= I2C_IT_MTDWS;

	irq_mask = I2C_CLEAR_ALL_INTS & IRQ_MASK(irq_mask);

	writel(readl(dev->virtbase + I2C_IMSCR) | irq_mask,
			dev->virtbase + I2C_IMSCR);

536
	timeout = wait_for_completion_timeout(
537
		&dev->xfer_complete, dev->adap.timeout);
538 539

	if (timeout == 0) {
540
		/* Controller timed out */
541
		dev_err(&dev->adev->dev, "write to slave 0x%x timed out\n",
542
				dev->cli.slave_adr);
543 544 545 546 547 548
		status = -ETIMEDOUT;
	}

	return status;
}

549 550 551 552 553 554 555 556 557 558 559 560
/**
 * nmk_i2c_xfer_one() - transmit a single I2C message
 * @dev: device with a message encoded into it
 * @flags: message flags
 */
static int nmk_i2c_xfer_one(struct nmk_i2c_dev *dev, u16 flags)
{
	int status;

	if (flags & I2C_M_RD) {
		/* read operation */
		dev->cli.operation = I2C_READ;
561
		status = read_i2c(dev, flags);
562 563 564
	} else {
		/* write operation */
		dev->cli.operation = I2C_WRITE;
565
		status = write_i2c(dev, flags);
566 567 568 569 570 571 572 573 574 575 576 577 578 579
	}

	if (status || (dev->result)) {
		u32 i2c_sr;
		u32 cause;

		i2c_sr = readl(dev->virtbase + I2C_SR);
		/*
		 * Check if the controller I2C operation status
		 * is set to ABORT(11b).
		 */
		if (((i2c_sr >> 2) & 0x3) == 0x3) {
			/* get the abort cause */
			cause =	(i2c_sr >> 4) & 0x7;
580
			dev_err(&dev->adev->dev, "%s\n",
581
				cause >= ARRAY_SIZE(abort_causes) ?
582 583 584 585 586 587 588 589 590 591 592 593
				"unknown reason" :
				abort_causes[cause]);
		}

		(void) init_hw(dev);

		status = status ? status : dev->result;
	}

	return status;
}

594 595
/**
 * nmk_i2c_xfer() - I2C transfer function used by kernel framework
L
Linus Walleij 已提交
596 597 598
 * @i2c_adap: Adapter pointer to the controller
 * @msgs: Pointer to data to be written.
 * @num_msgs: Number of messages to be executed
599 600 601 602 603 604 605
 *
 * This is the function called by the generic kernel i2c_transfer()
 * or i2c_smbus...() API calls. Note that this code is protected by the
 * semaphore set in the kernel i2c_transfer() function.
 *
 * NOTE:
 * READ TRANSFER : We impose a restriction of the first message to be the
606 607 608 609 610 611 612
 *		index message for any read transaction.
 *		- a no index is coded as '0',
 *		- 2byte big endian index is coded as '3'
 *		!!! msg[0].buf holds the actual index.
 *		This is compatible with generic messages of smbus emulator
 *		that send a one byte index.
 *		eg. a I2C transation to read 2 bytes from index 0
613 614 615 616 617 618 619 620 621 622 623 624 625 626 627 628 629 630 631 632 633 634 635 636 637 638 639 640 641 642 643 644 645 646
 *			idx = 0;
 *			msg[0].addr = client->addr;
 *			msg[0].flags = 0x0;
 *			msg[0].len = 1;
 *			msg[0].buf = &idx;
 *
 *			msg[1].addr = client->addr;
 *			msg[1].flags = I2C_M_RD;
 *			msg[1].len = 2;
 *			msg[1].buf = rd_buff
 *			i2c_transfer(adap, msg, 2);
 *
 * WRITE TRANSFER : The I2C standard interface interprets all data as payload.
 *		If you want to emulate an SMBUS write transaction put the
 *		index as first byte(or first and second) in the payload.
 *		eg. a I2C transation to write 2 bytes from index 1
 *			wr_buff[0] = 0x1;
 *			wr_buff[1] = 0x23;
 *			wr_buff[2] = 0x46;
 *			msg[0].flags = 0x0;
 *			msg[0].len = 3;
 *			msg[0].buf = wr_buff;
 *			i2c_transfer(adap, msg, 1);
 *
 * To read or write a block of data (multiple bytes) using SMBUS emulation
 * please use the i2c_smbus_read_i2c_block_data()
 * or i2c_smbus_write_i2c_block_data() API
 */
static int nmk_i2c_xfer(struct i2c_adapter *i2c_adap,
		struct i2c_msg msgs[], int num_msgs)
{
	int status;
	int i;
	struct nmk_i2c_dev *dev = i2c_get_adapdata(i2c_adap);
647
	int j;
648

J
Jonas Aberg 已提交
649 650
	dev->busy = true;

651
	pm_runtime_get_sync(&dev->adev->dev);
J
Jonas Aberg 已提交
652

653 654 655 656 657
	status = clk_prepare_enable(dev->clk);
	if (status) {
		dev_err(&dev->adev->dev, "can't prepare_enable clock\n");
		goto out_clk;
	}
658

659 660 661 662 663 664 665 666 667
	/* Optionaly enable pins to be muxed in and configured */
	if (!IS_ERR(dev->pins_default)) {
		status = pinctrl_select_state(dev->pinctrl,
				dev->pins_default);
		if (status)
			dev_err(&dev->adev->dev,
				"could not set default pins\n");
	}

668 669
	status = init_hw(dev);
	if (status)
670
		goto out;
L
Linus Walleij 已提交
671

672
	/* Attempt three times to send the message queue */
673 674 675
	for (j = 0; j < 3; j++) {
		/* setup the i2c controller */
		setup_i2c_controller(dev);
676

677 678 679 680 681 682 683
		for (i = 0; i < num_msgs; i++) {
			dev->cli.slave_adr	= msgs[i].addr;
			dev->cli.buffer		= msgs[i].buf;
			dev->cli.count		= msgs[i].len;
			dev->stop = (i < (num_msgs - 1)) ? 0 : 1;
			dev->result = 0;

684 685
			status = nmk_i2c_xfer_one(dev, msgs[i].flags);
			if (status != 0)
686
				break;
687
		}
688 689
		if (status == 0)
			break;
690
	}
J
Jonas Aberg 已提交
691 692

out:
693 694
	clk_disable_unprepare(dev->clk);
out_clk:
695 696 697 698 699 700 701 702 703
	/* Optionally let pins go into idle state */
	if (!IS_ERR(dev->pins_idle)) {
		status = pinctrl_select_state(dev->pinctrl,
				dev->pins_idle);
		if (status)
			dev_err(&dev->adev->dev,
				"could not set pins to idle state\n");
	}

704
	pm_runtime_put_sync(&dev->adev->dev);
J
Jonas Aberg 已提交
705 706

	dev->busy = false;
L
Linus Walleij 已提交
707

708 709 710 711 712 713 714 715 716 717
	/* return the no. messages processed */
	if (status)
		return status;
	else
		return num_msgs;
}

/**
 * disable_interrupts() - disable the interrupts
 * @dev: private data of controller
L
Linus Walleij 已提交
718
 * @irq: interrupt number
719 720 721 722 723 724 725 726 727 728 729 730 731 732 733 734 735 736 737 738 739 740 741 742 743
 */
static int disable_interrupts(struct nmk_i2c_dev *dev, u32 irq)
{
	irq = IRQ_MASK(irq);
	writel(readl(dev->virtbase + I2C_IMSCR) & ~(I2C_CLEAR_ALL_INTS & irq),
			dev->virtbase + I2C_IMSCR);
	return 0;
}

/**
 * i2c_irq_handler() - interrupt routine
 * @irq: interrupt number
 * @arg: data passed to the handler
 *
 * This is the interrupt handler for the i2c driver. Currently
 * it handles the major interrupts like Rx & Tx FIFO management
 * interrupts, master transaction interrupts, arbitration and
 * bus error interrupts. The rest of the interrupts are treated as
 * unhandled.
 */
static irqreturn_t i2c_irq_handler(int irq, void *arg)
{
	struct nmk_i2c_dev *dev = arg;
	u32 tft, rft;
	u32 count;
744
	u32 misr, src;
745 746 747 748 749 750 751 752 753 754 755 756 757 758 759 760 761 762 763 764 765

	/* load Tx FIFO and Rx FIFO threshold values */
	tft = readl(dev->virtbase + I2C_TFTR);
	rft = readl(dev->virtbase + I2C_RFTR);

	/* read interrupt status register */
	misr = readl(dev->virtbase + I2C_MISR);

	src = __ffs(misr);
	switch ((1 << src)) {

	/* Transmit FIFO nearly empty interrupt */
	case I2C_IT_TXFNE:
	{
		if (dev->cli.operation == I2C_READ) {
			/*
			 * in read operation why do we care for writing?
			 * so disable the Transmit FIFO interrupt
			 */
			disable_interrupts(dev, I2C_IT_TXFNE);
		} else {
766
			fill_tx_fifo(dev, (MAX_I2C_FIFO_THRESHOLD - tft));
767 768 769 770 771 772 773 774 775 776 777 778 779 780 781 782 783 784 785 786 787 788 789 790 791 792 793 794 795 796 797 798 799 800 801 802 803 804 805 806
			/*
			 * if done, close the transfer by disabling the
			 * corresponding TXFNE interrupt
			 */
			if (dev->cli.count == 0)
				disable_interrupts(dev,	I2C_IT_TXFNE);
		}
	}
	break;

	/*
	 * Rx FIFO nearly full interrupt.
	 * This is set when the numer of entries in Rx FIFO is
	 * greater or equal than the threshold value programmed
	 * in RFT
	 */
	case I2C_IT_RXFNF:
		for (count = rft; count > 0; count--) {
			/* Read the Rx FIFO */
			*dev->cli.buffer = readb(dev->virtbase + I2C_RFR);
			dev->cli.buffer++;
		}
		dev->cli.count -= rft;
		dev->cli.xfer_bytes += rft;
		break;

	/* Rx FIFO full */
	case I2C_IT_RXFF:
		for (count = MAX_I2C_FIFO_THRESHOLD; count > 0; count--) {
			*dev->cli.buffer = readb(dev->virtbase + I2C_RFR);
			dev->cli.buffer++;
		}
		dev->cli.count -= MAX_I2C_FIFO_THRESHOLD;
		dev->cli.xfer_bytes += MAX_I2C_FIFO_THRESHOLD;
		break;

	/* Master Transaction Done with/without stop */
	case I2C_IT_MTD:
	case I2C_IT_MTDWS:
		if (dev->cli.operation == I2C_READ) {
807 808
			while (!(readl(dev->virtbase + I2C_RISR)
				 & I2C_IT_RXFE)) {
809 810 811 812 813 814 815 816 817 818
				if (dev->cli.count == 0)
					break;
				*dev->cli.buffer =
					readb(dev->virtbase + I2C_RFR);
				dev->cli.buffer++;
				dev->cli.count--;
				dev->cli.xfer_bytes++;
			}
		}

819 820
		disable_all_interrupts(dev);
		clear_all_interrupts(dev);
821 822

		if (dev->cli.count) {
823
			dev->result = -EIO;
824
			dev_err(&dev->adev->dev,
825 826
				"%lu bytes still remain to be xfered\n",
				dev->cli.count);
827 828 829 830 831 832 833 834
			(void) init_hw(dev);
		}
		complete(&dev->xfer_complete);

		break;

	/* Master Arbitration lost interrupt */
	case I2C_IT_MAL:
835
		dev->result = -EIO;
836 837 838 839 840 841 842 843 844 845 846 847 848
		(void) init_hw(dev);

		i2c_set_bit(dev->virtbase + I2C_ICR, I2C_IT_MAL);
		complete(&dev->xfer_complete);

		break;

	/*
	 * Bus Error interrupt.
	 * This happens when an unexpected start/stop condition occurs
	 * during the transaction.
	 */
	case I2C_IT_BERR:
849
		dev->result = -EIO;
850 851 852 853 854 855 856 857 858 859 860 861 862 863 864
		/* get the status */
		if (((readl(dev->virtbase + I2C_SR) >> 2) & 0x3) == I2C_ABORT)
			(void) init_hw(dev);

		i2c_set_bit(dev->virtbase + I2C_ICR, I2C_IT_BERR);
		complete(&dev->xfer_complete);

		break;

	/*
	 * Tx FIFO overrun interrupt.
	 * This is set when a write operation in Tx FIFO is performed and
	 * the Tx FIFO is full.
	 */
	case I2C_IT_TXFOVR:
865
		dev->result = -EIO;
866 867
		(void) init_hw(dev);

868
		dev_err(&dev->adev->dev, "Tx Fifo Over run\n");
869 870 871 872 873 874 875 876 877 878 879 880
		complete(&dev->xfer_complete);

		break;

	/* unhandled interrupts by this driver - TODO*/
	case I2C_IT_TXFE:
	case I2C_IT_TXFF:
	case I2C_IT_RXFE:
	case I2C_IT_RFSR:
	case I2C_IT_RFSE:
	case I2C_IT_WTSR:
	case I2C_IT_STD:
881
		dev_err(&dev->adev->dev, "unhandled Interrupt\n");
882 883
		break;
	default:
884
		dev_err(&dev->adev->dev, "spurious Interrupt..\n");
885 886 887 888 889 890
		break;
	}

	return IRQ_HANDLED;
}

J
Jonas Aberg 已提交
891 892

#ifdef CONFIG_PM
R
Rabin Vincent 已提交
893
static int nmk_i2c_suspend(struct device *dev)
J
Jonas Aberg 已提交
894
{
895 896
	struct amba_device *adev = to_amba_device(dev);
	struct nmk_i2c_dev *nmk_i2c = amba_get_drvdata(adev);
897
	int ret;
J
Jonas Aberg 已提交
898

R
Rabin Vincent 已提交
899
	if (nmk_i2c->busy)
J
Jonas Aberg 已提交
900
		return -EBUSY;
R
Rabin Vincent 已提交
901

902 903 904 905 906 907 908
	if (!IS_ERR(nmk_i2c->pins_sleep)) {
		ret = pinctrl_select_state(nmk_i2c->pinctrl,
				nmk_i2c->pins_sleep);
		if (ret)
			dev_err(dev, "could not set pins to sleep state\n");
	}

R
Rabin Vincent 已提交
909 910 911 912 913
	return 0;
}

static int nmk_i2c_resume(struct device *dev)
{
914 915 916 917 918 919 920 921 922 923 924 925 926 927 928 929 930 931
	struct amba_device *adev = to_amba_device(dev);
	struct nmk_i2c_dev *nmk_i2c = amba_get_drvdata(adev);
	int ret;

	/* First go to the default state */
	if (!IS_ERR(nmk_i2c->pins_default)) {
		ret = pinctrl_select_state(nmk_i2c->pinctrl,
				nmk_i2c->pins_default);
		if (ret)
			dev_err(dev, "could not set pins to default state\n");
	}
	/* Then let's idle the pins until the next transfer happens */
	if (!IS_ERR(nmk_i2c->pins_idle)) {
		ret = pinctrl_select_state(nmk_i2c->pinctrl,
				nmk_i2c->pins_idle);
		if (ret)
			dev_err(dev, "could not set pins to idle state\n");
	}
R
Rabin Vincent 已提交
932
	return 0;
J
Jonas Aberg 已提交
933 934 935
}
#else
#define nmk_i2c_suspend	NULL
R
Rabin Vincent 已提交
936
#define nmk_i2c_resume	NULL
J
Jonas Aberg 已提交
937 938
#endif

R
Rabin Vincent 已提交
939 940 941 942 943 944 945 946 947 948
/*
 * We use noirq so that we suspend late and resume before the wakeup interrupt
 * to ensure that we do the !pm_runtime_suspended() check in resume before
 * there has been a regular pm runtime resume (via pm_runtime_get_sync()).
 */
static const struct dev_pm_ops nmk_i2c_pm = {
	.suspend_noirq	= nmk_i2c_suspend,
	.resume_noirq	= nmk_i2c_resume,
};

949 950
static unsigned int nmk_i2c_functionality(struct i2c_adapter *adap)
{
951
	return I2C_FUNC_I2C | I2C_FUNC_SMBUS_EMUL | I2C_FUNC_10BIT_ADDR;
952 953 954 955 956 957 958
}

static const struct i2c_algorithm nmk_i2c_algo = {
	.master_xfer	= nmk_i2c_xfer,
	.functionality	= nmk_i2c_functionality
};

959 960 961 962 963 964 965 966 967 968 969 970 971
static struct nmk_i2c_controller u8500_i2c = {
	/*
	 * Slave data setup time; 250ns, 100ns, and 10ns, which
	 * is 14, 6 and 2 respectively for a 48Mhz i2c clock.
	 */
	.slsu           = 0xe,
	.tft            = 1,      /* Tx FIFO threshold */
	.rft            = 8,      /* Rx FIFO threshold */
	.clk_freq       = 400000, /* fast mode operation */
	.timeout        = 200,    /* Slave response timeout(ms) */
	.sm             = I2C_FREQ_MODE_FAST,
};

972 973 974 975 976 977 978 979 980 981 982 983
static void nmk_i2c_of_probe(struct device_node *np,
			struct nmk_i2c_controller *pdata)
{
	of_property_read_u32(np, "clock-frequency", &pdata->clk_freq);

	/* This driver only supports 'standard' and 'fast' modes of operation. */
	if (pdata->clk_freq <= 100000)
		pdata->sm = I2C_FREQ_MODE_STANDARD;
	else
		pdata->sm = I2C_FREQ_MODE_FAST;
}

984 985 986
static atomic_t adapter_id = ATOMIC_INIT(0);

static int nmk_i2c_probe(struct amba_device *adev, const struct amba_id *id)
987 988
{
	int ret = 0;
989
	struct nmk_i2c_controller *pdata = adev->dev.platform_data;
990
	struct device_node *np = adev->dev.of_node;
991 992
	struct nmk_i2c_dev	*dev;
	struct i2c_adapter *adap;
993 994
	struct i2c_vendor_data *vendor = id->data;
	u32 max_fifo_threshold = (vendor->fifodepth / 2) - 1;
995

996 997 998 999 1000 1001 1002 1003 1004 1005 1006 1007 1008 1009
	if (!pdata) {
		if (np) {
			pdata = devm_kzalloc(&adev->dev, sizeof(*pdata), GFP_KERNEL);
			if (!pdata) {
				ret = -ENOMEM;
				goto err_no_mem;
			}
			/* Provide the default configuration as a base. */
			memcpy(pdata, &u8500_i2c, sizeof(struct nmk_i2c_controller));
			nmk_i2c_of_probe(np, pdata);
		} else
			/* No i2c configuration found, using the default. */
			pdata = &u8500_i2c;
	}
1010

1011 1012 1013 1014 1015 1016 1017 1018 1019 1020 1021 1022
	if (pdata->tft > max_fifo_threshold) {
		dev_warn(&adev->dev, "requested TX FIFO threshold %u, adjusted down to %u\n",
			pdata->tft, max_fifo_threshold);
		pdata->tft = max_fifo_threshold;
	}

	if (pdata->rft > max_fifo_threshold) {
		dev_warn(&adev->dev, "requested RX FIFO threshold %u, adjusted down to %u\n",
			pdata->rft, max_fifo_threshold);
		pdata->rft = max_fifo_threshold;
	}

1023 1024
	dev = kzalloc(sizeof(struct nmk_i2c_dev), GFP_KERNEL);
	if (!dev) {
1025
		dev_err(&adev->dev, "cannot allocate memory\n");
1026 1027 1028
		ret = -ENOMEM;
		goto err_no_mem;
	}
1029
	dev->vendor = vendor;
J
Jonas Aberg 已提交
1030
	dev->busy = false;
1031 1032
	dev->adev = adev;
	amba_set_drvdata(adev, dev);
1033

1034 1035 1036 1037 1038 1039 1040 1041 1042 1043 1044 1045 1046 1047 1048 1049 1050 1051 1052 1053 1054 1055 1056 1057 1058 1059 1060 1061 1062 1063 1064 1065 1066 1067
	dev->pinctrl = devm_pinctrl_get(&adev->dev);
	if (IS_ERR(dev->pinctrl)) {
		ret = PTR_ERR(dev->pinctrl);
		goto err_pinctrl;
	}

	dev->pins_default = pinctrl_lookup_state(dev->pinctrl,
						 PINCTRL_STATE_DEFAULT);
	if (IS_ERR(dev->pins_default)) {
		dev_err(&adev->dev, "could not get default pinstate\n");
	} else {
		ret = pinctrl_select_state(dev->pinctrl,
					   dev->pins_default);
		if (ret)
			dev_dbg(&adev->dev, "could not set default pinstate\n");
	}

	dev->pins_idle = pinctrl_lookup_state(dev->pinctrl,
					      PINCTRL_STATE_IDLE);
	if (IS_ERR(dev->pins_idle)) {
		dev_dbg(&adev->dev, "could not get idle pinstate\n");
	} else {
		/* If possible, let's go to idle until the first transfer */
		ret = pinctrl_select_state(dev->pinctrl,
					   dev->pins_idle);
		if (ret)
			dev_dbg(&adev->dev, "could not set idle pinstate\n");
	}

	dev->pins_sleep = pinctrl_lookup_state(dev->pinctrl,
					       PINCTRL_STATE_SLEEP);
	if (IS_ERR(dev->pins_sleep))
		dev_dbg(&adev->dev, "could not get sleep pinstate\n");

1068
	dev->virtbase = ioremap(adev->res.start, resource_size(&adev->res));
1069 1070 1071 1072 1073
	if (!dev->virtbase) {
		ret = -ENOMEM;
		goto err_no_ioremap;
	}

1074
	dev->irq = adev->irq[0];
Y
Yong Zhang 已提交
1075
	ret = request_irq(dev->irq, i2c_irq_handler, 0,
1076 1077
				DRIVER_NAME, dev);
	if (ret) {
1078
		dev_err(&adev->dev, "cannot claim the irq %d\n", dev->irq);
1079 1080 1081
		goto err_irq;
	}

1082
	pm_suspend_ignore_children(&adev->dev, true);
R
Rabin Vincent 已提交
1083

1084
	dev->clk = clk_get(&adev->dev, NULL);
1085
	if (IS_ERR(dev->clk)) {
1086
		dev_err(&adev->dev, "could not get i2c clock\n");
1087 1088 1089 1090 1091
		ret = PTR_ERR(dev->clk);
		goto err_no_clk;
	}

	adap = &dev->adap;
1092
	adap->dev.of_node = np;
1093
	adap->dev.parent = &adev->dev;
1094 1095 1096
	adap->owner	= THIS_MODULE;
	adap->class	= I2C_CLASS_HWMON | I2C_CLASS_SPD;
	adap->algo	= &nmk_i2c_algo;
1097
	adap->timeout	= msecs_to_jiffies(pdata->timeout);
1098
	adap->nr = atomic_read(&adapter_id);
1099
	snprintf(adap->name, sizeof(adap->name),
1100 1101
		 "Nomadik I2C%d at %pR", adap->nr, &adev->res);
	atomic_inc(&adapter_id);
1102 1103 1104 1105 1106 1107 1108 1109 1110 1111

	/* fetch the controller configuration from machine */
	dev->cfg.clk_freq = pdata->clk_freq;
	dev->cfg.slsu	= pdata->slsu;
	dev->cfg.tft	= pdata->tft;
	dev->cfg.rft	= pdata->rft;
	dev->cfg.sm	= pdata->sm;

	i2c_set_adapdata(adap, dev);

1112
	dev_info(&adev->dev,
1113 1114
		 "initialize %s on virtual base %p\n",
		 adap->name, dev->virtbase);
1115 1116 1117

	ret = i2c_add_numbered_adapter(adap);
	if (ret) {
1118
		dev_err(&adev->dev, "failed to add adapter\n");
1119 1120 1121
		goto err_add_adap;
	}

1122 1123
	of_i2c_register_devices(adap);

1124 1125
	pm_runtime_put(&adev->dev);

1126 1127 1128 1129 1130 1131 1132 1133 1134 1135
	return 0;

 err_add_adap:
	clk_put(dev->clk);
 err_no_clk:
	free_irq(dev->irq, dev);
 err_irq:
	iounmap(dev->virtbase);
 err_no_ioremap:
	kfree(dev);
1136
 err_pinctrl:
1137 1138 1139 1140 1141
 err_no_mem:

	return ret;
}

1142
static int nmk_i2c_remove(struct amba_device *adev)
1143
{
1144 1145
	struct resource *res = &adev->res;
	struct nmk_i2c_dev *dev = amba_get_drvdata(adev);
1146 1147 1148 1149 1150 1151 1152 1153 1154

	i2c_del_adapter(&dev->adap);
	flush_i2c_fifo(dev);
	disable_all_interrupts(dev);
	clear_all_interrupts(dev);
	/* disable the controller */
	i2c_clr_bit(dev->virtbase + I2C_CR, I2C_CR_PE);
	free_irq(dev->irq, dev);
	iounmap(dev->virtbase);
1155 1156
	if (res)
		release_mem_region(res->start, resource_size(res));
1157
	clk_put(dev->clk);
1158
	pm_runtime_disable(&adev->dev);
1159 1160 1161 1162 1163
	kfree(dev);

	return 0;
}

1164 1165 1166 1167 1168 1169 1170 1171 1172 1173
static struct i2c_vendor_data vendor_stn8815 = {
	.has_mtdws = false,
	.fifodepth = 16, /* Guessed from TFTR/RFTR = 7 */
};

static struct i2c_vendor_data vendor_db8500 = {
	.has_mtdws = true,
	.fifodepth = 32, /* Guessed from TFTR/RFTR = 15 */
};

1174 1175 1176 1177
static struct amba_id nmk_i2c_ids[] = {
	{
		.id	= 0x00180024,
		.mask	= 0x00ffffff,
1178
		.data	= &vendor_stn8815,
1179 1180 1181 1182
	},
	{
		.id	= 0x00380024,
		.mask	= 0x00ffffff,
1183
		.data	= &vendor_db8500,
1184 1185 1186 1187 1188 1189 1190 1191
	},
	{},
};

MODULE_DEVICE_TABLE(amba, nmk_i2c_ids);

static struct amba_driver nmk_i2c_driver = {
	.drv = {
1192 1193
		.owner = THIS_MODULE,
		.name = DRIVER_NAME,
R
Rabin Vincent 已提交
1194
		.pm = &nmk_i2c_pm,
1195
	},
1196
	.id_table = nmk_i2c_ids,
1197
	.probe = nmk_i2c_probe,
1198
	.remove = nmk_i2c_remove,
1199 1200 1201 1202
};

static int __init nmk_i2c_init(void)
{
1203
	return amba_driver_register(&nmk_i2c_driver);
1204 1205 1206 1207
}

static void __exit nmk_i2c_exit(void)
{
1208
	amba_driver_unregister(&nmk_i2c_driver);
1209 1210 1211 1212 1213 1214 1215 1216
}

subsys_initcall(nmk_i2c_init);
module_exit(nmk_i2c_exit);

MODULE_AUTHOR("Sachin Verma, Srinidhi KASAGAR");
MODULE_DESCRIPTION("Nomadik/Ux500 I2C driver");
MODULE_LICENSE("GPL");