dtlb.scala 13.5 KB
Newer Older
Y
Yinan Xu 已提交
1 2 3 4 5 6
package xiangshan.cache

import chisel3._
import chisel3.util._
import xiangshan._
import utils._
7 8 9 10
import chisel3.util.experimental.BoringUtils
import xiangshan.backend.decode.XSTrap
import xiangshan.mem._
import bus.simplebus._
Z
ZhangZifei 已提交
11
import xiangshan.backend.fu.HasCSRConst
Z
ZhangZifei 已提交
12
import chisel3.ExcitingUtils._
Y
Yinan Xu 已提交
13

14
trait HasTlbConst extends HasXSParameter {
Z
ZhangZifei 已提交
15 16 17
  val Level = 3

  val offLen  = 12
Z
ZhangZifei 已提交
18 19 20
  val ppnLen  = PAddrBits - offLen
  val vpnnLen = 9
  val vpnLen  = VAddrBits - offLen
Z
ZhangZifei 已提交
21 22
  val flagLen = 8
  val pteResLen = XLEN - ppnLen - 2 - flagLen
Z
ZhangZifei 已提交
23
  val asidLen = 16
Z
ZhangZifei 已提交
24 25 26 27 28

  def vaBundle = new Bundle {
    val vpn  = UInt(vpnLen.W)
    val off  = UInt(offLen.W)
  }
Z
ZhangZifei 已提交
29
  def pteBundle = new Bundle {
Z
ZhangZifei 已提交
30 31 32
    val reserved  = UInt(pteResLen.W)
    val ppn  = UInt(ppnLen.W)
    val rsw  = UInt(2.W)
Z
ZhangZifei 已提交
33
    val perm = new Bundle {
Z
ZhangZifei 已提交
34 35 36 37 38 39 40 41
      val d    = Bool()
      val a    = Bool()
      val g    = Bool()
      val u    = Bool()
      val x    = Bool()
      val w    = Bool()
      val r    = Bool()
      val v    = Bool()
Z
ZhangZifei 已提交
42 43 44 45
    }
  }
}

Z
ZhangZifei 已提交
46 47
abstract class TlbBundle extends XSBundle with HasTlbConst
abstract class TlbModule extends XSModule with HasTlbConst
Z
ZhangZifei 已提交
48 49 50 51 52 53 54 55 56 57

class PermBundle(val hasV: Boolean = true) extends TlbBundle {
  val d = Bool()
  val a = Bool()
  val g = Bool()
  val u = Bool()
  val x = Bool()
  val w = Bool()
  val r = Bool()
  if (hasV) { val v = Bool() }
Z
ZhangZifei 已提交
58 59 60 61 62

  override def toPrintable: Printable = {
    p"d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r}"// + 
    //(if(hasV) (p"v:${v}") else p"")
  }
Z
ZhangZifei 已提交
63 64
}

65 66 67 68 69 70 71 72 73 74 75 76 77
class comBundle extends TlbBundle with HasRoqIdx{
  val valid = Bool()
  val bits = new PtwReq
  def isPrior(that: comBundle): Bool = {
    (this.valid && !that.valid) || (this.valid && that.valid && (that isAfter this))
  }
}
object Compare {
  def apply[T<:Data](xs: Seq[comBundle]): comBundle = {
    ParallelOperation(xs, (a: comBundle, b: comBundle) => Mux(a isPrior b, a, b))
  }
}

78
class TlbEntry extends TlbBundle {
Z
ZhangZifei 已提交
79 80 81 82 83 84 85
  val vpn = UInt(vpnLen.W) // tag is vpn
  val ppn = UInt(ppnLen.W)
  val level = UInt(log2Up(Level).W) // 0 for 4KB, 1 for 2MB, 2 for 1GB
  // val asid = UInt(asidLen.W), asid maybe expensive to support, but useless
  // val v = Bool() // v&g is special, may need sperate storage?
  val perm = new PermBundle(hasV = false)

86
  def vpnHit(vpn: UInt):Bool = {
Z
ZhangZifei 已提交
87
    val fullMask = VecInit((Seq.fill(vpnLen)(true.B))).asUInt
88
    val maskLevel = VecInit((Level-1 to 0 by -1).map{i => // NOTE: level 2 for 4KB, 1 for 2MB, 0 for 1GB
Z
ZhangZifei 已提交
89 90 91 92 93 94 95 96 97
      VecInit(Seq.fill(vpnLen-i*vpnnLen)(true.B) ++ Seq.fill(i*vpnnLen)(false.B)).asUInt})
    val mask = maskLevel(level)
    (mask&this.vpn) === (mask&vpn)
  }

  // def asidHit(asid: UInt) = {
  //   this.asid === asid
  // }

98
  def hit(vpn: UInt/*, asid: UInt*/):Bool = {
99
    vpnHit(vpn) // && asidHit(asid)
Z
ZhangZifei 已提交
100 101
  }

102
  def genTlbEntry(pte: UInt, level: UInt, vpn: UInt/*, asid: UInt*/) = {
103
    val e = Wire(new TlbEntry)
Z
ZhangZifei 已提交
104 105 106 107 108 109 110
    e.ppn := pte.asTypeOf(pteBundle).ppn
    e.level := level
    e.vpn := vpn
    e.perm := pte.asTypeOf(pteBundle).perm
    // e.asid := asid
    e
  }
Z
ZhangZifei 已提交
111 112 113 114

  override def toPrintable: Printable = {
    p"vpn:0x${Hexadecimal(vpn)} ppn:0x${Hexadecimal(ppn)} level:${level} perm:${perm}"
  }
Z
ZhangZifei 已提交
115 116
}

117 118 119 120 121 122
object TlbCmd {
  def read  = "b00".U
  def write = "b01".U
  def exec  = "b10".U

  def apply() = UInt(2.W)
Z
ZhangZifei 已提交
123 124 125
  def isRead(a: UInt) = a===read
  def isWrite(a: UInt) = a===write
  def isExec(a: UInt) = a===exec
126 127
}

128
class TlbReq extends TlbBundle {
Y
Yinan Xu 已提交
129
  val vaddr = UInt(VAddrBits.W)
130
  val cmd = TlbCmd()
Z
ZhangZifei 已提交
131
  val roqIdx = UInt(RoqIdxWidth.W)
132 133 134 135
  val debug = new Bundle {
    val pc = UInt(XLEN.W)
    val lsroqIdx = UInt(LsroqIdxWidth.W)
  }
Z
ZhangZifei 已提交
136 137

  override def toPrintable: Printable = {
Z
ZhangZifei 已提交
138
    p"vaddr:0x${Hexadecimal(vaddr)} cmd:${cmd} pc:0x${Hexadecimal(debug.pc)} roqIdx:${roqIdx} lsroqIdx:${debug.lsroqIdx}"
Z
ZhangZifei 已提交
139
  }
Y
Yinan Xu 已提交
140 141
}

142
class TlbResp extends TlbBundle {
Y
Yinan Xu 已提交
143 144
  val paddr = UInt(PAddrBits.W)
  val miss = Bool()
Z
ZhangZifei 已提交
145 146 147 148 149 150 151
  val excp = new Bundle {
    val pf = new Bundle {
      val ld = Bool()
      val st = Bool()
      val instr = Bool()
    }
  }
Z
ZhangZifei 已提交
152 153 154
  override def toPrintable: Printable = {
    p"paddr:0x${Hexadecimal(paddr)} miss:${miss} excp.pf: ld:${excp.pf.ld} st:${excp.pf.st} instr:${excp.pf.instr}"
  }
155 156
}

157 158 159
class TlbRequestIO() extends TlbBundle {
  val req = Valid(new TlbReq)
  val resp = Flipped(Valid(new TlbResp))
160

161
  // override def cloneType: this.type = (new TlbRequestIO(Width)).asInstanceOf[this.type]
Y
Yinan Xu 已提交
162 163
}

Z
ZhangZifei 已提交
164
class TlbPtwIO extends TlbBundle {
Z
ZhangZifei 已提交
165 166
  val req = DecoupledIO(new PtwReq)
  val resp = Flipped(DecoupledIO(new PtwResp))
Y
Yinan Xu 已提交
167 168
}

169
class TlbIO(Width: Int) extends TlbBundle {
170
  val requestor = Vec(Width, Flipped(new TlbRequestIO))
171
  val ptw = new TlbPtwIO
172 173

  override def cloneType: this.type = (new TlbIO(Width)).asInstanceOf[this.type]
Y
Yinan Xu 已提交
174 175 176
}


Z
ZhangZifei 已提交
177
class TLB(Width: Int, isDtlb: Boolean) extends TlbModule with HasCSRConst{
178
  val io = IO(new TlbIO(Width))
Z
ZhangZifei 已提交
179

180 181
  val req    = io.requestor.map(_.req)
  val resp   = io.requestor.map(_.resp)
182
  val ptw    = io.ptw
183

184 185 186 187
  val sfence = WireInit(0.U.asTypeOf(new SfenceBundle))
  val csr    = WireInit(0.U.asTypeOf(new TlbCsrBundle))
  val satp   = csr.satp
  val priv   = csr.priv
Z
ZhangZifei 已提交
188 189
  val ifecth = if (isDtlb) false.B else true.B
  val mode   = if (isDtlb) priv.dmode else priv.imode
Z
ZhangZifei 已提交
190
  val vmEnable = satp.mode === 8.U // && (mode < ModeM) // FIXME: fix me when boot xv6/linux...
191 192 193
  BoringUtils.addSink(sfence, "SfenceBundle")
  BoringUtils.addSink(csr, "TLBCSRIO")

194
  val reqAddr = req.map(_.bits.vaddr.asTypeOf(vaBundle))
195 196
  val cmd     = req.map(_.bits.cmd)
  val valid   = req.map(_.valid)
Z
ZhangZifei 已提交
197

198 199 200
  def widthMapSeq[T <: Seq[Data]](f: Int => T) = (0 until Width).map(f)
  def widthMap[T <: Data](f: Int => T) = (0 until Width).map(f)

201
  val v = RegInit(0.U(TlbEntrySize.W))
202
  val pf = RegInit(0.U(TlbEntrySize.W)) // TODO: when ptw resp a pf(now only page not found), store here
203
  val entry = Reg(Vec(TlbEntrySize, new TlbEntry))
204
  val g = VecInit(entry.map(_.perm.g)).asUInt // TODO: need check if reverse is needed
Z
ZhangZifei 已提交
205

206 207 208 209 210 211 212
  val entryHitVec = widthMapSeq{i => VecInit(entry.map(_.hit(reqAddr(i).vpn/*, satp.asid*/))) }
  val hitVec  = widthMapSeq{ i => (v.asBools zip entryHitVec(i)).map{ case (a,b) => a&b } }
  val pfHitVec   = widthMapSeq{ i => (pf.asBools zip entryHitVec(i)).map{ case (a,b) => a&b } }
  val pfArray = widthMap{ i => ParallelOR(pfHitVec(i)).asBool && valid(i) && vmEnable }
  val hit     = widthMap{ i => ParallelOR(hitVec(i)).asBool && valid(i) && vmEnable && ~pfArray(i) }
  val miss    = widthMap{ i => !hit(i) && valid(i) && vmEnable && ~pfArray(i) }
  val hitppn  = widthMap{ i => ParallelMux(hitVec(i) zip entry.map(_.ppn)) }
213
  val hitPerm = widthMap{ i => ParallelMux(hitVec(i) zip entry.map(_.perm)) }
Z
ZhangZifei 已提交
214
  val multiHit = {
215
    val hitSum = widthMap{ i => PopCount(hitVec(i)) }
216 217
    val pfHitSum = widthMap{ i => PopCount(pfHitVec(i)) }
    ParallelOR(widthMap{ i => !(hitSum(i)===0.U || hitSum(i)===1.U) || !(pfHitSum(i)===0.U || pfHitSum(i)===1.U)})
Z
ZhangZifei 已提交
218 219
  }

Z
ZhangZifei 已提交
220
  // resp  // TODO: A/D has not being concerned
221
  for(i <- 0 until Width) {
222
    resp(i).valid := valid(i)
223
    resp(i).bits.paddr := Mux(vmEnable, Cat(hitppn(i), reqAddr(i).off), SignExt(req(i).bits.vaddr, PAddrBits))
Z
ZhangZifei 已提交
224 225 226
    resp(i).bits.miss := miss(i)

    val perm = hitPerm(i) // NOTE: given the excp, the out module choose one to use?
227
    val update = false.B && hit(i) && (!hitPerm(i).a || !hitPerm(i).d && TlbCmd.isWrite(cmd(i))) // update A/D through exception
Z
ZhangZifei 已提交
228
    val modeCheck = !(mode === ModeU && !perm.u || mode === ModeS && perm.u && (!priv.sum || ifecth))
229 230 231 232 233 234
    val ldPf = (pfArray(i) && TlbCmd.isRead(cmd(i)) && true.B /*!isAMO*/) || hit(i) && !(modeCheck && (perm.r || priv.mxr && perm.x)) && (TlbCmd.isRead(cmd(i)) && true.B/*!isAMO*/) // TODO: handle isAMO
    val stPf = (pfArray(i) && TlbCmd.isWrite(cmd(i)) || false.B /*isAMO*/ ) || hit(i) && !(modeCheck && perm.w) && (TlbCmd.isWrite(cmd(i)) || false.B/*TODO isAMO. */)
    val instrPf = (pfArray(i) && TlbCmd.isExec(cmd(i))) || hit(i) && !(modeCheck && perm.x) && TlbCmd.isExec(cmd(i))
    resp(i).bits.excp.pf.ld    := ldPf || update
    resp(i).bits.excp.pf.st    := stPf || update
    resp(i).bits.excp.pf.instr := instrPf || update
Z
ZhangZifei 已提交
235 236 237
  }

  // ptw
Z
ZhangZifei 已提交
238 239
  val state_idle :: state_wait :: Nil = Enum(2)
  val state = RegInit(state_idle)
Z
ZhangZifei 已提交
240

241
  ptw <> DontCare // TODO: need check it
242
  ptw.req.valid := ParallelOR(miss).asBool && state===state_idle
243
  ptw.resp.ready := state===state_wait
244

245 246 247 248
  // val ptwReqSeq = Wire(Seq.fill(Width)(new comBundle()))
  val ptwReqSeq = Seq.fill(Width)(Wire(new comBundle()))
  for (i <- 0 until Width) {
    ptwReqSeq(i).valid := valid(i) && miss(i)
Z
ZhangZifei 已提交
249
    ptwReqSeq(i).roqIdx := req(i).bits.roqIdx
250 251 252 253
    ptwReqSeq(i).bits.vpn := reqAddr(i).vpn
  }
  ptw.req.bits := Compare(ptwReqSeq).bits

Z
ZhangZifei 已提交
254
  switch (state) {
Z
ZhangZifei 已提交
255
    is (state_idle) {
256 257
      when (ParallelOR(miss).asBool) {
        state := state_wait
Z
ZhangZifei 已提交
258
      }
259
      assert(!ptw.resp.valid)
Z
ZhangZifei 已提交
260
    }
261

Z
ZhangZifei 已提交
262
    is (state_wait) {
263
      when (ptw.resp.fire()) {
Z
ZhangZifei 已提交
264
        state := state_idle
Z
ZhangZifei 已提交
265
      }
A
Allen 已提交
266
    }
Z
ZhangZifei 已提交
267 268
  }

269
  // reset pf when pf hit
Z
ZhangZifei 已提交
270 271
  val pfHitReset = ParallelOR(widthMap{i => Mux(valid(i), VecInit(pfHitVec(i)).asUInt, 0.U) })
  val pfHitRefill = ParallelOR(pfHitReset.asBools)
272

Z
ZhangZifei 已提交
273
  // refill
274
  val refill = ptw.resp.fire()
275
  val randIdx = LFSR64()(log2Up(TlbEntrySize)-1,0)
Z
ZhangZifei 已提交
276
  val priorIdx = PriorityEncoder(~(v|pf))
Z
ZhangZifei 已提交
277 278 279
  val tlbfull = ParallelAND((v|pf).asBools)
  val refillIdx = Mux(tlbfull, randIdx, priorIdx)
  val re2OH = UIntToOH(refillIdx)
Z
ZhangZifei 已提交
280
  when (refill) {
Z
ZhangZifei 已提交
281
    v := Mux(ptw.resp.bits.pf, v & ~re2OH, v | re2OH)
Z
ZhangZifei 已提交
282
    entry(refillIdx) := ptw.resp.bits.entry
283
    XSDebug(p"Refill: idx:${refillIdx} entry:${ptw.resp.bits.entry}\n")
Z
ZhangZifei 已提交
284
  }
Z
ZhangZifei 已提交
285

286
  // pf update
Z
ZhangZifei 已提交
287 288 289 290 291 292 293 294 295 296 297 298 299
  when (refill) {
    when (pfHitRefill) {
      pf := Mux(ptw.resp.bits.pf, pf | re2OH, pf & ~re2OH) & ~pfHitReset
    } .otherwise {
      pf := Mux(ptw.resp.bits.pf, pf | re2OH, pf & ~re2OH)
    }
  } .otherwise {
    when (pfHitRefill) {
      pf := pf & ~pfHitReset
    }
  }
  when (PopCount(pf) > 10.U) { // when too much pf, just clear
    pf := Mux(refill && ptw.resp.bits.pf, re2OH, 0.U)
300 301 302 303 304 305
  }

  // sfence (flush)
  when (sfence.valid) {
    when (sfence.bits.rs1) { // virtual address *.rs1 <- (rs1===0.U)
      when (sfence.bits.rs2) { // asid, but i do not want to support asid, *.rs2 <- (rs2===0.U)
306 307
        // all addr and all asid
        v := 0.U
308
        pf := 0.U
309 310 311 312
      }.otherwise {
        // all addr but specific asid
        v := v & g // TODO: need check if reverse is needed
        pf := pf & g
313
      }
314 315 316 317 318 319 320 321 322
    }.otherwise {
      when (sfence.bits.rs2) {
        // specific addr but all asid
        v := v & ~VecInit(entry.map(_.hit(sfence.bits.addr.asTypeOf(vaBundle).vpn))).asUInt
        pf := pf & ~VecInit(entry.map(_.hit(sfence.bits.addr.asTypeOf(vaBundle).vpn))).asUInt
      }.otherwise {
        // specific addr and specific asid
        v := v & ~VecInit(entry.map(e => e.hit(sfence.bits.addr.asTypeOf(vaBundle).vpn) && (/*e.asid === sfence.bits.asid && */!e.perm.g))).asUInt
        pf := pf & ~VecInit(entry.map(e => e.hit(sfence.bits.addr.asTypeOf(vaBundle).vpn) && (/*e.asid === sfence.bits.asid && */!e.perm.g))).asUInt
323 324 325 326
      }
    }
  }

Z
ZhangZifei 已提交
327 328 329 330 331 332 333 334 335 336 337
  if (!env.FPGAPlatform) {
    ExcitingUtils.addSource(valid(0)/* && vmEnable*/, "perfCntDtlbReqCnt0", Perf)
    ExcitingUtils.addSource(valid(1)/* && vmEnable*/, "perfCntDtlbReqCnt1", Perf)
    ExcitingUtils.addSource(valid(2)/* && vmEnable*/, "perfCntDtlbReqCnt2", Perf)
    ExcitingUtils.addSource(valid(3)/* && vmEnable*/, "perfCntDtlbReqCnt3", Perf)
    ExcitingUtils.addSource(valid(0)/* && vmEnable*/ && miss(0), "perfCntDtlbMissCnt0", Perf)
    ExcitingUtils.addSource(valid(1)/* && vmEnable*/ && miss(1), "perfCntDtlbMissCnt1", Perf)
    ExcitingUtils.addSource(valid(2)/* && vmEnable*/ && miss(2), "perfCntDtlbMissCnt2", Perf)
    ExcitingUtils.addSource(valid(3)/* && vmEnable*/ && miss(3), "perfCntDtlbMissCnt3", Perf)
  }

338
  // Log
Z
ZhangZifei 已提交
339 340
  for(i <- 0 until Width) {
    XSDebug(req(i).valid, p"req(${i.U}): ${req(i).bits}\n")
341
    XSDebug(resp(i).valid, p"resp(${i.U}): ${resp(i).bits}\n")
Z
ZhangZifei 已提交
342 343 344
  }

  XSDebug(sfence.valid, p"Sfence: ${sfence}\n")
345
  XSDebug(ParallelOR(valid)|| ptw.resp.valid, p"CSR: ${csr}\n")
346
  XSDebug(ParallelOR(valid) || ptw.resp.valid, p"vmEnable:${vmEnable} hit:${Binary(VecInit(hit).asUInt)} miss:${Binary(VecInit(miss).asUInt)} v:${Hexadecimal(v)} pf:${Hexadecimal(pf)} state:${state}\n")
Z
ZhangZifei 已提交
347
  XSDebug(ptw.req.fire(), p"PTW req:${ptw.req.bits}\n")
348 349 350 351 352 353 354 355 356 357 358 359 360
  XSDebug(ptw.resp.valid, p"PTW resp:${ptw.resp.bits} (v:${ptw.resp.valid}r:${ptw.resp.ready}) \n")

  // assert check, can be remove when tlb can work
  for(i <- 0 until Width) {
    assert((hit(i)&pfArray(i))===false.B, "hit(%d):%d pfArray(%d):%d v:0x%x pf:0x%x", i.U, hit(i), i.U, pfArray(i), v, pf)
  }
  for(i <- 0 until Width) {
    XSDebug(multiHit, p"vpn:0x${Hexadecimal(reqAddr(i).vpn)} hitVec:0x${Hexadecimal(VecInit(hitVec(i)).asUInt)} pfHitVec:0x${Hexadecimal(VecInit(pfHitVec(i)).asUInt)}\n")
  }
  for(i <- 0 until TlbEntrySize) {
    XSDebug(multiHit, p"entry(${i.U}): v:${v(i)} ${entry(i)}\n")
  }
  assert(!multiHit) // add multiHit here, later it should be removed (maybe), turn to miss and flush
361 362

  for (i <- 0 until Width) {
Z
ZhangZifei 已提交
363 364
    XSDebug(resp(i).valid && hit(i) && !(req(i).bits.vaddr===resp(i).bits.paddr), p"vaddr:0x${Hexadecimal(req(i).bits.vaddr)} paddr:0x${Hexadecimal(resp(i).bits.paddr)} hitVec:0x${Hexadecimal(VecInit(hitVec(i)).asUInt)}}\n")
    when (resp(i).valid && hit(i) && !(req(i).bits.vaddr===resp(i).bits.paddr)) {
365 366 367
      for (j <- 0 until TlbEntrySize) {
        XSDebug(true.B, p"TLBEntry(${j.U}): v:${v(j)} ${entry(j)}\n")
      }
368
    } // FIXME: remove me when tlb may be ok
Z
ZhangZifei 已提交
369
    when(resp(i).valid && hit(i)) {
370 371
      assert(req(i).bits.vaddr===resp(i).bits.paddr, "vaddr:0x%x paddr:0x%x hitVec:%x ", req(i).bits.vaddr, resp(i).bits.paddr, VecInit(hitVec(i)).asUInt)
    } // FIXME: remove me when tlb may be ok
372
  }
373 374
  
  assert((v&pf)===0.U, "v and pf can't be true at same time: v:0x%x pf:0x%x", v, pf)
Y
Yinan Xu 已提交
375
}