dtlb.scala 13.4 KB
Newer Older
Y
Yinan Xu 已提交
1 2 3 4 5 6
package xiangshan.cache

import chisel3._
import chisel3.util._
import xiangshan._
import utils._
7 8 9 10
import chisel3.util.experimental.BoringUtils
import xiangshan.backend.decode.XSTrap
import xiangshan.mem._
import bus.simplebus._
Z
ZhangZifei 已提交
11
import xiangshan.backend.fu.HasCSRConst
Z
ZhangZifei 已提交
12
import chisel3.ExcitingUtils._
Y
Yinan Xu 已提交
13

14
trait HasTlbConst extends HasXSParameter {
Z
ZhangZifei 已提交
15 16 17
  val Level = 3

  val offLen  = 12
Z
ZhangZifei 已提交
18 19 20
  val ppnLen  = PAddrBits - offLen
  val vpnnLen = 9
  val vpnLen  = VAddrBits - offLen
Z
ZhangZifei 已提交
21 22
  val flagLen = 8
  val pteResLen = XLEN - ppnLen - 2 - flagLen
Z
ZhangZifei 已提交
23
  val asidLen = 16
Z
ZhangZifei 已提交
24 25 26 27 28

  def vaBundle = new Bundle {
    val vpn  = UInt(vpnLen.W)
    val off  = UInt(offLen.W)
  }
Z
ZhangZifei 已提交
29
  def pteBundle = new Bundle {
Z
ZhangZifei 已提交
30 31 32
    val reserved  = UInt(pteResLen.W)
    val ppn  = UInt(ppnLen.W)
    val rsw  = UInt(2.W)
Z
ZhangZifei 已提交
33
    val perm = new Bundle {
Z
ZhangZifei 已提交
34 35 36 37 38 39 40 41
      val d    = Bool()
      val a    = Bool()
      val g    = Bool()
      val u    = Bool()
      val x    = Bool()
      val w    = Bool()
      val r    = Bool()
      val v    = Bool()
Z
ZhangZifei 已提交
42 43 44 45
    }
  }
}

Z
ZhangZifei 已提交
46 47
abstract class TlbBundle extends XSBundle with HasTlbConst
abstract class TlbModule extends XSModule with HasTlbConst
Z
ZhangZifei 已提交
48 49 50 51 52 53 54 55 56 57

class PermBundle(val hasV: Boolean = true) extends TlbBundle {
  val d = Bool()
  val a = Bool()
  val g = Bool()
  val u = Bool()
  val x = Bool()
  val w = Bool()
  val r = Bool()
  if (hasV) { val v = Bool() }
Z
ZhangZifei 已提交
58 59 60 61 62

  override def toPrintable: Printable = {
    p"d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r}"// + 
    //(if(hasV) (p"v:${v}") else p"")
  }
Z
ZhangZifei 已提交
63 64
}

65
class TlbEntry extends TlbBundle {
Z
ZhangZifei 已提交
66 67 68 69 70 71 72
  val vpn = UInt(vpnLen.W) // tag is vpn
  val ppn = UInt(ppnLen.W)
  val level = UInt(log2Up(Level).W) // 0 for 4KB, 1 for 2MB, 2 for 1GB
  // val asid = UInt(asidLen.W), asid maybe expensive to support, but useless
  // val v = Bool() // v&g is special, may need sperate storage?
  val perm = new PermBundle(hasV = false)

73
  def vpnHit(vpn: UInt):Bool = {
Z
ZhangZifei 已提交
74
    val fullMask = VecInit((Seq.fill(vpnLen)(true.B))).asUInt
75
    val maskLevel = VecInit((Level-1 to 0 by -1).map{i => // NOTE: level 2 for 4KB, 1 for 2MB, 0 for 1GB
Z
ZhangZifei 已提交
76 77 78 79 80 81 82 83 84
      VecInit(Seq.fill(vpnLen-i*vpnnLen)(true.B) ++ Seq.fill(i*vpnnLen)(false.B)).asUInt})
    val mask = maskLevel(level)
    (mask&this.vpn) === (mask&vpn)
  }

  // def asidHit(asid: UInt) = {
  //   this.asid === asid
  // }

85
  def hit(vpn: UInt/*, asid: UInt*/):Bool = {
Z
ZhangZifei 已提交
86 87 88
    vpnHit(vpn)// && asidHit(asid)
  }

89
  def genTlbEntry(pte: UInt, level: UInt, vpn: UInt/*, asid: UInt*/) = {
90
    val e = Wire(new TlbEntry)
Z
ZhangZifei 已提交
91 92 93 94 95 96 97
    e.ppn := pte.asTypeOf(pteBundle).ppn
    e.level := level
    e.vpn := vpn
    e.perm := pte.asTypeOf(pteBundle).perm
    // e.asid := asid
    e
  }
Z
ZhangZifei 已提交
98 99 100 101

  override def toPrintable: Printable = {
    p"vpn:0x${Hexadecimal(vpn)} ppn:0x${Hexadecimal(ppn)} level:${level} perm:${perm}"
  }
Z
ZhangZifei 已提交
102 103
}

104 105 106 107 108 109
object TlbCmd {
  def read  = "b00".U
  def write = "b01".U
  def exec  = "b10".U

  def apply() = UInt(2.W)
Z
ZhangZifei 已提交
110 111 112
  def isRead(a: UInt) = a===read
  def isWrite(a: UInt) = a===write
  def isExec(a: UInt) = a===exec
113 114
}

115
class TlbReq extends TlbBundle {
Y
Yinan Xu 已提交
116
  val vaddr = UInt(VAddrBits.W)
117
  val cmd = TlbCmd()
Z
ZhangZifei 已提交
118
  val roqIdx = UInt(RoqIdxWidth.W)
119 120 121 122
  val debug = new Bundle {
    val pc = UInt(XLEN.W)
    val lsroqIdx = UInt(LsroqIdxWidth.W)
  }
Z
ZhangZifei 已提交
123 124

  override def toPrintable: Printable = {
Z
ZhangZifei 已提交
125
    p"vaddr:0x${Hexadecimal(vaddr)} cmd:${cmd} pc:0x${Hexadecimal(debug.pc)} roqIdx:${roqIdx} lsroqIdx:${debug.lsroqIdx}"
Z
ZhangZifei 已提交
126
  }
Y
Yinan Xu 已提交
127 128
}

129
class TlbResp extends TlbBundle {
Y
Yinan Xu 已提交
130 131
  val paddr = UInt(PAddrBits.W)
  val miss = Bool()
Z
ZhangZifei 已提交
132 133 134 135 136 137 138
  val excp = new Bundle {
    val pf = new Bundle {
      val ld = Bool()
      val st = Bool()
      val instr = Bool()
    }
  }
Z
ZhangZifei 已提交
139 140 141
  override def toPrintable: Printable = {
    p"paddr:0x${Hexadecimal(paddr)} miss:${miss} excp.pf: ld:${excp.pf.ld} st:${excp.pf.st} instr:${excp.pf.instr}"
  }
142 143
}

144 145 146
class TlbRequestIO() extends TlbBundle {
  val req = Valid(new TlbReq)
  val resp = Flipped(Valid(new TlbResp))
147

148
  // override def cloneType: this.type = (new TlbRequestIO(Width)).asInstanceOf[this.type]
Y
Yinan Xu 已提交
149 150
}

Z
ZhangZifei 已提交
151
class TlbPtwIO extends TlbBundle {
Z
ZhangZifei 已提交
152 153
  val req = DecoupledIO(new PtwReq)
  val resp = Flipped(DecoupledIO(new PtwResp))
Y
Yinan Xu 已提交
154 155
}

156
class TlbIO(Width: Int) extends TlbBundle {
157
  val requestor = Vec(Width, Flipped(new TlbRequestIO))
158
  val ptw = new TlbPtwIO
159 160

  override def cloneType: this.type = (new TlbIO(Width)).asInstanceOf[this.type]
Y
Yinan Xu 已提交
161 162 163
}


Z
ZhangZifei 已提交
164
class TLB(Width: Int, isDtlb: Boolean) extends TlbModule with HasCSRConst{
165
  val io = IO(new TlbIO(Width))
Z
ZhangZifei 已提交
166

167 168
  val req    = io.requestor.map(_.req)
  val resp   = io.requestor.map(_.resp)
169
  val ptw    = io.ptw
170

171 172 173 174
  val sfence = WireInit(0.U.asTypeOf(new SfenceBundle))
  val csr    = WireInit(0.U.asTypeOf(new TlbCsrBundle))
  val satp   = csr.satp
  val priv   = csr.priv
Z
ZhangZifei 已提交
175 176
  val ifecth = if (isDtlb) false.B else true.B
  val mode   = if (isDtlb) priv.dmode else priv.imode
Z
ZhangZifei 已提交
177
  val vmEnable = satp.mode === 8.U // && (mode < ModeM) // FIXME: fix me when boot xv6/linux...
178 179 180
  BoringUtils.addSink(sfence, "SfenceBundle")
  BoringUtils.addSink(csr, "TLBCSRIO")

181
  val reqAddr = req.map(_.bits.vaddr.asTypeOf(vaBundle))
182 183
  val cmd     = req.map(_.bits.cmd)
  val valid   = req.map(_.valid)
Z
ZhangZifei 已提交
184

185 186 187
  def widthMapSeq[T <: Seq[Data]](f: Int => T) = (0 until Width).map(f)
  def widthMap[T <: Data](f: Int => T) = (0 until Width).map(f)

188
  val v = RegInit(0.U(TlbEntrySize.W))
189
  val pf = RegInit(0.U(TlbEntrySize.W)) // TODO: when ptw resp a pf(now only page not found), store here
190
  val entry = Reg(Vec(TlbEntrySize, new TlbEntry))
191
  val g = Cat(entry.map(_.perm.g)) // TODO: need check if reverse is needed
Z
ZhangZifei 已提交
192

193 194 195 196 197 198 199
  val entryHitVec = widthMapSeq{i => VecInit(entry.map(_.hit(reqAddr(i).vpn/*, satp.asid*/))) }
  val hitVec  = widthMapSeq{ i => (v.asBools zip entryHitVec(i)).map{ case (a,b) => a&b } }
  val pfHitVec   = widthMapSeq{ i => (pf.asBools zip entryHitVec(i)).map{ case (a,b) => a&b } }
  val pfArray = widthMap{ i => ParallelOR(pfHitVec(i)).asBool && valid(i) && vmEnable }
  val hit     = widthMap{ i => ParallelOR(hitVec(i)).asBool && valid(i) && vmEnable && ~pfArray(i) }
  val miss    = widthMap{ i => !hit(i) && valid(i) && vmEnable && ~pfArray(i) }
  val hitppn  = widthMap{ i => ParallelMux(hitVec(i) zip entry.map(_.ppn)) }
200
  val hitPerm = widthMap{ i => ParallelMux(hitVec(i) zip entry.map(_.perm)) }
Z
ZhangZifei 已提交
201
  val multiHit = {
202
    val hitSum = widthMap{ i => PopCount(hitVec(i)) }
203 204
    val pfHitSum = widthMap{ i => PopCount(pfHitVec(i)) }
    ParallelOR(widthMap{ i => !(hitSum(i)===0.U || hitSum(i)===1.U) || !(pfHitSum(i)===0.U || pfHitSum(i)===1.U)})
Z
ZhangZifei 已提交
205 206
  }

Z
ZhangZifei 已提交
207
  // resp  // TODO: A/D has not being concerned
208
  for(i <- 0 until Width) {
209
    resp(i).valid := valid(i)
210
    resp(i).bits.paddr := Mux(vmEnable, Cat(hitppn(i), reqAddr(i).off), SignExt(req(i).bits.vaddr, PAddrBits))
Z
ZhangZifei 已提交
211 212 213
    resp(i).bits.miss := miss(i)

    val perm = hitPerm(i) // NOTE: given the excp, the out module choose one to use?
214
    val update = false.B && hit(i) && (!hitPerm(i).a || !hitPerm(i).d && TlbCmd.isWrite(cmd(i))) // update A/D through exception
Z
ZhangZifei 已提交
215
    val modeCheck = !(mode === ModeU && !perm.u || mode === ModeS && perm.u && (!priv.sum || ifecth))
216 217 218 219 220 221
    val ldPf = (pfArray(i) && TlbCmd.isRead(cmd(i)) && true.B /*!isAMO*/) || hit(i) && !(modeCheck && (perm.r || priv.mxr && perm.x)) && (TlbCmd.isRead(cmd(i)) && true.B/*!isAMO*/) // TODO: handle isAMO
    val stPf = (pfArray(i) && TlbCmd.isWrite(cmd(i)) || false.B /*isAMO*/ ) || hit(i) && !(modeCheck && perm.w) && (TlbCmd.isWrite(cmd(i)) || false.B/*TODO isAMO. */)
    val instrPf = (pfArray(i) && TlbCmd.isExec(cmd(i))) || hit(i) && !(modeCheck && perm.x) && TlbCmd.isExec(cmd(i))
    resp(i).bits.excp.pf.ld    := ldPf || update
    resp(i).bits.excp.pf.st    := stPf || update
    resp(i).bits.excp.pf.instr := instrPf || update
Z
ZhangZifei 已提交
222 223 224
  }

  // ptw
Z
ZhangZifei 已提交
225 226
  val state_idle :: state_wait :: Nil = Enum(2)
  val state = RegInit(state_idle)
Z
ZhangZifei 已提交
227

228
  ptw <> DontCare // TODO: need check it
229
  ptw.req.valid := ParallelOR(miss).asBool && state===state_idle
230
  ptw.resp.ready := state===state_wait
231 232 233 234 235 236 237 238 239 240 241
  class comBundle extends TlbBundle with HasRoqIdx{
    val valid = Bool()
    val bits = new PtwReq

    def isPrior(that: comBundle): Bool = {
      (this.valid && !that.valid) || (this.valid && that.valid && (that isAfter this))
    }
  }
  object Compare {
    def apply[T<:Data](xs: Seq[comBundle]): comBundle = {
      ParallelOperation(xs, (a: comBundle, b: comBundle) => Mux(a isPrior b, a, b))
242 243 244
    }
  }

245 246 247 248
  // val ptwReqSeq = Wire(Seq.fill(Width)(new comBundle()))
  val ptwReqSeq = Seq.fill(Width)(Wire(new comBundle()))
  for (i <- 0 until Width) {
    ptwReqSeq(i).valid := valid(i) && miss(i)
Z
ZhangZifei 已提交
249
    ptwReqSeq(i).roqIdx := req(i).bits.roqIdx
250 251 252 253
    ptwReqSeq(i).bits.vpn := reqAddr(i).vpn
  }
  ptw.req.bits := Compare(ptwReqSeq).bits

Z
ZhangZifei 已提交
254
  switch (state) {
Z
ZhangZifei 已提交
255
    is (state_idle) {
256 257
      when (ParallelOR(miss).asBool) {
        state := state_wait
Z
ZhangZifei 已提交
258
      }
259
      assert(!ptw.resp.valid)
Z
ZhangZifei 已提交
260
    }
261

Z
ZhangZifei 已提交
262
    is (state_wait) {
263
      when (ptw.resp.fire()) {
Z
ZhangZifei 已提交
264
        state := state_idle
Z
ZhangZifei 已提交
265
      }
A
Allen 已提交
266
    }
Z
ZhangZifei 已提交
267 268
  }

269 270 271 272 273 274 275
  // reset pf when pf hit
  val pfHitReset = WireInit(0.U(TlbEntrySize.W))
  when (ParallelOR(pfArray).asBool /* or ParallelOR(valid)*/) {
    val pfHitAndValid = widthMap{i => Mux(valid(i), VecInit(pfHitVec(i)).asUInt, 0.U) }
    pfHitReset := ParallelOR(pfHitAndValid)
  }

Z
ZhangZifei 已提交
276
  // refill
277
  val refill = ptw.resp.fire()
278 279
  val randIdx = LFSR64()(log2Up(TlbEntrySize)-1,0)
  val priorIdx = PriorityEncoder(~v)
280
  val refillIdx = Mux(ParallelAND((v|pf).asBools), randIdx, priorIdx)
281
  val pfRefill = WireInit(0.U(TlbEntrySize.W))
Z
ZhangZifei 已提交
282
  when (refill) {
283
    v := Mux(ptw.resp.bits.pf, v & ~UIntToOH(refillIdx), v | UIntToOH(refillIdx))
284
    pfRefill := Mux(ptw.resp.bits.pf, UIntToOH(refillIdx), 0.U)
Z
ZhangZifei 已提交
285
    entry(refillIdx) := ptw.resp.bits.entry
286
    XSDebug(p"Refill: idx:${refillIdx} entry:${ptw.resp.bits.entry}\n")
Z
ZhangZifei 已提交
287
  }
Z
ZhangZifei 已提交
288

289 290 291 292 293 294 295 296 297
  // pf update
  when (refill || ParallelOR(pfArray).asBool /* or ParallelOR(valid)*/) {
    pf := (pf & ~pfHitReset) | pfRefill
  }

  // sfence (flush)
  when (sfence.valid) {
    when (sfence.bits.rs1) { // virtual address *.rs1 <- (rs1===0.U)
      when (sfence.bits.rs2) { // asid, but i do not want to support asid, *.rs2 <- (rs2===0.U)
298 299
        // all addr and all asid
        v := 0.U
300
        pf := 0.U
301 302 303 304
      }.otherwise {
        // all addr but specific asid
        v := v & g // TODO: need check if reverse is needed
        pf := pf & g
305
      }
306 307 308 309 310 311 312 313 314
    }.otherwise {
      when (sfence.bits.rs2) {
        // specific addr but all asid
        v := v & ~VecInit(entry.map(_.hit(sfence.bits.addr.asTypeOf(vaBundle).vpn))).asUInt
        pf := pf & ~VecInit(entry.map(_.hit(sfence.bits.addr.asTypeOf(vaBundle).vpn))).asUInt
      }.otherwise {
        // specific addr and specific asid
        v := v & ~VecInit(entry.map(e => e.hit(sfence.bits.addr.asTypeOf(vaBundle).vpn) && (/*e.asid === sfence.bits.asid && */!e.perm.g))).asUInt
        pf := pf & ~VecInit(entry.map(e => e.hit(sfence.bits.addr.asTypeOf(vaBundle).vpn) && (/*e.asid === sfence.bits.asid && */!e.perm.g))).asUInt
315 316 317 318
      }
    }
  }

Z
ZhangZifei 已提交
319 320 321 322 323 324 325 326 327 328 329
  if (!env.FPGAPlatform) {
    ExcitingUtils.addSource(valid(0)/* && vmEnable*/, "perfCntDtlbReqCnt0", Perf)
    ExcitingUtils.addSource(valid(1)/* && vmEnable*/, "perfCntDtlbReqCnt1", Perf)
    ExcitingUtils.addSource(valid(2)/* && vmEnable*/, "perfCntDtlbReqCnt2", Perf)
    ExcitingUtils.addSource(valid(3)/* && vmEnable*/, "perfCntDtlbReqCnt3", Perf)
    ExcitingUtils.addSource(valid(0)/* && vmEnable*/ && miss(0), "perfCntDtlbMissCnt0", Perf)
    ExcitingUtils.addSource(valid(1)/* && vmEnable*/ && miss(1), "perfCntDtlbMissCnt1", Perf)
    ExcitingUtils.addSource(valid(2)/* && vmEnable*/ && miss(2), "perfCntDtlbMissCnt2", Perf)
    ExcitingUtils.addSource(valid(3)/* && vmEnable*/ && miss(3), "perfCntDtlbMissCnt3", Perf)
  }

330
  // Log
Z
ZhangZifei 已提交
331 332
  for(i <- 0 until Width) {
    XSDebug(req(i).valid, p"req(${i.U}): ${req(i).bits}\n")
333
    XSDebug(resp(i).valid, p"resp(${i.U}): ${resp(i).bits}\n")
Z
ZhangZifei 已提交
334 335 336
  }

  XSDebug(sfence.valid, p"Sfence: ${sfence}\n")
337
  XSDebug(ParallelOR(valid)|| ptw.resp.valid, p"CSR: ${csr}\n")
338
  XSDebug(ParallelOR(valid) || ptw.resp.valid, p"vmEnable:${vmEnable} hit:${Binary(VecInit(hit).asUInt)} miss:${Binary(VecInit(miss).asUInt)} v:${Hexadecimal(v)} pf:${Hexadecimal(pf)} state:${state}\n")
Z
ZhangZifei 已提交
339
  XSDebug(ptw.req.fire(), p"PTW req:${ptw.req.bits}\n")
340 341 342 343 344 345 346 347 348 349 350 351 352
  XSDebug(ptw.resp.valid, p"PTW resp:${ptw.resp.bits} (v:${ptw.resp.valid}r:${ptw.resp.ready}) \n")

  // assert check, can be remove when tlb can work
  for(i <- 0 until Width) {
    assert((hit(i)&pfArray(i))===false.B, "hit(%d):%d pfArray(%d):%d v:0x%x pf:0x%x", i.U, hit(i), i.U, pfArray(i), v, pf)
  }
  for(i <- 0 until Width) {
    XSDebug(multiHit, p"vpn:0x${Hexadecimal(reqAddr(i).vpn)} hitVec:0x${Hexadecimal(VecInit(hitVec(i)).asUInt)} pfHitVec:0x${Hexadecimal(VecInit(pfHitVec(i)).asUInt)}\n")
  }
  for(i <- 0 until TlbEntrySize) {
    XSDebug(multiHit, p"entry(${i.U}): v:${v(i)} ${entry(i)}\n")
  }
  assert(!multiHit) // add multiHit here, later it should be removed (maybe), turn to miss and flush
353 354

  for (i <- 0 until Width) {
Z
ZhangZifei 已提交
355 356
    XSDebug(resp(i).valid && hit(i) && !(req(i).bits.vaddr===resp(i).bits.paddr), p"vaddr:0x${Hexadecimal(req(i).bits.vaddr)} paddr:0x${Hexadecimal(resp(i).bits.paddr)} hitVec:0x${Hexadecimal(VecInit(hitVec(i)).asUInt)}}\n")
    when (resp(i).valid && hit(i) && !(req(i).bits.vaddr===resp(i).bits.paddr)) {
357 358 359
      for (j <- 0 until TlbEntrySize) {
        XSDebug(true.B, p"TLBEntry(${j.U}): v:${v(j)} ${entry(j)}\n")
      }
360
    } // FIXME: remove me when tlb may be ok
Z
ZhangZifei 已提交
361
    when(resp(i).valid && hit(i)) {
362 363
      assert(req(i).bits.vaddr===resp(i).bits.paddr, "vaddr:0x%x paddr:0x%x hitVec:%x ", req(i).bits.vaddr, resp(i).bits.paddr, VecInit(hitVec(i)).asUInt)
    } // FIXME: remove me when tlb may be ok
364
  }
365 366
  
  assert((v&pf)===0.U, "v and pf can't be true at same time: v:0x%x pf:0x%x", v, pf)
Y
Yinan Xu 已提交
367
}