emu.cpp 14.4 KB
Newer Older
L
LinJiawei 已提交
1
#include "emu.h"
2
#include "sdcard.h"
L
LinJiawei 已提交
3
#include "difftest.h"
4
#include <getopt.h>
5
#include "ram.h"
Z
zoujr 已提交
6
#include "zlib.h"
L
LinJiawei 已提交
7 8 9 10 11 12

void* get_ram_start();
long get_ram_size();
uint64_t get_nemu_this_pc();
void set_nemu_this_pc(uint64_t pc);

13 14 15 16 17 18

static inline void print_help(const char *file) {
  printf("Usage: %s [OPTION...]\n", file);
  printf("\n");
  printf("  -s, --seed=NUM        use this seed\n");
  printf("  -C, --max-cycles=NUM  execute at most NUM cycles\n");
Y
YikeZhou 已提交
19
  printf("  -I, --max-instr=NUM   execute at most NUM instructions\n");
20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36
  printf("  -i, --image=FILE      run with this image file\n");
  printf("  -b, --log-begin=NUM   display log from NUM th cycle\n");
  printf("  -e, --log-end=NUM     stop display log at NUM th cycle\n");
  printf("      --load-snapshot=PATH   load snapshot from PATH\n");
  printf("      --dump-wave       dump waveform when log is enabled\n");
  printf("  -h, --help            print program help info\n");
  printf("\n");
}

inline EmuArgs parse_args(int argc, const char *argv[]) {
  EmuArgs args;
  int long_index = 0;
  const struct option long_options[] = {
    { "load-snapshot",  1, NULL,  0  },
    { "dump-wave",      0, NULL,  0  },
    { "seed",           1, NULL, 's' },
    { "max-cycles",     1, NULL, 'C' },
Y
YikeZhou 已提交
37
    { "max-instr",      1, NULL, 'I' },
38 39 40 41 42 43 44 45 46
    { "image",          1, NULL, 'i' },
    { "log-begin",      1, NULL, 'b' },
    { "log-end",        1, NULL, 'e' },
    { "help",           0, NULL, 'h' },
    { 0,                0, NULL,  0  }
  };

  int o;
  while ( (o = getopt_long(argc, const_cast<char *const*>(argv),
Y
YikeZhou 已提交
47
          "-s:C:I:hi:m:b:e:", long_options, &long_index)) != -1) {
48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64
    switch (o) {
      case 0:
        switch (long_index) {
          case 0: args.snapshot_path = optarg; continue;
          case 1: args.enable_waveform = true; continue;
        }
        // fall through
      default:
        print_help(argv[0]);
        exit(0);
      case 's':
        if(std::string(optarg) != "NO_SEED") {
          args.seed = atoll(optarg);
          printf("Using seed = %d\n", args.seed);
        }
        break;
      case 'C': args.max_cycles = atoll(optarg);  break;
Y
YikeZhou 已提交
65
      case 'I': args.max_instr = atoll(optarg);  break;
66 67 68 69 70 71 72 73 74 75 76 77
      case 'i': args.image = optarg; break;
      case 'b': args.log_begin = atoll(optarg);  break;
      case 'e': args.log_end = atoll(optarg); break;
    }
  }

  Verilated::commandArgs(argc, argv); // Prepare extra args for TLMonitor
  return args;
}


Emulator::Emulator(int argc, const char *argv[]):
78
  dut_ptr(new VXSSimSoC),
L
LinJiawei 已提交
79 80
  cycles(0), hascommit(0), trapCode(STATE_RUNNING)
{
81
  args = parse_args(argc, argv);
82
  printf("Emu compiled at %s, %s UTC\n", __DATE__, __TIME__);
83

L
LinJiawei 已提交
84 85 86 87 88
  // srand
  srand(args.seed);
  srand48(args.seed);
  Verilated::randReset(2);

Y
Yinan Xu 已提交
89 90 91
  // init core
  reset_ncycles(10);

L
LinJiawei 已提交
92 93 94 95 96 97 98 99 100
  // init ram
  init_ram(args.image);

  // init device
  extern void init_device(void);
  init_device();

  init_difftest();

101
#if VM_TRACE == 1
L
LinJiawei 已提交
102 103 104 105 106 107 108 109
  enable_waveform = args.enable_waveform;
  if (enable_waveform) {
    Verilated::traceEverOn(true);	// Verilator must compute traced signals
    tfp = new VerilatedVcdC;
    dut_ptr->trace(tfp, 99);	// Trace 99 levels of hierarchy
    time_t now = time(NULL);
    tfp->open(waveform_filename(now));	// Open the dump file
  }
110 111 112
#else
  enable_waveform = false;
#endif
L
LinJiawei 已提交
113

114
#ifdef VM_SAVABLE
L
LinJiawei 已提交
115 116 117
  if (args.snapshot_path != NULL) {
    printf("loading from snapshot `%s`...\n", args.snapshot_path);
    snapshot_load(args.snapshot_path);
118
    printf("model cycleCnt = %" PRIu64 "\n", dut_ptr->io_trap_cycleCnt);
L
LinJiawei 已提交
119 120
    hascommit = 1;
  }
121
#endif
L
LinJiawei 已提交
122 123 124 125 126 127 128

  // set log time range and log level
  dut_ptr->io_logCtrl_log_begin = args.log_begin;
  dut_ptr->io_logCtrl_log_end = args.log_end;
}

Emulator::~Emulator() {
129 130
  ram_finish();

131
#ifdef VM_SAVABLE
L
LinJiawei 已提交
132 133 134
  snapshot_slot[0].save();
  snapshot_slot[1].save();
  printf("Please remove unused snapshots manually\n");
135
#endif
L
LinJiawei 已提交
136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154
}

inline void Emulator::read_emu_regs(uint64_t *r) {
#define macro(x) r[x] = dut_ptr->io_difftest_r_##x
  macro(0); macro(1); macro(2); macro(3); macro(4); macro(5); macro(6); macro(7);
  macro(8); macro(9); macro(10); macro(11); macro(12); macro(13); macro(14); macro(15);
  macro(16); macro(17); macro(18); macro(19); macro(20); macro(21); macro(22); macro(23);
  macro(24); macro(25); macro(26); macro(27); macro(28); macro(29); macro(30); macro(31);
  macro(32); macro(33); macro(34); macro(35); macro(36); macro(37); macro(38); macro(39);
  macro(40); macro(41); macro(42); macro(43); macro(44); macro(45); macro(46); macro(47);
  macro(48); macro(49); macro(50); macro(51); macro(52); macro(53); macro(54); macro(55);
  macro(56); macro(57); macro(58); macro(59); macro(60); macro(61); macro(62); macro(63);
  r[DIFFTEST_THIS_PC] = dut_ptr->io_difftest_thisPC;
  r[DIFFTEST_MSTATUS] = dut_ptr->io_difftest_mstatus;
  r[DIFFTEST_SSTATUS] = dut_ptr->io_difftest_sstatus;
  r[DIFFTEST_MEPC   ] = dut_ptr->io_difftest_mepc;
  r[DIFFTEST_SEPC   ] = dut_ptr->io_difftest_sepc;
  r[DIFFTEST_MCAUSE ] = dut_ptr->io_difftest_mcause;
  r[DIFFTEST_SCAUSE ] = dut_ptr->io_difftest_scause;
155 156 157 158 159 160 161
  r[DIFFTEST_SATP   ] = dut_ptr->io_difftest_satp;
  r[DIFFTEST_MIP    ] = dut_ptr->io_difftest_mip;
  r[DIFFTEST_MIE    ] = dut_ptr->io_difftest_mie;
  r[DIFFTEST_MSCRATCH]= dut_ptr->io_difftest_mscratch;
  r[DIFFTEST_SSCRATCH]= dut_ptr->io_difftest_sscratch;
  r[DIFFTEST_MIDELEG] = dut_ptr->io_difftest_mideleg;
  r[DIFFTEST_MEDELEG] = dut_ptr->io_difftest_medeleg;
W
William Wang 已提交
162 163 164 165
  r[DIFFTEST_MTVAL]   = dut_ptr->io_difftest_mtval;
  r[DIFFTEST_STVAL]   = dut_ptr->io_difftest_stval;
  r[DIFFTEST_MTVEC]   = dut_ptr->io_difftest_mtvec;
  r[DIFFTEST_STVEC]   = dut_ptr->io_difftest_stvec;
W
William Wang 已提交
166
  r[DIFFTEST_MODE]    = dut_ptr->io_difftest_priviledgeMode;
L
LinJiawei 已提交
167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193
}

inline void Emulator::read_wb_info(uint64_t *wpc, uint64_t *wdata, uint32_t *wdst) {
#define dut_ptr_wpc(x)  wpc[x] = dut_ptr->io_difftest_wpc_##x
#define dut_ptr_wdata(x) wdata[x] = dut_ptr->io_difftest_wdata_##x
#define dut_ptr_wdst(x)  wdst[x] = dut_ptr->io_difftest_wdst_##x
  dut_ptr_wpc(0); dut_ptr_wdata(0); dut_ptr_wdst(0); 
  dut_ptr_wpc(1); dut_ptr_wdata(1); dut_ptr_wdst(1); 
  dut_ptr_wpc(2); dut_ptr_wdata(2); dut_ptr_wdst(2); 
  dut_ptr_wpc(3); dut_ptr_wdata(3); dut_ptr_wdst(3); 
  dut_ptr_wpc(4); dut_ptr_wdata(4); dut_ptr_wdst(4); 
  dut_ptr_wpc(5); dut_ptr_wdata(5); dut_ptr_wdst(5); 
}

inline void Emulator::reset_ncycles(size_t cycles) {
  for(int i = 0; i < cycles; i++) {
    dut_ptr->reset = 1;
    dut_ptr->clock = 0;
    dut_ptr->eval();
    dut_ptr->clock = 1;
    dut_ptr->eval();
    dut_ptr->reset = 0;
  }
}

inline void Emulator::single_cycle() {
  dut_ptr->clock = 0;
194 195 196
#ifdef WITH_DRAMSIM3
  axi_channel axi;
  axi_copy_from_dut_ptr(dut_ptr, axi);
197 198
  axi.aw.addr -= 0x80000000UL;
  axi.ar.addr -= 0x80000000UL;
199
  dramsim3_helper(axi);
200 201
  axi.aw.addr += 0x80000000UL;
  axi.ar.addr += 0x80000000UL;
202 203 204
  axi_set_dut_ptr(dut_ptr, axi);
#endif

L
LinJiawei 已提交
205 206 207 208 209
  dut_ptr->eval();

  dut_ptr->clock = 1;
  dut_ptr->eval();

210
#if VM_TRACE == 1
L
LinJiawei 已提交
211 212 213 214 215 216 217
  if (enable_waveform) {
    uint64_t cycle = dut_ptr->io_trap_cycleCnt;
    uint64_t begin = dut_ptr->io_logCtrl_log_begin;
    uint64_t end   = dut_ptr->io_logCtrl_log_end;
    bool in_range = (begin <= cycle) && (cycle <= end);
    if (in_range) { tfp->dump(cycle); }
  }
218
#endif
L
LinJiawei 已提交
219 220

  if (dut_ptr->io_uart_out_valid) {
221 222
    printf("%c", dut_ptr->io_uart_out_ch);
    fflush(stdout);
L
LinJiawei 已提交
223 224 225 226 227 228 229 230 231
  }
  if (dut_ptr->io_uart_in_valid) {
    extern uint8_t uart_getc();
    dut_ptr->io_uart_in_ch = uart_getc();
  }

  cycles ++;
}

Y
YikeZhou 已提交
232
uint64_t Emulator::execute(uint64_t max_cycle, uint64_t max_instr) {
L
LinJiawei 已提交
233 234 235 236
  extern void poll_event(void);
  extern uint32_t uptime(void);
  uint32_t lasttime_poll = 0;
  uint32_t lasttime_snapshot = 0;
Y
YikeZhou 已提交
237 238
  uint64_t lastcommit = max_cycle;
  uint64_t instr_left_last_cycle = max_instr;
L
linjiawei 已提交
239
  const int stuck_limit = 2000;
L
LinJiawei 已提交
240 241 242 243 244 245 246 247 248 249 250

  uint32_t wdst[DIFFTEST_WIDTH];
  uint64_t wdata[DIFFTEST_WIDTH];
  uint64_t wpc[DIFFTEST_WIDTH];
  uint64_t reg[DIFFTEST_NR_REG];
  DiffState diff;
  diff.reg_scala = reg;
  diff.wpc = wpc;
  diff.wdata = wdata;
  diff.wdst = wdst;

251
  while (!Verilated::gotFinish() && trapCode == STATE_RUNNING) {
Y
YikeZhou 已提交
252 253 254 255 256
    if (!(max_cycle > 0 && max_instr > 0 && instr_left_last_cycle >= max_instr /* handle overflow */)) {
      trapCode = STATE_LIMIT_EXCEEDED;
      break;
    }

L
LinJiawei 已提交
257
    single_cycle();
Y
YikeZhou 已提交
258
    max_cycle --;
L
LinJiawei 已提交
259 260 261 262

    if (dut_ptr->io_trap_valid) trapCode = dut_ptr->io_trap_code;
    if (trapCode != STATE_RUNNING) break;

Y
YikeZhou 已提交
263
    if (lastcommit - max_cycle > stuck_limit && hascommit) {
L
LinJiawei 已提交
264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290
      eprintf("No instruction commits for %d cycles, maybe get stuck\n"
          "(please also check whether a fence.i instruction requires more than %d cycles to flush the icache)\n",
          stuck_limit, stuck_limit);
      difftest_display(dut_ptr->io_difftest_priviledgeMode);
      trapCode = STATE_ABORT;
    }

    if (!hascommit && dut_ptr->io_difftest_commit && dut_ptr->io_difftest_thisPC == 0x80000000u) {
      hascommit = 1;
      read_emu_regs(reg);
      void* get_img_start();
      long get_img_size();
      ref_difftest_memcpy_from_dut(0x80000000, get_img_start(), get_img_size());
      ref_difftest_setregs(reg);
    }

    // difftest
    if (dut_ptr->io_difftest_commit && hascommit) {
      read_emu_regs(reg);
      read_wb_info(wpc, wdata, wdst);

      diff.commit = dut_ptr->io_difftest_commit;
      diff.this_inst = dut_ptr->io_difftest_thisINST;
      diff.skip = dut_ptr->io_difftest_skip;
      diff.isRVC = dut_ptr->io_difftest_isRVC;
      diff.wen = dut_ptr->io_difftest_wen;
      diff.intrNO = dut_ptr->io_difftest_intrNO;
291
      diff.cause = dut_ptr->io_difftest_cause;
L
LinJiawei 已提交
292 293
      diff.priviledgeMode = dut_ptr->io_difftest_priviledgeMode;

294
      diff.sync.scFailed = dut_ptr->io_difftest_scFailed;
295

L
LinJiawei 已提交
296 297 298
      if (difftest_step(&diff)) {
        trapCode = STATE_ABORT;
      }
Y
YikeZhou 已提交
299 300 301 302 303
      lastcommit = max_cycle;

      // update instr_cnt
      instr_left_last_cycle = max_instr;
      max_instr -= diff.commit;
L
LinJiawei 已提交
304 305 306 307 308 309 310
    }

    uint32_t t = uptime();
    if (t - lasttime_poll > 100) {
      poll_event();
      lasttime_poll = t;
    }
311
#ifdef VM_SAVABLE
312
    static int snapshot_count = 0;
313
    if (trapCode != STATE_GOODTRAP && t - lasttime_snapshot > 1000 * SNAPSHOT_INTERVAL) {
L
LinJiawei 已提交
314 315 316 317
      // save snapshot every 10s
      time_t now = time(NULL);
      snapshot_save(snapshot_filename(now));
      lasttime_snapshot = t;
318 319
      // dump snapshot to file every 10 minutes
      snapshot_count++;
320
      if (snapshot_count == 60) {
321 322 323
        snapshot_slot[0].save();
        snapshot_count = 0;
      }
L
LinJiawei 已提交
324
    }
325
#endif
L
LinJiawei 已提交
326 327
  }

328
  if (Verilated::gotFinish()) {
Y
Yinan Xu 已提交
329
    difftest_display(dut_ptr->io_difftest_priviledgeMode);
330 331 332 333
    eprintf("The simulation stopped. There might be some assertion failed.\n");
    trapCode = STATE_ABORT;
  }

334
#if VM_TRACE == 1
L
LinJiawei 已提交
335
  if (enable_waveform) tfp->close();
336
#endif
L
LinJiawei 已提交
337 338 339 340 341 342 343 344 345 346 347 348 349
  display_trapinfo();
  return cycles;
}

inline char* Emulator::timestamp_filename(time_t t, char *buf) {
  char buf_time[64];
  strftime(buf_time, sizeof(buf_time), "%F@%T", localtime(&t));
  char *noop_home = getenv("NOOP_HOME");
  assert(noop_home != NULL);
  int len = snprintf(buf, 1024, "%s/build/%s", noop_home, buf_time);
  return buf + len;
}

350
#ifdef VM_SAVABLE
Y
Yinan Xu 已提交
351 352 353 354 355 356
inline char* Emulator::snapshot_filename(time_t t) {
  static char buf[1024];
  char *p = timestamp_filename(t, buf);
  strcpy(p, ".snapshot");
  return buf;
}
357
#endif
L
LinJiawei 已提交
358 359 360 361 362 363 364 365 366 367 368 369 370 371 372 373 374 375 376 377 378 379 380

inline char* Emulator::waveform_filename(time_t t) {
  static char buf[1024];
  char *p = timestamp_filename(t, buf);
  strcpy(p, ".vcd");
  return buf;
}

void Emulator::display_trapinfo() {
  uint64_t pc = dut_ptr->io_trap_pc;
  uint64_t instrCnt = dut_ptr->io_trap_instrCnt;
  uint64_t cycleCnt = dut_ptr->io_trap_cycleCnt;

  switch (trapCode) {
    case STATE_GOODTRAP:
      eprintf(ANSI_COLOR_GREEN "HIT GOOD TRAP at pc = 0x%" PRIx64 "\n" ANSI_COLOR_RESET, pc);
      break;
    case STATE_BADTRAP:
      eprintf(ANSI_COLOR_RED "HIT BAD TRAP at pc = 0x%" PRIx64 "\n" ANSI_COLOR_RESET, pc);
      break;
    case STATE_ABORT:
      eprintf(ANSI_COLOR_RED "ABORT at pc = 0x%" PRIx64 "\n" ANSI_COLOR_RESET, pc);
      break;
Y
YikeZhou 已提交
381 382 383
    case STATE_LIMIT_EXCEEDED:
      eprintf(ANSI_COLOR_YELLOW "EXCEEDING CYCLE/INSTR LIMIT at pc = 0x%" PRIx64 "\n" ANSI_COLOR_RESET, pc);
      break;
L
LinJiawei 已提交
384 385 386 387 388 389 390 391 392 393
    default:
      eprintf(ANSI_COLOR_RED "Unknown trap code: %d\n", trapCode);
  }

  double ipc = (double)instrCnt / (cycleCnt-500);
  eprintf(ANSI_COLOR_MAGENTA "total guest instructions = %" PRIu64 "\n" ANSI_COLOR_RESET, instrCnt);
  eprintf(ANSI_COLOR_MAGENTA "instrCnt = %" PRIu64 ", cycleCnt = %" PRIu64 ", IPC = %lf\n" ANSI_COLOR_RESET,
      instrCnt, cycleCnt, ipc);
}

394
#ifdef VM_SAVABLE
Y
Yinan Xu 已提交
395 396 397 398 399 400 401 402 403
void Emulator::snapshot_save(const char *filename) {
  static int last_slot = 0;
  VerilatedSaveMem &stream = snapshot_slot[last_slot];
  last_slot = !last_slot;

  stream.init(filename);
  stream << *dut_ptr;
  stream.flush();

Z
zoujr 已提交
404
  long size = get_ram_size();
Y
Yinan Xu 已提交
405
  stream.unbuf_write(&size, sizeof(size));
Z
zoujr 已提交
406
  stream.unbuf_write(get_ram_start(), size);
Y
Yinan Xu 已提交
407 408 409 410 411 412 413 414 415 416 417 418 419 420 421 422 423 424 425 426 427 428 429 430 431 432 433 434 435 436

  uint64_t ref_r[DIFFTEST_NR_REG];
  ref_difftest_getregs(&ref_r);
  stream.unbuf_write(ref_r, sizeof(ref_r));

  uint64_t nemu_this_pc = get_nemu_this_pc();
  stream.unbuf_write(&nemu_this_pc, sizeof(nemu_this_pc));

  char *buf = new char[size];
  ref_difftest_memcpy_from_ref(buf, 0x80000000, size);
  stream.unbuf_write(buf, size);
  delete buf;

  struct SyncState sync_mastate;
  ref_difftest_get_mastatus(&sync_mastate);
  stream.unbuf_write(&sync_mastate, sizeof(struct SyncState));

  uint64_t csr_buf[4096];
  ref_difftest_get_csr(csr_buf);
  stream.unbuf_write(&csr_buf, sizeof(csr_buf));

  long sdcard_offset;
  if(fp)
    sdcard_offset = ftell(fp);
  else
    sdcard_offset = 0;
  stream.unbuf_write(&sdcard_offset, sizeof(sdcard_offset));

  // actually write to file in snapshot_finalize()
}
L
LinJiawei 已提交
437

Y
Yinan Xu 已提交
438
void Emulator::snapshot_load(const char *filename) {
439
  VerilatedRestoreMem stream;
Y
Yinan Xu 已提交
440 441 442 443 444
  stream.open(filename);
  stream >> *dut_ptr;

  long size;
  stream.read(&size, sizeof(size));
Z
zoujr 已提交
445 446
  assert(size == get_ram_size());
  stream.read(get_ram_start(), size);
Y
Yinan Xu 已提交
447 448 449 450 451 452 453 454 455 456 457 458 459 460 461 462 463 464 465 466 467 468 469 470 471 472 473

  uint64_t ref_r[DIFFTEST_NR_REG];
  stream.read(ref_r, sizeof(ref_r));
  ref_difftest_setregs(&ref_r);

  uint64_t nemu_this_pc;
  stream.read(&nemu_this_pc, sizeof(nemu_this_pc));
  set_nemu_this_pc(nemu_this_pc);

  char *buf = new char[size];
  stream.read(buf, size);
  ref_difftest_memcpy_from_dut(0x80000000, buf, size);
  delete buf;

  struct SyncState sync_mastate;
  stream.read(&sync_mastate, sizeof(struct SyncState));
  ref_difftest_set_mastatus(&sync_mastate);

  uint64_t csr_buf[4096];
  stream.read(&csr_buf, sizeof(csr_buf));
  ref_difftest_set_csr(csr_buf);

  long sdcard_offset = 0;
  stream.read(&sdcard_offset, sizeof(sdcard_offset));
  if(fp)
    fseek(fp, sdcard_offset, SEEK_SET);
}
474
#endif