StoreUnit.scala 4.9 KB
Newer Older
Y
Yinan Xu 已提交
1 2 3 4 5 6
package xiangshan.mem

import chisel3._
import chisel3.util._
import utils._
import xiangshan._
W
William Wang 已提交
7
import xiangshan.cache._
Y
Yinan Xu 已提交
8

W
William Wang 已提交
9 10
// Store Pipeline Stage 0
// Generate addr, use addr to query DCache and DTLB
W
William Wang 已提交
11
class StoreUnit_S0 extends XSModule {
Y
Yinan Xu 已提交
12
  val io = IO(new Bundle() {
W
William Wang 已提交
13 14
    val in = Flipped(Decoupled(new ExuInput))
    val out = Decoupled(new LsPipelineBundle)
15
    val dtlbReq = DecoupledIO(new TlbReq)
Y
Yinan Xu 已提交
16 17 18
  })

  // send req to dtlb
W
William Wang 已提交
19 20 21 22 23 24 25 26 27 28
  val saddr = io.in.bits.src1 + io.in.bits.uop.ctrl.imm

  io.dtlbReq.bits.vaddr := saddr
  io.dtlbReq.valid := io.in.valid
  io.dtlbReq.bits.cmd := TlbCmd.write
  io.dtlbReq.bits.roqIdx := io.in.bits.uop.roqIdx
  io.dtlbReq.bits.debug.pc := io.in.bits.uop.cf.pc

  io.out.bits := DontCare
  io.out.bits.vaddr := saddr
Y
Yinan Xu 已提交
29

W
William Wang 已提交
30 31
  io.out.bits.data := genWdata(io.in.bits.src2, io.in.bits.uop.ctrl.fuOpType(1,0))
  io.out.bits.uop := io.in.bits.uop
32
  io.out.bits.miss := DontCare
W
William Wang 已提交
33
  io.out.bits.mask := genWmask(io.out.bits.vaddr, io.in.bits.uop.ctrl.fuOpType(1,0))
34
  io.out.valid := io.in.valid
W
William Wang 已提交
35
  io.in.ready := io.out.ready
Y
Yinan Xu 已提交
36

37
  // exception check
W
William Wang 已提交
38
  val addrAligned = LookupTree(io.in.bits.uop.ctrl.fuOpType(1,0), List(
39
    "b00".U   -> true.B,              //b
W
William Wang 已提交
40 41 42
    "b01".U   -> (io.out.bits.vaddr(0) === 0.U),   //h
    "b10".U   -> (io.out.bits.vaddr(1,0) === 0.U), //w
    "b11".U   -> (io.out.bits.vaddr(2,0) === 0.U)  //d
43
  ))
W
William Wang 已提交
44 45 46
  io.out.bits.uop.cf.exceptionVec(storeAddrMisaligned) := !addrAligned

}
47

W
William Wang 已提交
48 49 50 51 52 53
// Load Pipeline Stage 1
// TLB resp (send paddr to dcache)
class StoreUnit_S1 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
54
    // val fp_out = Decoupled(new LsPipelineBundle)
55
    val lsq = ValidIO(new LsPipelineBundle)
Y
Yinan Xu 已提交
56 57
    val dtlbResp = Flipped(DecoupledIO(new TlbResp))
    val tlbFeedback = ValidIO(new TlbFeedback)
W
William Wang 已提交
58
  })
Y
Yinan Xu 已提交
59

Y
Yinan Xu 已提交
60 61
  val s1_paddr = io.dtlbResp.bits.paddr
  val s1_tlb_miss = io.dtlbResp.bits.miss
62

63 64
  io.in.ready := true.B

Y
Yinan Xu 已提交
65 66 67
  io.dtlbResp.ready := true.B // TODO: why dtlbResp needs a ready?

  // Send TLB feedback to store issue queue
68 69 70
  io.tlbFeedback.valid := io.in.valid
  io.tlbFeedback.bits.hit := !s1_tlb_miss
  io.tlbFeedback.bits.roqIdx := io.in.bits.uop.roqIdx
Y
Yinan Xu 已提交
71 72 73 74 75 76
  XSDebug(io.tlbFeedback.valid,
    "S1 Store: tlbHit: %d roqIdx: %d\n",
    io.tlbFeedback.bits.hit,
    io.tlbFeedback.bits.roqIdx.asUInt
  )

Y
Yinan Xu 已提交
77
  // get paddr from dtlb, check if rollback is needed
Y
Yinan Xu 已提交
78
  // writeback store inst to lsq
79
  io.lsq.valid := io.in.valid && !s1_tlb_miss// TODO: && ! FP
80
  io.lsq.bits := io.in.bits
81
  io.lsq.bits.paddr := s1_paddr
82
  io.lsq.bits.miss := false.B
83
  io.lsq.bits.mmio := AddressSpace.isMMIO(s1_paddr)
Y
Yinan Xu 已提交
84
  io.lsq.bits.uop.cf.exceptionVec(storePageFault) := io.dtlbResp.bits.excp.pf.st
85

86
  // mmio inst with exception will be writebacked immediately
87
  val hasException = io.out.bits.uop.cf.exceptionVec.asUInt.orR
88 89
  io.out.valid := io.in.valid && (!io.out.bits.mmio || hasException) && !s1_tlb_miss
  io.out.bits := io.lsq.bits
90

91 92 93
  // if fp
  // io.fp_out.valid := ...
  // io.fp_out.bits := ...
Y
Yinan Xu 已提交
94

W
William Wang 已提交
95
}
Y
Yinan Xu 已提交
96

97 98 99 100 101 102 103
class StoreUnit_S2 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val stout = DecoupledIO(new ExuOutput) // writeback store
  })

  io.in.ready := true.B
104

105
  io.stout.valid := io.in.valid
106 107 108 109 110 111 112 113 114
  io.stout.bits.uop := io.in.bits.uop
  io.stout.bits.data := DontCare
  io.stout.bits.redirectValid := false.B
  io.stout.bits.redirect := DontCare
  io.stout.bits.brUpdate := DontCare
  io.stout.bits.debug.isMMIO := io.in.bits.mmio
  io.stout.bits.fflags := DontCare

}
115

W
William Wang 已提交
116 117 118 119 120 121 122
class StoreUnit extends XSModule {
  val io = IO(new Bundle() {
    val stin = Flipped(Decoupled(new ExuInput))
    val redirect = Flipped(ValidIO(new Redirect))
    val tlbFeedback = ValidIO(new TlbFeedback)
    val dtlb = new TlbRequestIO()
    val lsq = ValidIO(new LsPipelineBundle)
123
    val stout = DecoupledIO(new ExuOutput) // writeback store
W
William Wang 已提交
124
  })
Y
Yinan Xu 已提交
125

W
William Wang 已提交
126 127
  val store_s0 = Module(new StoreUnit_S0)
  val store_s1 = Module(new StoreUnit_S1)
128
  val store_s2 = Module(new StoreUnit_S2)
Y
Yinan Xu 已提交
129

W
William Wang 已提交
130 131
  store_s0.io.in <> io.stin
  store_s0.io.dtlbReq <> io.dtlb.req
Y
Yinan Xu 已提交
132

133
  PipelineConnect(store_s0.io.out, store_s1.io.in, true.B, store_s0.io.out.bits.uop.roqIdx.needFlush(io.redirect))
Y
Yinan Xu 已提交
134

135
  store_s1.io.lsq <> io.lsq // send result to sq
Y
Yinan Xu 已提交
136 137
  store_s1.io.dtlbResp <> io.dtlb.resp
  store_s1.io.tlbFeedback <> io.tlbFeedback
138

139
  PipelineConnect(store_s1.io.out, store_s2.io.in, true.B, store_s1.io.out.bits.uop.roqIdx.needFlush(io.redirect))
140 141

  store_s2.io.stout <> io.stout
W
William Wang 已提交
142

W
William Wang 已提交
143 144 145 146 147 148 149 150 151
  private def printPipeLine(pipeline: LsPipelineBundle, cond: Bool, name: String): Unit = {
    XSDebug(cond,
      p"$name" + p" pc ${Hexadecimal(pipeline.uop.cf.pc)} " +
        p"addr ${Hexadecimal(pipeline.vaddr)} -> ${Hexadecimal(pipeline.paddr)} " +
        p"op ${Binary(pipeline.uop.ctrl.fuOpType)} " +
        p"data ${Hexadecimal(pipeline.data)} " +
        p"mask ${Hexadecimal(pipeline.mask)}\n"
    )
  }
Y
Yinan Xu 已提交
152

W
William Wang 已提交
153 154
  printPipeLine(store_s0.io.out.bits, store_s0.io.out.valid, "S0")
  printPipeLine(store_s1.io.out.bits, store_s1.io.out.valid, "S1")
Y
Yinan Xu 已提交
155

Y
Yinan Xu 已提交
156
}