turbostat.c 75.5 KB
Newer Older
L
Len Brown 已提交
1 2 3 4
/*
 * turbostat -- show CPU frequency and C-state residency
 * on modern Intel turbo-capable processors.
 *
5
 * Copyright (c) 2013 Intel Corporation.
L
Len Brown 已提交
6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21
 * Len Brown <len.brown@intel.com>
 *
 * This program is free software; you can redistribute it and/or modify it
 * under the terms and conditions of the GNU General Public License,
 * version 2, as published by the Free Software Foundation.
 *
 * This program is distributed in the hope it will be useful, but WITHOUT
 * ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
 * FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for
 * more details.
 *
 * You should have received a copy of the GNU General Public License along with
 * this program; if not, write to the Free Software Foundation, Inc.,
 * 51 Franklin St - Fifth Floor, Boston, MA 02110-1301 USA.
 */

22
#define _GNU_SOURCE
23
#include MSRHEADER
24
#include <stdarg.h>
L
Len Brown 已提交
25
#include <stdio.h>
26
#include <err.h>
L
Len Brown 已提交
27 28 29 30 31 32 33 34 35
#include <unistd.h>
#include <sys/types.h>
#include <sys/wait.h>
#include <sys/stat.h>
#include <sys/resource.h>
#include <fcntl.h>
#include <signal.h>
#include <sys/time.h>
#include <stdlib.h>
36
#include <getopt.h>
L
Len Brown 已提交
37 38 39
#include <dirent.h>
#include <string.h>
#include <ctype.h>
40
#include <sched.h>
41
#include <cpuid.h>
42 43
#include <linux/capability.h>
#include <errno.h>
L
Len Brown 已提交
44 45

char *proc_stat = "/proc/stat";
46 47 48 49 50
unsigned int interval_sec = 5;
unsigned int debug;
unsigned int rapl_joules;
unsigned int summary_only;
unsigned int dump_only;
L
Len Brown 已提交
51 52 53 54
unsigned int skip_c0;
unsigned int skip_c1;
unsigned int do_nhm_cstates;
unsigned int do_snb_cstates;
55 56 57 58
unsigned int do_pc2;
unsigned int do_pc3;
unsigned int do_pc6;
unsigned int do_pc7;
59
unsigned int do_c8_c9_c10;
60
unsigned int do_skl_residency;
61 62
unsigned int do_slm_cstates;
unsigned int use_c1_residency_msr;
L
Len Brown 已提交
63
unsigned int has_aperf;
64
unsigned int has_epb;
65
unsigned int units = 1000000;	/* MHz etc */
L
Len Brown 已提交
66 67
unsigned int genuine_intel;
unsigned int has_invariant_tsc;
68
unsigned int do_nhm_platform_info;
69 70
unsigned int extra_msr_offset32;
unsigned int extra_msr_offset64;
71 72
unsigned int extra_delta_offset32;
unsigned int extra_delta_offset64;
73
int do_smi;
L
Len Brown 已提交
74 75 76 77
double bclk;
unsigned int show_pkg;
unsigned int show_core;
unsigned int show_cpu;
78 79 80
unsigned int show_pkg_only;
unsigned int show_core_only;
char *output_buffer, *outp;
81 82 83 84 85
unsigned int do_rapl;
unsigned int do_dts;
unsigned int do_ptm;
unsigned int tcc_activation_temp;
unsigned int tcc_activation_temp_override;
86 87
double rapl_power_units, rapl_time_units;
double rapl_dram_energy_units, rapl_energy_units;
88
double rapl_joule_counter_range;
89 90 91
unsigned int do_core_perf_limit_reasons;
unsigned int do_gfx_perf_limit_reasons;
unsigned int do_ring_perf_limit_reasons;
92 93
unsigned int crystal_hz;
unsigned long long tsc_hz;
94

L
Len Brown 已提交
95 96 97 98 99 100 101 102 103 104 105 106 107
#define RAPL_PKG		(1 << 0)
					/* 0x610 MSR_PKG_POWER_LIMIT */
					/* 0x611 MSR_PKG_ENERGY_STATUS */
#define RAPL_PKG_PERF_STATUS	(1 << 1)
					/* 0x613 MSR_PKG_PERF_STATUS */
#define RAPL_PKG_POWER_INFO	(1 << 2)
					/* 0x614 MSR_PKG_POWER_INFO */

#define RAPL_DRAM		(1 << 3)
					/* 0x618 MSR_DRAM_POWER_LIMIT */
					/* 0x619 MSR_DRAM_ENERGY_STATUS */
#define RAPL_DRAM_PERF_STATUS	(1 << 4)
					/* 0x61b MSR_DRAM_PERF_STATUS */
108 109
#define RAPL_DRAM_POWER_INFO	(1 << 5)
					/* 0x61c MSR_DRAM_POWER_INFO */
L
Len Brown 已提交
110

111
#define RAPL_CORES		(1 << 6)
L
Len Brown 已提交
112 113
					/* 0x638 MSR_PP0_POWER_LIMIT */
					/* 0x639 MSR_PP0_ENERGY_STATUS */
114
#define RAPL_CORE_POLICY	(1 << 7)
L
Len Brown 已提交
115 116
					/* 0x63a MSR_PP0_POLICY */

117
#define RAPL_GFX		(1 << 8)
L
Len Brown 已提交
118 119 120
					/* 0x640 MSR_PP1_POWER_LIMIT */
					/* 0x641 MSR_PP1_ENERGY_STATUS */
					/* 0x642 MSR_PP1_POLICY */
121 122 123
#define	TJMAX_DEFAULT	100

#define MAX(a, b) ((a) > (b) ? (a) : (b))
L
Len Brown 已提交
124 125 126 127 128

int aperf_mperf_unstable;
int backwards_count;
char *progname;

129 130 131 132 133 134 135
cpu_set_t *cpu_present_set, *cpu_affinity_set;
size_t cpu_present_setsize, cpu_affinity_setsize;

struct thread_data {
	unsigned long long tsc;
	unsigned long long aperf;
	unsigned long long mperf;
136
	unsigned long long c1;
137
	unsigned long long extra_msr64;
138 139 140
	unsigned long long extra_delta64;
	unsigned long long extra_msr32;
	unsigned long long extra_delta32;
141
	unsigned int smi_count;
142 143 144 145 146 147 148 149 150 151
	unsigned int cpu_id;
	unsigned int flags;
#define CPU_IS_FIRST_THREAD_IN_CORE	0x2
#define CPU_IS_FIRST_CORE_IN_PACKAGE	0x4
} *thread_even, *thread_odd;

struct core_data {
	unsigned long long c3;
	unsigned long long c6;
	unsigned long long c7;
152
	unsigned int core_temp_c;
153 154 155 156 157 158 159 160
	unsigned int core_id;
} *core_even, *core_odd;

struct pkg_data {
	unsigned long long pc2;
	unsigned long long pc3;
	unsigned long long pc6;
	unsigned long long pc7;
161 162 163
	unsigned long long pc8;
	unsigned long long pc9;
	unsigned long long pc10;
164 165 166 167
	unsigned long long pkg_wtd_core_c0;
	unsigned long long pkg_any_core_c0;
	unsigned long long pkg_any_gfxe_c0;
	unsigned long long pkg_both_core_gfxe_c0;
168
	unsigned int package_id;
169 170 171 172 173 174 175 176
	unsigned int energy_pkg;	/* MSR_PKG_ENERGY_STATUS */
	unsigned int energy_dram;	/* MSR_DRAM_ENERGY_STATUS */
	unsigned int energy_cores;	/* MSR_PP0_ENERGY_STATUS */
	unsigned int energy_gfx;	/* MSR_PP1_ENERGY_STATUS */
	unsigned int rapl_pkg_perf_status;	/* MSR_PKG_PERF_STATUS */
	unsigned int rapl_dram_perf_status;	/* MSR_DRAM_PERF_STATUS */
	unsigned int pkg_temp_c;

177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210
} *package_even, *package_odd;

#define ODD_COUNTERS thread_odd, core_odd, package_odd
#define EVEN_COUNTERS thread_even, core_even, package_even

#define GET_THREAD(thread_base, thread_no, core_no, pkg_no) \
	(thread_base + (pkg_no) * topo.num_cores_per_pkg * \
		topo.num_threads_per_core + \
		(core_no) * topo.num_threads_per_core + (thread_no))
#define GET_CORE(core_base, core_no, pkg_no) \
	(core_base + (pkg_no) * topo.num_cores_per_pkg + (core_no))
#define GET_PKG(pkg_base, pkg_no) (pkg_base + pkg_no)

struct system_summary {
	struct thread_data threads;
	struct core_data cores;
	struct pkg_data packages;
} sum, average;


struct topo_params {
	int num_packages;
	int num_cpus;
	int num_cores;
	int max_cpu_num;
	int num_cores_per_pkg;
	int num_threads_per_core;
} topo;

struct timeval tv_even, tv_odd, tv_delta;

void setup_all_buffers(void);

int cpu_is_not_present(int cpu)
211
{
212
	return !CPU_ISSET_S(cpu, cpu_present_setsize, cpu_present_set);
213
}
214
/*
215 216
 * run func(thread, core, package) in topology order
 * skip non-present cpus
217
 */
218 219 220

int for_all_cpus(int (func)(struct thread_data *, struct core_data *, struct pkg_data *),
	struct thread_data *thread_base, struct core_data *core_base, struct pkg_data *pkg_base)
221
{
222
	int retval, pkg_no, core_no, thread_no;
223

224 225 226 227 228 229 230
	for (pkg_no = 0; pkg_no < topo.num_packages; ++pkg_no) {
		for (core_no = 0; core_no < topo.num_cores_per_pkg; ++core_no) {
			for (thread_no = 0; thread_no <
				topo.num_threads_per_core; ++thread_no) {
				struct thread_data *t;
				struct core_data *c;
				struct pkg_data *p;
231

232 233 234 235 236 237 238 239 240 241 242 243 244 245 246
				t = GET_THREAD(thread_base, thread_no, core_no, pkg_no);

				if (cpu_is_not_present(t->cpu_id))
					continue;

				c = GET_CORE(core_base, core_no, pkg_no);
				p = GET_PKG(pkg_base, pkg_no);

				retval = func(t, c, p);
				if (retval)
					return retval;
			}
		}
	}
	return 0;
247 248 249 250
}

int cpu_migrate(int cpu)
{
251 252 253
	CPU_ZERO_S(cpu_affinity_setsize, cpu_affinity_set);
	CPU_SET_S(cpu, cpu_affinity_setsize, cpu_affinity_set);
	if (sched_setaffinity(0, cpu_affinity_setsize, cpu_affinity_set) == -1)
254 255 256 257 258
		return -1;
	else
		return 0;
}

259
int get_msr(int cpu, off_t offset, unsigned long long *msr)
L
Len Brown 已提交
260 261 262 263 264 265 266
{
	ssize_t retval;
	char pathname[32];
	int fd;

	sprintf(pathname, "/dev/cpu/%d/msr", cpu);
	fd = open(pathname, O_RDONLY);
267
	if (fd < 0)
268
		err(-1, "%s open failed, try chown or chmod +r /dev/cpu/*/msr, or run as root", pathname);
L
Len Brown 已提交
269

270
	retval = pread(fd, msr, sizeof *msr, offset);
L
Len Brown 已提交
271
	close(fd);
272

273 274
	if (retval != sizeof *msr)
		err(-1, "%s offset 0x%llx read failed", pathname, (unsigned long long)offset);
275 276

	return 0;
L
Len Brown 已提交
277 278
}

279 280 281
/*
 * Example Format w/ field column widths:
 *
282 283
 *  Package    Core     CPU Avg_MHz Bzy_MHz TSC_MHz     SMI   %Busy CPU_%c1 CPU_%c3 CPU_%c6 CPU_%c7 CoreTmp  PkgTmp Pkg%pc2 Pkg%pc3 Pkg%pc6 Pkg%pc7 PkgWatt CorWatt GFXWatt
 * 123456781234567812345678123456781234567812345678123456781234567812345678123456781234567812345678123456781234567812345678123456781234567812345678123456781234567812345678
284 285
 */

L
Len Brown 已提交
286
void print_header(void)
L
Len Brown 已提交
287 288
{
	if (show_pkg)
289
		outp += sprintf(outp, " Package");
L
Len Brown 已提交
290
	if (show_core)
291
		outp += sprintf(outp, "    Core");
L
Len Brown 已提交
292
	if (show_cpu)
293
		outp += sprintf(outp, "     CPU");
294
	if (has_aperf)
295
		outp += sprintf(outp, " Avg_MHz");
296
	if (has_aperf)
297
		outp += sprintf(outp, "   %%Busy");
L
Len Brown 已提交
298
	if (has_aperf)
299 300
		outp += sprintf(outp, " Bzy_MHz");
	outp += sprintf(outp, " TSC_MHz");
301

302
	if (extra_delta_offset32)
303
		outp += sprintf(outp, "  count 0x%03X", extra_delta_offset32);
304
	if (extra_delta_offset64)
305
		outp += sprintf(outp, "  COUNT 0x%03X", extra_delta_offset64);
306
	if (extra_msr_offset32)
307
		outp += sprintf(outp, "   MSR 0x%03X", extra_msr_offset32);
308
	if (extra_msr_offset64)
309
		outp += sprintf(outp, "           MSR 0x%03X", extra_msr_offset64);
310 311 312 313 314 315 316

	if (!debug)
		goto done;

	if (do_smi)
		outp += sprintf(outp, "     SMI");

L
Len Brown 已提交
317
	if (do_nhm_cstates)
318
		outp += sprintf(outp, "  CPU%%c1");
319
	if (do_nhm_cstates && !do_slm_cstates)
320
		outp += sprintf(outp, "  CPU%%c3");
L
Len Brown 已提交
321
	if (do_nhm_cstates)
322
		outp += sprintf(outp, "  CPU%%c6");
L
Len Brown 已提交
323
	if (do_snb_cstates)
324
		outp += sprintf(outp, "  CPU%%c7");
325 326

	if (do_dts)
327
		outp += sprintf(outp, " CoreTmp");
328
	if (do_ptm)
329
		outp += sprintf(outp, "  PkgTmp");
330

331 332 333 334 335 336 337
	if (do_skl_residency) {
		outp += sprintf(outp, " Totl%%C0");
		outp += sprintf(outp, "  Any%%C0");
		outp += sprintf(outp, "  GFX%%C0");
		outp += sprintf(outp, " CPUGFX%%");
	}

338
	if (do_pc2)
339
		outp += sprintf(outp, " Pkg%%pc2");
340
	if (do_pc3)
341
		outp += sprintf(outp, " Pkg%%pc3");
342
	if (do_pc6)
343
		outp += sprintf(outp, " Pkg%%pc6");
344
	if (do_pc7)
345
		outp += sprintf(outp, " Pkg%%pc7");
346
	if (do_c8_c9_c10) {
347 348 349
		outp += sprintf(outp, " Pkg%%pc8");
		outp += sprintf(outp, " Pkg%%pc9");
		outp += sprintf(outp, " Pk%%pc10");
350
	}
L
Len Brown 已提交
351

352 353
	if (do_rapl && !rapl_joules) {
		if (do_rapl & RAPL_PKG)
354
			outp += sprintf(outp, " PkgWatt");
355
		if (do_rapl & RAPL_CORES)
356
			outp += sprintf(outp, " CorWatt");
357
		if (do_rapl & RAPL_GFX)
358
			outp += sprintf(outp, " GFXWatt");
359
		if (do_rapl & RAPL_DRAM)
360
			outp += sprintf(outp, " RAMWatt");
361
		if (do_rapl & RAPL_PKG_PERF_STATUS)
362
			outp += sprintf(outp, "   PKG_%%");
363
		if (do_rapl & RAPL_DRAM_PERF_STATUS)
364
			outp += sprintf(outp, "   RAM_%%");
365
	} else if (do_rapl && rapl_joules) {
366
		if (do_rapl & RAPL_PKG)
367
			outp += sprintf(outp, "   Pkg_J");
368
		if (do_rapl & RAPL_CORES)
369
			outp += sprintf(outp, "   Cor_J");
370
		if (do_rapl & RAPL_GFX)
371
			outp += sprintf(outp, "   GFX_J");
372
		if (do_rapl & RAPL_DRAM)
373
			outp += sprintf(outp, "   RAM_W");
374
		if (do_rapl & RAPL_PKG_PERF_STATUS)
375
			outp += sprintf(outp, "   PKG_%%");
376
		if (do_rapl & RAPL_DRAM_PERF_STATUS)
377 378
			outp += sprintf(outp, "   RAM_%%");
		outp += sprintf(outp, "   time");
379

380
	}
381
    done:
382
	outp += sprintf(outp, "\n");
L
Len Brown 已提交
383 384
}

385 386
int dump_counters(struct thread_data *t, struct core_data *c,
	struct pkg_data *p)
L
Len Brown 已提交
387
{
388
	outp += sprintf(outp, "t %p, c %p, p %p\n", t, c, p);
389 390

	if (t) {
391 392 393 394 395 396 397
		outp += sprintf(outp, "CPU: %d flags 0x%x\n",
			t->cpu_id, t->flags);
		outp += sprintf(outp, "TSC: %016llX\n", t->tsc);
		outp += sprintf(outp, "aperf: %016llX\n", t->aperf);
		outp += sprintf(outp, "mperf: %016llX\n", t->mperf);
		outp += sprintf(outp, "c1: %016llX\n", t->c1);
		outp += sprintf(outp, "msr0x%x: %08llX\n",
398
			extra_delta_offset32, t->extra_delta32);
399
		outp += sprintf(outp, "msr0x%x: %016llX\n",
400
			extra_delta_offset64, t->extra_delta64);
401
		outp += sprintf(outp, "msr0x%x: %08llX\n",
402
			extra_msr_offset32, t->extra_msr32);
403
		outp += sprintf(outp, "msr0x%x: %016llX\n",
404
			extra_msr_offset64, t->extra_msr64);
405
		if (do_smi)
406
			outp += sprintf(outp, "SMI: %08X\n", t->smi_count);
407
	}
L
Len Brown 已提交
408

409
	if (c) {
410 411 412 413 414
		outp += sprintf(outp, "core: %d\n", c->core_id);
		outp += sprintf(outp, "c3: %016llX\n", c->c3);
		outp += sprintf(outp, "c6: %016llX\n", c->c6);
		outp += sprintf(outp, "c7: %016llX\n", c->c7);
		outp += sprintf(outp, "DTS: %dC\n", c->core_temp_c);
415
	}
L
Len Brown 已提交
416

417
	if (p) {
418
		outp += sprintf(outp, "package: %d\n", p->package_id);
419 420 421 422 423 424

		outp += sprintf(outp, "Weighted cores: %016llX\n", p->pkg_wtd_core_c0);
		outp += sprintf(outp, "Any cores: %016llX\n", p->pkg_any_core_c0);
		outp += sprintf(outp, "Any GFX: %016llX\n", p->pkg_any_gfxe_c0);
		outp += sprintf(outp, "CPU + GFX: %016llX\n", p->pkg_both_core_gfxe_c0);

425
		outp += sprintf(outp, "pc2: %016llX\n", p->pc2);
426 427 428 429 430 431
		if (do_pc3)
			outp += sprintf(outp, "pc3: %016llX\n", p->pc3);
		if (do_pc6)
			outp += sprintf(outp, "pc6: %016llX\n", p->pc6);
		if (do_pc7)
			outp += sprintf(outp, "pc7: %016llX\n", p->pc7);
432 433 434 435 436 437 438 439 440 441 442 443
		outp += sprintf(outp, "pc8: %016llX\n", p->pc8);
		outp += sprintf(outp, "pc9: %016llX\n", p->pc9);
		outp += sprintf(outp, "pc10: %016llX\n", p->pc10);
		outp += sprintf(outp, "Joules PKG: %0X\n", p->energy_pkg);
		outp += sprintf(outp, "Joules COR: %0X\n", p->energy_cores);
		outp += sprintf(outp, "Joules GFX: %0X\n", p->energy_gfx);
		outp += sprintf(outp, "Joules RAM: %0X\n", p->energy_dram);
		outp += sprintf(outp, "Throttle PKG: %0X\n",
			p->rapl_pkg_perf_status);
		outp += sprintf(outp, "Throttle RAM: %0X\n",
			p->rapl_dram_perf_status);
		outp += sprintf(outp, "PTM: %dC\n", p->pkg_temp_c);
444
	}
445 446 447

	outp += sprintf(outp, "\n");

448
	return 0;
L
Len Brown 已提交
449 450
}

L
Len Brown 已提交
451 452 453
/*
 * column formatting convention & formats
 */
454 455
int format_counters(struct thread_data *t, struct core_data *c,
	struct pkg_data *p)
L
Len Brown 已提交
456 457
{
	double interval_float;
458
	char *fmt8;
L
Len Brown 已提交
459

460 461 462 463 464 465 466 467
	 /* if showing only 1st thread in core and this isn't one, bail out */
	if (show_core_only && !(t->flags & CPU_IS_FIRST_THREAD_IN_CORE))
		return 0;

	 /* if showing only 1st thread in pkg and this isn't one, bail out */
	if (show_pkg_only && !(t->flags & CPU_IS_FIRST_CORE_IN_PACKAGE))
		return 0;

L
Len Brown 已提交
468 469
	interval_float = tv_delta.tv_sec + tv_delta.tv_usec/1000000.0;

470 471
	/* topo columns, print blanks on 1st (average) line */
	if (t == &average.threads) {
L
Len Brown 已提交
472
		if (show_pkg)
473
			outp += sprintf(outp, "       -");
L
Len Brown 已提交
474
		if (show_core)
475
			outp += sprintf(outp, "       -");
L
Len Brown 已提交
476
		if (show_cpu)
477
			outp += sprintf(outp, "       -");
L
Len Brown 已提交
478
	} else {
479 480
		if (show_pkg) {
			if (p)
481
				outp += sprintf(outp, "%8d", p->package_id);
482
			else
483
				outp += sprintf(outp, "       -");
484 485 486
		}
		if (show_core) {
			if (c)
487
				outp += sprintf(outp, "%8d", c->core_id);
488
			else
489
				outp += sprintf(outp, "       -");
490
		}
L
Len Brown 已提交
491
		if (show_cpu)
492
			outp += sprintf(outp, "%8d", t->cpu_id);
L
Len Brown 已提交
493
	}
494

495
	/* Avg_MHz */
496 497 498 499
	if (has_aperf)
		outp += sprintf(outp, "%8.0f",
			1.0 / units * t->aperf / interval_float);

500 501
	/* %Busy */
	if (has_aperf) {
L
Len Brown 已提交
502
		if (!skip_c0)
503
			outp += sprintf(outp, "%8.2f", 100.0 * t->mperf/t->tsc);
L
Len Brown 已提交
504
		else
505
			outp += sprintf(outp, "********");
L
Len Brown 已提交
506 507
	}

508
	/* Bzy_MHz */
509 510 511
	if (has_aperf)
		outp += sprintf(outp, "%8.0f",
			1.0 * t->tsc / units * t->aperf / t->mperf / interval_float);
L
Len Brown 已提交
512

513
	/* TSC_MHz */
514
	outp += sprintf(outp, "%8.0f", 1.0 * t->tsc/units/interval_float);
L
Len Brown 已提交
515

516 517 518 519 520 521 522
	/* delta */
	if (extra_delta_offset32)
		outp += sprintf(outp, "  %11llu", t->extra_delta32);

	/* DELTA */
	if (extra_delta_offset64)
		outp += sprintf(outp, "  %11llu", t->extra_delta64);
523 524
	/* msr */
	if (extra_msr_offset32)
525
		outp += sprintf(outp, "  0x%08llx", t->extra_msr32);
526

527
	/* MSR */
528 529
	if (extra_msr_offset64)
		outp += sprintf(outp, "  0x%016llx", t->extra_msr64);
530

531 532 533 534 535 536 537
	if (!debug)
		goto done;

	/* SMI */
	if (do_smi)
		outp += sprintf(outp, "%8d", t->smi_count);

L
Len Brown 已提交
538 539
	if (do_nhm_cstates) {
		if (!skip_c1)
540
			outp += sprintf(outp, "%8.2f", 100.0 * t->c1/t->tsc);
L
Len Brown 已提交
541
		else
542
			outp += sprintf(outp, "********");
L
Len Brown 已提交
543
	}
544 545 546 547 548

	/* print per-core data only for 1st thread in core */
	if (!(t->flags & CPU_IS_FIRST_THREAD_IN_CORE))
		goto done;

549
	if (do_nhm_cstates && !do_slm_cstates)
550
		outp += sprintf(outp, "%8.2f", 100.0 * c->c3/t->tsc);
L
Len Brown 已提交
551
	if (do_nhm_cstates)
552
		outp += sprintf(outp, "%8.2f", 100.0 * c->c6/t->tsc);
L
Len Brown 已提交
553
	if (do_snb_cstates)
554
		outp += sprintf(outp, "%8.2f", 100.0 * c->c7/t->tsc);
555

556
	if (do_dts)
557
		outp += sprintf(outp, "%8d", c->core_temp_c);
558

559 560 561 562
	/* print per-package data only for 1st core in package */
	if (!(t->flags & CPU_IS_FIRST_CORE_IN_PACKAGE))
		goto done;

563
	/* PkgTmp */
564
	if (do_ptm)
565
		outp += sprintf(outp, "%8d", p->pkg_temp_c);
566

567 568 569 570 571 572 573 574
	/* Totl%C0, Any%C0 GFX%C0 CPUGFX% */
	if (do_skl_residency) {
		outp += sprintf(outp, "%8.2f", 100.0 * p->pkg_wtd_core_c0/t->tsc);
		outp += sprintf(outp, "%8.2f", 100.0 * p->pkg_any_core_c0/t->tsc);
		outp += sprintf(outp, "%8.2f", 100.0 * p->pkg_any_gfxe_c0/t->tsc);
		outp += sprintf(outp, "%8.2f", 100.0 * p->pkg_both_core_gfxe_c0/t->tsc);
	}

575
	if (do_pc2)
576
		outp += sprintf(outp, "%8.2f", 100.0 * p->pc2/t->tsc);
577
	if (do_pc3)
578
		outp += sprintf(outp, "%8.2f", 100.0 * p->pc3/t->tsc);
579
	if (do_pc6)
580
		outp += sprintf(outp, "%8.2f", 100.0 * p->pc6/t->tsc);
581
	if (do_pc7)
582
		outp += sprintf(outp, "%8.2f", 100.0 * p->pc7/t->tsc);
583
	if (do_c8_c9_c10) {
584 585 586
		outp += sprintf(outp, "%8.2f", 100.0 * p->pc8/t->tsc);
		outp += sprintf(outp, "%8.2f", 100.0 * p->pc9/t->tsc);
		outp += sprintf(outp, "%8.2f", 100.0 * p->pc10/t->tsc);
587
	}
588 589 590 591 592

	/*
 	 * If measurement interval exceeds minimum RAPL Joule Counter range,
 	 * indicate that results are suspect by printing "**" in fraction place.
 	 */
593 594 595 596
	if (interval_float < rapl_joule_counter_range)
		fmt8 = "%8.2f";
	else
		fmt8 = " %6.0f**";
597

598 599
	if (do_rapl && !rapl_joules) {
		if (do_rapl & RAPL_PKG)
600
			outp += sprintf(outp, fmt8, p->energy_pkg * rapl_energy_units / interval_float);
601
		if (do_rapl & RAPL_CORES)
602
			outp += sprintf(outp, fmt8, p->energy_cores * rapl_energy_units / interval_float);
603
		if (do_rapl & RAPL_GFX)
604
			outp += sprintf(outp, fmt8, p->energy_gfx * rapl_energy_units / interval_float);
605
		if (do_rapl & RAPL_DRAM)
606
			outp += sprintf(outp, fmt8, p->energy_dram * rapl_dram_energy_units / interval_float);
607
		if (do_rapl & RAPL_PKG_PERF_STATUS)
608
			outp += sprintf(outp, fmt8, 100.0 * p->rapl_pkg_perf_status * rapl_time_units / interval_float);
609
		if (do_rapl & RAPL_DRAM_PERF_STATUS)
610
			outp += sprintf(outp, fmt8, 100.0 * p->rapl_dram_perf_status * rapl_time_units / interval_float);
611
	} else if (do_rapl && rapl_joules) {
612
		if (do_rapl & RAPL_PKG)
613
			outp += sprintf(outp, fmt8,
614 615
					p->energy_pkg * rapl_energy_units);
		if (do_rapl & RAPL_CORES)
616
			outp += sprintf(outp, fmt8,
617 618
					p->energy_cores * rapl_energy_units);
		if (do_rapl & RAPL_GFX)
619
			outp += sprintf(outp, fmt8,
620 621
					p->energy_gfx * rapl_energy_units);
		if (do_rapl & RAPL_DRAM)
622
			outp += sprintf(outp, fmt8,
623
					p->energy_dram * rapl_dram_energy_units);
624
		if (do_rapl & RAPL_PKG_PERF_STATUS)
625
			outp += sprintf(outp, fmt8, 100.0 * p->rapl_pkg_perf_status * rapl_time_units / interval_float);
626
		if (do_rapl & RAPL_DRAM_PERF_STATUS)
627
			outp += sprintf(outp, fmt8, 100.0 * p->rapl_dram_perf_status * rapl_time_units / interval_float);
628

629
		outp += sprintf(outp, fmt8, interval_float);
630
	}
631 632 633 634
done:
	outp += sprintf(outp, "\n");

	return 0;
L
Len Brown 已提交
635 636
}

637 638 639
void flush_stdout()
{
	fputs(output_buffer, stdout);
640
	fflush(stdout);
641 642 643 644 645 646 647 648
	outp = output_buffer;
}
void flush_stderr()
{
	fputs(output_buffer, stderr);
	outp = output_buffer;
}
void format_all_counters(struct thread_data *t, struct core_data *c, struct pkg_data *p)
L
Len Brown 已提交
649
{
L
Len Brown 已提交
650
	static int printed;
L
Len Brown 已提交
651

L
Len Brown 已提交
652 653
	if (!printed || !summary_only)
		print_header();
L
Len Brown 已提交
654

655 656 657
	if (topo.num_cpus > 1)
		format_counters(&average.threads, &average.cores,
			&average.packages);
L
Len Brown 已提交
658

L
Len Brown 已提交
659 660 661 662 663
	printed = 1;

	if (summary_only)
		return;

664
	for_all_cpus(format_counters, t, c, p);
L
Len Brown 已提交
665 666
}

667 668 669 670 671 672 673
#define DELTA_WRAP32(new, old)			\
	if (new > old) {			\
		old = new - old;		\
	} else {				\
		old = 0x100000000 + new - old;	\
	}

674 675 676
void
delta_package(struct pkg_data *new, struct pkg_data *old)
{
677 678 679 680 681 682 683

	if (do_skl_residency) {
		old->pkg_wtd_core_c0 = new->pkg_wtd_core_c0 - old->pkg_wtd_core_c0;
		old->pkg_any_core_c0 = new->pkg_any_core_c0 - old->pkg_any_core_c0;
		old->pkg_any_gfxe_c0 = new->pkg_any_gfxe_c0 - old->pkg_any_gfxe_c0;
		old->pkg_both_core_gfxe_c0 = new->pkg_both_core_gfxe_c0 - old->pkg_both_core_gfxe_c0;
	}
684
	old->pc2 = new->pc2 - old->pc2;
685 686 687 688 689 690
	if (do_pc3)
		old->pc3 = new->pc3 - old->pc3;
	if (do_pc6)
		old->pc6 = new->pc6 - old->pc6;
	if (do_pc7)
		old->pc7 = new->pc7 - old->pc7;
691 692 693
	old->pc8 = new->pc8 - old->pc8;
	old->pc9 = new->pc9 - old->pc9;
	old->pc10 = new->pc10 - old->pc10;
694 695 696 697 698 699 700 701
	old->pkg_temp_c = new->pkg_temp_c;

	DELTA_WRAP32(new->energy_pkg, old->energy_pkg);
	DELTA_WRAP32(new->energy_cores, old->energy_cores);
	DELTA_WRAP32(new->energy_gfx, old->energy_gfx);
	DELTA_WRAP32(new->energy_dram, old->energy_dram);
	DELTA_WRAP32(new->rapl_pkg_perf_status, old->rapl_pkg_perf_status);
	DELTA_WRAP32(new->rapl_dram_perf_status, old->rapl_dram_perf_status);
702
}
L
Len Brown 已提交
703

704 705
void
delta_core(struct core_data *new, struct core_data *old)
L
Len Brown 已提交
706
{
707 708 709
	old->c3 = new->c3 - old->c3;
	old->c6 = new->c6 - old->c6;
	old->c7 = new->c7 - old->c7;
710
	old->core_temp_c = new->core_temp_c;
711
}
L
Len Brown 已提交
712

713 714 715
/*
 * old = new - old
 */
716 717 718 719 720 721 722
void
delta_thread(struct thread_data *new, struct thread_data *old,
	struct core_data *core_delta)
{
	old->tsc = new->tsc - old->tsc;

	/* check for TSC < 1 Mcycles over interval */
723 724 725 726
	if (old->tsc < (1000 * 1000))
		errx(-3, "Insanely slow TSC rate, TSC stops in idle?\n"
		     "You can disable all c-states by booting with \"idle=poll\"\n"
		     "or just the deep ones with \"processor.max_cstate=1\"");
L
Len Brown 已提交
727

728
	old->c1 = new->c1 - old->c1;
L
Len Brown 已提交
729

730 731 732 733 734
	if (has_aperf) {
		if ((new->aperf > old->aperf) && (new->mperf > old->mperf)) {
			old->aperf = new->aperf - old->aperf;
			old->mperf = new->mperf - old->mperf;
		} else {
L
Len Brown 已提交
735

736 737 738 739
			if (!aperf_mperf_unstable) {
				fprintf(stderr, "%s: APERF or MPERF went backwards *\n", progname);
				fprintf(stderr, "* Frequency results do not cover entire interval *\n");
				fprintf(stderr, "* fix this by running Linux-2.6.30 or later *\n");
L
Len Brown 已提交
740

741 742 743 744 745 746 747 748
				aperf_mperf_unstable = 1;
			}
			/*
			 * mperf delta is likely a huge "positive" number
			 * can not use it for calculating c0 time
			 */
			skip_c0 = 1;
			skip_c1 = 1;
L
Len Brown 已提交
749
		}
750
	}
L
Len Brown 已提交
751 752


753 754 755 756 757 758 759 760 761 762 763 764 765 766 767 768
	if (use_c1_residency_msr) {
		/*
		 * Some models have a dedicated C1 residency MSR,
		 * which should be more accurate than the derivation below.
		 */
	} else {
		/*
		 * As counter collection is not atomic,
		 * it is possible for mperf's non-halted cycles + idle states
		 * to exceed TSC's all cycles: show c1 = 0% in that case.
		 */
		if ((old->mperf + core_delta->c3 + core_delta->c6 + core_delta->c7) > old->tsc)
			old->c1 = 0;
		else {
			/* normal case, derive c1 */
			old->c1 = old->tsc - old->mperf - core_delta->c3
769
				- core_delta->c6 - core_delta->c7;
770
		}
771
	}
772

773
	if (old->mperf == 0) {
774
		if (debug > 1) fprintf(stderr, "cpu%d MPERF 0!\n", old->cpu_id);
775
		old->mperf = 1;	/* divide by 0 protection */
L
Len Brown 已提交
776
	}
777

778 779 780 781 782
	old->extra_delta32 = new->extra_delta32 - old->extra_delta32;
	old->extra_delta32 &= 0xFFFFFFFF;

	old->extra_delta64 = new->extra_delta64 - old->extra_delta64;

783
	/*
784
	 * Extra MSR is just a snapshot, simply copy latest w/o subtracting
785
	 */
786 787
	old->extra_msr32 = new->extra_msr32;
	old->extra_msr64 = new->extra_msr64;
788 789 790

	if (do_smi)
		old->smi_count = new->smi_count - old->smi_count;
791 792 793 794 795 796 797 798 799 800 801 802 803 804 805 806 807
}

int delta_cpu(struct thread_data *t, struct core_data *c,
	struct pkg_data *p, struct thread_data *t2,
	struct core_data *c2, struct pkg_data *p2)
{
	/* calculate core delta only for 1st thread in core */
	if (t->flags & CPU_IS_FIRST_THREAD_IN_CORE)
		delta_core(c, c2);

	/* always calculate thread delta */
	delta_thread(t, t2, c2);	/* c2 is core delta */

	/* calculate package delta only for 1st core in package */
	if (t->flags & CPU_IS_FIRST_CORE_IN_PACKAGE)
		delta_package(p, p2);

L
Len Brown 已提交
808 809 810
	return 0;
}

811 812 813 814 815 816 817
void clear_counters(struct thread_data *t, struct core_data *c, struct pkg_data *p)
{
	t->tsc = 0;
	t->aperf = 0;
	t->mperf = 0;
	t->c1 = 0;

818
	t->smi_count = 0;
819 820 821
	t->extra_delta32 = 0;
	t->extra_delta64 = 0;

822 823 824 825 826 827
	/* tells format_counters to dump all fields from this set */
	t->flags = CPU_IS_FIRST_THREAD_IN_CORE | CPU_IS_FIRST_CORE_IN_PACKAGE;

	c->c3 = 0;
	c->c6 = 0;
	c->c7 = 0;
828
	c->core_temp_c = 0;
829

830 831 832 833 834
	p->pkg_wtd_core_c0 = 0;
	p->pkg_any_core_c0 = 0;
	p->pkg_any_gfxe_c0 = 0;
	p->pkg_both_core_gfxe_c0 = 0;

835
	p->pc2 = 0;
836 837 838 839 840 841
	if (do_pc3)
		p->pc3 = 0;
	if (do_pc6)
		p->pc6 = 0;
	if (do_pc7)
		p->pc7 = 0;
842 843 844
	p->pc8 = 0;
	p->pc9 = 0;
	p->pc10 = 0;
845 846 847 848 849 850 851 852

	p->energy_pkg = 0;
	p->energy_dram = 0;
	p->energy_cores = 0;
	p->energy_gfx = 0;
	p->rapl_pkg_perf_status = 0;
	p->rapl_dram_perf_status = 0;
	p->pkg_temp_c = 0;
853 854 855
}
int sum_counters(struct thread_data *t, struct core_data *c,
	struct pkg_data *p)
L
Len Brown 已提交
856
{
857 858 859 860
	average.threads.tsc += t->tsc;
	average.threads.aperf += t->aperf;
	average.threads.mperf += t->mperf;
	average.threads.c1 += t->c1;
L
Len Brown 已提交
861

862 863 864
	average.threads.extra_delta32 += t->extra_delta32;
	average.threads.extra_delta64 += t->extra_delta64;

865 866 867
	/* sum per-core values only for 1st thread in core */
	if (!(t->flags & CPU_IS_FIRST_THREAD_IN_CORE))
		return 0;
L
Len Brown 已提交
868

869 870 871 872
	average.cores.c3 += c->c3;
	average.cores.c6 += c->c6;
	average.cores.c7 += c->c7;

873 874
	average.cores.core_temp_c = MAX(average.cores.core_temp_c, c->core_temp_c);

875 876 877 878
	/* sum per-pkg values only for 1st core in pkg */
	if (!(t->flags & CPU_IS_FIRST_CORE_IN_PACKAGE))
		return 0;

879 880 881 882 883 884 885
	if (do_skl_residency) {
		average.packages.pkg_wtd_core_c0 += p->pkg_wtd_core_c0;
		average.packages.pkg_any_core_c0 += p->pkg_any_core_c0;
		average.packages.pkg_any_gfxe_c0 += p->pkg_any_gfxe_c0;
		average.packages.pkg_both_core_gfxe_c0 += p->pkg_both_core_gfxe_c0;
	}

886
	average.packages.pc2 += p->pc2;
887 888 889 890 891 892
	if (do_pc3)
		average.packages.pc3 += p->pc3;
	if (do_pc6)
		average.packages.pc6 += p->pc6;
	if (do_pc7)
		average.packages.pc7 += p->pc7;
893 894 895
	average.packages.pc8 += p->pc8;
	average.packages.pc9 += p->pc9;
	average.packages.pc10 += p->pc10;
896

897 898 899 900 901 902 903 904 905
	average.packages.energy_pkg += p->energy_pkg;
	average.packages.energy_dram += p->energy_dram;
	average.packages.energy_cores += p->energy_cores;
	average.packages.energy_gfx += p->energy_gfx;

	average.packages.pkg_temp_c = MAX(average.packages.pkg_temp_c, p->pkg_temp_c);

	average.packages.rapl_pkg_perf_status += p->rapl_pkg_perf_status;
	average.packages.rapl_dram_perf_status += p->rapl_dram_perf_status;
906 907 908 909 910 911 912 913 914 915 916 917 918 919 920 921 922 923
	return 0;
}
/*
 * sum the counters for all cpus in the system
 * compute the weighted average
 */
void compute_average(struct thread_data *t, struct core_data *c,
	struct pkg_data *p)
{
	clear_counters(&average.threads, &average.cores, &average.packages);

	for_all_cpus(sum_counters, t, c, p);

	average.threads.tsc /= topo.num_cpus;
	average.threads.aperf /= topo.num_cpus;
	average.threads.mperf /= topo.num_cpus;
	average.threads.c1 /= topo.num_cpus;

924 925 926 927 928
	average.threads.extra_delta32 /= topo.num_cpus;
	average.threads.extra_delta32 &= 0xFFFFFFFF;

	average.threads.extra_delta64 /= topo.num_cpus;

929 930 931 932
	average.cores.c3 /= topo.num_cores;
	average.cores.c6 /= topo.num_cores;
	average.cores.c7 /= topo.num_cores;

933 934 935 936 937 938 939
	if (do_skl_residency) {
		average.packages.pkg_wtd_core_c0 /= topo.num_packages;
		average.packages.pkg_any_core_c0 /= topo.num_packages;
		average.packages.pkg_any_gfxe_c0 /= topo.num_packages;
		average.packages.pkg_both_core_gfxe_c0 /= topo.num_packages;
	}

940
	average.packages.pc2 /= topo.num_packages;
941 942 943 944 945 946
	if (do_pc3)
		average.packages.pc3 /= topo.num_packages;
	if (do_pc6)
		average.packages.pc6 /= topo.num_packages;
	if (do_pc7)
		average.packages.pc7 /= topo.num_packages;
947 948 949 950

	average.packages.pc8 /= topo.num_packages;
	average.packages.pc9 /= topo.num_packages;
	average.packages.pc10 /= topo.num_packages;
L
Len Brown 已提交
951 952
}

953
static unsigned long long rdtsc(void)
L
Len Brown 已提交
954
{
955
	unsigned int low, high;
956

957
	asm volatile("rdtsc" : "=a" (low), "=d" (high));
958

959 960
	return low | ((unsigned long long)high) << 32;
}
961 962


963 964 965 966 967 968 969 970
/*
 * get_counters(...)
 * migrate to cpu
 * acquire and record local counters for that cpu
 */
int get_counters(struct thread_data *t, struct core_data *c, struct pkg_data *p)
{
	int cpu = t->cpu_id;
971
	unsigned long long msr;
972

973 974
	if (cpu_migrate(cpu)) {
		fprintf(stderr, "Could not migrate to CPU %d\n", cpu);
975
		return -1;
976
	}
977

978 979 980
	t->tsc = rdtsc();	/* we are running on local CPU of interest */

	if (has_aperf) {
981
		if (get_msr(cpu, MSR_IA32_APERF, &t->aperf))
982
			return -3;
983
		if (get_msr(cpu, MSR_IA32_MPERF, &t->mperf))
984 985 986
			return -4;
	}

987 988 989 990 991
	if (do_smi) {
		if (get_msr(cpu, MSR_SMI_COUNT, &msr))
			return -5;
		t->smi_count = msr & 0xFFFFFFFF;
	}
992
	if (extra_delta_offset32) {
993
		if (get_msr(cpu, extra_delta_offset32, &msr))
994
			return -5;
995
		t->extra_delta32 = msr & 0xFFFFFFFF;
996 997 998 999
	}

	if (extra_delta_offset64)
		if (get_msr(cpu, extra_delta_offset64, &t->extra_delta64))
1000 1001
			return -5;

1002
	if (extra_msr_offset32) {
1003
		if (get_msr(cpu, extra_msr_offset32, &msr))
1004
			return -5;
1005
		t->extra_msr32 = msr & 0xFFFFFFFF;
1006 1007
	}

1008 1009
	if (extra_msr_offset64)
		if (get_msr(cpu, extra_msr_offset64, &t->extra_msr64))
1010 1011
			return -5;

1012 1013 1014 1015 1016
	if (use_c1_residency_msr) {
		if (get_msr(cpu, MSR_CORE_C1_RES, &t->c1))
			return -6;
	}

1017 1018 1019 1020
	/* collect core counters only for 1st thread in core */
	if (!(t->flags & CPU_IS_FIRST_THREAD_IN_CORE))
		return 0;

1021
	if (do_nhm_cstates && !do_slm_cstates) {
1022 1023
		if (get_msr(cpu, MSR_CORE_C3_RESIDENCY, &c->c3))
			return -6;
1024 1025 1026
	}

	if (do_nhm_cstates) {
1027 1028 1029 1030 1031 1032 1033 1034
		if (get_msr(cpu, MSR_CORE_C6_RESIDENCY, &c->c6))
			return -7;
	}

	if (do_snb_cstates)
		if (get_msr(cpu, MSR_CORE_C7_RESIDENCY, &c->c7))
			return -8;

1035 1036 1037 1038 1039 1040 1041
	if (do_dts) {
		if (get_msr(cpu, MSR_IA32_THERM_STATUS, &msr))
			return -9;
		c->core_temp_c = tcc_activation_temp - ((msr >> 16) & 0x7F);
	}


1042 1043 1044 1045
	/* collect package counters only for 1st core in package */
	if (!(t->flags & CPU_IS_FIRST_CORE_IN_PACKAGE))
		return 0;

1046 1047 1048 1049 1050 1051 1052 1053 1054 1055
	if (do_skl_residency) {
		if (get_msr(cpu, MSR_PKG_WEIGHTED_CORE_C0_RES, &p->pkg_wtd_core_c0))
			return -10;
		if (get_msr(cpu, MSR_PKG_ANY_CORE_C0_RES, &p->pkg_any_core_c0))
			return -11;
		if (get_msr(cpu, MSR_PKG_ANY_GFXE_C0_RES, &p->pkg_any_gfxe_c0))
			return -12;
		if (get_msr(cpu, MSR_PKG_BOTH_CORE_GFXE_C0_RES, &p->pkg_both_core_gfxe_c0))
			return -13;
	}
1056
	if (do_pc3)
1057 1058
		if (get_msr(cpu, MSR_PKG_C3_RESIDENCY, &p->pc3))
			return -9;
1059
	if (do_pc6)
1060 1061
		if (get_msr(cpu, MSR_PKG_C6_RESIDENCY, &p->pc6))
			return -10;
1062
	if (do_pc2)
1063 1064
		if (get_msr(cpu, MSR_PKG_C2_RESIDENCY, &p->pc2))
			return -11;
1065
	if (do_pc7)
1066 1067
		if (get_msr(cpu, MSR_PKG_C7_RESIDENCY, &p->pc7))
			return -12;
1068 1069 1070 1071 1072 1073 1074 1075
	if (do_c8_c9_c10) {
		if (get_msr(cpu, MSR_PKG_C8_RESIDENCY, &p->pc8))
			return -13;
		if (get_msr(cpu, MSR_PKG_C9_RESIDENCY, &p->pc9))
			return -13;
		if (get_msr(cpu, MSR_PKG_C10_RESIDENCY, &p->pc10))
			return -13;
	}
1076 1077 1078 1079 1080 1081 1082 1083 1084 1085 1086 1087 1088 1089 1090 1091 1092 1093 1094 1095 1096 1097 1098 1099 1100 1101 1102 1103 1104 1105 1106 1107 1108 1109 1110
	if (do_rapl & RAPL_PKG) {
		if (get_msr(cpu, MSR_PKG_ENERGY_STATUS, &msr))
			return -13;
		p->energy_pkg = msr & 0xFFFFFFFF;
	}
	if (do_rapl & RAPL_CORES) {
		if (get_msr(cpu, MSR_PP0_ENERGY_STATUS, &msr))
			return -14;
		p->energy_cores = msr & 0xFFFFFFFF;
	}
	if (do_rapl & RAPL_DRAM) {
		if (get_msr(cpu, MSR_DRAM_ENERGY_STATUS, &msr))
			return -15;
		p->energy_dram = msr & 0xFFFFFFFF;
	}
	if (do_rapl & RAPL_GFX) {
		if (get_msr(cpu, MSR_PP1_ENERGY_STATUS, &msr))
			return -16;
		p->energy_gfx = msr & 0xFFFFFFFF;
	}
	if (do_rapl & RAPL_PKG_PERF_STATUS) {
		if (get_msr(cpu, MSR_PKG_PERF_STATUS, &msr))
			return -16;
		p->rapl_pkg_perf_status = msr & 0xFFFFFFFF;
	}
	if (do_rapl & RAPL_DRAM_PERF_STATUS) {
		if (get_msr(cpu, MSR_DRAM_PERF_STATUS, &msr))
			return -16;
		p->rapl_dram_perf_status = msr & 0xFFFFFFFF;
	}
	if (do_ptm) {
		if (get_msr(cpu, MSR_IA32_PACKAGE_THERM_STATUS, &msr))
			return -17;
		p->pkg_temp_c = tcc_activation_temp - ((msr >> 16) & 0x7F);
	}
1111
	return 0;
L
Len Brown 已提交
1112 1113
}

1114 1115 1116 1117 1118 1119 1120 1121 1122 1123 1124 1125 1126 1127 1128 1129 1130 1131
/*
 * MSR_PKG_CST_CONFIG_CONTROL decoding for pkg_cstate_limit:
 * If you change the values, note they are used both in comparisons
 * (>= PCL__7) and to index pkg_cstate_limit_strings[].
 */

#define PCLUKN 0 /* Unknown */
#define PCLRSV 1 /* Reserved */
#define PCL__0 2 /* PC0 */
#define PCL__1 3 /* PC1 */
#define PCL__2 4 /* PC2 */
#define PCL__3 5 /* PC3 */
#define PCL__4 6 /* PC4 */
#define PCL__6 7 /* PC6 */
#define PCL_6N 8 /* PC6 No Retention */
#define PCL_6R 9 /* PC6 Retention */
#define PCL__7 10 /* PC7 */
#define PCL_7S 11 /* PC7 Shrink */
1132 1133 1134
#define PCL__8 12 /* PC8 */
#define PCL__9 13 /* PC9 */
#define PCLUNL 14 /* Unlimited */
1135 1136 1137

int pkg_cstate_limit = PCLUKN;
char *pkg_cstate_limit_strings[] = { "reserved", "unknown", "pc0", "pc1", "pc2",
1138
	"pc3", "pc4", "pc6", "pc6n", "pc6r", "pc7", "pc7s", "pc8", "pc9", "unlimited"};
1139

1140 1141 1142 1143 1144 1145
int nhm_pkg_cstate_limits[16] = {PCL__0, PCL__1, PCL__3, PCL__6, PCL__7, PCLRSV, PCLRSV, PCLUNL, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV};
int snb_pkg_cstate_limits[16] = {PCL__0, PCL__2, PCL_6N, PCL_6R, PCL__7, PCL_7S, PCLRSV, PCLUNL, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV};
int hsw_pkg_cstate_limits[16] = {PCL__0, PCL__2, PCL__3, PCL__6, PCL__7, PCL_7S, PCL__8, PCL__9, PCLUNL, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV};
int slv_pkg_cstate_limits[16] = {PCL__0, PCL__1, PCLRSV, PCLRSV, PCL__4, PCLRSV, PCL__6, PCL__7, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV};
int amt_pkg_cstate_limits[16] = {PCL__0, PCL__1, PCL__2, PCLRSV, PCLRSV, PCLRSV, PCL__6, PCL__7, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV};
int phi_pkg_cstate_limits[16] = {PCL__0, PCL__2, PCL_6N, PCL_6R, PCLRSV, PCLRSV, PCLRSV, PCLUNL, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV, PCLRSV};
1146

1147 1148
static void
dump_nhm_platform_info(void)
L
Len Brown 已提交
1149 1150 1151 1152
{
	unsigned long long msr;
	unsigned int ratio;

1153
	get_msr(0, MSR_NHM_PLATFORM_INFO, &msr);
L
Len Brown 已提交
1154

1155
	fprintf(stderr, "cpu0: MSR_NHM_PLATFORM_INFO: 0x%08llx\n", msr);
1156

L
Len Brown 已提交
1157
	ratio = (msr >> 40) & 0xFF;
1158
	fprintf(stderr, "%d * %.0f = %.0f MHz max efficiency frequency\n",
L
Len Brown 已提交
1159 1160 1161
		ratio, bclk, ratio * bclk);

	ratio = (msr >> 8) & 0xFF;
1162
	fprintf(stderr, "%d * %.0f = %.0f MHz base frequency\n",
L
Len Brown 已提交
1163 1164
		ratio, bclk, ratio * bclk);

1165
	get_msr(0, MSR_IA32_POWER_CTL, &msr);
1166
	fprintf(stderr, "cpu0: MSR_IA32_POWER_CTL: 0x%08llx (C1E auto-promotion: %sabled)\n",
1167 1168
		msr, msr & 0x2 ? "EN" : "DIS");

1169 1170 1171 1172 1173 1174 1175 1176 1177 1178 1179 1180 1181 1182 1183 1184 1185 1186 1187 1188 1189 1190 1191 1192 1193 1194 1195 1196 1197 1198
	return;
}

static void
dump_hsw_turbo_ratio_limits(void)
{
	unsigned long long msr;
	unsigned int ratio;

	get_msr(0, MSR_TURBO_RATIO_LIMIT2, &msr);

	fprintf(stderr, "cpu0: MSR_TURBO_RATIO_LIMIT2: 0x%08llx\n", msr);

	ratio = (msr >> 8) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 18 active cores\n",
			ratio, bclk, ratio * bclk);

	ratio = (msr >> 0) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 17 active cores\n",
			ratio, bclk, ratio * bclk);
	return;
}

static void
dump_ivt_turbo_ratio_limits(void)
{
	unsigned long long msr;
	unsigned int ratio;
1199

1200
	get_msr(0, MSR_TURBO_RATIO_LIMIT1, &msr);
1201

1202
	fprintf(stderr, "cpu0: MSR_TURBO_RATIO_LIMIT1: 0x%08llx\n", msr);
1203 1204 1205 1206 1207 1208 1209 1210 1211 1212 1213 1214 1215 1216 1217 1218 1219 1220 1221 1222 1223 1224 1225 1226 1227 1228 1229 1230 1231 1232 1233 1234 1235 1236 1237 1238 1239 1240 1241 1242

	ratio = (msr >> 56) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 16 active cores\n",
			ratio, bclk, ratio * bclk);

	ratio = (msr >> 48) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 15 active cores\n",
			ratio, bclk, ratio * bclk);

	ratio = (msr >> 40) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 14 active cores\n",
			ratio, bclk, ratio * bclk);

	ratio = (msr >> 32) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 13 active cores\n",
			ratio, bclk, ratio * bclk);

	ratio = (msr >> 24) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 12 active cores\n",
			ratio, bclk, ratio * bclk);

	ratio = (msr >> 16) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 11 active cores\n",
			ratio, bclk, ratio * bclk);

	ratio = (msr >> 8) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 10 active cores\n",
			ratio, bclk, ratio * bclk);

	ratio = (msr >> 0) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 9 active cores\n",
			ratio, bclk, ratio * bclk);
1243 1244
	return;
}
1245

1246 1247 1248 1249 1250
static void
dump_nhm_turbo_ratio_limits(void)
{
	unsigned long long msr;
	unsigned int ratio;
L
Len Brown 已提交
1251

1252
	get_msr(0, MSR_TURBO_RATIO_LIMIT, &msr);
L
Len Brown 已提交
1253

1254
	fprintf(stderr, "cpu0: MSR_TURBO_RATIO_LIMIT: 0x%08llx\n", msr);
1255 1256 1257 1258 1259 1260 1261 1262 1263 1264 1265 1266 1267 1268 1269 1270 1271 1272 1273 1274 1275

	ratio = (msr >> 56) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 8 active cores\n",
			ratio, bclk, ratio * bclk);

	ratio = (msr >> 48) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 7 active cores\n",
			ratio, bclk, ratio * bclk);

	ratio = (msr >> 40) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 6 active cores\n",
			ratio, bclk, ratio * bclk);

	ratio = (msr >> 32) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 5 active cores\n",
			ratio, bclk, ratio * bclk);

L
Len Brown 已提交
1276 1277 1278 1279 1280 1281 1282 1283 1284 1285 1286 1287 1288 1289 1290 1291 1292 1293 1294
	ratio = (msr >> 24) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 4 active cores\n",
			ratio, bclk, ratio * bclk);

	ratio = (msr >> 16) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 3 active cores\n",
			ratio, bclk, ratio * bclk);

	ratio = (msr >> 8) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 2 active cores\n",
			ratio, bclk, ratio * bclk);

	ratio = (msr >> 0) & 0xFF;
	if (ratio)
		fprintf(stderr, "%d * %.0f = %.0f MHz max turbo 1 active cores\n",
			ratio, bclk, ratio * bclk);
1295 1296
	return;
}
1297

1298 1299 1300 1301 1302 1303 1304 1305 1306 1307 1308 1309 1310 1311 1312 1313 1314 1315 1316 1317 1318
static void
dump_nhm_cst_cfg(void)
{
	unsigned long long msr;

	get_msr(0, MSR_NHM_SNB_PKG_CST_CFG_CTL, &msr);

#define SNB_C1_AUTO_UNDEMOTE              (1UL << 27)
#define SNB_C3_AUTO_UNDEMOTE              (1UL << 28)

	fprintf(stderr, "cpu0: MSR_NHM_SNB_PKG_CST_CFG_CTL: 0x%08llx", msr);

	fprintf(stderr, " (%s%s%s%s%slocked: pkg-cstate-limit=%d: %s)\n",
		(msr & SNB_C3_AUTO_UNDEMOTE) ? "UNdemote-C3, " : "",
		(msr & SNB_C1_AUTO_UNDEMOTE) ? "UNdemote-C1, " : "",
		(msr & NHM_C3_AUTO_DEMOTE) ? "demote-C3, " : "",
		(msr & NHM_C1_AUTO_DEMOTE) ? "demote-C1, " : "",
		(msr & (1 << 15)) ? "" : "UN",
		(unsigned int)msr & 7,
		pkg_cstate_limit_strings[pkg_cstate_limit]);
	return;
L
Len Brown 已提交
1319 1320
}

1321
void free_all_buffers(void)
L
Len Brown 已提交
1322
{
1323 1324 1325
	CPU_FREE(cpu_present_set);
	cpu_present_set = NULL;
	cpu_present_set = 0;
L
Len Brown 已提交
1326

1327 1328 1329
	CPU_FREE(cpu_affinity_set);
	cpu_affinity_set = NULL;
	cpu_affinity_setsize = 0;
L
Len Brown 已提交
1330

1331 1332 1333
	free(thread_even);
	free(core_even);
	free(package_even);
L
Len Brown 已提交
1334

1335 1336 1337
	thread_even = NULL;
	core_even = NULL;
	package_even = NULL;
L
Len Brown 已提交
1338

1339 1340 1341
	free(thread_odd);
	free(core_odd);
	free(package_odd);
L
Len Brown 已提交
1342

1343 1344 1345
	thread_odd = NULL;
	core_odd = NULL;
	package_odd = NULL;
L
Len Brown 已提交
1346

1347 1348 1349
	free(output_buffer);
	output_buffer = NULL;
	outp = NULL;
L
Len Brown 已提交
1350 1351
}

1352 1353 1354 1355 1356 1357
/*
 * Open a file, and exit on failure
 */
FILE *fopen_or_die(const char *path, const char *mode)
{
	FILE *filep = fopen(path, "r");
1358 1359
	if (!filep)
		err(1, "%s: open failed", path);
1360 1361 1362
	return filep;
}

1363
/*
1364
 * Parse a file containing a single int.
1365
 */
1366
int parse_int_file(const char *fmt, ...)
L
Len Brown 已提交
1367
{
1368 1369
	va_list args;
	char path[PATH_MAX];
1370
	FILE *filep;
1371
	int value;
L
Len Brown 已提交
1372

1373 1374 1375
	va_start(args, fmt);
	vsnprintf(path, sizeof(path), fmt, args);
	va_end(args);
1376
	filep = fopen_or_die(path, "r");
1377 1378
	if (fscanf(filep, "%d", &value) != 1)
		err(1, "%s: failed to parse number from file", path);
1379
	fclose(filep);
1380 1381 1382 1383 1384 1385 1386 1387 1388 1389
	return value;
}

/*
 * cpu_is_first_sibling_in_core(cpu)
 * return 1 if given CPU is 1st HT sibling in the core
 */
int cpu_is_first_sibling_in_core(int cpu)
{
	return cpu == parse_int_file("/sys/devices/system/cpu/cpu%d/topology/thread_siblings_list", cpu);
L
Len Brown 已提交
1390 1391
}

1392 1393 1394 1395 1396
/*
 * cpu_is_first_core_in_package(cpu)
 * return 1 if given CPU is 1st core in package
 */
int cpu_is_first_core_in_package(int cpu)
L
Len Brown 已提交
1397
{
1398
	return cpu == parse_int_file("/sys/devices/system/cpu/cpu%d/topology/core_siblings_list", cpu);
L
Len Brown 已提交
1399 1400 1401 1402
}

int get_physical_package_id(int cpu)
{
1403
	return parse_int_file("/sys/devices/system/cpu/cpu%d/topology/physical_package_id", cpu);
L
Len Brown 已提交
1404 1405 1406 1407
}

int get_core_id(int cpu)
{
1408
	return parse_int_file("/sys/devices/system/cpu/cpu%d/topology/core_id", cpu);
L
Len Brown 已提交
1409 1410
}

1411 1412 1413 1414 1415 1416 1417 1418 1419
int get_num_ht_siblings(int cpu)
{
	char path[80];
	FILE *filep;
	int sib1, sib2;
	int matches;
	char character;

	sprintf(path, "/sys/devices/system/cpu/cpu%d/topology/thread_siblings_list", cpu);
1420
	filep = fopen_or_die(path, "r");
1421 1422 1423 1424 1425 1426 1427 1428 1429 1430 1431 1432 1433 1434 1435
	/*
	 * file format:
	 * if a pair of number with a character between: 2 siblings (eg. 1-2, or 1,4)
	 * otherwinse 1 sibling (self).
	 */
	matches = fscanf(filep, "%d%c%d\n", &sib1, &character, &sib2);

	fclose(filep);

	if (matches == 3)
		return 2;
	else
		return 1;
}

L
Len Brown 已提交
1436
/*
1437 1438
 * run func(thread, core, package) in topology order
 * skip non-present cpus
L
Len Brown 已提交
1439 1440
 */

1441 1442 1443 1444 1445 1446 1447 1448 1449 1450 1451 1452 1453 1454 1455 1456 1457 1458 1459 1460 1461 1462 1463 1464 1465 1466 1467 1468 1469 1470 1471 1472 1473 1474 1475 1476 1477 1478 1479 1480 1481 1482 1483 1484
int for_all_cpus_2(int (func)(struct thread_data *, struct core_data *,
	struct pkg_data *, struct thread_data *, struct core_data *,
	struct pkg_data *), struct thread_data *thread_base,
	struct core_data *core_base, struct pkg_data *pkg_base,
	struct thread_data *thread_base2, struct core_data *core_base2,
	struct pkg_data *pkg_base2)
{
	int retval, pkg_no, core_no, thread_no;

	for (pkg_no = 0; pkg_no < topo.num_packages; ++pkg_no) {
		for (core_no = 0; core_no < topo.num_cores_per_pkg; ++core_no) {
			for (thread_no = 0; thread_no <
				topo.num_threads_per_core; ++thread_no) {
				struct thread_data *t, *t2;
				struct core_data *c, *c2;
				struct pkg_data *p, *p2;

				t = GET_THREAD(thread_base, thread_no, core_no, pkg_no);

				if (cpu_is_not_present(t->cpu_id))
					continue;

				t2 = GET_THREAD(thread_base2, thread_no, core_no, pkg_no);

				c = GET_CORE(core_base, core_no, pkg_no);
				c2 = GET_CORE(core_base2, core_no, pkg_no);

				p = GET_PKG(pkg_base, pkg_no);
				p2 = GET_PKG(pkg_base2, pkg_no);

				retval = func(t, c, p, t2, c2, p2);
				if (retval)
					return retval;
			}
		}
	}
	return 0;
}

/*
 * run func(cpu) on every cpu in /proc/stat
 * return max_cpu number
 */
int for_all_proc_cpus(int (func)(int))
L
Len Brown 已提交
1485 1486
{
	FILE *fp;
1487
	int cpu_num;
L
Len Brown 已提交
1488 1489
	int retval;

1490
	fp = fopen_or_die(proc_stat, "r");
L
Len Brown 已提交
1491 1492

	retval = fscanf(fp, "cpu %*d %*d %*d %*d %*d %*d %*d %*d %*d %*d\n");
1493 1494
	if (retval != 0)
		err(1, "%s: failed to parse format", proc_stat);
L
Len Brown 已提交
1495

1496 1497
	while (1) {
		retval = fscanf(fp, "cpu%u %*d %*d %*d %*d %*d %*d %*d %*d %*d %*d\n", &cpu_num);
L
Len Brown 已提交
1498 1499 1500
		if (retval != 1)
			break;

1501 1502 1503 1504 1505
		retval = func(cpu_num);
		if (retval) {
			fclose(fp);
			return(retval);
		}
L
Len Brown 已提交
1506 1507
	}
	fclose(fp);
1508
	return 0;
L
Len Brown 已提交
1509 1510 1511 1512
}

void re_initialize(void)
{
1513 1514 1515
	free_all_buffers();
	setup_all_buffers();
	printf("turbostat: re-initialized with num_cpus %d\n", topo.num_cpus);
L
Len Brown 已提交
1516 1517
}

1518

L
Len Brown 已提交
1519
/*
1520 1521
 * count_cpus()
 * remember the last one seen, it will be the max
L
Len Brown 已提交
1522
 */
1523
int count_cpus(int cpu)
L
Len Brown 已提交
1524
{
1525 1526
	if (topo.max_cpu_num < cpu)
		topo.max_cpu_num = cpu;
L
Len Brown 已提交
1527

1528 1529 1530 1531 1532 1533
	topo.num_cpus += 1;
	return 0;
}
int mark_cpu_present(int cpu)
{
	CPU_SET_S(cpu, cpu_present_setsize, cpu_present_set);
1534
	return 0;
L
Len Brown 已提交
1535 1536 1537 1538
}

void turbostat_loop()
{
1539
	int retval;
1540
	int restarted = 0;
1541

L
Len Brown 已提交
1542
restart:
1543 1544
	restarted++;

1545
	retval = for_all_cpus(get_counters, EVEN_COUNTERS);
1546 1547 1548
	if (retval < -1) {
		exit(retval);
	} else if (retval == -1) {
1549 1550 1551
		if (restarted > 1) {
			exit(retval);
		}
1552 1553 1554
		re_initialize();
		goto restart;
	}
1555
	restarted = 0;
L
Len Brown 已提交
1556 1557 1558
	gettimeofday(&tv_even, (struct timezone *)NULL);

	while (1) {
1559
		if (for_all_proc_cpus(cpu_is_not_present)) {
L
Len Brown 已提交
1560 1561 1562 1563
			re_initialize();
			goto restart;
		}
		sleep(interval_sec);
1564
		retval = for_all_cpus(get_counters, ODD_COUNTERS);
1565 1566 1567
		if (retval < -1) {
			exit(retval);
		} else if (retval == -1) {
1568 1569 1570
			re_initialize();
			goto restart;
		}
L
Len Brown 已提交
1571 1572
		gettimeofday(&tv_odd, (struct timezone *)NULL);
		timersub(&tv_odd, &tv_even, &tv_delta);
1573 1574 1575 1576
		for_all_cpus_2(delta_cpu, ODD_COUNTERS, EVEN_COUNTERS);
		compute_average(EVEN_COUNTERS);
		format_all_counters(EVEN_COUNTERS);
		flush_stdout();
1577
		sleep(interval_sec);
1578
		retval = for_all_cpus(get_counters, EVEN_COUNTERS);
1579 1580 1581
		if (retval < -1) {
			exit(retval);
		} else if (retval == -1) {
L
Len Brown 已提交
1582 1583 1584 1585 1586
			re_initialize();
			goto restart;
		}
		gettimeofday(&tv_even, (struct timezone *)NULL);
		timersub(&tv_even, &tv_odd, &tv_delta);
1587 1588 1589 1590
		for_all_cpus_2(delta_cpu, EVEN_COUNTERS, ODD_COUNTERS);
		compute_average(ODD_COUNTERS);
		format_all_counters(ODD_COUNTERS);
		flush_stdout();
L
Len Brown 已提交
1591 1592 1593 1594 1595 1596 1597
	}
}

void check_dev_msr()
{
	struct stat sb;

1598
	if (stat("/dev/cpu/0/msr", &sb))
1599 1600
 		if (system("/sbin/modprobe msr > /dev/null 2>&1"))
			err(-5, "no /dev/cpu/0/msr, Try \"# modprobe msr\" ");
L
Len Brown 已提交
1601 1602
}

1603
void check_permissions()
L
Len Brown 已提交
1604
{
1605 1606 1607 1608 1609 1610 1611 1612 1613 1614 1615 1616 1617 1618 1619 1620 1621 1622 1623 1624 1625 1626 1627 1628 1629 1630 1631 1632
	struct __user_cap_header_struct cap_header_data;
	cap_user_header_t cap_header = &cap_header_data;
	struct __user_cap_data_struct cap_data_data;
	cap_user_data_t cap_data = &cap_data_data;
	extern int capget(cap_user_header_t hdrp, cap_user_data_t datap);
	int do_exit = 0;

	/* check for CAP_SYS_RAWIO */
	cap_header->pid = getpid();
	cap_header->version = _LINUX_CAPABILITY_VERSION;
	if (capget(cap_header, cap_data) < 0)
		err(-6, "capget(2) failed");

	if ((cap_data->effective & (1 << CAP_SYS_RAWIO)) == 0) {
		do_exit++;
		warnx("capget(CAP_SYS_RAWIO) failed,"
			" try \"# setcap cap_sys_rawio=ep %s\"", progname);
	}

	/* test file permissions */
	if (euidaccess("/dev/cpu/0/msr", R_OK)) {
		do_exit++;
		warn("/dev/cpu/0/msr open failed, try chown or chmod +r /dev/cpu/*/msr");
	}

	/* if all else fails, thell them to be root */
	if (do_exit)
		if (getuid() != 0)
1633
			warnx("... or simply run as root");
1634 1635 1636

	if (do_exit)
		exit(-6);
L
Len Brown 已提交
1637 1638
}

1639 1640 1641 1642 1643 1644 1645 1646 1647 1648 1649 1650 1651
/*
 * NHM adds support for additional MSRs:
 *
 * MSR_SMI_COUNT                   0x00000034
 *
 * MSR_NHM_PLATFORM_INFO           0x000000ce
 * MSR_NHM_SNB_PKG_CST_CFG_CTL     0x000000e2
 *
 * MSR_PKG_C3_RESIDENCY            0x000003f8
 * MSR_PKG_C6_RESIDENCY            0x000003f9
 * MSR_CORE_C3_RESIDENCY           0x000003fc
 * MSR_CORE_C6_RESIDENCY           0x000003fd
 *
1652 1653
 * Side effect:
 * sets global pkg_cstate_limit to decode MSR_NHM_SNB_PKG_CST_CFG_CTL
1654
 */
1655
int probe_nhm_msrs(unsigned int family, unsigned int model)
L
Len Brown 已提交
1656
{
1657 1658 1659
	unsigned long long msr;
	int *pkg_cstate_limits;

L
Len Brown 已提交
1660 1661 1662 1663 1664 1665 1666 1667 1668 1669 1670 1671
	if (!genuine_intel)
		return 0;

	if (family != 6)
		return 0;

	switch (model) {
	case 0x1A:	/* Core i7, Xeon 5500 series - Bloomfield, Gainstown NHM-EP */
	case 0x1E:	/* Core i7 and i5 Processor - Clarksfield, Lynnfield, Jasper Forest */
	case 0x1F:	/* Core i7 and i5 Processor - Nehalem */
	case 0x25:	/* Westmere Client - Clarkdale, Arrandale */
	case 0x2C:	/* Westmere EP - Gulftown */
1672 1673 1674 1675
	case 0x2E:	/* Nehalem-EX Xeon - Beckton */
	case 0x2F:	/* Westmere-EX Xeon - Eagleton */
		pkg_cstate_limits = nhm_pkg_cstate_limits;
		break;
L
Len Brown 已提交
1676 1677
	case 0x2A:	/* SNB */
	case 0x2D:	/* SNB Xeon */
1678
	case 0x3A:	/* IVB */
1679
	case 0x3E:	/* IVB Xeon */
1680 1681
		pkg_cstate_limits = snb_pkg_cstate_limits;
		break;
1682
	case 0x3C:	/* HSW */
L
Len Brown 已提交
1683
	case 0x3F:	/* HSX */
1684
	case 0x45:	/* HSW */
1685
	case 0x46:	/* HSW */
1686
	case 0x3D:	/* BDW */
1687
	case 0x47:	/* BDW */
1688 1689
	case 0x4F:	/* BDX */
	case 0x56:	/* BDX-DE */
1690 1691
	case 0x4E:	/* SKL */
	case 0x5E:	/* SKL */
1692 1693 1694 1695 1696 1697 1698 1699 1700 1701 1702 1703
		pkg_cstate_limits = hsw_pkg_cstate_limits;
		break;
	case 0x37:	/* BYT */
	case 0x4D:	/* AVN */
		pkg_cstate_limits = slv_pkg_cstate_limits;
		break;
	case 0x4C:	/* AMT */
		pkg_cstate_limits = amt_pkg_cstate_limits;
		break;
	case 0x57:	/* PHI */
		pkg_cstate_limits = phi_pkg_cstate_limits;
		break;
L
Len Brown 已提交
1704 1705 1706
	default:
		return 0;
	}
1707 1708
	get_msr(0, MSR_NHM_SNB_PKG_CST_CFG_CTL, &msr);

1709
	pkg_cstate_limit = pkg_cstate_limits[msr & 0xF];
1710 1711

	return 1;
L
Len Brown 已提交
1712
}
1713 1714 1715 1716 1717 1718 1719 1720 1721 1722 1723
int has_nhm_turbo_ratio_limit(unsigned int family, unsigned int model)
{
	switch (model) {
	/* Nehalem compatible, but do not include turbo-ratio limit support */
	case 0x2E:	/* Nehalem-EX Xeon - Beckton */
	case 0x2F:	/* Westmere-EX Xeon - Eagleton */
		return 0;
	default:
		return 1;
	}
}
1724 1725 1726 1727 1728 1729 1730 1731 1732 1733
int has_ivt_turbo_ratio_limit(unsigned int family, unsigned int model)
{
	if (!genuine_intel)
		return 0;

	if (family != 6)
		return 0;

	switch (model) {
	case 0x3E:	/* IVB Xeon */
1734 1735 1736 1737 1738 1739 1740 1741 1742 1743 1744 1745 1746 1747 1748 1749
	case 0x3F:	/* HSW Xeon */
		return 1;
	default:
		return 0;
	}
}
int has_hsw_turbo_ratio_limit(unsigned int family, unsigned int model)
{
	if (!genuine_intel)
		return 0;

	if (family != 6)
		return 0;

	switch (model) {
	case 0x3F:	/* HSW Xeon */
1750 1751 1752 1753 1754 1755
		return 1;
	default:
		return 0;
	}
}

1756 1757 1758 1759 1760 1761 1762 1763 1764 1765 1766 1767 1768 1769 1770 1771 1772 1773 1774 1775 1776
static void
dump_cstate_pstate_config_info(family, model)
{
	if (!do_nhm_platform_info)
		return;

	dump_nhm_platform_info();

	if (has_hsw_turbo_ratio_limit(family, model))
		dump_hsw_turbo_ratio_limits();

	if (has_ivt_turbo_ratio_limit(family, model))
		dump_ivt_turbo_ratio_limits();

	if (has_nhm_turbo_ratio_limit(family, model))
		dump_nhm_turbo_ratio_limits();

	dump_nhm_cst_cfg();
}


1777 1778 1779 1780 1781 1782 1783 1784 1785 1786 1787 1788 1789 1790 1791 1792 1793 1794 1795 1796 1797 1798 1799 1800 1801 1802 1803 1804 1805 1806 1807 1808 1809 1810 1811 1812 1813 1814 1815 1816 1817 1818 1819 1820 1821 1822
/*
 * print_epb()
 * Decode the ENERGY_PERF_BIAS MSR
 */
int print_epb(struct thread_data *t, struct core_data *c, struct pkg_data *p)
{
	unsigned long long msr;
	char *epb_string;
	int cpu;

	if (!has_epb)
		return 0;

	cpu = t->cpu_id;

	/* EPB is per-package */
	if (!(t->flags & CPU_IS_FIRST_THREAD_IN_CORE) || !(t->flags & CPU_IS_FIRST_CORE_IN_PACKAGE))
		return 0;

	if (cpu_migrate(cpu)) {
		fprintf(stderr, "Could not migrate to CPU %d\n", cpu);
		return -1;
	}

	if (get_msr(cpu, MSR_IA32_ENERGY_PERF_BIAS, &msr))
		return 0;

	switch (msr & 0x7) {
	case ENERGY_PERF_BIAS_PERFORMANCE:
		epb_string = "performance";
		break;
	case ENERGY_PERF_BIAS_NORMAL:
		epb_string = "balanced";
		break;
	case ENERGY_PERF_BIAS_POWERSAVE:
		epb_string = "powersave";
		break;
	default:
		epb_string = "custom";
		break;
	}
	fprintf(stderr, "cpu%d: MSR_IA32_ENERGY_PERF_BIAS: 0x%08llx (%s)\n", cpu, msr, epb_string);

	return 0;
}

1823 1824 1825 1826 1827 1828 1829 1830 1831 1832 1833 1834 1835 1836 1837 1838 1839 1840 1841 1842 1843 1844 1845
/*
 * print_perf_limit()
 */
int print_perf_limit(struct thread_data *t, struct core_data *c, struct pkg_data *p)
{
	unsigned long long msr;
	int cpu;

	cpu = t->cpu_id;

	/* per-package */
	if (!(t->flags & CPU_IS_FIRST_THREAD_IN_CORE) || !(t->flags & CPU_IS_FIRST_CORE_IN_PACKAGE))
		return 0;

	if (cpu_migrate(cpu)) {
		fprintf(stderr, "Could not migrate to CPU %d\n", cpu);
		return -1;
	}

	if (do_core_perf_limit_reasons) {
		get_msr(cpu, MSR_CORE_PERF_LIMIT_REASONS, &msr);
		fprintf(stderr, "cpu%d: MSR_CORE_PERF_LIMIT_REASONS, 0x%08llx", cpu, msr);
		fprintf(stderr, " (Active: %s%s%s%s%s%s%s%s%s%s%s%s%s%s)",
1846
			(msr & 1 << 15) ? "bit15, " : "",
1847
			(msr & 1 << 14) ? "bit14, " : "",
1848 1849 1850 1851 1852 1853 1854 1855 1856 1857 1858 1859
			(msr & 1 << 13) ? "Transitions, " : "",
			(msr & 1 << 12) ? "MultiCoreTurbo, " : "",
			(msr & 1 << 11) ? "PkgPwrL2, " : "",
			(msr & 1 << 10) ? "PkgPwrL1, " : "",
			(msr & 1 << 9) ? "CorePwr, " : "",
			(msr & 1 << 8) ? "Amps, " : "",
			(msr & 1 << 6) ? "VR-Therm, " : "",
			(msr & 1 << 5) ? "Auto-HWP, " : "",
			(msr & 1 << 4) ? "Graphics, " : "",
			(msr & 1 << 2) ? "bit2, " : "",
			(msr & 1 << 1) ? "ThermStatus, " : "",
			(msr & 1 << 0) ? "PROCHOT, " : "");
1860
		fprintf(stderr, " (Logged: %s%s%s%s%s%s%s%s%s%s%s%s%s%s)\n",
1861
			(msr & 1 << 31) ? "bit31, " : "",
1862
			(msr & 1 << 30) ? "bit30, " : "",
1863 1864 1865 1866 1867 1868 1869 1870 1871 1872 1873 1874
			(msr & 1 << 29) ? "Transitions, " : "",
			(msr & 1 << 28) ? "MultiCoreTurbo, " : "",
			(msr & 1 << 27) ? "PkgPwrL2, " : "",
			(msr & 1 << 26) ? "PkgPwrL1, " : "",
			(msr & 1 << 25) ? "CorePwr, " : "",
			(msr & 1 << 24) ? "Amps, " : "",
			(msr & 1 << 22) ? "VR-Therm, " : "",
			(msr & 1 << 21) ? "Auto-HWP, " : "",
			(msr & 1 << 20) ? "Graphics, " : "",
			(msr & 1 << 18) ? "bit18, " : "",
			(msr & 1 << 17) ? "ThermStatus, " : "",
			(msr & 1 << 16) ? "PROCHOT, " : "");
1875 1876 1877 1878 1879 1880 1881 1882 1883 1884 1885 1886 1887 1888 1889 1890 1891 1892 1893 1894 1895 1896 1897 1898 1899 1900 1901 1902 1903 1904 1905 1906 1907 1908 1909 1910 1911 1912 1913 1914 1915 1916 1917 1918 1919

	}
	if (do_gfx_perf_limit_reasons) {
		get_msr(cpu, MSR_GFX_PERF_LIMIT_REASONS, &msr);
		fprintf(stderr, "cpu%d: MSR_GFX_PERF_LIMIT_REASONS, 0x%08llx", cpu, msr);
		fprintf(stderr, " (Active: %s%s%s%s%s%s%s%s)",
			(msr & 1 << 0) ? "PROCHOT, " : "",
			(msr & 1 << 1) ? "ThermStatus, " : "",
			(msr & 1 << 4) ? "Graphics, " : "",
			(msr & 1 << 6) ? "VR-Therm, " : "",
			(msr & 1 << 8) ? "Amps, " : "",
			(msr & 1 << 9) ? "GFXPwr, " : "",
			(msr & 1 << 10) ? "PkgPwrL1, " : "",
			(msr & 1 << 11) ? "PkgPwrL2, " : "");
		fprintf(stderr, " (Logged: %s%s%s%s%s%s%s%s)\n",
			(msr & 1 << 16) ? "PROCHOT, " : "",
			(msr & 1 << 17) ? "ThermStatus, " : "",
			(msr & 1 << 20) ? "Graphics, " : "",
			(msr & 1 << 22) ? "VR-Therm, " : "",
			(msr & 1 << 24) ? "Amps, " : "",
			(msr & 1 << 25) ? "GFXPwr, " : "",
			(msr & 1 << 26) ? "PkgPwrL1, " : "",
			(msr & 1 << 27) ? "PkgPwrL2, " : "");
	}
	if (do_ring_perf_limit_reasons) {
		get_msr(cpu, MSR_RING_PERF_LIMIT_REASONS, &msr);
		fprintf(stderr, "cpu%d: MSR_RING_PERF_LIMIT_REASONS, 0x%08llx", cpu, msr);
		fprintf(stderr, " (Active: %s%s%s%s%s%s)",
			(msr & 1 << 0) ? "PROCHOT, " : "",
			(msr & 1 << 1) ? "ThermStatus, " : "",
			(msr & 1 << 6) ? "VR-Therm, " : "",
			(msr & 1 << 8) ? "Amps, " : "",
			(msr & 1 << 10) ? "PkgPwrL1, " : "",
			(msr & 1 << 11) ? "PkgPwrL2, " : "");
		fprintf(stderr, " (Logged: %s%s%s%s%s%s)\n",
			(msr & 1 << 16) ? "PROCHOT, " : "",
			(msr & 1 << 17) ? "ThermStatus, " : "",
			(msr & 1 << 22) ? "VR-Therm, " : "",
			(msr & 1 << 24) ? "Amps, " : "",
			(msr & 1 << 26) ? "PkgPwrL1, " : "",
			(msr & 1 << 27) ? "PkgPwrL2, " : "");
	}
	return 0;
}

1920 1921 1922
#define	RAPL_POWER_GRANULARITY	0x7FFF	/* 15 bit power granularity */
#define	RAPL_TIME_GRANULARITY	0x3F /* 6 bit time granularity */

1923 1924 1925 1926 1927 1928 1929 1930 1931 1932 1933 1934 1935 1936 1937 1938 1939
double get_tdp(model)
{
	unsigned long long msr;

	if (do_rapl & RAPL_PKG_POWER_INFO)
		if (!get_msr(0, MSR_PKG_POWER_INFO, &msr))
			return ((msr >> 0) & RAPL_POWER_GRANULARITY) * rapl_power_units;

	switch (model) {
	case 0x37:
	case 0x4D:
		return 30.0;
	default:
		return 135.0;
	}
}

1940 1941 1942 1943 1944 1945 1946 1947 1948 1949 1950 1951 1952 1953 1954 1955 1956 1957 1958
/*
 * rapl_dram_energy_units_probe()
 * Energy units are either hard-coded, or come from RAPL Energy Unit MSR.
 */
static double
rapl_dram_energy_units_probe(int  model, double rapl_energy_units)
{
	/* only called for genuine_intel, family 6 */

	switch (model) {
	case 0x3F:	/* HSX */
	case 0x4F:	/* BDX */
	case 0x56:	/* BDX-DE */
		return (rapl_dram_energy_units = 15.3 / 1000000);
	default:
		return (rapl_energy_units);
	}
}

1959

1960 1961 1962
/*
 * rapl_probe()
 *
1963
 * sets do_rapl, rapl_power_units, rapl_energy_units, rapl_time_units
1964 1965 1966 1967
 */
void rapl_probe(unsigned int family, unsigned int model)
{
	unsigned long long msr;
1968
	unsigned int time_unit;
1969 1970 1971 1972 1973 1974 1975 1976 1977 1978 1979
	double tdp;

	if (!genuine_intel)
		return;

	if (family != 6)
		return;

	switch (model) {
	case 0x2A:
	case 0x3A:
1980 1981
	case 0x3C:	/* HSW */
	case 0x45:	/* HSW */
1982
	case 0x46:	/* HSW */
1983
	case 0x3D:	/* BDW */
1984
	case 0x47:	/* BDW */
1985
		do_rapl = RAPL_PKG | RAPL_CORES | RAPL_CORE_POLICY | RAPL_GFX | RAPL_PKG_POWER_INFO;
1986
		break;
1987 1988 1989 1990
	case 0x4E:	/* SKL */
	case 0x5E:	/* SKL */
		do_rapl = RAPL_PKG | RAPL_DRAM | RAPL_DRAM_PERF_STATUS | RAPL_PKG_PERF_STATUS | RAPL_PKG_POWER_INFO;
		break;
L
Len Brown 已提交
1991
	case 0x3F:	/* HSX */
1992 1993
	case 0x4F:	/* BDX */
	case 0x56:	/* BDX-DE */
1994
		do_rapl = RAPL_PKG | RAPL_DRAM | RAPL_DRAM_POWER_INFO | RAPL_DRAM_PERF_STATUS | RAPL_PKG_PERF_STATUS | RAPL_PKG_POWER_INFO;
L
Len Brown 已提交
1995
		break;
1996 1997
	case 0x2D:
	case 0x3E:
1998
		do_rapl = RAPL_PKG | RAPL_CORES | RAPL_CORE_POLICY | RAPL_DRAM | RAPL_DRAM_POWER_INFO | RAPL_PKG_PERF_STATUS | RAPL_DRAM_PERF_STATUS | RAPL_PKG_POWER_INFO;
1999 2000 2001 2002
		break;
	case 0x37:	/* BYT */
	case 0x4D:	/* AVN */
		do_rapl = RAPL_PKG | RAPL_CORES ;
2003 2004 2005 2006 2007 2008 2009 2010 2011 2012
		break;
	default:
		return;
	}

	/* units on package 0, verify later other packages match */
	if (get_msr(0, MSR_RAPL_POWER_UNIT, &msr))
		return;

	rapl_power_units = 1.0 / (1 << (msr & 0xF));
2013 2014 2015 2016
	if (model == 0x37)
		rapl_energy_units = 1.0 * (1 << (msr >> 8 & 0x1F)) / 1000000;
	else
		rapl_energy_units = 1.0 / (1 << (msr >> 8 & 0x1F));
2017

2018 2019
	rapl_dram_energy_units = rapl_dram_energy_units_probe(model, rapl_energy_units);

2020 2021 2022
	time_unit = msr >> 16 & 0xF;
	if (time_unit == 0)
		time_unit = 0xA;
2023

2024
	rapl_time_units = 1.0 / (1 << (time_unit));
2025

2026
	tdp = get_tdp(model);
2027

2028
	rapl_joule_counter_range = 0xFFFFFFFF * rapl_energy_units / tdp;
2029
	if (debug)
2030
		fprintf(stderr, "RAPL: %.0f sec. Joule Counter Range, at %.0f Watts\n", rapl_joule_counter_range, tdp);
2031 2032 2033 2034

	return;
}

2035 2036 2037 2038 2039 2040 2041 2042 2043 2044 2045 2046 2047 2048 2049 2050 2051 2052 2053 2054 2055
void perf_limit_reasons_probe(family, model)
{
	if (!genuine_intel)
		return;

	if (family != 6)
		return;

	switch (model) {
	case 0x3C:	/* HSW */
	case 0x45:	/* HSW */
	case 0x46:	/* HSW */
		do_gfx_perf_limit_reasons = 1;
	case 0x3F:	/* HSX */
		do_core_perf_limit_reasons = 1;
		do_ring_perf_limit_reasons = 1;
	default:
		return;
	}
}

2056 2057 2058 2059 2060 2061 2062 2063 2064 2065 2066 2067 2068 2069 2070 2071 2072 2073 2074 2075 2076 2077 2078 2079 2080 2081 2082 2083 2084 2085 2086 2087 2088 2089 2090 2091 2092 2093 2094 2095 2096 2097 2098 2099 2100 2101 2102 2103 2104 2105 2106 2107 2108 2109 2110 2111 2112 2113 2114 2115 2116 2117 2118 2119 2120 2121 2122 2123 2124 2125 2126 2127 2128 2129 2130 2131 2132 2133 2134 2135 2136 2137 2138 2139 2140 2141 2142 2143 2144 2145 2146 2147 2148 2149 2150 2151 2152 2153
int print_thermal(struct thread_data *t, struct core_data *c, struct pkg_data *p)
{
	unsigned long long msr;
	unsigned int dts;
	int cpu;

	if (!(do_dts || do_ptm))
		return 0;

	cpu = t->cpu_id;

	/* DTS is per-core, no need to print for each thread */
	if (!(t->flags & CPU_IS_FIRST_THREAD_IN_CORE)) 
		return 0;

	if (cpu_migrate(cpu)) {
		fprintf(stderr, "Could not migrate to CPU %d\n", cpu);
		return -1;
	}

	if (do_ptm && (t->flags & CPU_IS_FIRST_CORE_IN_PACKAGE)) {
		if (get_msr(cpu, MSR_IA32_PACKAGE_THERM_STATUS, &msr))
			return 0;

		dts = (msr >> 16) & 0x7F;
		fprintf(stderr, "cpu%d: MSR_IA32_PACKAGE_THERM_STATUS: 0x%08llx (%d C)\n",
			cpu, msr, tcc_activation_temp - dts);

#ifdef	THERM_DEBUG
		if (get_msr(cpu, MSR_IA32_PACKAGE_THERM_INTERRUPT, &msr))
			return 0;

		dts = (msr >> 16) & 0x7F;
		dts2 = (msr >> 8) & 0x7F;
		fprintf(stderr, "cpu%d: MSR_IA32_PACKAGE_THERM_INTERRUPT: 0x%08llx (%d C, %d C)\n",
			cpu, msr, tcc_activation_temp - dts, tcc_activation_temp - dts2);
#endif
	}


	if (do_dts) {
		unsigned int resolution;

		if (get_msr(cpu, MSR_IA32_THERM_STATUS, &msr))
			return 0;

		dts = (msr >> 16) & 0x7F;
		resolution = (msr >> 27) & 0xF;
		fprintf(stderr, "cpu%d: MSR_IA32_THERM_STATUS: 0x%08llx (%d C +/- %d)\n",
			cpu, msr, tcc_activation_temp - dts, resolution);

#ifdef THERM_DEBUG
		if (get_msr(cpu, MSR_IA32_THERM_INTERRUPT, &msr))
			return 0;

		dts = (msr >> 16) & 0x7F;
		dts2 = (msr >> 8) & 0x7F;
		fprintf(stderr, "cpu%d: MSR_IA32_THERM_INTERRUPT: 0x%08llx (%d C, %d C)\n",
			cpu, msr, tcc_activation_temp - dts, tcc_activation_temp - dts2);
#endif
	}

	return 0;
}
	
void print_power_limit_msr(int cpu, unsigned long long msr, char *label)
{
	fprintf(stderr, "cpu%d: %s: %sabled (%f Watts, %f sec, clamp %sabled)\n",
		cpu, label,
		((msr >> 15) & 1) ? "EN" : "DIS",
		((msr >> 0) & 0x7FFF) * rapl_power_units,
		(1.0 + (((msr >> 22) & 0x3)/4.0)) * (1 << ((msr >> 17) & 0x1F)) * rapl_time_units,
		(((msr >> 16) & 1) ? "EN" : "DIS"));

	return;
}

int print_rapl(struct thread_data *t, struct core_data *c, struct pkg_data *p)
{
	unsigned long long msr;
	int cpu;

	if (!do_rapl)
		return 0;

	/* RAPL counters are per package, so print only for 1st thread/package */
	if (!(t->flags & CPU_IS_FIRST_THREAD_IN_CORE) || !(t->flags & CPU_IS_FIRST_CORE_IN_PACKAGE))
		return 0;

	cpu = t->cpu_id;
	if (cpu_migrate(cpu)) {
		fprintf(stderr, "Could not migrate to CPU %d\n", cpu);
		return -1;
	}

	if (get_msr(cpu, MSR_RAPL_POWER_UNIT, &msr))
		return -1;

2154
	if (debug) {
2155 2156
		fprintf(stderr, "cpu%d: MSR_RAPL_POWER_UNIT: 0x%08llx "
			"(%f Watts, %f Joules, %f sec.)\n", cpu, msr,
2157
			rapl_power_units, rapl_energy_units, rapl_time_units);
2158
	}
2159 2160
	if (do_rapl & RAPL_PKG_POWER_INFO) {

2161 2162 2163 2164 2165 2166 2167 2168 2169 2170 2171
		if (get_msr(cpu, MSR_PKG_POWER_INFO, &msr))
                	return -5;


		fprintf(stderr, "cpu%d: MSR_PKG_POWER_INFO: 0x%08llx (%.0f W TDP, RAPL %.0f - %.0f W, %f sec.)\n",
			cpu, msr,
			((msr >>  0) & RAPL_POWER_GRANULARITY) * rapl_power_units,
			((msr >> 16) & RAPL_POWER_GRANULARITY) * rapl_power_units,
			((msr >> 32) & RAPL_POWER_GRANULARITY) * rapl_power_units,
			((msr >> 48) & RAPL_TIME_GRANULARITY) * rapl_time_units);

2172 2173 2174
	}
	if (do_rapl & RAPL_PKG) {

2175 2176 2177 2178 2179 2180 2181 2182 2183 2184 2185 2186 2187 2188 2189
		if (get_msr(cpu, MSR_PKG_POWER_LIMIT, &msr))
			return -9;

		fprintf(stderr, "cpu%d: MSR_PKG_POWER_LIMIT: 0x%08llx (%slocked)\n",
			cpu, msr, (msr >> 63) & 1 ? "": "UN");

		print_power_limit_msr(cpu, msr, "PKG Limit #1");
		fprintf(stderr, "cpu%d: PKG Limit #2: %sabled (%f Watts, %f* sec, clamp %sabled)\n",
			cpu,
			((msr >> 47) & 1) ? "EN" : "DIS",
			((msr >> 32) & 0x7FFF) * rapl_power_units,
			(1.0 + (((msr >> 54) & 0x3)/4.0)) * (1 << ((msr >> 49) & 0x1F)) * rapl_time_units,
			((msr >> 48) & 1) ? "EN" : "DIS");
	}

2190
	if (do_rapl & RAPL_DRAM_POWER_INFO) {
2191 2192 2193 2194 2195 2196 2197 2198 2199
		if (get_msr(cpu, MSR_DRAM_POWER_INFO, &msr))
                	return -6;

		fprintf(stderr, "cpu%d: MSR_DRAM_POWER_INFO,: 0x%08llx (%.0f W TDP, RAPL %.0f - %.0f W, %f sec.)\n",
			cpu, msr,
			((msr >>  0) & RAPL_POWER_GRANULARITY) * rapl_power_units,
			((msr >> 16) & RAPL_POWER_GRANULARITY) * rapl_power_units,
			((msr >> 32) & RAPL_POWER_GRANULARITY) * rapl_power_units,
			((msr >> 48) & RAPL_TIME_GRANULARITY) * rapl_time_units);
2200 2201
	}
	if (do_rapl & RAPL_DRAM) {
2202 2203 2204 2205 2206 2207 2208
		if (get_msr(cpu, MSR_DRAM_POWER_LIMIT, &msr))
			return -9;
		fprintf(stderr, "cpu%d: MSR_DRAM_POWER_LIMIT: 0x%08llx (%slocked)\n",
				cpu, msr, (msr >> 31) & 1 ? "": "UN");

		print_power_limit_msr(cpu, msr, "DRAM Limit");
	}
2209
	if (do_rapl & RAPL_CORE_POLICY) {
2210
		if (debug) {
2211 2212 2213 2214
			if (get_msr(cpu, MSR_PP0_POLICY, &msr))
				return -7;

			fprintf(stderr, "cpu%d: MSR_PP0_POLICY: %lld\n", cpu, msr & 0xF);
2215 2216 2217
		}
	}
	if (do_rapl & RAPL_CORES) {
2218
		if (debug) {
2219 2220 2221 2222 2223 2224 2225 2226 2227

			if (get_msr(cpu, MSR_PP0_POWER_LIMIT, &msr))
				return -9;
			fprintf(stderr, "cpu%d: MSR_PP0_POWER_LIMIT: 0x%08llx (%slocked)\n",
					cpu, msr, (msr >> 31) & 1 ? "": "UN");
			print_power_limit_msr(cpu, msr, "Cores Limit");
		}
	}
	if (do_rapl & RAPL_GFX) {
2228
		if (debug) {
2229 2230 2231 2232 2233 2234 2235 2236 2237 2238 2239 2240 2241 2242 2243
			if (get_msr(cpu, MSR_PP1_POLICY, &msr))
				return -8;

			fprintf(stderr, "cpu%d: MSR_PP1_POLICY: %lld\n", cpu, msr & 0xF);

			if (get_msr(cpu, MSR_PP1_POWER_LIMIT, &msr))
				return -9;
			fprintf(stderr, "cpu%d: MSR_PP1_POWER_LIMIT: 0x%08llx (%slocked)\n",
					cpu, msr, (msr >> 31) & 1 ? "": "UN");
			print_power_limit_msr(cpu, msr, "GFX Limit");
		}
	}
	return 0;
}

2244 2245 2246 2247 2248 2249 2250
/*
 * SNB adds support for additional MSRs:
 *
 * MSR_PKG_C7_RESIDENCY            0x000003fa
 * MSR_CORE_C7_RESIDENCY           0x000003fe
 * MSR_PKG_C2_RESIDENCY            0x0000060d
 */
L
Len Brown 已提交
2251

2252
int has_snb_msrs(unsigned int family, unsigned int model)
L
Len Brown 已提交
2253 2254 2255 2256 2257 2258 2259
{
	if (!genuine_intel)
		return 0;

	switch (model) {
	case 0x2A:
	case 0x2D:
2260
	case 0x3A:	/* IVB */
2261
	case 0x3E:	/* IVB Xeon */
2262 2263 2264
	case 0x3C:	/* HSW */
	case 0x3F:	/* HSW */
	case 0x45:	/* HSW */
2265
	case 0x46:	/* HSW */
2266
	case 0x3D:	/* BDW */
2267
	case 0x47:	/* BDW */
2268 2269
	case 0x4F:	/* BDX */
	case 0x56:	/* BDX-DE */
2270 2271
	case 0x4E:	/* SKL */
	case 0x5E:	/* SKL */
L
Len Brown 已提交
2272 2273 2274 2275 2276
		return 1;
	}
	return 0;
}

2277 2278 2279 2280 2281 2282 2283 2284
/*
 * HSW adds support for additional MSRs:
 *
 * MSR_PKG_C8_RESIDENCY            0x00000630
 * MSR_PKG_C9_RESIDENCY            0x00000631
 * MSR_PKG_C10_RESIDENCY           0x00000632
 */
int has_hsw_msrs(unsigned int family, unsigned int model)
2285 2286 2287 2288 2289
{
	if (!genuine_intel)
		return 0;

	switch (model) {
2290 2291
	case 0x45:	/* HSW */
	case 0x3D:	/* BDW */
2292 2293 2294 2295 2296 2297 2298 2299 2300 2301 2302 2303 2304 2305 2306 2307 2308 2309 2310 2311 2312 2313 2314
	case 0x4E:	/* SKL */
	case 0x5E:	/* SKL */
		return 1;
	}
	return 0;
}

/*
 * SKL adds support for additional MSRS:
 *
 * MSR_PKG_WEIGHTED_CORE_C0_RES    0x00000658
 * MSR_PKG_ANY_CORE_C0_RES         0x00000659
 * MSR_PKG_ANY_GFXE_C0_RES         0x0000065A
 * MSR_PKG_BOTH_CORE_GFXE_C0_RES   0x0000065B
 */
int has_skl_msrs(unsigned int family, unsigned int model)
{
	if (!genuine_intel)
		return 0;

	switch (model) {
	case 0x4E:	/* SKL */
	case 0x5E:	/* SKL */
2315 2316 2317 2318 2319 2320
		return 1;
	}
	return 0;
}


2321

2322 2323 2324 2325 2326 2327 2328 2329 2330 2331 2332 2333 2334 2335 2336 2337 2338 2339 2340 2341 2342 2343 2344 2345 2346 2347 2348 2349 2350 2351 2352 2353 2354 2355 2356 2357
int is_slm(unsigned int family, unsigned int model)
{
	if (!genuine_intel)
		return 0;
	switch (model) {
	case 0x37:	/* BYT */
	case 0x4D:	/* AVN */
		return 1;
	}
	return 0;
}

#define SLM_BCLK_FREQS 5
double slm_freq_table[SLM_BCLK_FREQS] = { 83.3, 100.0, 133.3, 116.7, 80.0};

double slm_bclk(void)
{
	unsigned long long msr = 3;
	unsigned int i;
	double freq;

	if (get_msr(0, MSR_FSB_FREQ, &msr))
		fprintf(stderr, "SLM BCLK: unknown\n");

	i = msr & 0xf;
	if (i >= SLM_BCLK_FREQS) {
		fprintf(stderr, "SLM BCLK[%d] invalid\n", i);
		msr = 3;
	}
	freq = slm_freq_table[i];

	fprintf(stderr, "SLM BCLK: %.1f Mhz\n", freq);

	return freq;
}

L
Len Brown 已提交
2358 2359
double discover_bclk(unsigned int family, unsigned int model)
{
2360
	if (has_snb_msrs(family, model))
L
Len Brown 已提交
2361
		return 100.00;
2362 2363
	else if (is_slm(family, model))
		return slm_bclk();
L
Len Brown 已提交
2364 2365 2366 2367
	else
		return 133.33;
}

2368 2369 2370 2371 2372 2373 2374 2375 2376 2377 2378 2379 2380 2381 2382 2383 2384 2385 2386 2387 2388 2389 2390 2391 2392 2393 2394 2395 2396 2397 2398 2399 2400 2401 2402 2403 2404 2405 2406 2407
/*
 * MSR_IA32_TEMPERATURE_TARGET indicates the temperature where
 * the Thermal Control Circuit (TCC) activates.
 * This is usually equal to tjMax.
 *
 * Older processors do not have this MSR, so there we guess,
 * but also allow cmdline over-ride with -T.
 *
 * Several MSR temperature values are in units of degrees-C
 * below this value, including the Digital Thermal Sensor (DTS),
 * Package Thermal Management Sensor (PTM), and thermal event thresholds.
 */
int set_temperature_target(struct thread_data *t, struct core_data *c, struct pkg_data *p)
{
	unsigned long long msr;
	unsigned int target_c_local;
	int cpu;

	/* tcc_activation_temp is used only for dts or ptm */
	if (!(do_dts || do_ptm))
		return 0;

	/* this is a per-package concept */
	if (!(t->flags & CPU_IS_FIRST_THREAD_IN_CORE) || !(t->flags & CPU_IS_FIRST_CORE_IN_PACKAGE))
		return 0;

	cpu = t->cpu_id;
	if (cpu_migrate(cpu)) {
		fprintf(stderr, "Could not migrate to CPU %d\n", cpu);
		return -1;
	}

	if (tcc_activation_temp_override != 0) {
		tcc_activation_temp = tcc_activation_temp_override;
		fprintf(stderr, "cpu%d: Using cmdline TCC Target (%d C)\n",
			cpu, tcc_activation_temp);
		return 0;
	}

	/* Temperature Target MSR is Nehalem and newer only */
2408
	if (!do_nhm_platform_info)
2409 2410 2411 2412 2413
		goto guess;

	if (get_msr(0, MSR_IA32_TEMPERATURE_TARGET, &msr))
		goto guess;

2414
	target_c_local = (msr >> 16) & 0xFF;
2415

2416
	if (debug)
2417 2418 2419
		fprintf(stderr, "cpu%d: MSR_IA32_TEMPERATURE_TARGET: 0x%08llx (%d C)\n",
			cpu, msr, target_c_local);

2420
	if (!target_c_local)
2421 2422 2423 2424 2425 2426 2427 2428 2429 2430 2431 2432 2433
		goto guess;

	tcc_activation_temp = target_c_local;

	return 0;

guess:
	tcc_activation_temp = TJMAX_DEFAULT;
	fprintf(stderr, "cpu%d: Guessing tjMax %d C, Please use -T to specify\n",
		cpu, tcc_activation_temp);

	return 0;
}
2434
void process_cpuid()
L
Len Brown 已提交
2435 2436 2437 2438 2439 2440
{
	unsigned int eax, ebx, ecx, edx, max_level;
	unsigned int fms, family, model, stepping;

	eax = ebx = ecx = edx = 0;

2441
	__get_cpuid(0, &max_level, &ebx, &ecx, &edx);
L
Len Brown 已提交
2442 2443 2444 2445

	if (ebx == 0x756e6547 && edx == 0x49656e69 && ecx == 0x6c65746e)
		genuine_intel = 1;

2446
	if (debug)
2447
		fprintf(stderr, "CPUID(0): %.4s%.4s%.4s ",
L
Len Brown 已提交
2448 2449
			(char *)&ebx, (char *)&edx, (char *)&ecx);

2450
	__get_cpuid(1, &fms, &ebx, &ecx, &edx);
L
Len Brown 已提交
2451 2452 2453 2454 2455 2456
	family = (fms >> 8) & 0xf;
	model = (fms >> 4) & 0xf;
	stepping = fms & 0xf;
	if (family == 6 || family == 0xf)
		model += ((fms >> 16) & 0xf) << 4;

2457
	if (debug)
L
Len Brown 已提交
2458 2459 2460
		fprintf(stderr, "%d CPUID levels; family:model:stepping 0x%x:%x:%x (%d:%d:%d)\n",
			max_level, family, model, stepping, family, model, stepping);

2461 2462
	if (!(edx & (1 << 5)))
		errx(1, "CPUID: no MSR");
L
Len Brown 已提交
2463 2464 2465 2466 2467 2468 2469

	/*
	 * check max extended function levels of CPUID.
	 * This is needed to check for invariant TSC.
	 * This check is valid for both Intel and AMD.
	 */
	ebx = ecx = edx = 0;
2470
	__get_cpuid(0x80000000, &max_level, &ebx, &ecx, &edx);
L
Len Brown 已提交
2471

2472
	if (max_level >= 0x80000007) {
L
Len Brown 已提交
2473

2474 2475 2476 2477 2478 2479 2480
		/*
		 * Non-Stop TSC is advertised by CPUID.EAX=0x80000007: EDX.bit8
		 * this check is valid for both Intel and AMD
		 */
		__get_cpuid(0x80000007, &eax, &ebx, &ecx, &edx);
		has_invariant_tsc = edx & (1 << 8);
	}
L
Len Brown 已提交
2481 2482 2483 2484 2485 2486

	/*
	 * APERF/MPERF is advertised by CPUID.EAX=0x6: ECX.bit0
	 * this check is valid for both Intel and AMD
	 */

2487
	__get_cpuid(0x6, &eax, &ebx, &ecx, &edx);
2488
	has_aperf = ecx & (1 << 0);
2489 2490 2491 2492
	do_dts = eax & (1 << 0);
	do_ptm = eax & (1 << 6);
	has_epb = ecx & (1 << 3);

2493
	if (debug)
2494 2495 2496 2497 2498
		fprintf(stderr, "CPUID(6): %sAPERF, %sDTS, %sPTM, %sEPB\n",
			has_aperf ? "" : "No ",
			do_dts ? "" : "No ",
			do_ptm ? "" : "No ",
			has_epb ? "" : "No ");
L
Len Brown 已提交
2499

2500 2501 2502 2503 2504 2505 2506 2507 2508 2509 2510 2511 2512 2513 2514 2515 2516 2517 2518 2519 2520 2521 2522 2523 2524 2525 2526 2527 2528 2529 2530 2531 2532 2533 2534
	if (max_level > 0x15) {
		unsigned int eax_crystal;
		unsigned int ebx_tsc;

		/*
		 * CPUID 15H TSC/Crystal ratio, possibly Crystal Hz
		 */
		eax_crystal = ebx_tsc = crystal_hz = edx = 0;
		__get_cpuid(0x15, &eax_crystal, &ebx_tsc, &crystal_hz, &edx);

		if (ebx_tsc != 0) {

			if (debug && (ebx != 0))
				fprintf(stderr, "CPUID(0x15): eax_crystal: %d ebx_tsc: %d ecx_crystal_hz: %d\n",
					eax_crystal, ebx_tsc, crystal_hz);

			if (crystal_hz == 0)
				switch(model) {
				case 0x4E:	/* SKL */
				case 0x5E:	/* SKL */
					crystal_hz = 24000000;	/* 24 MHz */
					break;
				default:
					crystal_hz = 0;
			}

			if (crystal_hz) {
				tsc_hz =  (unsigned long long) crystal_hz * ebx_tsc / eax_crystal;
				if (debug)
					fprintf(stderr, "TSC: %lld MHz (%d Hz * %d / %d / 1000000)\n",
						tsc_hz / 1000000, crystal_hz, ebx_tsc,  eax_crystal);
			}
		}
	}

2535
	do_nhm_platform_info = do_nhm_cstates = do_smi = probe_nhm_msrs(family, model);
2536
	do_snb_cstates = has_snb_msrs(family, model);
2537 2538 2539 2540
	do_pc2 = do_snb_cstates && (pkg_cstate_limit >= PCL__2);
	do_pc3 = (pkg_cstate_limit >= PCL__3);
	do_pc6 = (pkg_cstate_limit >= PCL__6);
	do_pc7 = do_snb_cstates && (pkg_cstate_limit >= PCL__7);
2541
	do_c8_c9_c10 = has_hsw_msrs(family, model);
2542
	do_skl_residency = has_skl_msrs(family, model);
2543
	do_slm_cstates = is_slm(family, model);
L
Len Brown 已提交
2544 2545
	bclk = discover_bclk(family, model);

2546
	rapl_probe(family, model);
2547
	perf_limit_reasons_probe(family, model);
2548

2549 2550 2551
	if (debug)
		dump_cstate_pstate_config_info();

2552
	return;
L
Len Brown 已提交
2553 2554
}

2555
void help()
L
Len Brown 已提交
2556
{
2557 2558 2559 2560 2561 2562 2563 2564 2565 2566 2567 2568 2569 2570 2571 2572 2573
	fprintf(stderr,
	"Usage: turbostat [OPTIONS][(--interval seconds) | COMMAND ...]\n"
	"\n"
	"Turbostat forks the specified COMMAND and prints statistics\n"
	"when COMMAND completes.\n"
	"If no COMMAND is specified, turbostat wakes every 5-seconds\n"
	"to print statistics, until interrupted.\n"
	"--debug	run in \"debug\" mode\n"
	"--interval sec	Override default 5-second measurement interval\n"
	"--help		print this help message\n"
	"--counter msr	print 32-bit counter at address \"msr\"\n"
	"--Counter msr	print 64-bit Counter at address \"msr\"\n"
	"--msr msr	print 32-bit value at address \"msr\"\n"
	"--MSR msr	print 64-bit Value at address \"msr\"\n"
	"--version	print version information\n"
	"\n"
	"For more help, run \"man turbostat\"\n");
L
Len Brown 已提交
2574 2575 2576 2577 2578 2579 2580 2581 2582 2583 2584 2585 2586 2587 2588 2589 2590 2591 2592 2593
}


/*
 * in /dev/cpu/ return success for names that are numbers
 * ie. filter out ".", "..", "microcode".
 */
int dir_filter(const struct dirent *dirp)
{
	if (isdigit(dirp->d_name[0]))
		return 1;
	else
		return 0;
}

int open_dev_cpu_msr(int dummy1)
{
	return 0;
}

2594 2595 2596 2597 2598 2599 2600 2601 2602 2603 2604 2605 2606 2607 2608 2609 2610 2611
void topology_probe()
{
	int i;
	int max_core_id = 0;
	int max_package_id = 0;
	int max_siblings = 0;
	struct cpu_topology {
		int core_id;
		int physical_package_id;
	} *cpus;

	/* Initialize num_cpus, max_cpu_num */
	topo.num_cpus = 0;
	topo.max_cpu_num = 0;
	for_all_proc_cpus(count_cpus);
	if (!summary_only && topo.num_cpus > 1)
		show_cpu = 1;

2612
	if (debug > 1)
2613 2614 2615
		fprintf(stderr, "num_cpus %d max_cpu_num %d\n", topo.num_cpus, topo.max_cpu_num);

	cpus = calloc(1, (topo.max_cpu_num  + 1) * sizeof(struct cpu_topology));
2616 2617
	if (cpus == NULL)
		err(1, "calloc cpus");
2618 2619 2620 2621 2622

	/*
	 * Allocate and initialize cpu_present_set
	 */
	cpu_present_set = CPU_ALLOC((topo.max_cpu_num + 1));
2623 2624
	if (cpu_present_set == NULL)
		err(3, "CPU_ALLOC");
2625 2626 2627 2628 2629 2630 2631 2632
	cpu_present_setsize = CPU_ALLOC_SIZE((topo.max_cpu_num + 1));
	CPU_ZERO_S(cpu_present_setsize, cpu_present_set);
	for_all_proc_cpus(mark_cpu_present);

	/*
	 * Allocate and initialize cpu_affinity_set
	 */
	cpu_affinity_set = CPU_ALLOC((topo.max_cpu_num + 1));
2633 2634
	if (cpu_affinity_set == NULL)
		err(3, "CPU_ALLOC");
2635 2636 2637 2638 2639 2640 2641 2642 2643 2644 2645 2646
	cpu_affinity_setsize = CPU_ALLOC_SIZE((topo.max_cpu_num + 1));
	CPU_ZERO_S(cpu_affinity_setsize, cpu_affinity_set);


	/*
	 * For online cpus
	 * find max_core_id, max_package_id
	 */
	for (i = 0; i <= topo.max_cpu_num; ++i) {
		int siblings;

		if (cpu_is_not_present(i)) {
2647
			if (debug > 1)
2648 2649 2650 2651 2652 2653 2654 2655 2656 2657 2658 2659 2660 2661
				fprintf(stderr, "cpu%d NOT PRESENT\n", i);
			continue;
		}
		cpus[i].core_id = get_core_id(i);
		if (cpus[i].core_id > max_core_id)
			max_core_id = cpus[i].core_id;

		cpus[i].physical_package_id = get_physical_package_id(i);
		if (cpus[i].physical_package_id > max_package_id)
			max_package_id = cpus[i].physical_package_id;

		siblings = get_num_ht_siblings(i);
		if (siblings > max_siblings)
			max_siblings = siblings;
2662
		if (debug > 1)
2663 2664 2665 2666
			fprintf(stderr, "cpu %d pkg %d core %d\n",
				i, cpus[i].physical_package_id, cpus[i].core_id);
	}
	topo.num_cores_per_pkg = max_core_id + 1;
2667
	if (debug > 1)
2668 2669
		fprintf(stderr, "max_core_id %d, sizing for %d cores per package\n",
			max_core_id, topo.num_cores_per_pkg);
2670
	if (debug && !summary_only && topo.num_cores_per_pkg > 1)
2671 2672 2673
		show_core = 1;

	topo.num_packages = max_package_id + 1;
2674
	if (debug > 1)
2675 2676
		fprintf(stderr, "max_package_id %d, sizing for %d packages\n",
			max_package_id, topo.num_packages);
2677
	if (debug && !summary_only && topo.num_packages > 1)
2678 2679 2680
		show_pkg = 1;

	topo.num_threads_per_core = max_siblings;
2681
	if (debug > 1)
2682 2683 2684 2685 2686 2687 2688 2689 2690 2691 2692 2693 2694 2695 2696 2697 2698 2699 2700 2701 2702 2703 2704 2705 2706 2707 2708 2709 2710 2711 2712 2713 2714 2715 2716 2717
		fprintf(stderr, "max_siblings %d\n", max_siblings);

	free(cpus);
}

void
allocate_counters(struct thread_data **t, struct core_data **c, struct pkg_data **p)
{
	int i;

	*t = calloc(topo.num_threads_per_core * topo.num_cores_per_pkg *
		topo.num_packages, sizeof(struct thread_data));
	if (*t == NULL)
		goto error;

	for (i = 0; i < topo.num_threads_per_core *
		topo.num_cores_per_pkg * topo.num_packages; i++)
		(*t)[i].cpu_id = -1;

	*c = calloc(topo.num_cores_per_pkg * topo.num_packages,
		sizeof(struct core_data));
	if (*c == NULL)
		goto error;

	for (i = 0; i < topo.num_cores_per_pkg * topo.num_packages; i++)
		(*c)[i].core_id = -1;

	*p = calloc(topo.num_packages, sizeof(struct pkg_data));
	if (*p == NULL)
		goto error;

	for (i = 0; i < topo.num_packages; i++)
		(*p)[i].package_id = i;

	return;
error:
2718
	err(1, "calloc counters");
2719 2720 2721 2722 2723 2724 2725 2726 2727 2728 2729 2730 2731 2732 2733 2734 2735 2736 2737 2738 2739 2740 2741 2742 2743 2744 2745 2746 2747 2748 2749 2750 2751 2752 2753 2754 2755 2756 2757 2758 2759 2760 2761 2762 2763 2764 2765 2766 2767 2768 2769 2770 2771 2772
}
/*
 * init_counter()
 *
 * set cpu_id, core_num, pkg_num
 * set FIRST_THREAD_IN_CORE and FIRST_CORE_IN_PACKAGE
 *
 * increment topo.num_cores when 1st core in pkg seen
 */
void init_counter(struct thread_data *thread_base, struct core_data *core_base,
	struct pkg_data *pkg_base, int thread_num, int core_num,
	int pkg_num, int cpu_id)
{
	struct thread_data *t;
	struct core_data *c;
	struct pkg_data *p;

	t = GET_THREAD(thread_base, thread_num, core_num, pkg_num);
	c = GET_CORE(core_base, core_num, pkg_num);
	p = GET_PKG(pkg_base, pkg_num);

	t->cpu_id = cpu_id;
	if (thread_num == 0) {
		t->flags |= CPU_IS_FIRST_THREAD_IN_CORE;
		if (cpu_is_first_core_in_package(cpu_id))
			t->flags |= CPU_IS_FIRST_CORE_IN_PACKAGE;
	}

	c->core_id = core_num;
	p->package_id = pkg_num;
}


int initialize_counters(int cpu_id)
{
	int my_thread_id, my_core_id, my_package_id;

	my_package_id = get_physical_package_id(cpu_id);
	my_core_id = get_core_id(cpu_id);

	if (cpu_is_first_sibling_in_core(cpu_id)) {
		my_thread_id = 0;
		topo.num_cores++;
	} else {
		my_thread_id = 1;
	}

	init_counter(EVEN_COUNTERS, my_thread_id, my_core_id, my_package_id, cpu_id);
	init_counter(ODD_COUNTERS, my_thread_id, my_core_id, my_package_id, cpu_id);
	return 0;
}

void allocate_output_buffer()
{
2773
	output_buffer = calloc(1, (1 + topo.num_cpus) * 1024);
2774
	outp = output_buffer;
2775 2776
	if (outp == NULL)
		err(-1, "calloc output buffer");
2777 2778 2779 2780 2781 2782 2783 2784 2785 2786
}

void setup_all_buffers(void)
{
	topology_probe();
	allocate_counters(&thread_even, &core_even, &package_even);
	allocate_counters(&thread_odd, &core_odd, &package_odd);
	allocate_output_buffer();
	for_all_proc_cpus(initialize_counters);
}
2787

L
Len Brown 已提交
2788 2789 2790
void turbostat_init()
{
	check_dev_msr();
2791
	check_permissions();
2792
	process_cpuid();
L
Len Brown 已提交
2793

2794
	setup_all_buffers();
L
Len Brown 已提交
2795

2796
	if (debug)
2797 2798
		for_all_cpus(print_epb, ODD_COUNTERS);

2799
	if (debug)
2800 2801
		for_all_cpus(print_perf_limit, ODD_COUNTERS);

2802
	if (debug)
2803 2804 2805 2806
		for_all_cpus(print_rapl, ODD_COUNTERS);

	for_all_cpus(set_temperature_target, ODD_COUNTERS);

2807
	if (debug)
2808
		for_all_cpus(print_thermal, ODD_COUNTERS);
L
Len Brown 已提交
2809 2810 2811 2812 2813
}

int fork_it(char **argv)
{
	pid_t child_pid;
2814
	int status;
2815

2816 2817 2818
	status = for_all_cpus(get_counters, EVEN_COUNTERS);
	if (status)
		exit(status);
2819 2820
	/* clear affinity side-effect of get_counters() */
	sched_setaffinity(0, cpu_present_setsize, cpu_present_set);
L
Len Brown 已提交
2821 2822 2823 2824 2825 2826 2827 2828 2829
	gettimeofday(&tv_even, (struct timezone *)NULL);

	child_pid = fork();
	if (!child_pid) {
		/* child */
		execvp(argv[0], argv);
	} else {

		/* parent */
2830 2831
		if (child_pid == -1)
			err(1, "fork");
L
Len Brown 已提交
2832 2833 2834

		signal(SIGINT, SIG_IGN);
		signal(SIGQUIT, SIG_IGN);
2835 2836
		if (waitpid(child_pid, &status, 0) == -1)
			err(status, "waitpid");
L
Len Brown 已提交
2837
	}
2838 2839 2840 2841 2842
	/*
	 * n.b. fork_it() does not check for errors from for_all_cpus()
	 * because re-starting is problematic when forking
	 */
	for_all_cpus(get_counters, ODD_COUNTERS);
L
Len Brown 已提交
2843 2844
	gettimeofday(&tv_odd, (struct timezone *)NULL);
	timersub(&tv_odd, &tv_even, &tv_delta);
2845 2846 2847 2848
	for_all_cpus_2(delta_cpu, ODD_COUNTERS, EVEN_COUNTERS);
	compute_average(EVEN_COUNTERS);
	format_all_counters(EVEN_COUNTERS);
	flush_stderr();
L
Len Brown 已提交
2849

2850
	fprintf(stderr, "%.6f sec\n", tv_delta.tv_sec + tv_delta.tv_usec/1000000.0);
L
Len Brown 已提交
2851

2852
	return status;
L
Len Brown 已提交
2853 2854
}

2855 2856 2857 2858 2859 2860 2861 2862 2863 2864 2865 2866 2867 2868 2869 2870 2871
int get_and_dump_counters(void)
{
	int status;

	status = for_all_cpus(get_counters, ODD_COUNTERS);
	if (status)
		return status;

	status = for_all_cpus(dump_counters, ODD_COUNTERS);
	if (status)
		return status;

	flush_stdout();

	return status;
}

2872
void print_version() {
2873
	fprintf(stderr, "turbostat version 4.5 2 Apr, 2015"
2874 2875 2876
		" - Len Brown <lenb@kernel.org>\n");
}

L
Len Brown 已提交
2877 2878 2879
void cmdline(int argc, char **argv)
{
	int opt;
2880 2881 2882 2883 2884 2885 2886 2887 2888 2889 2890 2891 2892 2893 2894 2895 2896 2897
	int option_index = 0;
	static struct option long_options[] = {
		{"Counter",	required_argument,	0, 'C'},
		{"counter",	required_argument,	0, 'c'},
		{"Dump",	no_argument,		0, 'D'},
		{"debug",	no_argument,		0, 'd'},
		{"interval",	required_argument,	0, 'i'},
		{"help",	no_argument,		0, 'h'},
		{"Joules",	no_argument,		0, 'J'},
		{"MSR",		required_argument,	0, 'M'},
		{"msr",		required_argument,	0, 'm'},
		{"Package",	no_argument,		0, 'p'},
		{"processor",	no_argument,		0, 'p'},
		{"Summary",	no_argument,		0, 'S'},
		{"TCC",		required_argument,	0, 'T'},
		{"version",	no_argument,		0, 'v' },
		{0,		0,			0,  0 }
	};
L
Len Brown 已提交
2898 2899 2900

	progname = argv[0];

2901 2902
	while ((opt = getopt_long_only(argc, argv, "C:c:Ddhi:JM:m:PpST:v",
				long_options, &option_index)) != -1) {
L
Len Brown 已提交
2903
		switch (opt) {
2904 2905
		case 'C':
			sscanf(optarg, "%x", &extra_delta_offset64);
2906
			break;
2907 2908
		case 'c':
			sscanf(optarg, "%x", &extra_delta_offset32);
2909
			break;
2910
		case 'D':
2911 2912
			dump_only++;
			break;
2913 2914
		case 'd':
			debug++;
L
Len Brown 已提交
2915
			break;
2916 2917 2918 2919
		case 'h':
		default:
			help();
			exit(1);
L
Len Brown 已提交
2920 2921 2922
		case 'i':
			interval_sec = atoi(optarg);
			break;
2923 2924
		case 'J':
			rapl_joules++;
2925
			break;
2926 2927
		case 'M':
			sscanf(optarg, "%x", &extra_msr_offset64);
2928
			break;
2929 2930 2931
		case 'm':
			sscanf(optarg, "%x", &extra_msr_offset32);
			break;
2932 2933 2934 2935 2936
		case 'P':
			show_pkg_only++;
			break;
		case 'p':
			show_core_only++;
L
Len Brown 已提交
2937
			break;
2938 2939
		case 'S':
			summary_only++;
2940 2941 2942 2943
			break;
		case 'T':
			tcc_activation_temp_override = atoi(optarg);
			break;
2944 2945 2946
		case 'v':
			print_version();
			exit(0);
2947
			break;
L
Len Brown 已提交
2948 2949 2950 2951 2952 2953 2954 2955
		}
	}
}

int main(int argc, char **argv)
{
	cmdline(argc, argv);

2956 2957
	if (debug)
		print_version();
L
Len Brown 已提交
2958 2959 2960

	turbostat_init();

2961 2962 2963 2964
	/* dump counters and exit */
	if (dump_only)
		return get_and_dump_counters();

L
Len Brown 已提交
2965 2966 2967 2968 2969 2970 2971 2972 2973 2974
	/*
	 * if any params left, it must be a command to fork
	 */
	if (argc - optind)
		return fork_it(argv + optind);
	else
		turbostat_loop();

	return 0;
}