• P
    i2c: Create common default i2c_[set|get]_bus_speed() functions · 655b34a7
    Peter Tyser 提交于
    New default, weak i2c_get_bus_speed() and i2c_set_bus_speed() functions
    replace a number of architecture-specific implementations.
    
    Also, providing default functions will allow all boards to enable
    CONFIG_I2C_CMD_TREE.  This was previously not possible since the
    tree-form of the i2c command provides the ability to display and modify
    the i2c bus speed which requires i2c_[set|get]_bus_speed() to be
    present.
    Signed-off-by: NPeter Tyser <ptyser@xes-inc.com>
    655b34a7
i2c.c 4.7 KB