lcd.c 26.3 KB
Newer Older
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36
/*
 * Common LCD routines for supported CPUs
 *
 * (C) Copyright 2001-2002
 * Wolfgang Denk, DENX Software Engineering -- wd@denx.de
 *
 * See file CREDITS for list of people who contributed to this
 * project.
 *
 * This program is free software; you can redistribute it and/or
 * modify it under the terms of the GNU General Public License as
 * published by the Free Software Foundation; either version 2 of
 * the License, or (at your option) any later version.
 *
 * This program is distributed in the hope that it will be useful,
 * but WITHOUT ANY WARRANTY; without even the implied warranty of
 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.	 See the
 * GNU General Public License for more details.
 *
 * You should have received a copy of the GNU General Public License
 * along with this program; if not, write to the Free Software
 * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
 * MA 02111-1307 USA
 */

/************************************************************************/
/* ** HEADER FILES							*/
/************************************************************************/

/* #define DEBUG */

#include <config.h>
#include <common.h>
#include <command.h>
#include <stdarg.h>
#include <linux/types.h>
37
#include <stdio_dev.h>
38 39 40 41
#if defined(CONFIG_POST)
#include <post.h>
#endif
#include <lcd.h>
W
wdenk 已提交
42
#include <watchdog.h>
43

44 45 46
#if defined(CONFIG_CPU_PXA25X) || defined(CONFIG_CPU_PXA27X) || \
	defined(CONFIG_CPU_MONAHANS)
#define CONFIG_CPU_PXA
47 48 49 50 51 52 53
#include <asm/byteorder.h>
#endif

#if defined(CONFIG_MPC823)
#include <lcdvideo.h>
#endif

S
Stelian Pop 已提交
54 55 56 57
#if defined(CONFIG_ATMEL_LCD)
#include <atmel_lcdc.h>
#endif

58 59 60 61
/************************************************************************/
/* ** FONT DATA								*/
/************************************************************************/
#include <video_font.h>		/* Get font data, width and height	*/
62
#include <video_font_data.h>
63

W
wdenk 已提交
64 65 66 67 68
/************************************************************************/
/* ** LOGO DATA								*/
/************************************************************************/
#ifdef CONFIG_LCD_LOGO
# include <bmp_logo.h>		/* Get logo data, width and height	*/
69
# include <bmp_logo_data.h>
A
Alessandro Rubini 已提交
70
# if (CONSOLE_COLOR_WHITE >= BMP_LOGO_OFFSET) && (LCD_BPP != LCD_COLOR16)
W
wdenk 已提交
71 72 73
#  error Default Color Map overlaps with Logo Color Map
# endif
#endif
74

75 76 77 78
#ifndef CONFIG_LCD_ALIGNMENT
#define CONFIG_LCD_ALIGNMENT PAGE_SIZE
#endif

79 80 81 82 83
/* By default we scroll by a single line */
#ifndef CONFIG_CONSOLE_SCROLL_LINES
#define CONFIG_CONSOLE_SCROLL_LINES 1
#endif

84 85
DECLARE_GLOBAL_DATA_PTR;

86 87
ulong lcd_setmem (ulong addr);

88 89 90
static void lcd_drawchars(ushort x, ushort y, uchar *str, int count);
static inline void lcd_puts_xy(ushort x, ushort y, uchar *s);
static inline void lcd_putc_xy(ushort x, ushort y, uchar  c);
91

92
static int lcd_init(void *lcdbase);
93 94 95

static void *lcd_logo (void);

96 97 98
static int lcd_getbgcolor(void);
static void lcd_setfgcolor(int color);
static void lcd_setbgcolor(int color);
99 100 101

char lcd_is_enabled = 0;

102 103 104
static char lcd_flush_dcache;	/* 1 to flush dcache after each lcd update */


105
#ifdef	NOT_USED_SO_FAR
106
static void lcd_getcolreg(ushort regno,
107
				ushort *red, ushort *green, ushort *blue);
108
static int lcd_getfgcolor(void);
109 110 111 112
#endif	/* NOT_USED_SO_FAR */

/************************************************************************/

113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134
/* Flush LCD activity to the caches */
void lcd_sync(void)
{
	/*
	 * flush_dcache_range() is declared in common.h but it seems that some
	 * architectures do not actually implement it. Is there a way to find
	 * out whether it exists? For now, ARM is safe.
	 */
#if defined(CONFIG_ARM) && !defined(CONFIG_SYS_DCACHE_OFF)
	int line_length;

	if (lcd_flush_dcache)
		flush_dcache_range((u32)lcd_base,
			(u32)(lcd_base + lcd_get_size(&line_length)));
#endif
}

void lcd_set_flush_dcache(int flush)
{
	lcd_flush_dcache = (flush != 0);
}

135 136
/*----------------------------------------------------------------------*/

137
static void console_scrollup(void)
138
{
139 140 141 142 143 144 145 146 147 148 149
	const int rows = CONFIG_CONSOLE_SCROLL_LINES;

	/* Copy up rows ignoring those that will be overwritten */
	memcpy(CONSOLE_ROW_FIRST,
	       lcd_console_address + CONSOLE_ROW_SIZE * rows,
	       CONSOLE_SIZE - CONSOLE_ROW_SIZE * rows);

	/* Clear the last rows */
	memset(lcd_console_address + CONSOLE_SIZE - CONSOLE_ROW_SIZE * rows,
		COLOR_MASK(lcd_color_bg),
	       CONSOLE_ROW_SIZE * rows);
150

151
	lcd_sync();
152
	console_row -= rows;
153 154 155 156
}

/*----------------------------------------------------------------------*/

157
static inline void console_back(void)
158 159 160 161 162 163 164 165
{
	if (--console_col < 0) {
		console_col = CONSOLE_COLS-1 ;
		if (--console_row < 0) {
			console_row = 0;
		}
	}

166 167
	lcd_putc_xy(console_col * VIDEO_FONT_WIDTH,
		console_row * VIDEO_FONT_HEIGHT, ' ');
168 169 170 171
}

/*----------------------------------------------------------------------*/

172
static inline void console_newline(void)
173 174 175 176 177 178 179
{
	++console_row;
	console_col = 0;

	/* Check if we need to scroll the terminal */
	if (console_row >= CONSOLE_ROWS) {
		/* Scroll everything up */
180
		console_scrollup();
181 182
	} else {
		lcd_sync();
183 184 185 186 187
	}
}

/*----------------------------------------------------------------------*/

188
void lcd_putc(const char c)
189 190 191
{
	if (!lcd_is_enabled) {
		serial_putc(c);
192

193 194 195 196
		return;
	}

	switch (c) {
197 198
	case '\r':
		console_col = 0;
199

200 201 202
		return;
	case '\n':
		console_newline();
203

204
		return;
205
	case '\t':	/* Tab (8 chars alignment) */
206 207
		console_col +=  8;
		console_col &= ~7;
208

209 210
		if (console_col >= CONSOLE_COLS)
			console_newline();
211

212 213 214
		return;
	case '\b':
		console_back();
215

216 217 218 219 220 221
		return;
	default:
		lcd_putc_xy(console_col * VIDEO_FONT_WIDTH,
			console_row * VIDEO_FONT_HEIGHT, c);
		if (++console_col >= CONSOLE_COLS)
			console_newline();
222 223 224 225 226
	}
}

/*----------------------------------------------------------------------*/

227
void lcd_puts(const char *s)
228 229
{
	if (!lcd_is_enabled) {
230 231
		serial_puts(s);

232 233 234 235
		return;
	}

	while (*s) {
236
		lcd_putc(*s++);
237
	}
238
	lcd_sync();
239 240
}

H
Haavard Skinnemoen 已提交
241 242 243 244 245 246 247 248 249 250 251 252 253 254
/*----------------------------------------------------------------------*/

void lcd_printf(const char *fmt, ...)
{
	va_list args;
	char buf[CONFIG_SYS_PBSIZE];

	va_start(args, fmt);
	vsprintf(buf, fmt, args);
	va_end(args);

	lcd_puts(buf);
}

255 256 257 258
/************************************************************************/
/* ** Low-Level Graphics Routines					*/
/************************************************************************/

259
static void lcd_drawchars(ushort x, ushort y, uchar *str, int count)
260 261
{
	uchar *dest;
M
Marek Vasut 已提交
262 263
	ushort row;

264 265 266 267
#if defined(CONFIG_LCD_LOGO) && !defined(CONFIG_LCD_INFO_BELOW_LOGO)
	y += BMP_LOGO_HEIGHT;
#endif

M
Marek Vasut 已提交
268 269 270
#if LCD_BPP == LCD_MONOCHROME
	ushort off  = x * (1 << LCD_BPP) % 8;
#endif
271 272 273

	dest = (uchar *)(lcd_base + y * lcd_line_length + x * (1 << LCD_BPP) / 8);

274
	for (row = 0; row < VIDEO_FONT_HEIGHT; ++row, dest += lcd_line_length) {
275 276
		uchar *s = str;
		int i;
A
Alessandro Rubini 已提交
277 278 279 280 281
#if LCD_BPP == LCD_COLOR16
		ushort *d = (ushort *)dest;
#else
		uchar *d = dest;
#endif
282 283 284 285 286

#if LCD_BPP == LCD_MONOCHROME
		uchar rest = *d & -(1 << (8-off));
		uchar sym;
#endif
287
		for (i = 0; i < count; ++i) {
288 289 290 291 292 293 294
			uchar c, bits;

			c = *s++;
			bits = video_fontdata[c * VIDEO_FONT_HEIGHT + row];

#if LCD_BPP == LCD_MONOCHROME
			sym  = (COLOR_MASK(lcd_color_fg) & bits) |
295
				(COLOR_MASK(lcd_color_bg) & ~bits);
296 297 298 299

			*d++ = rest | (sym >> off);
			rest = sym << (8-off);
#elif LCD_BPP == LCD_COLOR8
300
			for (c = 0; c < 8; ++c) {
301 302 303 304 305
				*d++ = (bits & 0x80) ?
						lcd_color_fg : lcd_color_bg;
				bits <<= 1;
			}
#elif LCD_BPP == LCD_COLOR16
306
			for (c = 0; c < 8; ++c) {
307 308 309 310 311 312 313 314 315 316 317 318 319 320
				*d++ = (bits & 0x80) ?
						lcd_color_fg : lcd_color_bg;
				bits <<= 1;
			}
#endif
		}
#if LCD_BPP == LCD_MONOCHROME
		*d  = rest | (*d & ((1 << (8-off)) - 1));
#endif
	}
}

/*----------------------------------------------------------------------*/

321
static inline void lcd_puts_xy(ushort x, ushort y, uchar *s)
322
{
323
	lcd_drawchars(x, y, s, strlen((char *)s));
324 325 326 327
}

/*----------------------------------------------------------------------*/

328
static inline void lcd_putc_xy(ushort x, ushort y, uchar c)
329
{
330
	lcd_drawchars(x, y, &c, 1);
331 332 333 334 335 336 337 338 339 340 341 342 343 344 345
}

/************************************************************************/
/**  Small utility to check that you got the colours right		*/
/************************************************************************/
#ifdef LCD_TEST_PATTERN

#define	N_BLK_VERT	2
#define	N_BLK_HOR	3

static int test_colors[N_BLK_HOR*N_BLK_VERT] = {
	CONSOLE_COLOR_RED,	CONSOLE_COLOR_GREEN,	CONSOLE_COLOR_YELLOW,
	CONSOLE_COLOR_BLUE,	CONSOLE_COLOR_MAGENTA,	CONSOLE_COLOR_CYAN,
};

346
static void test_pattern(void)
347 348 349 350 351 352 353 354
{
	ushort v_max  = panel_info.vl_row;
	ushort h_max  = panel_info.vl_col;
	ushort v_step = (v_max + N_BLK_VERT - 1) / N_BLK_VERT;
	ushort h_step = (h_max + N_BLK_HOR  - 1) / N_BLK_HOR;
	ushort v, h;
	uchar *pix = (uchar *)lcd_base;

355
	printf("[LCD] Test Pattern: %d x %d [%d x %d]\n",
356 357 358
		h_max, v_max, h_step, v_step);

	/* WARNING: Code silently assumes 8bit/pixel */
359
	for (v = 0; v < v_max; ++v) {
360
		uchar iy = v / v_step;
361
		for (h = 0; h < h_max; ++h) {
362 363 364 365 366 367 368 369 370 371 372 373
			uchar ix = N_BLK_HOR * iy + (h/h_step);
			*pix++ = test_colors[ix];
		}
	}
}
#endif /* LCD_TEST_PATTERN */


/************************************************************************/
/* ** GENERIC Initialization Routines					*/
/************************************************************************/

374 375 376 377 378 379
int lcd_get_size(int *line_length)
{
	*line_length = (panel_info.vl_col * NBITS(panel_info.vl_bpix)) / 8;
	return *line_length * panel_info.vl_row;
}

380 381
int drv_lcd_init (void)
{
382
	struct stdio_dev lcddev;
383 384 385 386
	int rc;

	lcd_base = (void *)(gd->fb_base);

387
	lcd_get_size(&lcd_line_length);
388

389
	lcd_init(lcd_base);		/* LCD initialization */
390 391

	/* Device initialization */
392
	memset(&lcddev, 0, sizeof(lcddev));
393

394
	strcpy(lcddev.name, "lcd");
395 396 397 398 399
	lcddev.ext   = 0;			/* No extensions */
	lcddev.flags = DEV_FLAGS_OUTPUT;	/* Output only */
	lcddev.putc  = lcd_putc;		/* 'putc' function */
	lcddev.puts  = lcd_puts;		/* 'puts' function */

400
	rc = stdio_register (&lcddev);
401 402 403 404 405

	return (rc == 0) ? 1 : rc;
}

/*----------------------------------------------------------------------*/
406
void lcd_clear(void)
407 408 409 410 411 412 413
{
#if LCD_BPP == LCD_MONOCHROME
	/* Setting the palette */
	lcd_initcolregs();

#elif LCD_BPP == LCD_COLOR8
	/* Setting the palette */
414 415 416 417 418 419 420 421 422
	lcd_setcolreg(CONSOLE_COLOR_BLACK, 0, 0, 0);
	lcd_setcolreg(CONSOLE_COLOR_RED, 0xFF, 0, 0);
	lcd_setcolreg(CONSOLE_COLOR_GREEN, 0, 0xFF, 0);
	lcd_setcolreg(CONSOLE_COLOR_YELLOW, 0xFF, 0xFF, 0);
	lcd_setcolreg(CONSOLE_COLOR_BLUE, 0, 0, 0xFF);
	lcd_setcolreg(CONSOLE_COLOR_MAGENTA, 0xFF, 0, 0xFF);
	lcd_setcolreg(CONSOLE_COLOR_CYAN, 0, 0xFF, 0xFF);
	lcd_setcolreg(CONSOLE_COLOR_GREY, 0xAA, 0xAA, 0xAA);
	lcd_setcolreg(CONSOLE_COLOR_WHITE, 0xFF, 0xFF, 0xFF);
423 424
#endif

425
#ifndef CONFIG_SYS_WHITE_ON_BLACK
426 427
	lcd_setfgcolor(CONSOLE_COLOR_BLACK);
	lcd_setbgcolor(CONSOLE_COLOR_WHITE);
428
#else
429 430
	lcd_setfgcolor(CONSOLE_COLOR_WHITE);
	lcd_setbgcolor(CONSOLE_COLOR_BLACK);
431
#endif	/* CONFIG_SYS_WHITE_ON_BLACK */
432 433 434 435 436

#ifdef	LCD_TEST_PATTERN
	test_pattern();
#else
	/* set framebuffer to background color */
437
	memset((char *)lcd_base,
438 439 440 441
		COLOR_MASK(lcd_getbgcolor()),
		lcd_line_length*panel_info.vl_row);
#endif
	/* Paint the logo and retrieve LCD base address */
442
	debug("[LCD] Drawing the logo...\n");
443 444 445 446
	lcd_console_address = lcd_logo ();

	console_col = 0;
	console_row = 0;
447 448 449 450 451 452 453 454
	lcd_sync();
}

static int do_lcd_clear(cmd_tbl_t *cmdtp, int flag, int argc,
			char *const argv[])
{
	lcd_clear();
	return 0;
455 456 457
}

U_BOOT_CMD(
458
	cls,	1,	1,	do_lcd_clear,
P
Peter Tyser 已提交
459
	"clear screen",
W
Wolfgang Denk 已提交
460
	""
461 462 463 464
);

/*----------------------------------------------------------------------*/

465
static int lcd_init(void *lcdbase)
466 467
{
	/* Initialize the lcd controller */
468
	debug("[LCD] Initializing LCD frambuffer at %p\n", lcdbase);
469

470
	lcd_ctrl_init(lcdbase);
471
	lcd_is_enabled = 1;
472
	lcd_clear();
473 474 475 476
	lcd_enable ();

	/* Initialize the console */
	console_col = 0;
W
wdenk 已提交
477
#ifdef CONFIG_LCD_INFO_BELOW_LOGO
478 479 480 481 482 483 484 485 486 487 488 489 490 491 492 493 494 495 496
	console_row = 7 + BMP_LOGO_HEIGHT / VIDEO_FONT_HEIGHT;
#else
	console_row = 1;	/* leave 1 blank line below logo */
#endif

	return 0;
}


/************************************************************************/
/* ** ROM capable initialization part - needed to reserve FB memory	*/
/************************************************************************/
/*
 * This is called early in the system initialization to grab memory
 * for the LCD controller.
 * Returns new address for monitor, after reserving LCD buffer memory
 *
 * Note that this is running from ROM, so no write access to global data.
 */
497
ulong lcd_setmem(ulong addr)
498 499
{
	ulong size;
500
	int line_length;
501

502 503
	debug("LCD panel info: %d x %d, %d bit/pix\n", panel_info.vl_col,
		panel_info.vl_row, NBITS(panel_info.vl_bpix));
504

505
	size = lcd_get_size(&line_length);
506

507 508 509
	/* Round up to nearest full page, or MMU section if defined */
	size = ALIGN(size, CONFIG_LCD_ALIGNMENT);
	addr = ALIGN(addr - CONFIG_LCD_ALIGNMENT + 1, CONFIG_LCD_ALIGNMENT);
510 511 512 513

	/* Allocate pages for the frame buffer. */
	addr -= size;

514
	debug("Reserving %ldk for LCD Framebuffer at: %08lx\n", size>>10, addr);
515

516
	return addr;
517 518 519 520
}

/*----------------------------------------------------------------------*/

521
static void lcd_setfgcolor(int color)
522
{
S
Stelian Pop 已提交
523
	lcd_color_fg = color;
524 525 526 527
}

/*----------------------------------------------------------------------*/

528
static void lcd_setbgcolor(int color)
529
{
S
Stelian Pop 已提交
530
	lcd_color_bg = color;
531 532 533 534 535
}

/*----------------------------------------------------------------------*/

#ifdef	NOT_USED_SO_FAR
536
static int lcd_getfgcolor(void)
537 538 539 540 541 542 543
{
	return lcd_color_fg;
}
#endif	/* NOT_USED_SO_FAR */

/*----------------------------------------------------------------------*/

544
static int lcd_getbgcolor(void)
545 546 547 548 549 550 551 552 553
{
	return lcd_color_bg;
}

/*----------------------------------------------------------------------*/

/************************************************************************/
/* ** Chipset depending Bitmap / Logo stuff...                          */
/************************************************************************/
554 555 556 557 558 559 560 561 562 563 564
static inline ushort *configuration_get_cmap(void)
{
#if defined CONFIG_CPU_PXA
	struct pxafb_info *fbi = &panel_info.pxa;
	return (ushort *)fbi->palette;
#elif defined(CONFIG_MPC823)
	immap_t *immr = (immap_t *) CONFIG_SYS_IMMR;
	cpm8xx_t *cp = &(immr->im_cpm);
	return (ushort *)&(cp->lcd_cmap[255 * sizeof(ushort)]);
#elif defined(CONFIG_ATMEL_LCD)
	return (ushort *)(panel_info.mmio + ATMEL_LCDC_LUT(0));
565 566
#elif !defined(CONFIG_ATMEL_HLCD) && !defined(CONFIG_EXYNOS_FB)
	return panel_info.cmap;
567
#else
568 569 570 571 572
#if defined(CONFIG_LCD_LOGO)
	return bmp_logo_palette;
#else
	return NULL;
#endif
573 574 575
#endif
}

576
#ifdef CONFIG_LCD_LOGO
577
void bitmap_plot(int x, int y)
578
{
S
Stelian Pop 已提交
579
#ifdef CONFIG_ATMEL_LCD
580
	uint *cmap = (uint *)bmp_logo_palette;
S
Stelian Pop 已提交
581
#else
582
	ushort *cmap = (ushort *)bmp_logo_palette;
S
Stelian Pop 已提交
583
#endif
584 585 586 587
	ushort i, j;
	uchar *bmap;
	uchar *fb;
	ushort *fb16;
588 589 590
#if defined(CONFIG_MPC823)
	immap_t *immr = (immap_t *) CONFIG_SYS_IMMR;
	cpm8xx_t *cp = &(immr->im_cpm);
591 592
#endif

593
	debug("Logo: width %d  height %d  colors %d  cmap %d\n",
594
		BMP_LOGO_WIDTH, BMP_LOGO_HEIGHT, BMP_LOGO_COLORS,
595
		ARRAY_SIZE(bmp_logo_palette));
596 597

	bmap = &bmp_logo_bitmap[0];
W
Wolfgang Denk 已提交
598
	fb   = (uchar *)(lcd_base + y * lcd_line_length + x);
599 600

	if (NBITS(panel_info.vl_bpix) < 12) {
601 602 603 604 605 606
		/* Leave room for default color map
		 * default case: generic system with no cmap (most likely 16bpp)
		 * cmap was set to the source palette, so no change is done.
		 * This avoids even more ifdefs in the next stanza
		 */
#if defined(CONFIG_MPC823)
607
		cmap = (ushort *) &(cp->lcd_cmap[BMP_LOGO_OFFSET * sizeof(ushort)]);
S
Stelian Pop 已提交
608
#elif defined(CONFIG_ATMEL_LCD)
609
		cmap = (uint *)configuration_get_cmap();
A
Alessandro Rubini 已提交
610
#else
611
		cmap = configuration_get_cmap();
612 613 614 615 616
#endif

		WATCHDOG_RESET();

		/* Set color map */
617
		for (i = 0; i < ARRAY_SIZE(bmp_logo_palette); ++i) {
618
			ushort colreg = bmp_logo_palette[i];
S
Stelian Pop 已提交
619 620 621 622
#ifdef CONFIG_ATMEL_LCD
			uint lut_entry;
#ifdef CONFIG_ATMEL_LCD_BGR555
			lut_entry = ((colreg & 0x000F) << 11) |
623 624
					((colreg & 0x00F0) <<  2) |
					((colreg & 0x0F00) >>  7);
S
Stelian Pop 已提交
625 626
#else /* CONFIG_ATMEL_LCD_RGB565 */
			lut_entry = ((colreg & 0x000F) << 1) |
627 628
					((colreg & 0x00F0) << 3) |
					((colreg & 0x0F00) << 4);
S
Stelian Pop 已提交
629 630 631 632
#endif
			*(cmap + BMP_LOGO_OFFSET) = lut_entry;
			cmap++;
#else /* !CONFIG_ATMEL_LCD */
633
#ifdef  CONFIG_SYS_INVERT_COLORS
634 635 636 637
			*cmap++ = 0xffff - colreg;
#else
			*cmap++ = colreg;
#endif
S
Stelian Pop 已提交
638
#endif /* CONFIG_ATMEL_LCD */
639 640 641 642
		}

		WATCHDOG_RESET();

643 644
		for (i = 0; i < BMP_LOGO_HEIGHT; ++i) {
			memcpy(fb, bmap, BMP_LOGO_WIDTH);
645 646 647 648 649
			bmap += BMP_LOGO_WIDTH;
			fb   += panel_info.vl_col;
		}
	}
	else { /* true color mode */
A
Alessandro Rubini 已提交
650
		u16 col16;
651
		fb16 = (ushort *)(lcd_base + y * lcd_line_length + x);
652 653
		for (i = 0; i < BMP_LOGO_HEIGHT; ++i) {
			for (j = 0; j < BMP_LOGO_WIDTH; j++) {
A
Alessandro Rubini 已提交
654 655 656 657 658
				col16 = bmp_logo_palette[(bmap[j]-16)];
				fb16[j] =
					((col16 & 0x000F) << 1) |
					((col16 & 0x00F0) << 3) |
					((col16 & 0x0F00) << 4);
659 660 661 662 663 664 665
				}
			bmap += BMP_LOGO_WIDTH;
			fb16 += panel_info.vl_col;
		}
	}

	WATCHDOG_RESET();
666
	lcd_sync();
667
}
668 669
#else
static inline void bitmap_plot(int x, int y) {}
670 671 672
#endif /* CONFIG_LCD_LOGO */

/*----------------------------------------------------------------------*/
673
#if defined(CONFIG_CMD_BMP) || defined(CONFIG_SPLASH_SCREEN)
674 675 676 677
/*
 * Display the BMP file located at address bmp_image.
 * Only uncompressed.
 */
678 679 680

#ifdef CONFIG_SPLASH_SCREEN_ALIGN
#define BMP_ALIGN_CENTER	0x7FFF
681 682 683 684 685 686 687 688 689 690 691 692 693 694 695 696

static void splash_align_axis(int *axis, unsigned long panel_size,
					unsigned long picture_size)
{
	unsigned long panel_picture_delta = panel_size - picture_size;
	unsigned long axis_alignment;

	if (*axis == BMP_ALIGN_CENTER)
		axis_alignment = panel_picture_delta / 2;
	else if (*axis < 0)
		axis_alignment = panel_picture_delta + *axis + 1;
	else
		return;

	*axis = max(0, axis_alignment);
}
697 698
#endif

699 700 701 702 703 704 705 706 707 708 709 710 711 712 713 714 715 716 717 718 719 720 721 722 723 724 725 726 727 728 729 730 731 732 733 734 735 736 737 738 739 740 741 742 743 744 745 746 747 748 749 750 751 752 753 754 755 756 757 758 759 760 761 762 763 764 765 766 767 768 769 770 771 772 773 774 775 776 777 778 779 780 781 782 783 784 785 786 787 788 789 790 791 792 793 794 795 796 797 798 799 800 801 802 803 804 805 806 807 808 809 810 811 812 813 814 815 816 817 818 819 820 821 822 823 824 825 826 827 828 829 830

#ifdef CONFIG_LCD_BMP_RLE8

#define BMP_RLE8_ESCAPE		0
#define BMP_RLE8_EOL		0
#define BMP_RLE8_EOBMP		1
#define BMP_RLE8_DELTA		2

static void draw_unencoded_bitmap(ushort **fbp, uchar *bmap, ushort *cmap,
				  int cnt)
{
	while (cnt > 0) {
		*(*fbp)++ = cmap[*bmap++];
		cnt--;
	}
}

static void draw_encoded_bitmap(ushort **fbp, ushort c, int cnt)
{
	ushort *fb = *fbp;
	int cnt_8copy = cnt >> 3;

	cnt -= cnt_8copy << 3;
	while (cnt_8copy > 0) {
		*fb++ = c;
		*fb++ = c;
		*fb++ = c;
		*fb++ = c;
		*fb++ = c;
		*fb++ = c;
		*fb++ = c;
		*fb++ = c;
		cnt_8copy--;
	}
	while (cnt > 0) {
		*fb++ = c;
		cnt--;
	}
	(*fbp) = fb;
}

/*
 * Do not call this function directly, must be called from
 * lcd_display_bitmap.
 */
static void lcd_display_rle8_bitmap(bmp_image_t *bmp, ushort *cmap, uchar *fb,
				    int x_off, int y_off)
{
	uchar *bmap;
	ulong width, height;
	ulong cnt, runlen;
	int x, y;
	int decode = 1;

	width = le32_to_cpu(bmp->header.width);
	height = le32_to_cpu(bmp->header.height);
	bmap = (uchar *)bmp + le32_to_cpu(bmp->header.data_offset);

	x = 0;
	y = height - 1;

	while (decode) {
		if (bmap[0] == BMP_RLE8_ESCAPE) {
			switch (bmap[1]) {
			case BMP_RLE8_EOL:
				/* end of line */
				bmap += 2;
				x = 0;
				y--;
				/* 16bpix, 2-byte per pixel, width should *2 */
				fb -= (width * 2 + lcd_line_length);
				break;
			case BMP_RLE8_EOBMP:
				/* end of bitmap */
				decode = 0;
				break;
			case BMP_RLE8_DELTA:
				/* delta run */
				x += bmap[2];
				y -= bmap[3];
				/* 16bpix, 2-byte per pixel, x should *2 */
				fb = (uchar *) (lcd_base + (y + y_off - 1)
					* lcd_line_length + (x + x_off) * 2);
				bmap += 4;
				break;
			default:
				/* unencoded run */
				runlen = bmap[1];
				bmap += 2;
				if (y < height) {
					if (x < width) {
						if (x + runlen > width)
							cnt = width - x;
						else
							cnt = runlen;
						draw_unencoded_bitmap(
							(ushort **)&fb,
							bmap, cmap, cnt);
					}
					x += runlen;
				}
				bmap += runlen;
				if (runlen & 1)
					bmap++;
			}
		} else {
			/* encoded run */
			if (y < height) {
				runlen = bmap[0];
				if (x < width) {
					/* aggregate the same code */
					while (bmap[0] == 0xff &&
					       bmap[2] != BMP_RLE8_ESCAPE &&
					       bmap[1] == bmap[3]) {
						runlen += bmap[2];
						bmap += 2;
					}
					if (x + runlen > width)
						cnt = width - x;
					else
						cnt = runlen;
					draw_encoded_bitmap((ushort **)&fb,
						cmap[bmap[1]], cnt);
				}
				x += runlen;
			}
			bmap += 2;
		}
	}
}
#endif

831
#if defined(CONFIG_MPC823) || defined(CONFIG_MCC200)
832
#define FB_PUT_BYTE(fb, from) *(fb)++ = (255 - *(from)++)
833 834
#else
#define FB_PUT_BYTE(fb, from) *(fb)++ = *(from)++
835 836 837 838 839 840 841 842 843 844 845 846 847 848 849 850 851 852 853
#endif

#if defined(CONFIG_BMP_16BPP)
#if defined(CONFIG_ATMEL_LCD_BGR555)
static inline void fb_put_word(uchar **fb, uchar **from)
{
	*(*fb)++ = (((*from)[0] & 0x1f) << 2) | ((*from)[1] & 0x03);
	*(*fb)++ = ((*from)[0] & 0xe0) | (((*from)[1] & 0x7c) >> 2);
	*from += 2;
}
#else
static inline void fb_put_word(uchar **fb, uchar **from)
{
	*(*fb)++ = *(*from)++;
	*(*fb)++ = *(*from)++;
}
#endif
#endif /* CONFIG_BMP_16BPP */

854 855
int lcd_display_bitmap(ulong bmp_image, int x, int y)
{
856 857 858 859
#if !defined(CONFIG_MCC200)
	ushort *cmap = NULL;
#endif
	ushort *cmap_base = NULL;
860 861 862 863
	ushort i, j;
	uchar *fb;
	bmp_image_t *bmp=(bmp_image_t *)bmp_image;
	uchar *bmap;
864
	ushort padded_width;
865
	unsigned long width, height, byte_width;
866
	unsigned long pwidth = panel_info.vl_col;
867
	unsigned colors, bpix, bmp_bpix;
868

869
	if (!bmp || !((bmp->header.signature[0] == 'B') &&
870 871 872
		(bmp->header.signature[1] == 'M'))) {
		printf("Error: no valid bmp image at %lx\n", bmp_image);

873
		return 1;
874
	}
875

876 877
	width = le32_to_cpu(bmp->header.width);
	height = le32_to_cpu(bmp->header.height);
878 879
	bmp_bpix = le16_to_cpu(bmp->header.bit_count);
	colors = 1 << bmp_bpix;
880 881 882

	bpix = NBITS(panel_info.vl_bpix);

883
	if ((bpix != 1) && (bpix != 8) && (bpix != 16) && (bpix != 32)) {
884 885
		printf ("Error: %d bit/pixel mode, but BMP has %d bit/pixel\n",
			bpix, bmp_bpix);
886

887 888 889
		return 1;
	}

890
	/* We support displaying 8bpp BMPs on 16bpp LCDs */
891
	if (bpix != bmp_bpix && !(bmp_bpix == 8 && bpix == 16)) {
892 893 894
		printf ("Error: %d bit/pixel mode, but BMP has %d bit/pixel\n",
			bpix,
			le16_to_cpu(bmp->header.bit_count));
895

896 897 898
		return 1;
	}

899
	debug("Display-bmp: %d x %d  with %d colors\n",
900 901
		(int)width, (int)height, (int)colors);

902 903
#if !defined(CONFIG_MCC200)
	/* MCC200 LCD doesn't need CMAP, supports 1bpp b&w only */
904
	if (bmp_bpix == 8) {
905
		cmap = configuration_get_cmap();
906 907
		cmap_base = cmap;

908
		/* Set color map */
909
		for (i = 0; i < colors; ++i) {
910
			bmp_color_table_entry_t cte = bmp->color_table[i];
911
#if !defined(CONFIG_ATMEL_LCD)
912 913
			ushort colreg =
				( ((cte.red)   << 8) & 0xf800) |
914 915
				( ((cte.green) << 3) & 0x07e0) |
				( ((cte.blue)  >> 3) & 0x001f) ;
916
#ifdef CONFIG_SYS_INVERT_COLORS
W
wdenk 已提交
917
			*cmap = 0xffff - colreg;
918
#else
W
wdenk 已提交
919 920
			*cmap = colreg;
#endif
921
#if defined(CONFIG_MPC823)
W
wdenk 已提交
922
			cmap--;
923 924
#else
			cmap++;
925 926 927
#endif
#else /* CONFIG_ATMEL_LCD */
			lcd_setcolreg(i, cte.red, cte.green, cte.blue);
928 929 930
#endif
		}
	}
931
#endif
932

933 934 935 936 937 938
	/*
	 *  BMP format for Monochrome assumes that the state of a
	 * pixel is described on a per Bit basis, not per Byte.
	 *  So, in case of Monochrome BMP we should align widths
	 * on a byte boundary and convert them from Bit to Byte
	 * units.
W
Wolfgang Denk 已提交
939 940
	 *  Probably, PXA250 and MPC823 process 1bpp BMP images in
	 * their own ways, so make the converting to be MCC200
941 942 943
	 * specific.
	 */
#if defined(CONFIG_MCC200)
944
	if (bpix == 1) {
945 946 947 948 949 950
		width = ((width + 7) & ~7) >> 3;
		x     = ((x + 7) & ~7) >> 3;
		pwidth= ((pwidth + 7) & ~7) >> 3;
	}
#endif

951
	padded_width = (width&0x3) ? ((width&~0x3)+4) : (width);
952 953

#ifdef CONFIG_SPLASH_SCREEN_ALIGN
954 955
	splash_align_axis(&x, pwidth, width);
	splash_align_axis(&y, panel_info.vl_row, height);
956 957
#endif /* CONFIG_SPLASH_SCREEN_ALIGN */

958
	if ((x + width) > pwidth)
959
		width = pwidth - x;
960
	if ((y + height) > panel_info.vl_row)
961 962
		height = panel_info.vl_row - y;

963
	bmap = (uchar *)bmp + le32_to_cpu(bmp->header.data_offset);
964
	fb   = (uchar *) (lcd_base +
965
		(y + height - 1) * lcd_line_length + x * bpix / 8);
M
Mark Jackson 已提交
966

967
	switch (bmp_bpix) {
M
Mark Jackson 已提交
968 969
	case 1: /* pass through */
	case 8:
970 971 972 973 974 975 976 977 978 979 980 981
#ifdef CONFIG_LCD_BMP_RLE8
		if (le32_to_cpu(bmp->header.compression) == BMP_BI_RLE8) {
			if (bpix != 16) {
				/* TODO implement render code for bpix != 16 */
				printf("Error: only support 16 bpix");
				return 1;
			}
			lcd_display_rle8_bitmap(bmp, cmap_base, fb, x, y);
			break;
		}
#endif

982 983 984 985 986
		if (bpix != 16)
			byte_width = width;
		else
			byte_width = width * 2;

M
Mark Jackson 已提交
987 988
		for (i = 0; i < height; ++i) {
			WATCHDOG_RESET();
989 990
			for (j = 0; j < width; j++) {
				if (bpix != 16) {
991
					FB_PUT_BYTE(fb, bmap);
992 993 994 995 996
				} else {
					*(uint16_t *)fb = cmap_base[*(bmap++)];
					fb += sizeof(uint16_t) / sizeof(*fb);
				}
			}
997
			bmap += (padded_width - width);
998
			fb   -= (byte_width + lcd_line_length);
M
Mark Jackson 已提交
999 1000 1001 1002 1003 1004 1005
		}
		break;

#if defined(CONFIG_BMP_16BPP)
	case 16:
		for (i = 0; i < height; ++i) {
			WATCHDOG_RESET();
1006 1007 1008
			for (j = 0; j < width; j++)
				fb_put_word(&fb, &bmap);

1009
			bmap += (padded_width - width) * 2;
M
Mark Jackson 已提交
1010 1011 1012 1013 1014
			fb   -= (width * 2 + lcd_line_length);
		}
		break;
#endif /* CONFIG_BMP_16BPP */

1015 1016 1017 1018 1019 1020 1021 1022 1023 1024 1025 1026 1027
#if defined(CONFIG_BMP_32BPP)
	case 32:
		for (i = 0; i < height; ++i) {
			for (j = 0; j < width; j++) {
				*(fb++) = *(bmap++);
				*(fb++) = *(bmap++);
				*(fb++) = *(bmap++);
				*(fb++) = *(bmap++);
			}
			fb  -= (lcd_line_length + width * (bpix / 8));
		}
		break;
#endif /* CONFIG_BMP_32BPP */
M
Mark Jackson 已提交
1028 1029 1030
	default:
		break;
	};
1031

1032
	lcd_sync();
1033
	return 0;
1034
}
1035
#endif
1036

1037 1038 1039 1040 1041 1042 1043 1044 1045 1046 1047 1048
#ifdef CONFIG_SPLASH_SCREEN_PREPARE
static inline int splash_screen_prepare(void)
{
	return board_splash_screen_prepare();
}
#else
static inline int splash_screen_prepare(void)
{
	return 0;
}
#endif

1049
static void *lcd_logo(void)
1050 1051 1052 1053 1054 1055 1056
{
#ifdef CONFIG_SPLASH_SCREEN
	char *s;
	ulong addr;
	static int do_splash = 1;

	if (do_splash && (s = getenv("splashimage")) != NULL) {
1057
		int x = 0, y = 0;
1058 1059
		do_splash = 0;

1060 1061 1062
		if (splash_screen_prepare())
			return (void *)gd->fb_base;

1063 1064
		addr = simple_strtoul (s, NULL, 16);
#ifdef CONFIG_SPLASH_SCREEN_ALIGN
1065 1066
		s = getenv("splashpos");
		if (s != NULL) {
1067 1068 1069
			if (s[0] == 'm')
				x = BMP_ALIGN_CENTER;
			else
1070
				x = simple_strtol(s, NULL, 0);
1071

1072 1073
			s = strchr(s + 1, ',');
			if (s != NULL) {
1074 1075 1076 1077 1078 1079 1080 1081
				if (s[1] == 'm')
					y = BMP_ALIGN_CENTER;
				else
					y = simple_strtol (s + 1, NULL, 0);
			}
		}
#endif /* CONFIG_SPLASH_SCREEN_ALIGN */

N
Nikita Kiryanov 已提交
1082
		if (bmp_display(addr, x, y) == 0)
1083
			return (void *)lcd_base;
1084 1085 1086
	}
#endif /* CONFIG_SPLASH_SCREEN */

1087
	bitmap_plot(0, 0);
1088

1089 1090 1091 1092 1093
#ifdef CONFIG_LCD_INFO
	console_col = LCD_INFO_X / VIDEO_FONT_WIDTH;
	console_row = LCD_INFO_Y / VIDEO_FONT_HEIGHT;
	lcd_show_board_info();
#endif /* CONFIG_LCD_INFO */
S
Stelian Pop 已提交
1094

W
wdenk 已提交
1095
#if defined(CONFIG_LCD_LOGO) && !defined(CONFIG_LCD_INFO_BELOW_LOGO)
1096
	return (void *)((ulong)lcd_base + BMP_LOGO_HEIGHT * lcd_line_length);
1097
#else
1098
	return (void *)lcd_base;
W
wdenk 已提交
1099
#endif /* CONFIG_LCD_LOGO && !CONFIG_LCD_INFO_BELOW_LOGO */
1100 1101
}

1102 1103 1104 1105 1106 1107 1108 1109 1110 1111 1112 1113 1114 1115 1116 1117 1118 1119 1120 1121 1122 1123 1124 1125 1126 1127
void lcd_position_cursor(unsigned col, unsigned row)
{
	console_col = min(col, CONSOLE_COLS - 1);
	console_row = min(row, CONSOLE_ROWS - 1);
}

int lcd_get_pixel_width(void)
{
	return panel_info.vl_col;
}

int lcd_get_pixel_height(void)
{
	return panel_info.vl_row;
}

int lcd_get_screen_rows(void)
{
	return CONSOLE_ROWS;
}

int lcd_get_screen_columns(void)
{
	return CONSOLE_COLS;
}

1128 1129
/************************************************************************/
/************************************************************************/