sf_probe.c 12.3 KB
Newer Older
1 2 3 4 5 6 7
/*
 * SPI flash probing
 *
 * Copyright (C) 2008 Atmel Corporation
 * Copyright (C) 2010 Reinhard Meyer, EMK Elektronik
 * Copyright (C) 2013 Jagannadha Sutradharudu Teki, Xilinx Inc.
 *
8
 * SPDX-License-Identifier:	GPL-2.0+
9 10 11
 */

#include <common.h>
12
#include <dm.h>
13
#include <errno.h>
14 15 16 17
#include <fdtdec.h>
#include <malloc.h>
#include <spi.h>
#include <spi_flash.h>
18
#include <asm/io.h>
19

20
#include "sf_internal.h"
21 22 23

DECLARE_GLOBAL_DATA_PTR;

24 25 26
/* Read commands array */
static u8 spi_read_cmds_array[] = {
	CMD_READ_ARRAY_SLOW,
27
	CMD_READ_ARRAY_FAST,
28 29
	CMD_READ_DUAL_OUTPUT_FAST,
	CMD_READ_DUAL_IO_FAST,
30
	CMD_READ_QUAD_OUTPUT_FAST,
31
	CMD_READ_QUAD_IO_FAST,
32 33
};

34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77
#ifdef CONFIG_SPI_FLASH_MACRONIX
static int spi_flash_set_qeb_mxic(struct spi_flash *flash)
{
	u8 qeb_status;
	int ret;

	ret = spi_flash_cmd_read_status(flash, &qeb_status);
	if (ret < 0)
		return ret;

	if (qeb_status & STATUS_QEB_MXIC) {
		debug("SF: mxic: QEB is already set\n");
	} else {
		ret = spi_flash_cmd_write_status(flash, STATUS_QEB_MXIC);
		if (ret < 0)
			return ret;
	}

	return ret;
}
#endif

#if defined(CONFIG_SPI_FLASH_SPANSION) || defined(CONFIG_SPI_FLASH_WINBOND)
static int spi_flash_set_qeb_winspan(struct spi_flash *flash)
{
	u8 qeb_status;
	int ret;

	ret = spi_flash_cmd_read_config(flash, &qeb_status);
	if (ret < 0)
		return ret;

	if (qeb_status & STATUS_QEB_WINSPAN) {
		debug("SF: winspan: QEB is already set\n");
	} else {
		ret = spi_flash_cmd_write_config(flash, STATUS_QEB_WINSPAN);
		if (ret < 0)
			return ret;
	}

	return ret;
}
#endif

78 79 80
static int spi_flash_set_qeb(struct spi_flash *flash, u8 idcode0)
{
	switch (idcode0) {
81 82 83 84
#ifdef CONFIG_SPI_FLASH_MACRONIX
	case SPI_FLASH_CFI_MFR_MACRONIX:
		return spi_flash_set_qeb_mxic(flash);
#endif
85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100
#if defined(CONFIG_SPI_FLASH_SPANSION) || defined(CONFIG_SPI_FLASH_WINBOND)
	case SPI_FLASH_CFI_MFR_SPANSION:
	case SPI_FLASH_CFI_MFR_WINBOND:
		return spi_flash_set_qeb_winspan(flash);
#endif
#ifdef CONFIG_SPI_FLASH_STMICRO
	case SPI_FLASH_CFI_MFR_STMICRO:
		debug("SF: QEB is volatile for %02x flash\n", idcode0);
		return 0;
#endif
	default:
		printf("SF: Need set QEB func for %02x flash\n", idcode0);
		return -1;
	}
}

101 102
static int spi_flash_validate_params(struct spi_slave *spi, u8 *idcode,
				     struct spi_flash *flash)
103 104
{
	const struct spi_flash_params *params;
105
	u8 cmd;
106
	u16 jedec = idcode[1] << 8 | idcode[2];
107
	u16 ext_jedec = idcode[3] << 8 | idcode[4];
108

109
	/* Validate params from spi_flash_params table */
110 111
	params = spi_flash_params_table;
	for (; params->name != NULL; params++) {
112
		if ((params->jedec >> 16) == idcode[0]) {
113 114 115 116 117 118
			if ((params->jedec & 0xFFFF) == jedec) {
				if (params->ext_jedec == 0)
					break;
				else if (params->ext_jedec == ext_jedec)
					break;
			}
119 120 121
		}
	}

122
	if (!params->name) {
123 124 125
		printf("SF: Unsupported flash IDs: ");
		printf("manuf %02x, jedec %04x, ext_jedec %04x\n",
		       idcode[0], jedec, ext_jedec);
126
		return -EPROTONOSUPPORT;
127 128
	}

J
Jagannadha Sutradharudu Teki 已提交
129
	/* Assign spi data */
130 131
	flash->spi = spi;
	flash->name = params->name;
J
Jagannadha Sutradharudu Teki 已提交
132
	flash->memory_map = spi->memory_map;
133
	flash->dual_flash = flash->spi->option;
134 135

	/* Assign spi_flash ops */
136
#ifndef CONFIG_DM_SPI_FLASH
137
	flash->write = spi_flash_cmd_write_ops;
138
#if defined(CONFIG_SPI_FLASH_SST)
139 140 141 142 143 144
	if (params->flags & SST_WR) {
		if (flash->spi->op_mode_tx & SPI_OPM_TX_BP)
			flash->write = sst_write_bp;
		else
			flash->write = sst_write_wp;
	}
145
#endif
146 147
	flash->erase = spi_flash_cmd_erase_ops;
	flash->read = spi_flash_cmd_read_ops;
148
#endif
149 150

	/* Compute the flash size */
151
	flash->shift = (flash->dual_flash & SF_DUAL_PARALLEL_FLASH) ? 1 : 0;
152 153 154 155 156 157 158 159 160 161 162 163 164 165 166
	/*
	 * The Spansion S25FL032P and S25FL064P have 256b pages, yet use the
	 * 0x4d00 Extended JEDEC code. The rest of the Spansion flashes with
	 * the 0x4d00 Extended JEDEC code have 512b pages. All of the others
	 * have 256b pages.
	 */
	if (ext_jedec == 0x4d00) {
		if ((jedec == 0x0215) || (jedec == 0x216))
			flash->page_size = 256;
		else
			flash->page_size = 512;
	} else {
		flash->page_size = 256;
	}
	flash->page_size <<= flash->shift;
167 168
	flash->sector_size = params->sector_size << flash->shift;
	flash->size = flash->sector_size * params->nr_sectors << flash->shift;
169
#ifdef CONFIG_SF_DUAL_FLASH
170 171
	if (flash->dual_flash & SF_DUAL_STACKED_FLASH)
		flash->size <<= 1;
172
#endif
173

174 175 176
	/* Compute erase sector and command */
	if (params->flags & SECT_4K) {
		flash->erase_cmd = CMD_ERASE_4K;
177
		flash->erase_size = 4096 << flash->shift;
178 179
	} else if (params->flags & SECT_32K) {
		flash->erase_cmd = CMD_ERASE_32K;
180
		flash->erase_size = 32768 << flash->shift;
181 182 183 184 185
	} else {
		flash->erase_cmd = CMD_ERASE_64K;
		flash->erase_size = flash->sector_size;
	}

186 187 188 189 190 191
	/* Look for the fastest read cmd */
	cmd = fls(params->e_rd_cmd & flash->spi->op_mode_rx);
	if (cmd) {
		cmd = spi_read_cmds_array[cmd - 1];
		flash->read_cmd = cmd;
	} else {
J
Jagannadha Sutradharudu Teki 已提交
192
		/* Go for default supported read cmd */
193 194 195
		flash->read_cmd = CMD_READ_ARRAY_FAST;
	}

196 197 198 199 200 201 202
	/* Not require to look for fastest only two write cmds yet */
	if (params->flags & WR_QPP && flash->spi->op_mode_tx & SPI_OPM_TX_QPP)
		flash->write_cmd = CMD_QUAD_PAGE_PROGRAM;
	else
		/* Go for default supported write cmd */
		flash->write_cmd = CMD_PAGE_PROGRAM;

203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221
	/* Read dummy_byte: dummy byte is determined based on the
	 * dummy cycles of a particular command.
	 * Fast commands - dummy_byte = dummy_cycles/8
	 * I/O commands- dummy_byte = (dummy_cycles * no.of lines)/8
	 * For I/O commands except cmd[0] everything goes on no.of lines
	 * based on particular command but incase of fast commands except
	 * data all go on single line irrespective of command.
	 */
	switch (flash->read_cmd) {
	case CMD_READ_QUAD_IO_FAST:
		flash->dummy_byte = 2;
		break;
	case CMD_READ_ARRAY_SLOW:
		flash->dummy_byte = 0;
		break;
	default:
		flash->dummy_byte = 1;
	}

J
Jagannadha Sutradharudu Teki 已提交
222
	/* Poll cmd selection */
223 224 225 226 227 228
	flash->poll_cmd = CMD_READ_STATUS;
#ifdef CONFIG_SPI_FLASH_STMICRO
	if (params->flags & E_FSR)
		flash->poll_cmd = CMD_FLAG_STATUS;
#endif

J
Jagannadha Sutradharudu Teki 已提交
229
	/* Configure the BAR - discover bank cmds and read current bank */
230 231 232
#ifdef CONFIG_SPI_FLASH_BAR
	u8 curr_bank = 0;
	if (flash->size > SPI_FLASH_16MB_BOUN) {
233 234
		int ret;

235 236 237 238 239
		flash->bank_read_cmd = (idcode[0] == 0x01) ?
					CMD_BANKADDR_BRRD : CMD_EXTNADDR_RDEAR;
		flash->bank_write_cmd = (idcode[0] == 0x01) ?
					CMD_BANKADDR_BRWR : CMD_EXTNADDR_WREAR;

240 241 242
		ret = spi_flash_read_common(flash, &flash->bank_read_cmd, 1,
					    &curr_bank, 1);
		if (ret) {
243
			debug("SF: fail to read bank addr register\n");
244
			return ret;
245 246 247 248 249
		}
		flash->bank_curr = curr_bank;
	} else {
		flash->bank_curr = curr_bank;
	}
250
#endif
251

252 253 254 255 256
	/* Flash powers up read-only, so clear BP# bits */
#if defined(CONFIG_SPI_FLASH_ATMEL) || \
	defined(CONFIG_SPI_FLASH_MACRONIX) || \
	defined(CONFIG_SPI_FLASH_SST)
		spi_flash_cmd_write_status(flash, 0);
257 258
#endif

259
	return 0;
260 261
}

262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283
#ifdef CONFIG_OF_CONTROL
int spi_flash_decode_fdt(const void *blob, struct spi_flash *flash)
{
	fdt_addr_t addr;
	fdt_size_t size;
	int node;

	/* If there is no node, do nothing */
	node = fdtdec_next_compatible(blob, 0, COMPAT_GENERIC_SPI_FLASH);
	if (node < 0)
		return 0;

	addr = fdtdec_get_addr_size(blob, node, "memory-map", &size);
	if (addr == FDT_ADDR_T_NONE) {
		debug("%s: Cannot decode address\n", __func__);
		return 0;
	}

	if (flash->size != size) {
		debug("%s: Memory map must cover entire device\n", __func__);
		return -1;
	}
284
	flash->memory_map = map_sysmem(addr, size);
285 286 287 288 289

	return 0;
}
#endif /* CONFIG_OF_CONTROL */

290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317
#ifdef CONFIG_SYS_SPI_ST_ENABLE_WP_PIN
/* enable the W#/Vpp signal to disable writing to the status register */
static int spi_enable_wp_pin(struct spi_flash *flash)
{
	u8 status;
	int ret;

	ret = spi_flash_cmd_read_status(flash, &status);
	if (ret < 0)
		return ret;

	ret = spi_flash_cmd_write_status(flash, STATUS_SRWD);
	if (ret < 0)
		return ret;

	ret = spi_flash_cmd_write_disable(flash);
	if (ret < 0)
		return ret;

	return 0;
}
#else
static int spi_enable_wp_pin(struct spi_flash *flash)
{
	return 0;
}
#endif

318 319 320 321 322 323 324 325
/**
 * spi_flash_probe_slave() - Probe for a SPI flash device on a bus
 *
 * @spi: Bus to probe
 * @flashp: Pointer to place to put flash info, which may be NULL if the
 * space should be allocated
 */
int spi_flash_probe_slave(struct spi_slave *spi, struct spi_flash *flash)
326
{
327
	u8 idcode[5];
328
	int ret;
329

330
	/* Setup spi_slave */
331 332
	if (!spi) {
		printf("SF: Failed to set up slave\n");
333
		return -ENODEV;
334 335
	}

336
	/* Claim spi bus */
337 338 339
	ret = spi_claim_bus(spi);
	if (ret) {
		debug("SF: Failed to claim SPI bus: %d\n", ret);
340
		return ret;
341 342 343 344
	}

	/* Read the ID codes */
	ret = spi_flash_cmd(spi, CMD_READ_ID, idcode, sizeof(idcode));
345 346
	if (ret) {
		printf("SF: Failed to get idcodes\n");
347
		goto err_read_id;
348
	}
349 350 351 352 353 354

#ifdef DEBUG
	printf("SF: Got idcodes\n");
	print_buffer(0, idcode, 1, sizeof(idcode), 0);
#endif

355 356
	if (spi_flash_validate_params(spi, idcode, flash)) {
		ret = -EINVAL;
357
		goto err_read_id;
358
	}
359

360 361 362 363 364 365
	/* Set the quad enable bit - only for quad commands */
	if ((flash->read_cmd == CMD_READ_QUAD_OUTPUT_FAST) ||
	    (flash->read_cmd == CMD_READ_QUAD_IO_FAST) ||
	    (flash->write_cmd == CMD_QUAD_PAGE_PROGRAM)) {
		if (spi_flash_set_qeb(flash, idcode[0])) {
			debug("SF: Fail to set QEB for %02x\n", idcode[0]);
366 367
			ret = -EINVAL;
			goto err_read_id;
368 369 370
		}
	}

371 372 373
#ifdef CONFIG_OF_CONTROL
	if (spi_flash_decode_fdt(gd->fdt_blob, flash)) {
		debug("SF: FDT decode error\n");
374
		ret = -EINVAL;
375
		goto err_read_id;
376 377 378 379
	}
#endif
#ifndef CONFIG_SPL_BUILD
	printf("SF: Detected %s with page size ", flash->name);
380 381
	print_size(flash->page_size, ", erase size ");
	print_size(flash->erase_size, ", total ");
382 383 384 385 386 387
	print_size(flash->size, "");
	if (flash->memory_map)
		printf(", mapped at %p", flash->memory_map);
	puts("\n");
#endif
#ifndef CONFIG_SPI_FLASH_BAR
388 389 390 391
	if (((flash->dual_flash == SF_SINGLE_FLASH) &&
	     (flash->size > SPI_FLASH_16MB_BOUN)) ||
	     ((flash->dual_flash > SF_SINGLE_FLASH) &&
	     (flash->size > SPI_FLASH_16MB_BOUN << 1))) {
392 393 394 395
		puts("SF: Warning - Only lower 16MiB accessible,");
		puts(" Full access #define CONFIG_SPI_FLASH_BAR\n");
	}
#endif
396 397
	if (spi_enable_wp_pin(flash))
		puts("Enable WP pin failed\n");
398

399
	/* Release spi bus */
400 401
	spi_release_bus(spi);

402
	return 0;
403 404 405

err_read_id:
	spi_release_bus(spi);
406 407 408
	return ret;
}

409 410
#ifndef CONFIG_DM_SPI_FLASH
struct spi_flash *spi_flash_probe_tail(struct spi_slave *bus)
411 412 413 414 415 416 417 418 419 420 421 422 423 424 425 426 427
{
	struct spi_flash *flash;

	/* Allocate space if needed (not used by sf-uclass */
	flash = calloc(1, sizeof(*flash));
	if (!flash) {
		debug("SF: Failed to allocate spi_flash\n");
		return NULL;
	}

	if (spi_flash_probe_slave(bus, flash)) {
		spi_free_slave(bus);
		free(flash);
		return NULL;
	}

	return flash;
428 429
}

430
struct spi_flash *spi_flash_probe(unsigned int busnum, unsigned int cs,
431 432
		unsigned int max_hz, unsigned int spi_mode)
{
433
	struct spi_slave *bus;
434

435 436
	bus = spi_setup_slave(busnum, cs, max_hz, spi_mode);
	return spi_flash_probe_tail(bus);
437 438 439 440 441 442
}

#ifdef CONFIG_OF_SPI_FLASH
struct spi_flash *spi_flash_probe_fdt(const void *blob, int slave_node,
				      int spi_node)
{
443
	struct spi_slave *bus;
444

445 446
	bus = spi_setup_slave_fdt(blob, slave_node, spi_node);
	return spi_flash_probe_tail(bus);
447 448 449
}
#endif

450 451 452 453 454
void spi_flash_free(struct spi_flash *flash)
{
	spi_free_slave(flash->spi);
	free(flash);
}
455 456 457 458 459 460 461 462 463 464 465 466 467 468 469 470 471 472 473 474 475 476 477 478 479 480 481 482 483

#else /* defined CONFIG_DM_SPI_FLASH */

static int spi_flash_std_read(struct udevice *dev, u32 offset, size_t len,
			      void *buf)
{
	struct spi_flash *flash = dev->uclass_priv;

	return spi_flash_cmd_read_ops(flash, offset, len, buf);
}

int spi_flash_std_write(struct udevice *dev, u32 offset, size_t len,
			const void *buf)
{
	struct spi_flash *flash = dev->uclass_priv;

	return spi_flash_cmd_write_ops(flash, offset, len, buf);
}

int spi_flash_std_erase(struct udevice *dev, u32 offset, size_t len)
{
	struct spi_flash *flash = dev->uclass_priv;

	return spi_flash_cmd_erase_ops(flash, offset, len);
}

int spi_flash_std_probe(struct udevice *dev)
{
	struct spi_slave *slave = dev_get_parentdata(dev);
484
	struct dm_spi_slave_platdata *plat = dev_get_parent_platdata(dev);
485 486 487 488
	struct spi_flash *flash;

	flash = dev->uclass_priv;
	flash->dev = dev;
489
	debug("%s: slave=%p, cs=%d\n", __func__, slave, plat->cs);
490 491 492 493 494 495 496 497 498 499 500 501 502 503 504 505 506 507 508 509 510 511 512 513
	return spi_flash_probe_slave(slave, flash);
}

static const struct dm_spi_flash_ops spi_flash_std_ops = {
	.read = spi_flash_std_read,
	.write = spi_flash_std_write,
	.erase = spi_flash_std_erase,
};

static const struct udevice_id spi_flash_std_ids[] = {
	{ .compatible = "spi-flash" },
	{ }
};

U_BOOT_DRIVER(spi_flash_std) = {
	.name		= "spi_flash_std",
	.id		= UCLASS_SPI_FLASH,
	.of_match	= spi_flash_std_ids,
	.probe		= spi_flash_std_probe,
	.priv_auto_alloc_size = sizeof(struct spi_flash),
	.ops		= &spi_flash_std_ops,
};

#endif /* CONFIG_DM_SPI_FLASH */