1. 14 11月, 2016 1 次提交
  2. 11 11月, 2016 1 次提交
    • X
      '*' operator overload for LayerOutput · 36fa2517
      xuwei06 提交于
      Making '*' support the multiplication between a scalar and LayerOutput
      
      Also changing '+' to support adding between a vector and a scalar.
      
      Change-Id: I7daf35590dc2b2f855a29d9ef43ac57979442e0f
      36fa2517
  3. 08 11月, 2016 2 次提交
  4. 07 11月, 2016 1 次提交
  5. 05 11月, 2016 1 次提交
    • E
      Add elementwise math operations (#343) · 6c3a678c
      emailweixu 提交于
      * Add elementwise math operations
      This allows use to use expressions like: y=log(1+exp(x))
      Also added unittests for ActivationFunction
      * Enforce keyword arguments for non-positional arguments
      * Add LogActivation to doc
      6c3a678c
  6. 30 10月, 2016 1 次提交
  7. 24 10月, 2016 1 次提交
  8. 14 10月, 2016 1 次提交
    • L
      hierarchical rnn document, add new config example (#106) · cebdb667
      luotao1 提交于
      * hierarchical rnn document, add new config example
      
      * update inputs_type of label
      
      * add check for unsupported config
      
      * refine hierarchical document
      
      * refine doc title
      
      * update docs, fix paddle to PaddlePaddle
      
      * follow comments
      cebdb667
  9. 13 10月, 2016 1 次提交
  10. 29 9月, 2016 1 次提交
  11. 22 9月, 2016 1 次提交
  12. 20 9月, 2016 1 次提交
  13. 14 9月, 2016 1 次提交
  14. 01 9月, 2016 1 次提交
  15. 31 8月, 2016 1 次提交
  16. 30 8月, 2016 3 次提交
  17. 29 8月, 2016 1 次提交