Multiplier.scala 1.7 KB
Newer Older
L
LinJiawei 已提交
1 2 3 4 5
package xiangshan.backend.fu

import chisel3._
import chisel3.util._
import xiangshan._
L
LinJiawei 已提交
6
import utils._
L
LinJiawei 已提交
7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32
import xiangshan.backend._
import xiangshan.backend.fu.FunctionUnit._

class MulDivCtrl extends Bundle{
  val sign = Bool()
  val isW = Bool()
  val isHi = Bool() // return hi bits of result ?
}

class MulDivOutput extends XSBundle {
  val data = UInt(XLEN.W)
  val uop = new MicroOp
}

class MulDivIO(val len: Int) extends XSBundle {
  val in = Flipped(DecoupledIO(new Bundle() {
    val src1, src2 = UInt(len.W)
    val ctrl = new MulDivCtrl
  }))
  val out = DecoupledIO(new MulDivOutput)
  val redirect = Flipped(ValidIO(new Redirect))
}

abstract class Multiplier
(
  val len: Int,
33
  latency: Int = 3
L
LinJiawei 已提交
34
) extends FunctionUnit(cfg = mulCfg, len, extIn = new MulDivCtrl, latency = latency)
35 36
  with HasPipelineReg[MulDivCtrl, Null]
{
L
LinJiawei 已提交
37

38
  val (src1, src2) = (io.in.bits.src(0), io.in.bits.src(1))
L
LinJiawei 已提交
39 40 41 42 43 44 45
}

class ArrayMultiplier
(
  len: Int,
  latency: Int = 3,
  realArray: Boolean = false
46
) extends Multiplier(len, latency) {
L
LinJiawei 已提交
47

48
  val mulRes = src1.asSInt() * src2.asSInt()
L
LinJiawei 已提交
49 50

  var dataVec = Seq(mulRes.asUInt())
51
  var ctrlVec = Seq(io.in.bits.ext.get)
L
LinJiawei 已提交
52 53 54

  for(i <- 1 to latency){
    dataVec = dataVec :+ PipelineReg(i)(dataVec(i-1))
55
    ctrlVec = ctrlVec :+ PipelineReg(i)(ctrlVec(i-1))
L
LinJiawei 已提交
56 57 58 59 60 61 62 63 64 65 66
  }

  val xlen = io.out.bits.data.getWidth
  val res = Mux(ctrlVec.last.isHi, dataVec.last(2*xlen-1, xlen), dataVec.last(xlen-1,0))
  io.out.bits.data := Mux(ctrlVec.last.isW, SignExt(res(31,0),xlen), res)

  XSDebug(p"validVec:${Binary(Cat(validVec))} flushVec:${Binary(Cat(flushVec))}\n")(this.name)

  //  printf(p"t=${GTimer()} in: v${io.in.valid} r:${io.in.ready}\n")
  //  printf(p"t=${GTimer()} out: v:${io.out.valid} r:${io.out.ready} vec:${Binary(Cat(validVec))}\n")
}