Btb.scala 9.5 KB
Newer Older
Y
Yinan Xu 已提交
1 2 3 4 5 6 7 8 9 10 11 12 13
package xiangshan.frontend

import chisel3._
import chisel3.stage.{ChiselGeneratorAnnotation, ChiselStage}
import chisel3.util._
import xiangshan._
import xiangshan.backend.ALUOpType
import utils._
import chisel3.experimental.chiselName


import scala.math.min

14
trait BTBParams extends HasXSParameter with HasIFUConst {
Y
Yinan Xu 已提交
15 16
  val nRows = BtbSize / (PredictWidth * BtbWays)
  val offsetLen = 13
17
  val lowerBitsSize = 13
Y
Yinan Xu 已提交
18 19 20 21
  val extendedNRows = nRows
}

class BtbDataEntry extends XSBundle with BTBParams {
22
  val lower = UInt(lowerBitsSize.W)
Y
Yinan Xu 已提交
23 24 25 26
  val extended = Bool()
}

object BtbDataEntry {
27
  def apply(lower: UInt, extended: Bool) = {
Y
Yinan Xu 已提交
28
    val e = Wire(new BtbDataEntry)
29
    e.lower := lower
Y
Yinan Xu 已提交
30 31 32 33 34 35 36 37
    e.extended := extended
    e
  }
}

class BtbMetaEntry() extends XSBundle with BTBParams {
  val valid = Bool()
  // TODO: don't need full length of tag
38
  val tag = UInt((VAddrBits - log2Up(BtbSize) - instOffsetBits).W)
Y
Yinan Xu 已提交
39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74
  val btbType = UInt(2.W)
  val isRVC = Bool()
}

object BtbMetaEntry {
  def apply(tag: UInt, btbType: UInt, isRVC: Bool) = {
    val e = Wire(new BtbMetaEntry)
    e.valid := true.B
    e.tag := tag
    e.btbType := btbType
    e.isRVC := isRVC
    e
  }
}

class BTB extends BasePredictor with BTBParams{
  class BTBResp extends Resp {
    val targets = Vec(PredictWidth, UInt(VAddrBits.W))
    val hits = Vec(PredictWidth, Bool())
    val types = Vec(PredictWidth, UInt(2.W))
    val isRVC = Vec(PredictWidth, Bool())
  }
  class BTBMeta extends Meta {
    val writeWay =  Vec(PredictWidth, UInt(log2Up(BtbWays).W))
    val hitJal = Vec(PredictWidth, Bool())
  }
  class BTBFromOthers extends FromOthers {}

  class BTBIO extends DefaultBasePredictorIO {
    val resp = Output(new BTBResp)
    val meta = Output(new BTBMeta)
  }
  override val debug = true
  override val io = IO(new BTBIO)
  val btbAddr = new TableAddr(log2Up(BtbSize/BtbWays), BtbBanks)

75
  val if1_packetAlignedPC = packetAligned(io.pc.bits)
Y
Yinan Xu 已提交
76

77
  val if2_pc = RegEnable(if1_packetAlignedPC, io.pc.valid)
Y
Yinan Xu 已提交
78 79 80 81 82 83 84 85 86 87 88

  val data = List.fill(BtbWays) {
    List.fill(BtbBanks) {
      Module(new SRAMTemplate(new BtbDataEntry, set = nRows, shouldReset = true, holdRead = true))
    }
  }
  val meta = List.fill(BtbWays) {
    List.fill(BtbBanks) {
      Module(new SRAMTemplate(new BtbMetaEntry, set = nRows, shouldReset = true, holdRead = true))
    }
  }
89
  val edata = Module(new SRAMTemplate(UInt(VAddrBits.W), set = extendedNRows, shouldReset = true, holdRead = true))
Y
Yinan Xu 已提交
90

91 92 93 94 95
  val if1_mask = io.inMask
  val if2_mask = RegEnable(if1_mask, io.pc.valid)
  val if1_row = btbAddr.getBankIdx(if1_packetAlignedPC)
  val if2_row = RegEnable(if1_row, io.pc.valid)
  
Y
Yinan Xu 已提交
96 97 98
  // BTB read requests
  for (w <- 0 until BtbWays) {
    for (b <- 0 until BtbBanks) {
99
      meta(w)(b).io.r.req.valid       := if1_mask(b) && io.pc.valid
L
Lingrui98 已提交
100
      meta(w)(b).io.r.req.bits.setIdx := if1_row
101
      data(w)(b).io.r.req.valid       := if1_mask(b) && io.pc.valid
L
Lingrui98 已提交
102
      data(w)(b).io.r.req.bits.setIdx := if1_row
Y
Yinan Xu 已提交
103 104
    }
  }
105 106
  edata.io.r.req.valid       := io.pc.valid
  edata.io.r.req.bits.setIdx := if1_row
Y
Yinan Xu 已提交
107 108 109 110

  // Entries read from SRAM
  val if2_metaRead = VecInit((0 until BtbWays).map(w => VecInit((0 until BtbBanks).map( b => meta(w)(b).io.r.resp.data(0)))))
  val if2_dataRead = VecInit((0 until BtbWays).map(w => VecInit((0 until BtbBanks).map( b => data(w)(b).io.r.resp.data(0)))))
111
  val if2_edataRead = edata.io.r.resp.data(0)
Y
Yinan Xu 已提交
112

113 114 115
  // val if2_baseBank = btbAddr.getBank(if2_pc)
  // val if2_startsAtOddBank = bankInGroup(if2_pc)(0)
  val if2_tag = btbAddr.getTag(if2_pc)
Y
Yinan Xu 已提交
116 117 118 119

  val if2_totalHits = VecInit((0 until BtbBanks).map( b =>
    VecInit((0 until BtbWays).map( w =>
      // This should correspond to the real mask from last valid cycle!
120
      if2_metaRead(w)(b).tag === if2_tag(b) && if2_metaRead(w)(b).valid && if2_mask(b)
Y
Yinan Xu 已提交
121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147
    ))
  ))
  val if2_bankHits = VecInit(if2_totalHits.map(_.reduce(_||_)))
  val if2_bankHitWays = VecInit(if2_totalHits.map(PriorityEncoder(_)))


  def allocWay(valids: UInt, meta_tags: UInt, req_tag: UInt) = {
    val randomAlloc = true
    if (BtbWays > 1) {
      val w = Wire(UInt(log2Up(BtbWays).W))
      val valid = WireInit(valids.andR)
      val tags = Cat(meta_tags, req_tag)
      val l = log2Up(BtbWays)
      val nChunks = (tags.getWidth + l - 1) / l
      val chunks = (0 until nChunks).map( i =>
        tags(min((i+1)*l, tags.getWidth)-1, i*l)
      )
      w := Mux(valid, if (randomAlloc) {LFSR64()(log2Up(BtbWays)-1,0)} else {chunks.reduce(_^_)}, PriorityEncoder(~valids))
      w
    } else {
      val w = WireInit(0.U)
      w
    }
  }
  val allocWays = VecInit((0 until BtbBanks).map(b =>
    allocWay(VecInit(if2_metaRead.map(w => w(b).valid)).asUInt,
             VecInit(if2_metaRead.map(w => w(b).tag)).asUInt,
148
             if2_tag(b))))
Y
Yinan Xu 已提交
149 150 151 152 153 154 155 156

  val writeWay = VecInit((0 until BtbBanks).map(
    b => Mux(if2_bankHits(b), if2_bankHitWays(b), allocWays(b))
  ))



  for (b <- 0 until BtbBanks) {
157 158
    val meta_entry = if2_metaRead(if2_bankHitWays(b))(b)
    val data_entry = if2_dataRead(if2_bankHitWays(b))(b)
Y
Yinan Xu 已提交
159
    // Use real pc to calculate the target
160
    io.resp.targets(b) := Mux(data_entry.extended, if2_edataRead, Cat(if2_pc(VAddrBits-1, lowerBitsSize+instOffsetBits), data_entry.lower, 0.U(instOffsetBits.W)))
161
    io.resp.hits(b)  := if2_bankHits(b)
Y
Yinan Xu 已提交
162 163
    io.resp.types(b) := meta_entry.btbType
    io.resp.isRVC(b) := meta_entry.isRVC
164 165
    io.meta.writeWay(b) := writeWay(b)
    io.meta.hitJal(b)   := if2_bankHits(b) && meta_entry.btbType === BTBtype.J
Y
Yinan Xu 已提交
166 167 168 169 170 171 172 173 174 175
  }

  def pdInfoToBTBtype(pd: PreDecodeInfo) = {
    val t = WireInit(0.U(2.W))
    when (pd.isJalr) { t := BTBtype.I}
    when (pd.isRet)  { t := BTBtype.R}
    when (pd.isJal)  { t := BTBtype.J}
    when (pd.isBr)   { t := BTBtype.B}
    t
  }
L
Lingrui98 已提交
176
  val u = io.update.bits
Y
Yinan Xu 已提交
177

178 179 180
  // val max_offset = Cat(0.B, ~(0.U((offsetLen-1).W))).asSInt
  // val min_offset = Cat(1.B,  (0.U((offsetLen-1).W))).asSInt
  // val new_offset = (new_target.asSInt - u.pc.asSInt)
Y
Yinan Xu 已提交
181
  val new_target = Mux(u.pd.isBr, u.brTarget, u.target)
182 183 184 185 186 187
  val new_lower = u.target(lowerBitsSize+instOffsetBits-1, instOffsetBits)
  val update_pc_higher     = u.pc(VAddrBits-1, lowerBitsSize+instOffsetBits)
  val update_target_higher = new_target(VAddrBits-1, lowerBitsSize+instOffsetBits)
  val higher_identical = update_pc_higher === update_target_higher
  // val new_extended = (new_offset > max_offset || new_offset < min_offset)
  val new_extended = !higher_identical
Y
Yinan Xu 已提交
188 189


L
Lingrui98 已提交
190
  val updateWay = u.bpuMeta.btbWriteWay
Y
Yinan Xu 已提交
191
  val updateBankIdx = btbAddr.getBank(u.pc)
192
  // val updateEBank = updateBankIdx(log2Ceil(BtbBanks)-1) // highest bit of bank idx
Y
Yinan Xu 已提交
193 194 195
  val updateRow = btbAddr.getBankIdx(u.pc)
  val updateType = pdInfoToBTBtype(u.pd)
  val metaWrite = BtbMetaEntry(btbAddr.getTag(u.pc), updateType, u.pd.isRVC)
196
  val dataWrite = BtbDataEntry(new_lower, new_extended)
Y
Yinan Xu 已提交
197

L
Lingrui98 已提交
198
  val jalFirstEncountered = !u.isMisPred && !u.bpuMeta.btbHitJal && updateType === BTBtype.J
199
  val updateValid = io.update.valid && (u.isMisPred || jalFirstEncountered) && !u.isReplay
Y
Yinan Xu 已提交
200 201 202 203 204 205 206 207 208 209 210 211
  // Update btb
  for (w <- 0 until BtbWays) {
    for (b <- 0 until BtbBanks) {
      meta(w)(b).io.w.req.valid := updateValid && b.U === updateBankIdx && w.U === updateWay
      meta(w)(b).io.w.req.bits.setIdx := updateRow
      meta(w)(b).io.w.req.bits.data := metaWrite
      data(w)(b).io.w.req.valid := updateValid && b.U === updateBankIdx && w.U === updateWay
      data(w)(b).io.w.req.bits.setIdx := updateRow
      data(w)(b).io.w.req.bits.data := dataWrite
    }
  }

212 213 214
  edata.io.w.req.valid := updateValid && new_extended
  edata.io.w.req.bits.setIdx := updateRow
  edata.io.w.req.bits.data := u.target
Y
Yinan Xu 已提交
215 216 217 218


  if (BPUDebug && debug) {
    val debug_verbose = true
219 220 221 222 223
    // XSDebug("isInNextRow: ")
    // (0 until BtbBanks).foreach(i => {
    //   XSDebug(false, true.B, "%d ", if1_isInNextRow(i))
    //   if (i == BtbBanks-1) { XSDebug(false, true.B, "\n") }
    // })
Y
Yinan Xu 已提交
224
    val validLatch = RegNext(io.pc.valid)
225
    XSDebug(io.pc.valid, "read: pc=0x%x, mask=%b\n", if1_packetAlignedPC, if1_mask)
Y
Yinan Xu 已提交
226 227 228 229 230
    XSDebug(validLatch, "read_resp: pc=0x%x, readIdx=%d-------------------------------\n",
      if2_pc, btbAddr.getIdx(if2_pc))
    if (debug_verbose) {
      for (i <- 0 until BtbBanks){
        for (j <- 0 until BtbWays) {
231 232
          XSDebug(validLatch, "read_resp[w=%d][b=%d][r=%d] is valid(%d) mask(%d), tag=0x%x, lower=0x%x, type=%d, isExtend=%d, isRVC=%d\n",
          j.U, i.U, if2_row, if2_metaRead(j)(i).valid, if2_mask(i), if2_metaRead(j)(i).tag, if2_dataRead(j)(i).lower, if2_metaRead(j)(i).btbType, if2_dataRead(j)(i).extended, if2_metaRead(j)(i).isRVC)
Y
Yinan Xu 已提交
233 234 235 236
        }
      }
    }
    // e.g: baseBank == 5 => (5, 6,..., 15, 0, 1, 2, 3, 4)
237
    // val bankIdxInOrder = VecInit((0 until BtbBanks).map(b => (if2_baseBank +& b.U)(log2Up(BtbBanks)-1,0)))
Y
Yinan Xu 已提交
238 239

    for (i <- 0 until BtbBanks) {
240 241
      XSDebug(validLatch && if2_bankHits(i), "resp(%d): bank(%d) hits, tgt=%x, isRVC=%d, type=%d\n",
        i.U, i.U, io.resp.targets(i), io.resp.isRVC(i), io.resp.types(i))
Y
Yinan Xu 已提交
242
    }
243 244
    XSDebug(updateValid, "update_req: cycle=%d, pc=0x%x, target=0x%x, misPred=%d, lower=%x, extended=%d, way=%d, bank=%d, row=0x%x\n",
      u.bpuMeta.debug_btb_cycle, u.pc, new_target, u.isMisPred, new_lower, new_extended, updateWay, updateBankIdx, updateRow)
Y
Yinan Xu 已提交
245 246 247 248 249 250 251 252 253 254
    for (i <- 0 until BtbBanks) {
      // Conflict when not hit and allocating a valid entry
      val conflict = if2_metaRead(allocWays(i))(i).valid && !if2_bankHits(i)
      XSDebug(conflict, "bank(%d) is trying to allocate a valid way(%d)\n", i.U, allocWays(i))
      // There is another circumstance when a branch is on its way to update while another
      // branch chose the same way to udpate, then after the first branch is wrote in,
      // the second branch will overwrite the first branch
  }

  }
255
}