From 91a0d2a75a0c117539ed14d2782a04cc0b7a1c06 Mon Sep 17 00:00:00 2001 From: Sherman Date: Wed, 3 Nov 2021 20:40:06 +0800 Subject: [PATCH] =?UTF-8?q?[fix]=20=E4=BF=AE=E5=A4=8D=E4=BB=A3=E7=A0=81?= =?UTF-8?q?=E4=B8=AD=E7=9A=84=E9=94=99=E8=AF=AF=20[update]=20=E6=9B=B4?= =?UTF-8?q?=E6=96=B0=E8=AF=B4=E6=98=8E=E6=96=87=E6=A1=A3=EF=BC=8C=E4=BF=AE?= =?UTF-8?q?=E6=94=B9=E6=96=87=E6=A1=A3=E4=B8=AD=E7=9A=84=E9=94=99=E8=AF=AF?= =?UTF-8?q?=E6=8F=8F=E8=BF=B0?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- bsp/ra6m4-cpk/.config | 4 +- bsp/ra6m4-cpk/.settings/standalone.prefs | 18 +- bsp/ra6m4-cpk/README.md | 78 ++-- bsp/ra6m4-cpk/buildinfo.gpdsc | 19 +- bsp/ra6m4-cpk/configuration.xml | 23 +- bsp/ra6m4-cpk/docs/picture/1635909864954.png | Bin 0 -> 34028 bytes bsp/ra6m4-cpk/docs/picture/1635929089445.png | Bin 0 -> 20086 bytes bsp/ra6m4-cpk/docs/picture/import_changes.png | Bin 0 -> 14142 bytes bsp/ra6m4-cpk/docs/picture/irq0.png | Bin 0 -> 36998 bytes bsp/ra6m4-cpk/docs/picture/irq1.png | Bin 0 -> 15952 bytes bsp/ra6m4-cpk/docs/picture/p105.png | Bin 0 -> 25303 bytes bsp/ra6m4-cpk/docs/picture/pwm_env.png | Bin 0 -> 33223 bytes bsp/ra6m4-cpk/docs/picture/rtc_env.png | Bin 15672 -> 16930 bytes ...15\347\275\256\345\267\245\345\205\267.md" | 92 ++-- bsp/ra6m4-cpk/drivers/Kconfig | 10 - .../drivers/config/ra6m4/dac_config.h | 2 +- bsp/ra6m4-cpk/drivers/drv_gpio.c | 2 +- bsp/ra6m4-cpk/drivers/drv_rtc.c | 9 +- bsp/ra6m4-cpk/project.uvoptx | 412 ++++++++++++++++-- bsp/ra6m4-cpk/project.uvprojx | 241 +++++----- .../ra_cfg/fsp_cfg/bsp/bsp_mcu_family_cfg.h | 2 +- bsp/ra6m4-cpk/ra_gen/hal_data.c | 24 + bsp/ra6m4-cpk/ra_gen/hal_data.h | 12 + bsp/ra6m4-cpk/ra_gen/pin_data.c | 2 +- bsp/ra6m4-cpk/ra_gen/vector_data.c | 2 + bsp/ra6m4-cpk/ra_gen/vector_data.h | 5 +- bsp/ra6m4-cpk/src/hal_entry.c | 25 ++ 27 files changed, 697 insertions(+), 285 deletions(-) create mode 100644 bsp/ra6m4-cpk/docs/picture/1635909864954.png create mode 100644 bsp/ra6m4-cpk/docs/picture/1635929089445.png create mode 100644 bsp/ra6m4-cpk/docs/picture/import_changes.png create mode 100644 bsp/ra6m4-cpk/docs/picture/irq0.png create mode 100644 bsp/ra6m4-cpk/docs/picture/irq1.png create mode 100644 bsp/ra6m4-cpk/docs/picture/p105.png create mode 100644 bsp/ra6m4-cpk/docs/picture/pwm_env.png diff --git a/bsp/ra6m4-cpk/.config b/bsp/ra6m4-cpk/.config index cac101664f..3b36572e22 100644 --- a/bsp/ra6m4-cpk/.config +++ b/bsp/ra6m4-cpk/.config @@ -574,6 +574,8 @@ CONFIG_SOC_SERIES_R7FA6M4AF=y # On-chip Peripheral Drivers # CONFIG_BSP_USING_GPIO=y +# CONFIG_BSP_USING_ONCHIP_FLASH is not set +# CONFIG_BSP_USING_WDT is not set CONFIG_BSP_USING_UART=y CONFIG_BSP_USING_UART7=y # CONFIG_BSP_UART7_RX_USING_DMA is not set @@ -581,11 +583,11 @@ CONFIG_BSP_USING_UART7=y CONFIG_BSP_UART7_RX_BUFSIZE=256 CONFIG_BSP_UART7_TX_BUFSIZE=0 # CONFIG_BSP_USING_I2C is not set -# CONFIG_BSP_USING_WDT is not set # CONFIG_BSP_USING_ONCHIP_RTC is not set # CONFIG_BSP_USING_SPI is not set # CONFIG_BSP_USING_ADC is not set # CONFIG_BSP_USING_DAC is not set +# CONFIG_BSP_USING_PWM is not set # # Board extended module Drivers diff --git a/bsp/ra6m4-cpk/.settings/standalone.prefs b/bsp/ra6m4-cpk/.settings/standalone.prefs index ee88b72efb..99ce253417 100644 --- a/bsp/ra6m4-cpk/.settings/standalone.prefs +++ b/bsp/ra6m4-cpk/.settings/standalone.prefs @@ -1,19 +1,21 @@ -#Tue Oct 26 21:18:08 CST 2021 +#Wed Nov 03 20:31:16 CST 2021 com.renesas.cdt.ddsc.content/com.renesas.cdt.ddsc.content.defaultlinkerscript=script/fsp.scat com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#BSP\#\#ra6m4\#\#device\#\#\#\#3.1.0/libraries= -com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#HAL\ Drivers\#\#all\#\#r_ioport\#\#\#\#3.1.0/all=1957950123,ra/fsp/inc/api/r_ioport_api.h|1390983687,ra/fsp/inc/instances/r_ioport.h|3204787724,ra/fsp/src/r_ioport/r_ioport.c +com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#HAL\ Drivers\#\#all\#\#r_ioport\#\#\#\#3.1.0/all=1390983687,ra/fsp/inc/instances/r_ioport.h|3204787724,ra/fsp/src/r_ioport/r_ioport.c|1957950123,ra/fsp/inc/api/r_ioport_api.h com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#HAL\ Drivers\#\#all\#\#r_sci_uart\#\#\#\#3.1.0/libraries= +com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#HAL\ Drivers\#\#all\#\#r_icu\#\#\#\#3.1.0/all=2545672180,ra/fsp/inc/instances/r_icu.h|3018483678,ra/fsp/src/r_icu/r_icu.c|1906465970,ra/fsp/inc/api/r_external_irq_api.h com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#BSP\#\#Board\#\#ra6m4_cpk\#\#\#\#3.1.0/libraries= com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#BSP\#\#ra6m4\#\#device\#\#\#\#3.1.0/all=2308894280,ra/fsp/src/bsp/cmsis/Device/RENESAS/Include/system.h -com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#Common\#\#all\#\#fsp_common\#\#\#\#3.1.0/all=3581546608,ra/fsp/inc/fsp_common_api.h|2247478812,ra/fsp/src/bsp/mcu/all/bsp_module_stop.h|3983299396,ra/fsp/src/bsp/mcu/all/bsp_delay.h|2308894280,ra/fsp/src/bsp/cmsis/Device/RENESAS/Include/system.h|1222394411,ra/fsp/src/bsp/mcu/all/bsp_io.c|731782070,ra/fsp/src/bsp/mcu/all/bsp_irq.h|568600546,ra/fsp/src/bsp/cmsis/Device/RENESAS/Source/startup.c|1390983687,ra/fsp/inc/instances/r_ioport.h|496115995,ra/fsp/src/bsp/mcu/all/bsp_register_protection.c|1552630912,ra/fsp/src/bsp/mcu/all/bsp_guard.h|3590501432,ra/fsp/src/bsp/mcu/all/bsp_io.h|521902797,ra/fsp/src/bsp/mcu/all/bsp_security.h|1630997354,ra/fsp/src/bsp/mcu/all/bsp_irq.c|2920829723,ra/fsp/src/bsp/mcu/all/bsp_guard.c|400573940,ra/fsp/src/bsp/mcu/all/bsp_register_protection.h|905231975,ra/fsp/src/bsp/mcu/all/bsp_clocks.c|1992062042,ra/fsp/src/bsp/mcu/all/bsp_compiler_support.h|1868795951,ra/fsp/inc/fsp_features.h|3984836408,ra/fsp/src/bsp/mcu/all/bsp_group_irq.h|2966752275,ra/fsp/src/bsp/mcu/all/bsp_delay.c|3098075304,ra/fsp/src/bsp/mcu/all/bsp_clocks.h|2556589544,ra/fsp/src/bsp/mcu/all/bsp_group_irq.c|2812024316,ra/fsp/src/bsp/mcu/all/bsp_common.h|1957950123,ra/fsp/inc/api/r_ioport_api.h|2906400,ra/fsp/src/bsp/mcu/all/bsp_common.c|3520119047,ra/fsp/src/bsp/cmsis/Device/RENESAS/Include/base_addresses.h|2977689308,ra/fsp/src/bsp/mcu/all/bsp_mcu_api.h|2006974055,ra/fsp/inc/api/bsp_api.h|3819230577,ra/fsp/src/bsp/cmsis/Device/RENESAS/Source/system.c|3131094294,ra/fsp/src/bsp/mcu/all/bsp_rom_registers.c|1982083345,ra/fsp/src/bsp/mcu/all/bsp_security.c|1615019982,ra/fsp/src/bsp/mcu/all/bsp_sbrk.c|3366593968,ra/fsp/src/bsp/cmsis/Device/RENESAS/Include/renesas.h|4191472725,ra/fsp/inc/fsp_version.h +com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#Common\#\#all\#\#fsp_common\#\#\#\#3.1.0/all=568600546,ra/fsp/src/bsp/cmsis/Device/RENESAS/Source/startup.c|2977689308,ra/fsp/src/bsp/mcu/all/bsp_mcu_api.h|1222394411,ra/fsp/src/bsp/mcu/all/bsp_io.c|3098075304,ra/fsp/src/bsp/mcu/all/bsp_clocks.h|905231975,ra/fsp/src/bsp/mcu/all/bsp_clocks.c|3590501432,ra/fsp/src/bsp/mcu/all/bsp_io.h|1868795951,ra/fsp/inc/fsp_features.h|2556589544,ra/fsp/src/bsp/mcu/all/bsp_group_irq.c|3581546608,ra/fsp/inc/fsp_common_api.h|3984836408,ra/fsp/src/bsp/mcu/all/bsp_group_irq.h|496115995,ra/fsp/src/bsp/mcu/all/bsp_register_protection.c|2812024316,ra/fsp/src/bsp/mcu/all/bsp_common.h|1390983687,ra/fsp/inc/instances/r_ioport.h|521902797,ra/fsp/src/bsp/mcu/all/bsp_security.h|4191472725,ra/fsp/inc/fsp_version.h|2247478812,ra/fsp/src/bsp/mcu/all/bsp_module_stop.h|3131094294,ra/fsp/src/bsp/mcu/all/bsp_rom_registers.c|400573940,ra/fsp/src/bsp/mcu/all/bsp_register_protection.h|2906400,ra/fsp/src/bsp/mcu/all/bsp_common.c|2308894280,ra/fsp/src/bsp/cmsis/Device/RENESAS/Include/system.h|3366593968,ra/fsp/src/bsp/cmsis/Device/RENESAS/Include/renesas.h|3520119047,ra/fsp/src/bsp/cmsis/Device/RENESAS/Include/base_addresses.h|2920829723,ra/fsp/src/bsp/mcu/all/bsp_guard.c|1982083345,ra/fsp/src/bsp/mcu/all/bsp_security.c|1552630912,ra/fsp/src/bsp/mcu/all/bsp_guard.h|1992062042,ra/fsp/src/bsp/mcu/all/bsp_compiler_support.h|3819230577,ra/fsp/src/bsp/cmsis/Device/RENESAS/Source/system.c|3983299396,ra/fsp/src/bsp/mcu/all/bsp_delay.h|2966752275,ra/fsp/src/bsp/mcu/all/bsp_delay.c|731782070,ra/fsp/src/bsp/mcu/all/bsp_irq.h|1615019982,ra/fsp/src/bsp/mcu/all/bsp_sbrk.c|1630997354,ra/fsp/src/bsp/mcu/all/bsp_irq.c|2006974055,ra/fsp/inc/api/bsp_api.h|1957950123,ra/fsp/inc/api/r_ioport_api.h com.renesas.cdt.ddsc.packs.componentfiles/Arm\#\#CMSIS\#\#CMSIS5\#\#CoreM\#\#\#\#5.7.0+fsp.3.1.0/libraries= -com.renesas.cdt.ddsc.settingseditor/com.renesas.cdt.ddsc.settingseditor.active_page=PinConfiguration +com.renesas.cdt.ddsc.settingseditor/com.renesas.cdt.ddsc.settingseditor.active_page=SWPConfigurator +com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#HAL\ Drivers\#\#all\#\#r_icu\#\#\#\#3.1.0/libraries= com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#HAL\ Drivers\#\#all\#\#r_ioport\#\#\#\#3.1.0/libraries= com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#BSP\#\#ra6m4\#\#device\#\#R7FA6M4AF3CFB\#\#3.1.0/libraries= -com.renesas.cdt.ddsc.packs.componentfiles/Arm\#\#CMSIS\#\#CMSIS5\#\#CoreM\#\#\#\#5.7.0+fsp.3.1.0/all=546157604,ra/arm/CMSIS_5/CMSIS/Core/Include/core_armv8mml.h|1372010515,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm23.h|1078551279,ra/arm/CMSIS_5/CMSIS/Core/Include/core_sc300.h|2333906976,ra/arm/CMSIS_5/CMSIS/Core/Include/cmsis_version.h|1536854638,ra/arm/CMSIS_5/CMSIS/Core/Include/mpu_armv8.h|206980015,ra/arm/CMSIS_5/CMSIS/Core/Include/mpu_armv7.h|3007265674,ra/arm/CMSIS_5/CMSIS/Core/Include/core_armv8mbl.h|2024281644,ra/arm/CMSIS_5/CMSIS/Core/Include/cmsis_armclang_ltm.h|1017116116,ra/arm/CMSIS_5/CMSIS/Core/Include/cmsis_compiler.h|4231934849,ra/arm/CMSIS_5/CMSIS/Core/Include/cmsis_iccarm.h|2748964184,ra/arm/CMSIS_5/CMSIS/Core/Include/cachel1_armv7.h|377628369,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm55.h|637879414,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm33.h|4005730526,ra/arm/CMSIS_5/CMSIS/Core/Include/pmu_armv8.h|2327633156,ra/arm/CMSIS_5/CMSIS/Core/Include/core_sc000.h|2635219934,ra/arm/CMSIS_5/CMSIS/Core/Include/tz_context.h|3589068132,ra/arm/CMSIS_5/CMSIS/Core/Include/cmsis_armcc.h|2851112248,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm1.h|3021372151,ra/arm/CMSIS_5/CMSIS/Core/Include/cmsis_gcc.h|1562896660,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm35p.h|1745843273,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm0.h|2491522803,ra/arm/CMSIS_5/CMSIS/Core/Include/cmsis_armclang.h|1441545198,ra/arm/CMSIS_5/LICENSE.txt|3602366610,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm3.h|3779323067,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm4.h|3442821435,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm7.h|4290386133,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm0plus.h|2686445441,ra/arm/CMSIS_5/CMSIS/Core/Include/core_armv81mml.h -com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#HAL\ Drivers\#\#all\#\#r_sci_uart\#\#\#\#3.1.0/all=2349328507,ra/fsp/src/r_sci_uart/r_sci_uart.c|853178775,ra/fsp/inc/api/r_uart_api.h|1610456547,ra/fsp/inc/api/r_transfer_api.h|1672784957,ra/fsp/inc/instances/r_sci_uart.h -com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#BSP\#\#ra6m4\#\#fsp\#\#\#\#3.1.0/all=3571093944,ra/fsp/src/bsp/mcu/ra6m4/bsp_elc.h|2347061782,ra/fsp/src/bsp/mcu/ra6m4/bsp_mcu_info.h|3852442662,ra/fsp/src/bsp/mcu/ra6m4/bsp_feature.h +com.renesas.cdt.ddsc.packs.componentfiles/Arm\#\#CMSIS\#\#CMSIS5\#\#CoreM\#\#\#\#5.7.0+fsp.3.1.0/all=2686445441,ra/arm/CMSIS_5/CMSIS/Core/Include/core_armv81mml.h|2491522803,ra/arm/CMSIS_5/CMSIS/Core/Include/cmsis_armclang.h|2748964184,ra/arm/CMSIS_5/CMSIS/Core/Include/cachel1_armv7.h|1017116116,ra/arm/CMSIS_5/CMSIS/Core/Include/cmsis_compiler.h|3007265674,ra/arm/CMSIS_5/CMSIS/Core/Include/core_armv8mbl.h|3589068132,ra/arm/CMSIS_5/CMSIS/Core/Include/cmsis_armcc.h|1536854638,ra/arm/CMSIS_5/CMSIS/Core/Include/mpu_armv8.h|206980015,ra/arm/CMSIS_5/CMSIS/Core/Include/mpu_armv7.h|4005730526,ra/arm/CMSIS_5/CMSIS/Core/Include/pmu_armv8.h|1372010515,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm23.h|1078551279,ra/arm/CMSIS_5/CMSIS/Core/Include/core_sc300.h|546157604,ra/arm/CMSIS_5/CMSIS/Core/Include/core_armv8mml.h|2333906976,ra/arm/CMSIS_5/CMSIS/Core/Include/cmsis_version.h|1441545198,ra/arm/CMSIS_5/LICENSE.txt|1562896660,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm35p.h|2327633156,ra/arm/CMSIS_5/CMSIS/Core/Include/core_sc000.h|2635219934,ra/arm/CMSIS_5/CMSIS/Core/Include/tz_context.h|3021372151,ra/arm/CMSIS_5/CMSIS/Core/Include/cmsis_gcc.h|3602366610,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm3.h|4290386133,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm0plus.h|2851112248,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm1.h|1745843273,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm0.h|2024281644,ra/arm/CMSIS_5/CMSIS/Core/Include/cmsis_armclang_ltm.h|4231934849,ra/arm/CMSIS_5/CMSIS/Core/Include/cmsis_iccarm.h|3442821435,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm7.h|637879414,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm33.h|377628369,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm55.h|3779323067,ra/arm/CMSIS_5/CMSIS/Core/Include/core_cm4.h +com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#HAL\ Drivers\#\#all\#\#r_sci_uart\#\#\#\#3.1.0/all=2349328507,ra/fsp/src/r_sci_uart/r_sci_uart.c|1672784957,ra/fsp/inc/instances/r_sci_uart.h|1610456547,ra/fsp/inc/api/r_transfer_api.h|853178775,ra/fsp/inc/api/r_uart_api.h +com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#BSP\#\#ra6m4\#\#fsp\#\#\#\#3.1.0/all=2347061782,ra/fsp/src/bsp/mcu/ra6m4/bsp_mcu_info.h|3852442662,ra/fsp/src/bsp/mcu/ra6m4/bsp_feature.h|3571093944,ra/fsp/src/bsp/mcu/ra6m4/bsp_elc.h com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#Common\#\#all\#\#fsp_common\#\#\#\#3.1.0/libraries= com.renesas.cdt.ddsc.threads.configurator/collapse/module.driver.uart_on_sci_uart.813326093=false -com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#BSP\#\#Board\#\#ra6m4_cpk\#\#\#\#3.1.0/all=3938710240,ra/board/ra6m4_cpk/board_leds.c|3343992478,ra/board/ra6m4_cpk/board.h|2525887392,ra/board/ra6m4_cpk/board_ethernet_phy.h|3559227370,ra/board/ra6m4_cpk/board_init.c|3843040667,ra/board/ra6m4_cpk/board_leds.h|2967196421,ra/board/ra6m4_cpk/board_init.h +com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#BSP\#\#Board\#\#ra6m4_cpk\#\#\#\#3.1.0/all=3843040667,ra/board/ra6m4_cpk/board_leds.h|2525887392,ra/board/ra6m4_cpk/board_ethernet_phy.h|3559227370,ra/board/ra6m4_cpk/board_init.c|2967196421,ra/board/ra6m4_cpk/board_init.h|3343992478,ra/board/ra6m4_cpk/board.h|3938710240,ra/board/ra6m4_cpk/board_leds.c com.renesas.cdt.ddsc.packs.componentfiles/Renesas\#\#BSP\#\#ra6m4\#\#fsp\#\#\#\#3.1.0/libraries= diff --git a/bsp/ra6m4-cpk/README.md b/bsp/ra6m4-cpk/README.md index fdb3a742b8..a58570c97f 100644 --- a/bsp/ra6m4-cpk/README.md +++ b/bsp/ra6m4-cpk/README.md @@ -25,20 +25,23 @@ **更多详细资料及工具** - ## 外设支持 本 BSP 目前对外设的支持情况如下: -| **片上外设** | **支持情况** | **备注** | -| :----------- | :---------- | :------------------------ | -| UART | 支持 | UART7 | -| GPIO | 支持 | | -| IIC | 支持 | 软件 | -| WDT | 支持 | | -| RTC | 支持 | | -| 持续更新中... | | | - +| **片上外设** | **支持情况** | **备注** | +| :----------------- | :----------------- | :------------- | +| UART | 支持 | UART7 | +| GPIO | 支持 | | +| IIC | 支持 | 软件 | +| WDT | 支持 | | +| RTC | 支持 | | +| ADC | 支持 | | +| DAC | 支持 | | +| SPI | 支持 | | +| FLASH | 支持 | | +| PWM | 支持 | | +| 持续更新中... | | | ## 使用说明 @@ -46,16 +49,14 @@ - 快速上手 - 本章节是为刚接触 RT-Thread 的新手准备的使用说明,遵循简单的步骤即可将 RT-Thread 操作系统运行在该开发板上,看到实验效果 。 - + 本章节是为刚接触 RT-Thread 的新手准备的使用说明,遵循简单的步骤即可将 RT-Thread 操作系统运行在该开发板上,看到实验效果 。 - 进阶使用 - 本章节是为需要在 RT-Thread 操作系统上使用更多开发板资源的开发者准备的。通过使用 ENV 工具对 BSP 进行配置,可以开启更多板载资源,实现更多高级功能。 - + 本章节是为需要在 RT-Thread 操作系统上使用更多开发板资源的开发者准备的。通过使用 ENV 工具对 BSP 进行配置,可以开启更多板载资源,实现更多高级功能。 ### 快速上手 -本 BSP 为目前仅为开发者提供 MDK5 工程。下面以 MDK5 开发环境为例,介绍如何将系统运行起来。 +本 BSP 目前仅提供 MDK5 工程。下面以 MDK5 开发环境为例,介绍如何将系统运行起来。 **硬件连接** @@ -134,42 +135,35 @@ void hal_entry(void) ### 进阶使用 -**ENV 配置** - -- 如何使用 ENV 工具:[RT-Thread env 工具用户手册](https://www.rt-thread.org/document/site/#/development-tools/env/env) - -此 BSP 默认只开启了 串口7 的功能,如果需使用更多高级功能例如组件、软件包等,需要利用 ENV 工具进行配置。步骤如下: - -1. 在 bsp 下打开 env 工具。 - -2. 输入`menuconfig`命令配置工程,配置好之后保存退出。 - -3. 输入`pkgs --update`命令更新软件包。 +**资料及文档** -4. 输入`scons --target=mdk5` 命令重新生成工程。 +- [开发板官网主页](https://www2.renesas.cn/cn/zh/products/microcontrollers-microprocessors/ra-cortex-m-mcus/cpk-ra6m4-evaluation-board) +- [开发板用户手册](https://www2.renesas.cn/cn/zh/document/mah/1527156?language=zh&r=1527191) +- [瑞萨RA MCU 基础知识](https://www2.renesas.cn/cn/zh/document/gde/1520091) +- [RA6 MCU 快速设计指南](https://www2.renesas.cn/cn/zh/document/apn/ra6-quick-design-guide) +- [RA6M4_datasheet](https://www2.renesas.cn/cn/zh/document/dst/ra6m4-group-datasheet) +- [RA6M4 Group User’s Manual: Hardware](https://www2.renesas.cn/cn/zh/document/man/ra6m4-group-user-s-manual-hardware) **FSP 配置** -如果需要修改瑞萨的 BSP 外设配置或者需要添加新的外设端口,需要用到瑞萨的 FSP 配置工具。 +需要修改瑞萨的 BSP 外设配置或添加新的外设端口,需要用到瑞萨的 [FSP](https://www2.renesas.cn/jp/zh/software-tool/flexible-software-package-fsp#document) 配置工具。请务必按照如下步骤完成配置。配置中有任何问题可到[RT-Thread 社区论坛](https://club.rt-thread.org/)中提问。 1. [下载灵活配置软件包 (FSP) | Renesas](https://www.renesas.com/cn/zh/software-tool/flexible-software-package-fsp) -2. 下载安装完成后,需要添加这款开发板的官方[CPK-RA6M4板级支持包](https://www2.renesas.cn/document/sws/1527176?language=zh&r=1527191) -3. 如何将BSP配置包添加到 FSP 中,请参考文档[如何导入板级支持包](https://www2.renesas.cn/document/ppt/1527171?language=zh&r=1527191) -4. 在 MDK 中添加自定义命名来打开当前工程的配置详细步骤,请查看文档: [使用瑞萨 FSP 配置工具](./docs/使用瑞萨FSP配置工具.md) - -## 更多资料及文档 - -- [开发板官网主页](https://www2.renesas.cn/cn/zh/products/microcontrollers-microprocessors/ra-cortex-m-mcus/cpk-ra6m4-evaluation-board) - -- [开发板用户手册](https://www2.renesas.cn/cn/zh/document/mah/1527156?language=zh&r=1527191) +2. 下载安装完成后,需要添加 CPK-RA6M4 开发板的[官方板级支持包](https://www2.renesas.cn/document/sws/1527176?language=zh&r=1527191) +3. 如何将 BSP 配置包添加到 FSP 中,请参考文档[如何导入板级支持包](https://www2.renesas.cn/document/ppt/1527171?language=zh&r=1527191) +4. 请查看文档:[使用瑞萨 FSP 配置工具](./docs/使用瑞萨FSP配置工具.md)。在 MDK 中通过添加自定义命名来打开当前工程的 FSP 配置, -- [瑞萨RA MCU 基础知识](https://www2.renesas.cn/cn/zh/document/gde/1520091) +**ENV 配置** -- [RA6 MCU 快速设计指南](https://www2.renesas.cn/cn/zh/document/apn/ra6-quick-design-guide) +- 如何使用 ENV 工具:[RT-Thread env 工具用户手册](https://www.rt-thread.org/document/site/#/development-tools/env/env) -- [RA6M4_datasheet](https://www2.renesas.cn/cn/zh/document/dst/ra6m4-group-datasheet) +此 BSP 默认只开启了 串口7 的功能,如果需使用更多高级功能例如组件、软件包等,需要利用 ENV 工具进行配置。 -- [RA6M4 Group User’s Manual: Hardware](https://www2.renesas.cn/cn/zh/document/man/ra6m4-group-user-s-manual-hardware) +步骤如下: +1. 在 bsp 下打开 env 工具。 +2. 输入`menuconfig`命令配置工程,配置好之后保存退出。 +3. 输入`pkgs --update`命令更新软件包。 +4. 输入`scons --target=mdk5` 命令重新生成工程。 ## 联系人信息 @@ -177,4 +171,4 @@ void hal_entry(void) ## 贡献代码 -如果您对 CPK-RA6M4 感兴趣,并且有一些好玩的项目愿意与大家分享的话欢迎给我们贡献代码,您可以参考 [向 RT-Thread 代码贡献](https://www.rt-thread.org/document/site/#/rt-thread-version/rt-thread-standard/development-guide/github/github) 。 \ No newline at end of file +如果您对 CPK-RA6M4 感兴趣,并且有一些好玩的项目愿意与大家分享的话欢迎给我们贡献代码,您可以参考 [向 RT-Thread 代码贡献](https://www.rt-thread.org/document/site/#/rt-thread-version/rt-thread-standard/development-guide/github/github) 。 diff --git a/bsp/ra6m4-cpk/buildinfo.gpdsc b/bsp/ra6m4-cpk/buildinfo.gpdsc index 24f3ff4bda..d70f167058 100644 --- a/bsp/ra6m4-cpk/buildinfo.gpdsc +++ b/bsp/ra6m4-cpk/buildinfo.gpdsc @@ -58,62 +58,50 @@ + + - - - - - - - - - - - - - + - - @@ -127,6 +115,7 @@ + diff --git a/bsp/ra6m4-cpk/configuration.xml b/bsp/ra6m4-cpk/configuration.xml index 577e43299b..a20ca08969 100644 --- a/bsp/ra6m4-cpk/configuration.xml +++ b/bsp/ra6m4-cpk/configuration.xml @@ -163,6 +163,10 @@ SCI UART Renesas.RA.3.1.0.pack + + External Interrupt + Renesas.RA.3.1.0.pack + @@ -196,13 +200,26 @@ + + + + + + + + + + + + + @@ -331,6 +348,8 @@ + + @@ -362,8 +381,8 @@ - - + + diff --git a/bsp/ra6m4-cpk/docs/picture/1635909864954.png b/bsp/ra6m4-cpk/docs/picture/1635909864954.png new file mode 100644 index 0000000000000000000000000000000000000000..f8a1333083e0c538e87eb372d0413fb04875f4c8 GIT binary patch literal 34028 zcmce-XH-+&w=NzOK}3oaks_f90tzB1ozN7JswhQDr1#zf1P}{FiWI4#Nbk}+QL6Ob zOB96ALQfzG2{*p)Z=7+?zuYf(jQb%C_TFo+wdQ>0oX>oAq@Io%%{8`b004kSLtXU+ z0C35S^lHC)iS(Dx`8q_>2btFkHDy5M2*(!b2f3Y+wh{nP9Y=j`afS4o%3a;q3jm<) z`uig5b1Sw60N#aZs4BhmH%GP8#WT&qkZD6&Mq*BUgAL9;Vg&~E@BSPQG%VGivp zT1H|TNV8teJ(;L0}CqfyQ6l1T}9%jvN8>rK0O_2%p6R*Ve1mE#OM z8S~~Txzojh^WqC;8ab}@15B??c*PQSElw?)PeqU0%ORrTU;|qM0m^f^#=ISZ9ZZ?u zPl1DNJNBd4C0(U*TK!S=vwQ8Q=7(eeKqw8IpGZlXNa)&6|HC*U_M*p-=P2-E@Pcnf zF(b_X1^{5W7R>^0`OQ6fNM`*Zt)DJDW_V)dROw&5)DsLztcGlGJHn*%Q(+q1N^* zUXm$9dqNa*k%DZ!P7uXm00EQ?U=+pzLBpSu&@H4XRM>y5Fx z&X$TFy9hOLHYu<1EI*%IE{^+btZG6*0sPwryD?D+0 zrj`?4*KjCWWG*nXSXYZR#|vs(r9)L4BwT*={mv!U3UOSmFgQM;=btTwjyGiM zWSYm*Kw5e(vQN;@vdTo!Lyq!$mn62O_hSBx8xsNr3TLD@ZtWarS3AYg;}|#MS*uq? zzVzoWi9^kq=JnjnwW&(1bSXa3U9)b0PEZlx_f;a19D$6|ZJDEdO+6${r91Im!C$uHlJHhiDmGyCWM@|C79 zA0O|_ffV{-y9F%f5vzMs+3_*)w;me@p(s5^?MUwAV1V8keEtvDZ1amw6eQy(|A^}l(XYgMI7Sbw^av@gA`dMz(0o4zmbsb5Gn_uPHOacItI zk)&k6fX~Ncn$b@<`LA)4LFW0kTbHH$3n>7A*JK-NDCGwr($;iS$mpA0J?9~PE6>0PmAb)w*Il|1PyK>kM*^CHox{O^C|>5hs?AED$>k`Dpm&v8+3 z{G;l01xbbDp3XT!HhyAzG+6NX^WdOiNdGn1klQ}?s3p+Ql&}T9hwFP- zY6@>VkG-pr+^Qy7j-Ohrf}^v0kSALaYjeR!W7ZLf_#lL=kw8!|~?xNf4|g;bO}j{@d4l;|Qav+a6?GK5JPT5?K%T?C{mqe|y$5 z+J;{fM=H=~)7+hbo-K$M9Er}A%A>qEqzjkblH~!RIz^Gt!-nZRgekUkeprHLkEI#0 znui<~?GH;1$!O--bC5!#r!g6dOz^XQG`33Nm%E?(Fgwa5D{|}p0#eG8$NVN~y;M61 zU)h-3_i=O{Vk4T%nw}eU9h+_L0qc@j!;Ux6^=nh_z|L*NyJlYDk7KKXpQ&)`(3Bz` z2GsMNuj;~R>IvT|4_RQMN9`S}PtDE+c;3Bg=`^f-r_kZK-emt9W(@p&@L!v$~!Z;Y*V{rI=eMy)(?uFdFX)IuCm+14f_R9*`YU6K*xK!7bh1`Y?Vk=)A4b( zKXMn`cJ}2=h$;d`0Ag8NFZ@g{((AMRFGMfGJw@Z8fyb?GPdcm&!P#H_C>So%{fX9H zYK8prBKQ%uK?!EOfx#C@Q0G3hf|C%KeZjm4p2+T^tMu4GG!XZpyFI|f5hURp(X?(J zJP{ZYxVXJYd_1-=T5jHm>oOcQ4K@tAS+^%8MA(-KLB>M&4GW3mn9GQ}^MnjUPOIWf zyS5XmdKpX<{<~Z!azeM&W>$zqP0?8j$86)^{XPUV`O014OgfPccPydR4W1G5r0US& zjoLtpXo&xDpBw+3{Y+uPmcCuI9m3Xd)vmtc<`@u3m8ojIU zjO#7BKnu0G2g4oP;^~T|9@}^&#ZIOMJlr3(jR%4Q%H|M_V9WP)AS9v#yVs#x)3Tq* z2&-!FT5Fij_SlhB4dm==A#&T}f3OV_t^iK>f9yLfwH>XE;P)d2U4Z-0gDfM>@Kccg ztZ}`JilN)iV9bxHzT$nc}QF@ zlanwzjMlXC@7QaITiIPAhAwvC?!~n%FJxNq)XjVF+2aI$K-K+1$N71v^ zSx5cJ{pMzgP8c}WsjnGNJ*5$J>{_JorXoBh_ukuF&8Z9(345*|^Owt12&Qcq7kAzV z9T9l*G(=(Rg_ZePSBe6Yk4peD{1mom82mKoXxz5%xuzvSQQhnBIAJHzs|i7P$E+W` z2bmM4G(Nw_%iO>ot`|cPHrwXr8BMB|YnD{Qj4e7mtH+{Z0q6;*{it9ER*61;{N=oR zm8Ic*JNddDe|j|FXJf}+*{0bcTtj7yMZ|5JKH1nm@iehDc}o*(KfOvN6?i$Tsh&*I zeL7tsjA_b#j6Wh?0<>ISZ_a=pM+Sl}A~T4XWyz$|oDlTP(KHwgLmU}n7*4lkLtqMV zis$PYqQr-_>py(zzFj05LLu9u8m5X56m*rEEB3w0V8WsUMWD^OI>LU;`N(#wL2x&= z8@1xaAq>UNUZ5NBK>rB&`CMni^Lu3ZFyYJ#({||bnA>UKe$lZTd&pkziKzxb{NdDx z?1?@Xb;Dx3CJx&L9$mUvEI$TafLDSXdIXGR>n;$j0m~FPTY?!J7Y7QmvYBaSb4ImR zfr%#b1=&GJF#Nn%bjwFqGnRK5AuPPN?0&)a;;g?B3|TLH#ZAcDrzE085jZCtInBOI zgIS*ZA%+DoW>xMl(E6H$_ARlCA1)-TDF6{q4+wvQLQZ4Op(VTd^A-6PrDhk*srb9P$_DH;^5h{eLKa0cq3M> zx9RL2_+p$j*3J%s;k%O6O2)-`NZ|1fUi6ZX80UfCO=5G?dt@ck=O9iKpFlB-5Z<@gN{z!9G29po?E2*ukO| zcWBXtq^PK2hz3S;6Ty(U`L5s;avZR*wa$hy1cMaerwwQ)ptrr%L#O#tU_0Hd;en_)c$QXKkY$r44qt{>19`& z`=gny*v8W(!VmF+X!rpt#SST|g}Q|cyONSlusJO5KwMo|w)PmMJnX!o{4?N1EqKa# zg|2t4V3&8Eg#nM#sYsW92y-YTmPiBTw0sk^okk_AsvLK@?NM~!`ldRjJ-F4B%CT4w zTw0k($j(k%2a*A9??q190LQ^}Eo z7oQ)2tCFDj*2CGfVNn-CoG`WmKZOMXiwxn1Ly!W^p;Wo6} zA+$xwpm623Xv4nsIydr3qpsAW!0z)Hez?mvuwIZk5w;{sk;(oDLtlrXoMRk=o~&73 z3U~=vRYFX1t);j4-zit77tYyP%o(W=0J<&5+J>xo1bk@F3)(K)2OX^cI(_wLV{SG1 zz)htYwbGFRqa!};4xzxOG2Pj6d@=9w9zUGwQ_H>ygu}kvLNzuB;5^6xe3~eH^p7+# znlW@tftO}5=EEWasZ!)38-{n{(Sl2U@3a3VudA+wnWj{Ltlw+pd$_1uS@-2{AQKQL z_=Oxe8pU@DJ9*byq3QbUisT`9_HTWdCQ>R}G>&|}rI!BT_13b@AH)m-E%qD?FARQU zVlyCnnBCMUXR{qiG-&E-1P3~-x4X&?*<$F|*0YHR%dPM~Z5)mjDYGx!N{(J;`NvKL zkHkNA9m$(v*BEQG+M`4fDW(L@pqg~8?BrF zx}5P5$j=&O+HDmb_34;OdnaQ*JUapYD$i2*qt!)8A z1N)$c-xH0XpHXMKq_~~#HMqOzovepF;1@WSTmo9}x2OtT{Nmg@W-8uq-dYT}x$WZL z;}i7(!~1nk!+#w;4Ziq=4B83tHD1!5TC{2aedlz+Crj?R?<5v1X7X6{M7f0AGuY8X zfaL;bo?2ffEqXW&`$Oh(~z>jOQ*R#SdKVL6@Mi_r(_6Tt-_&4+fH_V-%{UV*jz0 ztj4XpJ77Fdbbspc9DXwH(CyrG^wbP~^1JVuVbw+A0av5}u{LF2N>rhRydL<$(T>9# zBWbA{zrX(`UX7IK@kxLLvzYGCt}WgZ-}_(|X=v)3~ZCRS?4Y zNP^j+VKN?hy++Lrq~xZXk8#S_rXcwQ$s4HtN1gURO1n#>7!3fZ|9hN<8?I-2$m+e? z=r`j}GO6#aWUnm(WtV$fmGD?2;~>DY8hR)U^@opd@bJp>DUDy}pR};{fpm|kCRO1^ z@&x*b+hks3SEyFr3pPQ3g%Who$|Ce zE&O!06w4P#lo%B?7(`}vs{WAnyCD$r@H$H7c@XZfLBTli7Q-+w^|=n()FP^hzC*6M z+L$uNbi>b@k8*;01gi&=mO(LLK4B&lC+o1TrQ&fhh7C->N=~WO-NW81tADbGb>vs& z>Z_X66*l^!TPeZ^=H11OSIe5KRfdEQ1_q3H8`g>WoUbihQ!n00O4XcpyBfftuS_lL zj~I3LIlc=2u}=3~y7mZ|jH|i9n17@{vgeXa2x6JSJ(JyoUU6=OYykFI9r6{ofCGxZ zfBDT*RXBhjuX3AAqQl9&Wa~Z;e4(-vau4ZRD3XP8n-puYq)=R2+d&oQ6A=~&xAU;=vQ%3@^?_B&*)+1V_3SW4?b_D6ni zg2Qe|Fo=B=2q{eKbz$txvh9hUPTBbKbcR+YP3vM}Nz<{r=_N-|5%pkiQdXj|ftj=z zq=u)mwdmT&iGnX`GUc{WscA04L?uqdNzdB=d*&}D%e_#g74<#H-DM>W=C`ksBswG$ zP$QhQomRAjvySxi6uo(xJ;Z5^LfU5gT)x;&(;snNxw>4%+k!GE8(i_##+Dr&_A^Ke zsLN9Jd7iZW(Rq$gaI)-)po$lmIgm_NdF`ncpTkRGBCr)h`saK z=RsrMKGIf^c#hGv>*J+(&nCNeo23i!-1g*fb!GRYH+Vw`7!wk=g4MX5D!<$FLNMD+Y**`Q>v5Rkht{Qo%S3%+5UrQiTJue-5H=im z%Pyep6EZGf>GAK|Dg4+TN9+W-O(k6+TSJx%e z#+R*{8J3+^os6lrY_cpnUKq3e#2nTD%vW`uv%+s~Xz~X3Agpb@2P1YmKXUT-om~Q9 z@<$Xc63~2)UIzA zFU}rW^3`rdG6uzb6&>9=Hv>QJld)f6?T-?#2}wSy{#>wnk|3^k>*e;i*PDhq6~2JG z&0<85d~Xl-Xe9T?QIH@U<#4L@=Y@@1fqN?9ooR(C^$qWDLmBF2^hc{9&1MYlvO7R3 z2k5KENG8#HyKEemWVr7KuBVhAFz8e~H*10;$VIjy7umeF2~>92Jo!bwSGBdTZc*(I zv3Pl11#*I{bpf&OBvKm)wDx>ubiU|d!v*qnMd;tyd7U7OC`X+T2tomdi=M#W$jcyW zwz{DAv0EjuH#};2%%vm_0j(9kvw<>Wtut+YBFJZ__Jqr zQnvqsy3h9$XuF#oe>1jv@wsj-q6SajX6o8l1sP#oVT2eN+VrDG{`k&}TT?DmdwJoX zYK3TOe13^{Sai6e{UT;U&M}t(YIldz1JfAP=ALSrntkS)1Y{erTSPhTOzt!s=#R#s z5QgV)wTD@$9|KdmMs}Vp+B!-5Qt$5{T43J*qPLA8JDbRh&1oel=G*D^Rg~&F zXE+_(MoO0Kf%6mcGoLZW;v1?pUm>`Nea?s4gD*>|S&+Ep?)PciTXtJpBDm%a(cv+L zR#}t8o7CHsyy?DL-Hu?Da$i-~=Ab%^xqNf?%IEGt)$rDSR`WE+laj;ySnAv4!hfnp zeNUeMogdrIWMZ>K0lb#kmpDfZo}Q89^dn=}b#%+eYG8sGC1hOZECUqAG+p+6KXJKp zG;kS&wA|y!IJzy`g=Lg+-Enx@_H%DZr=Ky_x9=n~;aSYkSS2IlpCiY>&%pz_2Y?8e zNo@})cCh!~8NxmDNaqkR;2iliQqpO)hWE|18g)t7AqXO?4^gt+jU7ys((Hw{!dD*I z>vIGqn)tFDtPpb{bA*CdR2V3~t0hP9cds1k@>a-baFU`M_Dv8TR~ZQlmc909oqUvq)^$W%UjLWW_+ zCqkZ*_~=&a$MRw;V7Y~ZiqnmWpG`6U5(-Lf|1Eo=`paMgxEx}^<^1^<8jB7GK3kk` za#850aEQwTec}-5!4|BUrS)KI>ETb~pCNZwOlEgX zYOpTtWh83s9ivLwqQeAIV%|YW5@~VLQo?_GjqB&8UQw%Tlg7JGaz-x;H;0Y3auybJ zV@f8QW5OmbPP&i3$%}Z5p#?0adtHoCaz7svN*c2}3gv5FT8pP9#XWSm;>Xg`05Ys# zHRo4R!oFRjv)RQ>=A@JX`>qy3zdflk85xTe_yFf^sa;Af>Ql=+eE3f0txXsuzmf6q|qLEA^+Wj`eFv7fi%`&_iB&gz4J2|@3 zaHAPCjG^08P>q{)mK0ABifP+G!hRs(hLbQH%O(olf4e^YKh?;6Fy*?G~{~uC|2j+$w7? z4cpnkyL!mofM4|j}8x;e@AvM{mHYFTWf6q%{yqonX_R} zY?d@u&$YCQ3^lKtd5D`jmiij%%Zre^;3M}^vQqKW6aHd)h=+&G_^W1~@6#;NQ-yQu7pJO@R(XyWy6*~mcn+4+ca1~+474?Uf@f|kbMqC0nsRZ8lLla@o2VTL9EHJ~bko3Qe;!keu`2@AHq zAp(7+VL8StzOso{Uv@ezZ!+JA*dN#@*6UIIeX66~yu}#&TJ4;$eMO#zL9nqg%e{=t z@adSC4%v;jCriSq4q-%c?ZWBN<$PVrO{&Igo3{jLsd{|h(CgBk2-sg)<`A72)MEIG zJW#Vy_5S?bKaLFmfKYBSkZ1=$!ro}!-!A3&|74wG&Mlige%!~Lu$i!>N7cF%mmc@| z3Da=;9eH_-?U)lpfK?!xn{vzHS8uadUCi^J_r6?CBN7sEeJYdCx8x^9v}HuCPfu}^ zm>|tJrjD9lcMZQHT?ED-Lq89<*F4$?%*(Vsc|h|M9#Z2SSH)wa2yDu|QVrRfK$i+P#NQVe!5kzB~9ytwppQ<#*echqN-%WDM~$&pwXq zAt~%Tz+=qQ<=V*1h6S z5oJO=w9NAwq9ey!?-}Z3zCbpw$3*uK(g#_E+qGk%t@&QpA>U-=kyB!*6pg;Qmxz3U z@+mj8XBEStfb)$>T?hG!x;|TZe@)4siA6yh$dGG&#x&KHq{{N}I|0K)*7f$N`S1>YjxY{uq`KV7i5VQM%hQ0ga zRcFL=dMDB}6p`^?!9h6H=Ru?&02%T>&;Q{p|IcQ1KQHL~yVk36$ef4i9DyGaymGuK}z;B=8=m(5HA!e+H@Ep}h2xtbo!~*qa|@#=e2S?QPfmH2QNp zL(lFR-`fMF6½w8-pf;RTdT5xnhTIx$)b%bQYwjdCA+R!Q~dX4Itbd1$I5W8uH zpDp&McCkSU?EJNB>A22np!E{(8ecHpR?C;+OVk=(Xi;5y9a>2?GapeEL+TA(oWZKqWh5j$FUC_&&{bI*O;!{H2CMu@63o=+}PD z#8d3ispCg*kGO}yCHJ-_Mm8{3@$67VXD$*+_H9htEhI;N0mCR`o;1OP*t0HpP_W`h z&LwjY&859L*OzEDeq?2UHZ+IpmNzd25ooKQcInOhRBe#r^eY}NA4mxEO^B_<7v0v* zxe5E+?g>vSGPJ+-O#k!rk#wKet*6D=kKfpXTs~n;78APBY>!uMY+y+SBjHl~6km=2B)FvzEVEgrCuqc}8k~U^pZTO*%W6L~o(u|`bi3%R3T=jS?+UE1b@V;*$}N$`nO@gq-ypTzbxE{W z6FN8p(^%{7Oc~gsc1z>IyhiQ@GYht5LPrrVbKN(A5d7HWVv#G*8^d^lQFVkjlt3I& z9wbMUTEi_)59D&(<+vUIy>=o+YU|x9&VqV}*p#J=6aYtQtkkdMEGls_11=j1JAQh3 zKik9;XZS<#(in;awQt_IH=f4x8IxFx)29L6R{>+c|7jut)an1bn(n_^E=u}$f!b;l zzQ2tg9#LzDYIx;OP?2EAa=2ZG`N5g~6DW*cKcFRl76h0h0nS7b1UFu?-svjMnpz~$ z&2o`i$qq~o&?h8+ZGQu&fC6aCxRTK1?F=%oClJN-YE4=dVCjoA;GL`qrA|wYG6kQp{xNjL%ZCyKN+5@akfHM8_;j3ATop_)2E6yKxae>yXRbkOof~7cc}skC zlTMT)!;^`7oiC0BfF^C&%iI?&=m_pqkrN2$s}mpDu*E~JZOeg<-B95gpfX0pH$?2h z3yGfIf#SqoClyy##odJ}zn^s*;}nuiy&Hy^+q%^^0pw><8EKmEg!EAZC`c1X){~YO z`Xyj+nOnyLJTAyF71w4c9NoMtbjW=TNFYi0vUWx&C0}(Ty}g6I<`t@M(ip?Z0xJ!^ zI5)EESQ4x%K&A?ixzDUGQ@mqY0M|*tC}hDpvc1LI({mnf9;Fqx!=znBbE~%A)>X)? zz27(hwtb6odviivLMJ`814WPR6;die#8Af+)g64r5%_PDMS8sMYw z0rehE0AH#kNB;HX?>^_xm|i_GG+>T=HONY0UnQ7~at0c|MsN?9Ilg1iZVT>fNpZWe zLtbK~b>Om4wNn0SsH)9l>LdMNASbM9zF_mI`w z!Di30FZ2RU+lH^~ro2Fa?e&5AS4e4`_4S&G!8`ttPW|^wyS01YG}pP0%VFVG(rS)8 z>0@qwr1s(GhlA_XwL0eAW(&9_^$KXsb9keK*}O8e#wfGYSzhcjSjO+U4~NfP)EG^G z{UXbrYLT0%yw|||@I5{>Y>QXHk2yJ%Fl{-*#XDkK!^4(~+0{4I$ys^m5q17?l|B{M z_pYL{#wB=+iv;Np?x8)+vekTQmMr`%I{sXBp)bYKKM^u+UC#a3Zxj?3H=aKn*2!

Rzm;DF|(w58>z{cqinja z-&63p!jeKs#>7GgWsYPs$jVO6?8l5llM7tt8NjClUpkyCJqw<-MT;jeXElW| z@4u+kE6{KY_)FDw#yQhB5WZkM$bCo_m1VJx#&@R=4$tn>J7FNrY{hf=`sw-MvqXoY zZvq1Qo?(yIuq3+eSDIGP<)s2rH-SZJFNi~;$VK) zUayaiT*Ywi*P4|Ne2RZDoeE2auDuB~&FNZtNph9ZgYlg*n29Z71L!Jb-m%`%;q)?P zPEDfS^uAp72Cey*7Q;u21zR-}UX)oC5%277*ZVr2BqmPm7wnlY?U!dsb7i+iPItt| z*+Qnu72lL)JD0I&UwX>$2W^vr)HVE4bI;WJ)MGiYOKVj6nL*mFWZXhK(p!trHMVQ` z20lMS=>ULVg?w~E^k1A$44ziVYJQp4tt=1PuiPm&4VZJI4F3MZ$Kh*cte)Jp>zo-6 zGa6bnbUNPkcom`^{w!{<+dN~s2;V04T61+{58U3v(#HF?tl0K+EsG*P`o_d171~pi zlGG%r(S~4OL{fciaEOhO%SWNl)gLvp2ltZKl?YXfV8%aemJL!VW{J5}+s_;xrbJt|@H1Q(qQeS^qEAA@(Fc*pi&u=eB ze)x5A0D*u*g%i@k-scX#~oPZi(V`Hb5W#_JeY1dGCi;=37 zEAe7`M)O;P6I3uPgyXIE2dr0xrN^QnBDyI0mK`=9mZc^Le5Lkk%abQ^#HleuCa838 zW4N3`(eU#BES{h^2JeaeeZ~vq7Ug)43rHL%g_U~slPZ#DeSIg)^vU=OcW#A@cGn+^ z^J|&3vC3MDF=|Dd+>IHq_IzIL0*MN7axRijjahcTOQT}Y9_-Zp+m{f?yPI~q;Z^`nSwP+EMY{K|%FtyxKw5A859^UC%vN+L-p33Y}%o|<=<(V!hbOgMfOymL{q zPbm(OMd5~>;r%3$Q3uX3qr%c%90sJ-Sj#8D{Qb~Rvd45c>6o8hqjdO{>fZ5rq_)jy z$<6QB^KV1pLT<{tGkpp7XneUWpp~qp<~6}Y-(2E`8ThS+nxR_}SfKf}8OA#y25Yh! zSoo#?$9l%W>Ji0>zY`jI|L>qE53w!7M%wgC^BJ&}Sz4Wz=upz2Z`=ij#mp=21@1&D zQ&RI&s8;Q$P=Zr(>*u$S^?A`nY_~iuTo+t(D$Ac=7^pmK>G&ekm2bxc`}0>jP?T66 z=A=J0=xn^bL$O16eUi~nH0tYl0dJv-hv%rgU+?<77ZR>c0uPDj-u64kI^nWA{5`bg zZdd4TXDR$7zs6IroB2cAidhVT%N?jQ4OQS6_PtD^TX2n#Bw}Q7pLN*0PD!F^u)_#d zOm5Z7FWy^+s%W1IoVFXB;K5BPNkwq1ap($gHQBSO_LrFmp#58QICRQK8S(sqm2XpS zU#EVU!6|rM>Sd+;r8LS9!UFBlds>AEV;MiOl}*FeWmRvRjGP^(Z;2WJhQ1f~vyU~w z4pd{`oIiI|jzgUS@A>4?q>gwkdVUC!8DcWItVsfm&Y&gAbnDRICo(iFKj(}vJ{@7 za9fb+OLVeJ`H~SL!p;%8s)zY=!!FJ#d_{+1hxJ(Jd6FBm{DYfst^c=dkWx!hTCMSP9|NE_PS^yuXI}IulvMqh6e|?>y2*b{XZT$iME*}9K;8myLbA2W{L<;T z0%$Y~&bEgyS;HAw;65EGZ)2Wv|Hli3f0>Fb^@vg{ts>1~+Yd9>WxZ_bsbHL*^q%nZ zYioh*HH!%8cpGpC(oVP+8;pqb*loqKUMQcYGO8(*#5OA{J2wY}nGow;T2I*Wb5V*c@ zlY~g``SO99_3yfyv@Um$B7CU57$9AhnV9VVOfC_Ug$y=80)WigvPdo=u}m zK9PxIaRrw`bsQ3CJ6_T^Da0R5sx1`{;WdhNeV*xCa)3-d9oOzNd=i5EtPag`zuLb^ z6~01RYwvrXy(8Jj;?}W>eg_r$Yh}D7B|+91Xnj6@ZI?JRJ@CSHH-3?}{grXXGxQF3 zMrhWzyR~(FYOSR6eJOC!TxJ81$k{jlkzGDlT-Ri>)Qjz4P8$b1QfJ<*c z+`VO8kfzwmeSypG!%wno%;?63hiP=>#m-)Ya@KH?itv+nRFFWPdhj9>p#E=iD|Y?W zkFl_O31BVe>{!r+ziVo<3_y`3oYcRks5F?m^+7>@`=sivK6%a}X}d~lNeZ;MOx5&1 zpWtfyqowsxq(G+f>7PIrZHNGDlKsc7|G$|}(lq4rHBDgw_X27)C>u`C?`@&&_}KQc z`R9T2q}GT47wML!`q4=u9w`%;J=V}}l3BM?oVX9;& zPJwl?ue>h87V8imt+xB!6_752v7iL)P8$Y@8jr&>%10Akx5e`o`ig%a&T=o@UVOg$ zoIh|4b{uic)F=enL)AFKQ<3GB0@#f+T{e4=v$=$ityCDEF*xK)oGU#3{>#E>&CBl( z(O&wK-Vh^;1ZbG#SMKSt&&K=la}rl-=F@C zi$292p4TD^QZqiwv{0BKvA7BxyKTe!Zjm z>-?LRdTx1(E1TlcHBWIQD^Ma$e`I~Z?+64xISSqxNw7gD3JW7zcVvXC zM&vg5Q+&rL8IwLzIdBh49Nm53ua%3#Kg$w=ZbvQy(=B6mtFC|S^HOQ;Rr4nVC%Zg5 zSL>~rUp4jB{=h#YR4{Mq%PidZPnHv`6~518OzI!nu4TOyZ;!iBJ;|^M>0PL#)xRLG z&z^6(Z<2Y>wue#pdLAJy1|k2_2t(Vx7+XQ$m(?am7mYwo0<}U|{$sPChpt!_bz*3) z=5>HQ4aq$o0L&}_5Yhvj@iLl!+XddVB*8o>BrtUU^YqRCGbE5Q(T2%8l^;813zkjM zLu3{~KQjVQS~!`;^}Mesz8t9@2AE>%e)zPw(IJetW^SW`GOMXU^SN`Up zV-^t-4qswKs@F0v1Vc)|_YjaZ{=+BAx6n5&b38bYc)m+^kEIV-3qJzVG$lq#X1}-6 zuf=*O2-BPIeNz2&TkMftknzq9bIeHETnmqLhSqIZ|GVFCLM5YWYx3R0JT!eiL0Kep& zUymZssh^wzQM4m+pb@czLz=lt;(q5<4`rEP+shlI2c%U@nJz5m+E{VEmM67^z$xel zFga{kxT*@XCrS((o5`8mz8q+^`71ov!-TD+<3t1o?vd2C+@zTO(f64oYsp`@ap8h} z>EU2qcB}R;=kd;$t4}#D<*R%wMFb&MLv5k(_J@irFM>4V65ZB~CbJCagDr9P2dSL;KYtnQgH7M)fw^1m6z=LuWi2 z!x->!LS}5;jE>huye4`3N>wv(;!YZh?Ii8;n44rVtYFocY1xepnkuTTz0$B8B84>@Ujgs!(CUyA2)ttJV}65E z)p#+&RZq6Lb1L_({t|HAwx}7F|94YPZd%~l?-?@Q+j6bYZ6!s}?)NKTsLzJ~ui!^d zMjt20e|kJY!%1psv;`W_vjtg1*#>men|Q+!a4gYBLGI#4nJ!#g70T(hE+N05mtirukdFoytsS) z-YX-qJidXgE9IUbggz#9NvC5(_nV3ROS+i==O8>SF&W1w&zCy4!$iCPE3GlC^T$3# z?7T`VZLE9?{2MHUhdt&&xBl|4=EGpw!YxXRqJAjj4BqCA{cL2Um27XL*Y8k!%;K9l zQ3&C;&%-;F1b!_--a_79RS9qiIlWiiOelxCI=fl?`sN8;_-88q<^M_s&+}YEcwJ`4 zVy)egFMo^G_#uC0KUGX}v+t{0&LK+tz_UWwND&xTrg>e4dQ(08-Cy2ojQPy%o{}0{ zHz^d5%2c5AIgu<3?p$VF0EoEiov)<)zh}r>k`{GRQeAiw#9U}*gXn>)WBJesXS);* zma!}U#PY+ESsrbn$JV);=a}0&BP$-}LB;sFOQlhB^k(@Wy$zcR^yv#pHRdOm0Cgmu za?I($Y(`Y9lkJJe?E0`1TUwbuE|5EjxY z$;G)DnR??nYtMu-PG0}bP7jr3Rz;VM4L40=qxbmwo}WZH#p8XN_@ZtN5FxEkd7s;W zlj1%=-~2p#H5{_NK&R|hq_J=47B>$~lrO{tql5cc05l{ErWV`mp1?9|MCxd$RL!#G zcx3=Eke(@r_q@1lP^8b6@5N5HZEvRi#;M7i9G25ek!UUg6ENGnAu}EArDLH_aC_BsQ|W&- z_m*K%wQbw*V1OtgAYFnq3JMa^0)mKubPfm-A|T<=B~lWClpx(mi}X-Rh$1m`gOWoF z3^g$Do(r$*dhYxAp7*)8Z`-~f-w$=fndPjt&hv=<*!O)kNW`QVmyN0h1@e`BKbG-) zxF(`VL4!CCeJPgBU|<6g!RGv&a8QtdBnD4%)9@*tM{TQ!gRji0>rg4-=*PQzLh6i` zyl}GyoZs%#aW%9Ttl*5e&!Tl zF2!lodP_!Hn5DtY@XuW80OoNX%G_QU?6bvpqtyq&NulxfwWS+$j^;kEDw^f)t1b>X zhaQi|5Thx}Q8AR{uP{#51~nS+8qwh9FRG=6Iu_ z@2`;vr8L)XZy25A7%V8XN=4b&a(dc6R+#?NnMK<7`-qPYS#Vx{h?6npGvZ3(T{{P8 zx{vdInP2!9CC-_2&=9=kTf(+!`E}obNkWPonwO`q034s9I>;XM_m3e%h0RkYD=3Kn zt%u82rbV_YfFeDoI!Wa!<>7b2{t>U+Dq@XMYqn|I#PU!URxQy*g!n&ot5HjEDJOWj z3+gBg9ja;Vd3rSw-T4jcmk1U|C4=qBx3Eo%#os~IL)KCSn!az`HW)jUx}PoH^XwRH zDE^ezGsLk{&Xyn+Xo%Lb>u{M=`5;(G*sAL#!kL_pU3)gh^1Ius)jd=sfq4-Q*ne@7 z91qv%SMy>%-{}iVjP$r7uCm?Zt^n9I3?@M$Lhz@DchPIN8L0gw@8YtmfkthbX{W|N z=(K>i5Z9Y!)L*W0}MLZF!3L0QT;>7p%ZYStq2nn?ij zE~9$F651yLMtRjT@uJ)~W6w#rWXLk%;RV_~O37c4;-I*jm77=)=`G4pgKd<8Xc-0FUA`; z+E40#D)Mo%nD=yrTg`(x;Dq@A3HGZ0)^C;@*`zz0pwc7)%=rh#yb{`RwBE^5d>O@{ zNX+~BaAVdaFx>m@+Q{2jNiaROH~&P=^PrQ~D9OYi{BkE*5CAcM@9t?=ajWH@8*e(T zDhD||!rMiFDl7QnkEsmAc-fj&ObW-VZV_m93vd(^mz&zzY~|1m>uh2~$oWk$S14oT zEPv~W&v*go3W;RmYw$*R`5e<~@X~XjKnz&nYU-APGfV(wya+>!{;z#nx4V@nfR7RTHysZR% zC!FW4Ift_T>8^#Mdo4aEXw7Zr_U_yg|0~gt{sOF(u*jwg%f(!xov@gQ0S|M7`&*1e z1_B~QO!Af4jXoDz@ef0;8p!005SqPoBdef!gSvZ$YqNvo&aGUcATPnRs17#atxQ&D zRu$h5Y;s%_x!6$2MzxJ5;V|PVooXp<+CEocgZ)x=5X!w+B-K<&Fw0UH{ zw~6=k!CAU9K4cZJEmx_N$D5B#vmHLx?8;6^6uci=B41fa+OfQW3AjUrtv)BPu#9o5 zL=k#BWhAQndXzC)B*6bxV6uuZW~#K2IUSHxXJSG0fG|Uq4mgAvey3o{+P{g$jxCeh ztPm`9(Sb5Zt?)~BJH5gVCQIU6?*yH>2VGznp5p2=i(BzOMor+1w?-A-ImbV0XbbXy|H-8mer%yCDH5s!=`e?dS*u9)@*YEic{sO zcpUF1TCs`tRQpL3>BEAzqEu*dxp+}x2-E9(j%>}vR(X_H?YyDExTz35=bNJnQ>6DP ze#OJD%1rhIAa7i?@)tVlWaCF}*v!?4IHdZE{Z7S4n@jz-1facChnLE#IOVDI*+liS zNw1Td$y*|K3?9L5%%?*ELQYH^`3vvq+)1Zd7vdf3L!|53hllqvx~QlRJYsdtyz1W4 zi_6PV?MM>Gjji#l^{4%|%(RV_q;Ba8ItY;)jZsXNTvx;3K0i)+w0m1e`ZO(Ah0kV| zr=?eOY8+Oo`0YcafzLYeBUMtc^c$zNf+}Py>K1-FF9?k<$$X6wY+#fE{VVZb$>6Uh z?r(MTU-E}P7@onszF*s|?9pitCj#v1P`oy zt5Q{vOYe8H+>7cQ8&B2XW>Rg1VvU+CEPFHQK1|97b{}2?=N($GBaQuQrv@yWvjvS$ z0X+Gv^&P4#Z_ZdPh-A4MlLV)hF3B+IARUv?Z8Wx(Hr9)7IUu)747Jbgbw*j6N_2CnLhoYSH z%~-S!p`G&@K}L1VqEp&rcs>y!fkV zn0Miu$=!IGmsO{zZ~pT1@=h=zBB)2K!K~oQ1-Ka=BIIrzSbNCm0-fM@SQcY+50fL{1ue2Z6I7!Phn zomTl5=-yPt4SNPQ<&qgq!K;k3w1^Nq*bO`|TLZa~=4C24d^R{B9*ptaU*hZkrKVew z5vwY5H%uHLjaplco;`zvL9k6NQ!l$tvKV}VP=A^6EO&-+8aJh}PDZ5R0a|C|ab~3dVw40+R$64D3her;=?3O*MS^$rXIJ z`lV;a6ud|2-pg@=vB)r~?#RQ;d3UQHRYiHjPvzI+#qOrqgN?XNcz~6n!}{GV49ShX zsr!dph+eg01gTmQoH+cxA)0)cr7thm&z9LlztYm}z3A7i^PHhFw-6y<6SCv~wFy_q z0i`9ei&8j-MKor~m4}TaySSF8?ur;H#-3nUmyaPOa)t`r61W-a3OFn3K3TY#u9f2_ zjca1+4Kr6bF5b5B$oZ7rS^hxcIZ%d7iIkip|JS=~m#@4k<(h>S(!iN6KC6vpWSG&{ zj08B_Xa{;W)#;m=1Iz*+*~$X$i~OJEP^4_s(pPJ>$UuJ0QUI58X*&}ILPgl=ri`aK z-OKU+^Elai|E*N|FXU}?RcBZCD>M>S8j{}ZCc}>|K6m8)v3`}Lq$}Q1`3`oZYcTZf z2_zoeBumYk)E&*0$dP4L-8FdK-3`h9X3V4P;g45?JHKu)@m%+;TdkrPXe5Cuu!yiz zTyOC#%p)CO(K+C%z+@C5m%_8)il%dd3!i@$`WeAro;v@N1P)r3&F1Gv&%kFQ?v=Wl z5_?D9+%=sypq-IpNJLCr8xPt3=nWmIpBLH6kz#tU_B;Ic{4alQ8xs$Xt?g_uwLLlF zpxqIHp%qToS>kcAl9ii-NW=BV-Nr_&-}WRY+!sh9?nEuDF?@4u_=@?7G_YMNaW_qG zA)iv?Gs0X1g-o5rSALeB~_lkx5x3*{B@xU12NnED1) z7NKHHIltTBp0wO}4~MzL;j85G=+0>{b1=yLT-_nsMlYH{+iww}QN5kTCZ{uvwhync}`lbjiD8+Mb!{bK`tZMLcpHcdq&Q%A!dDD3K-q=z;@vubf zC7`a)>7ikQ`<;`Oo587_U;i*bh~!RIu%j?ULPpC3E3|-ROWF-{lpjhyl}It=siqJ4 zGdD%v^dq^UBlh{q{IS`7{o0ZG)v8BAMDYRGY4B0X^p<4&)2t&6pHV;r-B@7HE7{B5 zP|c&`+RqbLi-Fh~ZpT%^ya}I3ZXy!oUXr}H2C{QRjz1zqj+>c%Q^!YQrZ~M5{qDH- zPGVKg$3u^n!P#FzB-}xnJ{Lyy z!3eupe#EK+{hu9+qE(X#24GeYGzZHdh+sU(UwSEjV)2^20b z)UL1JfSdVCIYi5p(s;Bv5SbkHydM3v+JCM%B9!3M+(4c@smI4spo+0UVc4bL#b_@~S8L_XE zq~PQp3~-m4zs(-@&WWSwV}JK(lKEc0qIJ89``|r^0ZS3jqLI>s4&TiFB%#LxN;+fl z+%+$KP(z#vSVb$}1N81}p8kQ~djX1x-*(87#xG2bhr!D0o@I?`32)a97rBK-^4IIO zdL52$J{9VXb<(RmqlD5+DuJ4ZIsuL62A3w= zgR%jAQt2s5$9^c>m~#|pFSVuzq!kX8`{Qo3H5|0fXj!a;gdFwNhON?XA3t0DMc`;Z z)zuTzmhFf(>mwMlrxyDvS^9+N&s=!$t8)kr>hwX1>(9vk;{p*L2lahM%KR})R_x{# zGh|=mI{IX)BCoXeB^6(j;T%Gx}yB zf1QLc@>8sZ6Qk{;utE`f%1ew-f^r-4eQnnwE1NLuwhjh;XT`o|7Da6r_%&sb%!#y~ zzz|HsjWJD2hB=2rn`-Yn-1C)-xSZxVQ>o~CXSCB5-nLj-TJZQtz9 z#RGE5>@b0}x#sP*d-wWp2_gjtc)#H^j2YOt4p+i7ZO&+J_M%9M*pb>>Y5x*SOD~O4 zfFyh7+rH2#x)BiYfXyNNy`oUR2i&Zn?#jZ}k8O6VR=^&^$2f!farm@}12P*`vG}gR ziM#Uh;;YR*Z>U9#uOBgV#1@$g#N>I)W9|EbPd-K&-*Lci^u%V+KvLV0zvC}#VLggy9`l75U<+#etkDsLv2U*Qd~~Zd!>&y@ z*j?)2z>n>~f0}gNE+I`cR?@w7bhc_?T&OAKl2)d?%b70&q*suKC9F+J$#?h3+ zIo`M=Pn1lB;q1#P08eGBMud?lBua#b{O&!t*S5&!7f}|buM3dsrVBC`Anc+VprJ3* zgPseB3eM3h&3E_Tig*5or;(c2?>derlBU-+u(& zYY+TyEMJs-ykk9%_mmcpeA#jg$9=@UhIW_dMUw^8HpZpigfS9>+ez!8+V1v?M#x{f zmSTQMa~umpa3(NQw$7~=PhSCgw4aN>67F>+$zLEmsISeMXWLMPvi<=cHwS68_Zu}| zM%;o$DF(UVgPx8MkMRi%dFFJ^-sXtq3T-?3Fne#X1#$5Yj>AORntkaLJM3la(yJ)Y z)wZ%>R!{men#gT@j@LGh49gZ4UBQdjLNJ-UUk8^aPBDMvKlXJO`sQ6Zk2igBc!sG) z<*KoSKEj(Z`QmM2i$O1jiAsRj)kh2hl$^g-zoj=hILuV`{g{(`c05fU`(je0 z`ZZHRO;~(uE^(y2#5d+Ko9Ux~{l{v<7&%s`R;$HKq~XSfPv(Xjy?ZI}jz?RQ1W!uK z*IY~8SCT2a>9b5uVkk{c$~omYY9d-@7$WMZpXCbSDx8`>t^S0uZW@_g8&oEg@J(yYf@ zN+0WZdG7{RUYod8y5E~tPVTYE$w%9Cym<0To5S(<8%bu*t-Ut2Wc%Cv9yWE`^$`3%tEnQMdSlFsvG8HZd_!!hQ(5Vp-zd4$cl)uD(v-vjos;6iw#1k8?zY9{ z9zTX#)i^#>3XzddQ}w7GRuxwC_|B|V#&_%vrd?2j13C0`8k=mQUdyumdu&JI@VDwt zw!z0|aBK44aw`ulmYFwrD+99De1~d|YZQMr3^!|BHP1RZd}nCl_FxXCBkC(DMLUx- zfMXX&|5^zqEVH>zuHAiWJ}aO3!ZXB5it5;I9pULE65d?+aWa{5vT!USeSCP^0kid? z*bFD4*a&}bp}W2{lk&KGcoMrNU4K$Jy{Lkf$uUa%JD^*P~;e_C{PJK3OO zoZY{hS@Mn(X&Bqn!)}~(YPuXLbN%yGmek$uCmnBF-%JQ?oM-mkvWc;OyVZmp^(^#V z(fOp&gSGeRz4Op!Yxg8>Mk-``f72cp;B{{on_<_?zM8yGR~<9d6I#OwTRArJJZa$k z&NqQwldM*aMm-x$nSLE7JFp&~?^_Q%J8$hs%lS^U)C!6qJKQDr$$#_K245G%n{s5i zGytf_lR5Ij7J^brH8Qos1-_I0lKBZk%Tgu7jSXH~OQudyZnhs?X%riU?|PGyV3W2x?oGh`Je zy|*yZdB-&b+bAZF^DkyeHa;qme0vZT}PbbzlEvS`fUyd)gF+OKXY;@FTM9b`|=k

=Vu|^W$m)IkJ!O8r&56lS z5&o$ka*`g3{cj%K`%EV*i?dew>@ym5|3uK(EtDX>I>M(`ANN?w7-JB8=;q`jX73|C zR~l(_f62_~a64lbgm=jnU+gzSv#$A+NF#Ea?xuunvXRizp2o&`o6R66r?sOEUN%*_ z4F5Lfsf_{nQ=4A<`18+RA?ZWa-M1TtmBdZHPi~jTtKF$hF&BN(B3U~nE!Rb%bPxWn zhDk(rUO6C7kZwcG&}=Q^)%( z=QD391Qn?Bt@aP>uZuS^m?q*xl20~#;U_<;jd5K~=F?mO(#)o^bCnZcn(JsOh%M?? zr7kzQ&M$m2-hJNtKHZhVd8w$$Ggz*92rT<{Vc*%I!MMHp&9HX7)&*ZmI^D7_n2`Y2 z$ga?R-1lF#Xei8*%}V$CyvHia6&;iQQsfVwie$sX&eek(zImN!6f+Ru#rEKA?Ybil zjkJFr)iaq8Nv(D=^`ad0cXu`FHbM#kwf(w!zm68FPv(npNmIz*Db>bU z)g52A#j4_yb&>mb7++2VuRK3`DfQ?xJW*Fw(VXf$zO+aCSE2VNtjZ3_=40y@7vH?O z-dVs+x-cPFjv*v#Tq1nVxvAvpbEv%GeWH>mQ)ji767QoR$K{x&G_i+t=D1#x`K!Bn zX_j(A>r?AE|H`rX-Y&xw>G;(6{FwDKPPeAzL(cRR8XR*ty+Fh{>9mKhZ23>TW(lr! z@A=Q%e72}*zlFnrK+qZ%=^r^>O9Cu&KYMj*0 z8@Y^AhzB!R{Tg|~$*YVr{NAH7zq)uBstQab6|K(>zMthU9J)Y@06t!(cYPz4V7zHXkql=)hghdCjikD;(oZ8DN;?ovKSBkGa2^>gdTZZ{o% zcH4TGd70v@|2j;NlZj!+@hotcTuk&FH$6WK#Qu^oX~+o6YoafX_bI9qFTewD97 zGP}dPJ>k!3^%Ffq)>=?4ts+K0e_bgX`Zodkq)w(4h@0QCv@5dwW zKHtYH^XtszY?c-Io6b{zW(^2kAv%whLFh#hlP0;MJO1MCpKMqS86w34%D$D{Rw7Wr zethT+Gv_E@ifVqTsotOS3YcR?_cwLe6?mEIkbL0-g3`WoAySi^kyP%VGx_sE^xt#Z zhDSB9Dt&v`K6w~>+bB2b>Q`~+p&_!LABorUfZEN*Gg`D%rtWl{7HMp#CdAD=G zu+30kr`u3!A`wsH>@l5vr*-) zdiA{e@RR2HN@~pfgl(JFGzZ3wV;+*Jcj(F=!RMx@fjz~D=KVecOu=8EQQ?C`>Dc@2mDXdh;1nS>l%Vdzkezn;a@1igCT_e6GeD- zrj{~k@i{v4H4WRPae1~U9%r0h_PwvpQNm4p#I0F!cJ~Z{TL%r9Gc~`;Ct_@#Ql}VM z7F@Ovi24_m>d;jja%Rjsup>KP`(DLsKGWIU{1p60oD6L!P!ESXD!PG zHlOF8n)%O+WOggRWnK)_>iOnujmXvXA^XhFnAz#`vq~_EUqzTnqyIqmQWB-$r2EE5 z{o*mlPwE3$64@$Yi- zk~x=#;~o_T8-)$1tzT)FQ7wzXgU<^?Uzmk(3Fw?M!2F!j*HSzTNxC65|2z5ao*v5w z=7%2Nm{NV5@&NuDnnHx;sTKr9#FHg1xY=;p=(1>H`lg@GUJbsc^wXWU+DF^juA#<` z;1LKR(EdsU-&kOAzvS^X&52{)EI}w~7`EMHCF`owv zARMRDDD0yZzy)X$uiOn-!t}Xh-znhZ8w^U$y)plFmn2R6`l`r|zdbX%)S*r58*i0^ zHFKT(uQZ46WkpgFPrt){*1z%MAcFcSElvp@U!(n&jLPF1jOLUHk-tJ-9m;}cIeQrf z=Gcsosh8M=zpygM+8ibW&<*g%U*6TwusdD=5kiqAmW8&gpr4Zw5%zr$6QOJ_F1{y$ z2g#l_IEU$xtvhG=xCxz~5Z6%%TI9#dQ$56RAFtg&aw9+aC7+{7mBQD^WyTt=-J1M6&pUrbANp|WYZb;m?b+z5 za0yZ`6f9be=huE()PdzJEW(RDesf zmUl?B(ke|nc6^;5;|DM^f^`9KCh=zZO=C5DjvW0x0cq$`BTD_dmb1@?zkC38!Amn5 z2p5Hxep)^-*m5_cJ7p)0_c;01auHzsF}Ftdp25%eR3oRr9P0*(fCO0gp6(I7u}AT4OB3ZUNH!(k zKlEK{uIDm;T?3piUP~LV{va91!6Qc2yh&dsDnB$|Z%|4toOt-dZjT!KIkU5mi8|LCK$| zn((IV%5-NIuQ$&J9p{GV&LE)l@(l$JY#l=cnBGr=a}5uGg%)Vja>OO3f}qmG;Up z*c+cx>^vCm{-NqX%k>pc(nZQyAs8dzdZ2~+29t6GtpF3JyEg2Nm;xGue|GZmTd4Vg zru-&_;Nc}2cK}a80n{dD!h#i+Bky@VwexRDbs5$=Tjy=fq<>d^Wu}(>R%^P^fKNm{ z==n#ky}`W{(sWMH*1_-pK!oH=^nV+>D`xNZn(Jyyc05pA5)FAsno{KM?ua2##6iz* zK899o&Jvg@h`G`+hkd7Mxbp4+@z9Ur_79x4Fyt2$F@D+U(PWXORbmadLwJrp2v!I; zeaP0JkS*o3B}DjBrqdHG1AO_EHK{qh6r0<+?^!b^uaq;EF-#HRcUN)vLtWu{x~crIm+x@LfGg*`qB?%9zC(V3;%iA%j|= z$*V=?U_!!a;9d)UN)c^LR|h+GdCud0ydHMvHe4Kb_1!X?)A%54qIe@N{-^i zp9H=g=m)REwq}Iy_*rR}mMZgb0@6x$ZN|to5yp@32Aq^7S%W~A-gs> zx$>90ef!hN6y)U4^%{S{46@td@IYcEe@+SN!#7f41=b!7bq`AXt+)7C?6 zgZ9IZrD$B>cGTOnGNl>#$o%LmN~wOK-ox5RyIgLd)i_P!s1 zH89Q?O(|DObM7>VP%V*bx_y(KQO*2QqHh84#7Qq^3jG;sa$-IgH zJ>b6S=X@hak>t00&&>cWAzhaNOj$i4|0hVxRTjD2R(p^0$DBxXUt8rMyD(mZnfg1F zBOaV!ftX-PW1SXmw)-(8I&6Ds6f3+|^<=E%yHE2guu+jQlA0O1Mdg1uck2Ly%~nSG z+|ma0pue$l=oOS82#~F?mn6`$TK?|}c_<6cv;vh8Rg>X2zo)i7?>Kf0p(G>v$^{xP zsFo;#P4qI#lk6(heKI_hJ5N5=ara zm0VEm3=Xvbv8x~{AU%tJk-C~5DQ&+qvJpxZsuU>Zg1@uk7GT!li&C8hh)!V!FBOy#K`N&HLfHDrAC@USiEOsBV?989fTJepNd zyZ*-x7f{UW7GRyW_YEOiFZy{jvx*o>E$354_rgn8Y%XDf|7=I;zqjL5FFO@#Xd%ev z8Q;^LfRGh$#L4YSE|DtNec-`ShoOH{QrfF}4O2^lCjHBji5rCj7Y?B`%%2M=UU+CF z(29SCoxXxL3?TCWB;Y@ZN5F87Cj0EI=?6rtdxpUv$`OKN0s-h-zA~l758D}aE&Tds zVRq;7_DIJFz**YJ^b93JyvEc4z-tt_rz^@V17i4TaJ5+4@4n<+ZV}yKy+Y2r%f&6u z#OAJJ?d;ePf?j6jF=)pr9qnF2~-3TBB1QukKdhbMhk5W;o(s=8>_FD?rz zEuGxYS5;{udx-lPCo@g+0g9#BU5Jnn$-Lyr37P_BFL_6M1GrJKs=bNJXSSLVnL{#! zKQtPX=QRol@uH($~h8 z@5oKlu$==zN7f)uxd?sqCi1m_EZ_>4G-+Y7xu}qJ-lGi8Y?rpX@9Vfpxi;z)?)DE8 z=QjEso+Y(gn4y!ibm?4>k>y3948mpnINAUm15EZVY@9Me+S1joF| zFWsps6y3@0@MDHnCnA*Z*0m&4>C(T|o|ylK+H+b*#I1j*J_}8%YZVc8Xl3~{u?#ce zXT|%3bVU)gVVdOvUuRs^Z2D^bJi6)9?TN?%_KM&Gv+9$BocIkx*LT+o(~R^Frr^W+ zwb;v7y&pA0t|FFn5D)CDx^Mbi*GwLG>}3J>(6{3b2R8{3V5S=|JPpWSt-j{ADL1+; zhRvU5mTDw+S?HEIjqd_Pp}$>WUp0yy(R3e`bw5mE-Zu2N&e_dp%3;Tk!&p}*?lON+ z=NA&7-$QZQ>~pj_(vGz?U)M2_i~yP~OIEbkH{h^*LzSw0d0U|hXDLQ{x9%+DDFTwr z9v66sKyoJ*Stv=u3o`}QL(tx#f7vi&<}-u;5^6BAy8(;NGejVXY|r6deKky`{Xa3v z(wfu5+Fpnt)a41I10hXT`WpwUz&9!}5uWDaj!aejpjvYFxEHVIxQy5|CMQMRU|qpR z^$y3nhFs+r7$FxsUS8Q&642h(qW|Dt-^f#tQ=hr6{Z42plkUk!P46B_TaEKD18C$5 z>YR+O$Zhx8kyfr-!9Fw665i~{5B$x_w{o9q_R}tt&haGDs^z~*SMW_}jFcAX9MA9d zbXxo_F1oP4cyfDmX}3qd@k*|J+|9LIX(GwFT`&d*9pF>eCqkfxFHWxEyOnbFcJ9|l zT5AysXo!wx&H*RFw#;kZvsxwl1qPF9?^V>oBd=4c-J6rPd7?Q9VdvJ z)FZi~rIp?|ow70!u4JWGN@_bq0iM(gj1j%A&l-qNT9&7rL$kQDg1Dnx7CuPc$G-d6 zgX{~IR6zj3pt=DDHGC-K|0zM@gRs<1vl8hFVR4*S09A<&)g)oZouEgN`A+T$RV&^0 zKF{|bkI3gMq?pD?eT2%SVf2T1(((bjASNfsC<3I6C35;5R@+}J=bP;Fa=Ql(UDP># zl6;V^u`Xg&_;vNenb?{~KaKLQ6F&{0$hR$Rt$@4uMxC1vdof?r-d03fG)y5Z>mpBG zo?AL@o4JO0>q&W(4&T2oHQnejqnU9F=h!r&E7=~bg?!`y3>;%ga+(07`;3!5Qaq4peTLOUcZ~3QsTdG@yH@q6%#Ky`85DH1%b+oryRZioHU7|Unbu_rG3vbjjARG zuR%QX6oxb&!9H^X#$sh7?N)Cz>sQ4rl8^Nl(!&hy7K+I0#$pj6S6SG6=c&;@bq>DH z!#rc1kZ!x^)uJT=i%cr_s8*^@HT+W!h)s4LfwJ?>8qLM{Gaa`0fU5K=Fk2JHuur!b*wf=KQk-e z$kkpB4!Z;~>h6CIO3eTg1$A4uw;L72sV6iM!)5=0X!U7h1h4MC7N-Wm=Dd<6!s~5O6NE&z`OMtnrL1ayP?T>fm-d4 z^yM8W5Z|V24&qs|6+J03L(wkOstlj>9-2No$|!4>uSvOHV}0KjbVDI>0HyfD4+VRM zy;Y4%>@x>l-z}Y+OGGBc*TM3vJ-lb10#pyC@@0ad#~%s*p+Ad~fVlIbb~ojyhrhvV z8d+|xkDKf0*jq<-cMJw^qR(C`QKp#J3Vx^P_vH!k4)23w9trlg@jSDuM|_F7$3Mqb zqaS?{60BJHsdumSMFDQ;ZTj7)bM76Z^0VPv=bO%EwLG`05~NdKonx8RG>$ErG9g;& z$$-yZlPLMala2=3wg!bv8_se^!zxkz&Vn9#+DK1`h(-CXLGHhp(%o`@v}(SWDbYaI z$PM%XFqHaVnGV#8P?h+4KtDVG>VYQ)up5E?_kTx^sJUycHYbSTNT5#2UmL5w#(vFg z#joNVmdSXe&I856{9sm^FR^v1D1+4b>^a#i|)Twrkw{#`dyHISY2^T5iNz7BBl_bhBU zU|CE`MN8E-D#RS-wX0v&ChMtsuQ?U4+RRfx&_4Le$ZNHqd2{krJIcfixwO9eo^7~>ndpZw))g5s|Q77h?xSRBSzY7TsY5D856sjw|p<&Q_u6Yl?0e%7e< zFap z*}Pnx-hMaF_|iNHWGsgmsXv#l>6eOsihlyd$@xDD&HooF6b#*JFH=1m3G61g-D2;A z``Il&?ROw78Y4p+qmv0HbQ3VjSlG)1aHou~-GiH*)xKvE!nf>oz9jlszVSA#n#eV! z4+E?df@o)GZL{V+d=eJ^iwN16?Q{l6mRYSGfygQtttaIFr3+y!!uI~IJrpqC4lR=p z%PtOctPvaz1RiL#JbOU+me#wzMnt@Ul=VS+Fu;D`5Bi-$x*l1zv_?Ng=?fmzB;+MM z*a%wE6AJs1(mFQZl8{Z0;eonWYfyFIWu*E#baF=&>KpAH&kX!dE}e+b!Z=fhUg9=6^ye|Nr%&&uxy!zI@b`=zXSYQ}ESbO7~RdOJpCv`acw_4xj)4 literal 0 HcmV?d00001 diff --git a/bsp/ra6m4-cpk/docs/picture/1635929089445.png b/bsp/ra6m4-cpk/docs/picture/1635929089445.png new file mode 100644 index 0000000000000000000000000000000000000000..3574c5c491e359210e68df5a526b0ac52d8eafe1 GIT binary patch literal 20086 zcmb5V2UJsCyEPhAR0O0b(xf-(AWeGjP3bjAm(T>HLqHUzSLp)MJ4o+AlwPHT&_R0d zp#+lr+xL9m`OZE6xOa@p7$GCsd#}CL&a<8}=X}CnYAF*wpn3oTfe2Mq6m&qK+s{BC zoUHq|fNze{Yc_#591k63IZ)-u({13x9eY_#SrDi?7XQW)7x;|#R>jx@1bX=E?+d5b zwb%v((!y6&kk#`6?=Rp7=&fgBj}z}G;`R9ThRlsqhEco|F%Rzs=i_5#>F2D5Ap6~{ z^>Hr6&09}CJEIR9yi#z=nECsKoggPg@jwF;flzc|PHyVu_(@it@3 zm*@!ZDBW$e_8Cu$c?XkQQOEByDE9Rj^)d5_3f)+<_I0Tg{ab|P1tZ-e&)novkXzgQ z$x{5&pp$#Ip}Oz$^23Pe&w9xi2hclcG}Nq9IjGU30A4 zG_RB)>K!g?43+^``zdcaW|(?!e&(S<6s1*(mq1C1daldhE>%|ZbL`C(`({F^Y8KKQ zq8{PHYpG25OXgzku$PQ$$6Ipw73l5Meee&XzUJ54;#m#y5YGAhK|hD?6h)Sjz_8&!p_!)@0(6Xybi7!W+MQaO|y=dIMi z&;(aZk0HmdUzOaT$>XPEjEm|jn`02{ACj|cH_!d+ati4!w2}bhk`Rc1rV~G|tv8m= z|HmKpCVA&rsa^6Szr%h%onf!=sz&b_o-z9ao;OXKHRc^J(Yy92Hp1&jOPdiE{n;D` zRIn@U)59{KXp46Xm-Q<@b}r21n_6+qet&mA(I}G2VTVC;oCz#q$&SGx;;~vO+kBVr zL*t|?t70!SAHtFwc5k&S32mY8QZIVbAQ^Ce@O^KhWj{RIj-ADBrmnIDx?)(YQFZu@ zE_&z}{_ney>>s<4pt~~N`0V>#K~ktrLW?bQ@AE_d&-&y_F<$;>Qkh?=q^2hgpqt1I~GGsD>djFjFQ~55=I3;3N8TKMOmf+{i zD$@rLL2v{)2o$V(9}JAd%HV?o0;PUj##Px)tW2FYlK%5q`sEx56m=_voW4MFNWvR~ z72Wg@DRl;clyLsZ1qUR(TY}Ud&1=+f&rMD627YaG2^KH z>*pZWp=_2yU#UXfr~}&GBzZCK0grjXSGsLOkQaL?8fjWX9z3aVq~9D(-U z43etPJ20H1!vu{+Ub2KBME%bFpbO3rv*0M96bsmQ%h=iE28^yz@7wT4>>JEQwQP(j z#PbxvVfdYTRn3!uY+7&mq2KT3*$4001~@`X$w3LYot)J(CWEl^la02XDzd<{Kb_}C0_n8fwF6G)9)Z&H|m#B!7MK5C@4b{jZ&b$7_c;Ed0=U~ zu2S0b2a<2smi@DCANtNhuTADocgnOUw2%dqzkYHXod*k#k2%KfChgK02_vRcO=^zW zO2fw(+`}Q=l<~;~xfLAdACgFM=oN|ENa5trdcA{|Kf zu$~)H7n5mkw2vnf#7Np*!t^2^XpmD)#LAw=xu=LR7aOKQ+BdqdcjdI6-CcN#jht-} z57>OZA?hjXO#_X^>AA$j>YRU$r4i0+Vl3Xz;adsKmVSFljcIu8^gv0xT$j&}pwyAC zr|-M`Phs4=-ft}ds0)f*WCrUVPT%KB-8mQzMk|kDOPmZ|aC$*ODF3#jbNWv3*pGE) z(kQG6o-fhT)J>8$RpzJM-lgf9y%O@)^Acyx>()_?pwf*)SM&()WCP>#K|;w3+M9l} zc2BHOY$)4hr$igq5% zXF6dMC(a+CDMWQ9KKD;?65Yuj@D7`D)xMAZ)A5X|(_7?no!KhLcAu{MXN=I@u#q>> zZ=A=78_}^R-c%VFukYV2<)-_VAEBsMyq5V%DDOSE9ko3gr1u@;5xL6*1j@_lFrpVt z&IIR;_h??%QJ3rN+I5~(RVAfRYBh>gBoUSm}}pZr~`KMs**Kv zuwTP$kQbbQzL8uh@C!C{w66Nr;7M{%SzMmaT4Q=9r|Ja|<(w;h% zqRK?-cKO!MXp{2T{Z?J{u^Pk_frb6Nymv?Br!`{XWxYgCzA46 z?ZR`r?xk}IIKFniCJH<#kf1gIl-la=xQvfA44+$9K25vi9{?O^WfEE+6>GUpKZ&`$4-PNIPOj zOx$uQ#m(-+&aL2hr6V;b#&>AP)9kK&+Z3Bj0V&;pVa%Bz7Lf+Snew@!7<0_5!U%eI zX3Fl?HDD6sGZjw8q3wG)o(Lo4<3cnlN2OW)PEK60C%R6%B>BW|G!nKlMY~zG&YVN+ z9&1V+u-kCZ=DpfO4!hZ<&iztjv!z4g@+?Ok^~`Q3xRau4Ne=tN^5{aDdRb(vPj-}E zVTce-B>0K&tz5M_wt*Qgq+m}8{&j%BzO=q#xa@{b9*q!zT{QM44P#m50_vO5s3?-&LiB6^u*Ep|js+*)b z&n!g2*UOEEZJT&w#CU_$?>NJHT0XmC4=$*X6>AqM9XE>eTIM%oZmss{RX@6fE(671LSf2iK9b?hF?&Rzw@T>T!2bhHc5JFWy2!U0!0+}>3$$_jwrkk^qf{d4d z!#c%pVogO=d((p5`cnjXaDJyFUhtjYb94SHUE#V#T1X_a9~lLTOD*Q1xP7Dc*{TD-~LQQCk4H^OcSuzBL^|lhmi9*9ketX8{2BthmO75o32h|)23SI z;Q)h34XJ`c865WJnMD9&Ty}_`OT8*5}vNEb@vLBddP6P&?7c2J@eZ;2M18h z)n--8PAR2;UD({v&`?wqK|L?#dk>H*6#L-(T61xQKbTrHoNRlajvzL!Fo^8dF*cr= zn=5Mp(F+l`1^*fIKny=Ig}yp16>F@jb#XCBn=npJN)j@B7tu2>R`fOQ@!HpXm~x!B zZpMNOn?H_&cW@FIDGles|-$8T|(?`2hb! zpYuT>6%Ii#@bl5yRUSXkGL_x`u0m$3ry}lI-`I$lnljMW2bKaSQ@klZhBz$99Nl^= zoC>+~sk1r9c&?fekt)LVWgqgyk5F~51RsReMD)qh3Ki1vjTR{1& zz|UagcQ8O?l4Co_=1UmbXBr(HozDYe5Buld7QOg(meK!ZBL6#iWR`R`njAwHFQ`Cv z`tO23@`7Kxkt+ovv#+cW{<=OzIwwt+6|{i!TArcv;HuO%;HtbAC+NcsB%XZzZ}i(x zhH7y}4=sc==XH)x@>YaC0l$_CRa-KUp8ict|C8hOaQTo8r{$Rd2TD5kmOcBBORZVH z`JPvqBI{sw7e&*v;y@WSk7V1V%>7NLNROckk1|4#%sju4Pk0n^t{&@&yfLA`cRgrf2_Dz5_|XE zziLbL9P?@vb5Vs^*|X^fGb!4rou{UTI-n;J3ZCsPz z*l6f{TV{Zd*N4Vuosh|s#(iIM%P-GNsX7etcxwTB2@o9wSIz{C~5&QvoRY6~@U)+McTc!z7~POoQ# zoYlPveIEtySTb1MHWO9ngdF=c@YHVg?&fB8nHyGA=w~VphrSnZTp=hm_1adzmO6RH zjD$@6Fniz^
>IkWCy=%Mi#}Y-sN;)o>=fyl|qLlMS_JbK)5~~%W1-e_F`;?JuVS2et z6c5(gxUnQ`dPq!^mm?oRwEL7l&S9eaM5X zzf)cIntef5 zIoA3bNBGyYeG$;SLmmIatK4YELUO$voI^G*Arqu9cDL30@JRpXTFrCoDyb$Dve9|Z zvVf%TanNDojckV~e4doZNnCoNH1al{S`2zK1@o(NxFhfaDIz`XEpzsC?^W5JV#jn@ z?2disO2Hf_onE4EW$C?Ky;QkR4jF2bXW9I{6sgPvPuAl)k!>x34W-}u3yzdFUn*Y1 zo&;!17{NQXJJq;flF7FnkFIS;mPcKQn?^(3!eu%*1QmB1=gs)amR3;DJ~*#)3c*|7 zdB;?(*p|ibwx2DQ7nr;cnjJBiXs%I5+B^5frfe&I_;26d*S5?;G2=K;Z%CcN=&6aF zFMqF-Qui&#es~ZcdG(`CynZfML+BHMx@m{e$X36;9_ndcy;Y`)0E&u7)MCrjjP6fd z>eftOYHr&p-0`#NvB__G#OG~$JiydG=*L2G8u+I;o({48d=SKa1`!wKe_VC;k8%*T+nR}>-+R?kP-Jj_$n3H^5zVQ zq?BZOCb=*fR6uX!?R{}NDjfvZHylpom!G!-{%mZD12=%M`O+w^N;r3Gd%OAOWDx$T zkVe9X&*za$1a&PUAyqe}Y)1*pyj++N>S(`|tW9zs1Y*v;#45MdTP;N0TkFc8CwJP` z&(UNs{6TeQWwH@IcMk}IJ&UQDLX0E)aEebQN&=qV-epe`9=evwL@@aDVe#t9)<%+ zQl=Ojfgo>O_jrBAtkmtet#sTwLA;MTdEOdj`TAH|?kZ;<)5|bEQ^)3d8boUYcrC3+ z6#ea`RV8Q3x+B|)=_jYkYPV#b`fX-Ph1q`h3onPSWq}Y zU0qFWyinf-5kU8|xP`FuQ3y-M8FZ#Vo6Ml()qD0I-&8v+ap|ty5ViJww%fCXNJsmN z>oCW(LGU7t?@#_POnk!b`tAFH)1{Sc*v+6JS?Ui^1mD7<^3?p>iCTa7lZ5zMuCk$$ zS&I4hx91` z!+N=PmL)A$VcrC--qKYp-cPC;;C3rE@GZ(xGt(!6?AoLtsMQ@fRF+pO5rh)tFPwY! z_NyKq(`4rmnSM8z?|65eNPR}XK6|uwUU1QiF}GG|%5LAiIibF>7zFF1RbKv0fVQN6S&G5*w#>6syi252xP2}Nv#(1YGsmU$`V8)Fy z<^Gd|MTT3N@}UL=h_)w{LM>J{5DOAd7A*7qbce~lKdYWd} zJDSA98h*S(epbb?UnKGs+vNqPvl>`P;*}7wkC+YlgKn=R#DZ_es=@V=8}s`Wv*pIa z)GA>4b@%3EF5~ez@sC9bLP!-i)s`N|b1H0v7sHfE?AMeDM}v^^Z-i-KAKuHQ4Jo0m zrVHI}AzEuz#uyF)){MtU_3D>SzG?ZQ7G$7Zkj^MS2FSsSN z+Z=Eh`CE|mJNA_ulyzH`l$Sr%IlrZ2oO_qoI)Uzvb_74wAh!_v_9b?F=UDCVs#0C;1hZzL#>z@AbrHl;#i%M3C?B2$PmxX*2H@jjDU~am?#co2I;g#t zN##DZg;PnIg|UA;CPj%)K>E2#SFZj{rfEv}8rl5A124p|d1-~vtUD8sz0QmSrHzoV zsJlGu%^f<1{`akir;~4T(0_g<$ol*HJ3Bk;>%+g%-J4uk*ncO+rk(XQGn3neO(Qif zK0fChr-VTj;nQ6P>^UUaU{%8J^Uv8+59}Y-g+Vc%jql!JrqBnjrgahib`*gEhD)wo zqlgZULzP0riY!4~%h3A#@A7@4ct?#pPX@d^Cquy~iM+7aHSgff?#VkeKg#zW`>0uK zn}hCzkiVU>*@qywrJvf^z3o95I-1fhwkQsw+b|G`Yju$W6Bt~i{j!uPbZVoc13^Yt zGT3LX2%&ljVIR-st@b`#0h+{|_3S(4B$!}{}dA`-)fZd}O#d=+VRXh_?TuOCqzdxowF~CTRLrFlw*)}|`f%}-a2XqTGm#Yu6%|gTsy~wEA)$2nT1K%bP z`<7K#$4}yR#R!4JqJ+SfatI&GcWKQ%gHHWTJ)B+u!VXk)KZ@NE!^jTon6bgMgnIV- zJ8|g%1Ho6yH8^Eg_1umMxvQBEsmBlgQrgM6W?hK$TF^MzSwHeZGM|UO`_=JZB1Eu{)ZL8a}&iw%8IuXP`CFK5l4*Nvny|IsL?qHKckeWETt}L_roeV z|M^*u_NErUU8J*_a{E-|j#nswIyYXRXgG7*UD=53gM*C{4K*{ZTVdFa;3(~`0}|fz zguYh}sCzHf=Qu6Qxy1da-XwV|nXz|x{qYmE`li|)cbXx3J$-QwTO~p~1Gb@lBt0IzF$(CUDZ_B;(|&=i-a zDHtIwo!X-eUx5+C~KHNra@BDvDtN<>!e(ps1 zyHr6oR#snbPOso#YkdKQHK)!x3;cN8mtkZfbTFHi4x4A@=H&E%cQ{Z|2DY~WE!QBH|G7-iiinL%rl~VXg$j=!{;Vb?J7_q0>r9ysD2y;} z8sJjSod;FRnKd--?m@i`pFZJ?@YkRo((H)8<=an)6sSzkZ6WUU(bwQvxjLitqkSkg z{rM#!eT0J9YSKs4;_UUG8nv<1BlLBh+iH{+^Ki?2RpJ!_?pB+m!uTeQZsxt<4fgp~LKh zt8Jjhs!8{7<4nC(3%gw)P>HCANgc*Bos5$z$Cd`FP@u}KGt-^NBU%1cdB1eNr>(>< z>+pSU-|aYLfBv_Gqpfcj>Pxu^2W+L_RUd&w#s@N?+f2Vk$2w?^Q}P!OBGZ!5IX=D| z@9%W#ts0@G>9SgjuL~l<*B%DDtCTYn+JeIVC$30Z@k%cy%RQrNaSJUz&&o*yt_qJi zh=OCX)IM`0+X}9yzE_dd?e%T0H^b4Zh?lzIgo0yV*=pQ4t~z zGfIYYO+=*|TGsANkkwxnvN*3Z5<7=IDLgZoHNlpz$KB<;jRAKjUP3wEUs^$qQ1Xau z*D~n&&sJy}KbGN`h003zUFiZlk0g3tQ6v(Zy&cfMC3BLxUgfXC#X#Dn?)gtZNP>&q z&88-KJ;*ydHoGW|_phRp%OWPsc&7hysCQ5okNN&-2qMU7_I$>_A*^TB))@}lVA z+9&^uhZcAP3yUqkrVCC}D&{@a4fmc6#5PH3{La28z?_Pe8NR_4DO)ax$a*jE`6l7T z?uxGozn+9-l8hA}yHEHVTi2OdOQfN~bh^j>8vDAoh5m8f8;MuK%I_k~OOo4B=N*qQ zV=_hB*-j2*Sh@?5Am?}V@%{Y_ODle+nwtYWV^hw3+G(7G3T)tfYHI4$wSw6ir?MiJ zUJ-JxYzE^5!IRX*>UZ8+Z@bG>=DvB`uUGcrK}Bq&8`76gpFp^kN+xQYDh?wOe zaL^RMvEb?9;k}e1X1Gn|yOQQ9mI)xtBO@bE8XvK0^Z_*Ub@1ft9>@M)ri&e(f0kwg zO4MJ>UkU;0m0ayT(%W5pd2D3)7VgK}(wLIQy+x)|Eat-~W?^ilfYCGa`XZ857^5r! zK&2o)s-gSf3=6+jpOHE#i=FhkwG{H8>lCFib>f8;bpqmFQCWI#7sp$90;WX&^kUsH z3k91&7vgC|qC7X*gpYR1#(6t5q5+?)+8ebDZ@tKD(>7-w*Z)))eLBpC@@+ra{RDjR zsibl;&N9t!QKSQkIT<)TZ1uizZnQJYtndPb>AFi&oJN60KKTwBL=7ZYgYypabnUe= zNeM~16=E+*TY=FNGAKJ8SH7syRCT!OHADq_qPqG8dbJBFr0 zx`1$=&zkrIfn5!urU}(OO_2thUF@Bj@6?dwG6*Xc0mD&b0(TWVJnh(RML;*`U{l_k zjn|mN+l$g2jre9J|G{dh)u`80k&(ZeScrW=Qwjf5bV{&3Xv3cZa%?7*`ZV+56Vded@ z<4uw{QY!xm5RlN;a3+e^uGZ- z+WT?AB{q`WY4g*DG3Ovt(1GXg8|!a9%wn5U@DfSbtWlR1!`n~KGFMUox}|PIF7P9V zU1<5H4mBj@#gsIxoXck>1w*ViXLfv|*TY@ac=(6vFxx^a0~IbfX9f>{oSY^6Zs#{n zNsfxqSe9}g-QBs|m52Ig2@_G>gG^f75#JuA_CxUWx^j`%;W%Zr;?d|#+=^yKb>o^- zF|XaK`jLjpwlBzFtMW9jRsne-{uC zpn3RtHinn6@3B{fo;165qya@ASlnQ5BqcMGvz|;nu)ip%=*6$nWx9H3K%YY+kEsFE z!_)$@aElKznZq@Euw0soeN_0ueua3%!RcWQZgf=%9zi0G4#7l*H9ahQfZucFAM|Z=n#g$HoxlnLDYM!2V_((Dy#_(P)KTze#dDtxT z^Dv2#f6xHp(fqeIn-1{~8!4DI8H<_RenL`aENzfhU*oY`w*&Rwl=gT#;Vn0ecr;BW zD0TEq(OMohCi3L2567?kpXyev6DS|OmBs`3I-#^ zO+*l7=@TlCs{4wgw`}BUhIr#VdF)M7nxxemrdnp2oAoCSO%=+^xkx(KerqXbi785> zM@64l1(nd6H{8g;-w@Z%Boc zYTV-Dqw^TTv=)~RA@rs+lV_*v8YlSI3kK5rWW45YDf^!>Qm(TEa+a?Y5dEHXX{Rrs z)Gx$AAtMa?K-I4*^#=;XP@fNjILm@av9)*o*cV7D-JS0_xSAZZTp z59697(UZCr#h%^Q%ngv{l>s9y^IWn^au3Dbi&}kev6e|te7D};C;D4VEJ}QGPN`iz zh(2A?kj|_|oK3Bp^F&mW^}jNUd>KaG$=?aJKQBA+s85jht;_HZ$SfWDI(q<2dK{a# z80X4duslLIvBu6VLO!Guxr_Yuc&tK5Wb;n4_tnRkMy^)gqGn^xCf_h3m;5fcE+uaa z?S3m(*`%lGtS~#F_N35mjDXO)8}8Hy`}|BR+U)xsH_^Ix^_Y&*nVh**;3!(byPrn?1ZE7zCma zMFffMA8sgIGkU)NhrUd(gx(qg=|-1^u664%DN*Z2_j9lmQoY9kSgkS3m&zA;UQ8t= zY}6nS0SWfx_J1UQ4nt2F9HMrps?gULe{tZ?bkIJks{Af0-r(iXwayh(KJc z4`9;&NCe}uVR>If_38c*@C8q@${XfPt-LxB`OAfx|N2vzksrrG%Y^VF?GYT4WG{F2n2HY%rcgvcZEqHf>1o#6Ro!lf~>J$RZQejgMs zMDEge;Ui({2sz*ca%~r8UJ`i3fvyn0kjxtZWqRB^@Ne#pL^+(F(eod~>64F1-OsgQ za9Ud0!_V^0x5HwVLno&iimxmV0$^8Jbp`mOZF%}`pZfFS4lOp=dUXI2>qDyYPnWgsQ_DlQvrupm>fm$1)&Gb z8{c)uK*Kc(TgcRJNB%1PoBp#I9s%WRKF@0vKsTx?TjGc&8j+ob1htg4LcJ|hROw?;3p7Hk?fq{=FSKhQ3}8tiloKdtVR#1V5o`=>k?1OpM%WqHPxyU5vCGwSc3A~G&O~?`Gvu5 zDeu6mjVricwuHh&?_m4+Lu|FXatc$^kAm!lY2Mz3C;3K5&P5LJNe%Jv2_uOtlA8nk ziv)a(I>irA5&raNXlS>an~awp3djQlN4`pVpz>n1G9^5(hN^_6PKM$f&7pwbY#SWY z->Om(olCEK*lIG$`raT zO;HU9<>DT1;c9OvpoDV;k#QBX;2I8mS?1yQc}M=GJLl4DHPn(=y8sfJ-g3#g;x&ru zjn;gvGIWEcJidql1k4h-xK)exPXgM$@o}Ge#8&yOo$N+v(MlhW;T?PK>`P-yFO{VY zKiqwE0^zQC8SSa=<8s2n*FI+quGBZ23nBfI)#-jS@%jDodMr>k7+biqb9*Y^2dBSK z$@bV=Qfbiu)JRmAqUS>;j#At%qrU60J*<4pg=kEe#WD#I_y+eQ^QN|Wy0BxXH)LrZ zc0Q?Gq!suMHIya*>Ebg|qpmUB)r|=0(@;9A)-NkjE^&^}%5$=PsHrKPyf+g5+@2!f zb%s!ZnkgvG>hBXftMb7u;>lB<@S0rQZF;BlN1Ruh*1uW(n}!u@P}Ou34#N4h=lT)IG|NJS@Ft+GGnz&s@7J-2{A%7gDyOJD1&L_BO7G!O- za{|3=6|f&65c0$U@i78B)Xw3NyW)ni(milh$|No*O!0rxZz7Bnfp>VB zYdxfsUGsU|{MS?(HqXp^c{=_%bxi!Q&ExXOGQNETV*8djgq--%u`5lg68d+%n%JS~ zHxn5Nx7G#M4)pn0p0akflqLXy#!bWg`jeaQQC0bst`*L6c2~TKq^;-ebb_vYvaKpT zH<|-5c4`+tud3MyQQF*mVC;uFcz%hTn4jl7&4^(+_cOCN$tzn@MO6FonY zG;ok^XBha-?rjJ=n*YiU;iT=xGM#Nx=LjL8fZFT_pSfT+2kfi9HxaKtWyx-wlK8ya+tO37~tfQAX zQn&1aP>jIs6MiwHFQ7)#t&h9ZXbs*ei#eT>t}Z)y7ztC_T&gNgy?O`F%f%*=DHZ*T z@9?XzIu;JRiPrCR&92ZUSU}AVD;X=+cbttALZXB{kZ9@FYn{BzThNY!rNyP)8hr`( zgOA~*gZ9h##ph_dVcGbWmZcYM-b;}Su7POrM&I)e|Fz5ybTB~G6SzBdH_%BhN4wxy zV|z4av^93&Oa?x5xTT%wlN(eTxZ6`iM;I8>l~|coe}A;;sX(CP$E63WsunIb@<>hw zZRC(An!{PP<8^23%LehyZS-79k_dR=kX#At9Kcb|I*Ii^yZ9)zM+cEk=}5eIdbAXI zJTa|9G3UL2?Yx9G*1J+lk3I_mhY_a ziCg~s2uSg)e|#rti>r&Fm23&ZVzRPm-LC(9raJ*Q-#H284X}&~L9948mRB{5BCsv4 z{Ykw3C*7;t<}h;VV=CjEey^il*>C$nWRu#NYQj}l2hE!nN8N|zdUH~@?^RilgLz&2 z&)f1Y*e43Lo>Pr_9*mmT_rFn|l=hKqS9gBXbGj7-mA?AUJAX%7+3(%UKRruys3_&@ zinmT*9T15hv4(OnPbGY=Xe}4HF)ztPeQ>3RZ`;1__uTR*%k7k$2 z!Im)6l<51m!5(+9C3uoSeU)njU=1NghiGaMts92swFLFbwvz#N-9od_uAHo&{Bhoc zi1o61VOz@sjdpo&>!ia&^vuQl^V6{u9S1T)F0(liSO)?ra^+pJpBnh<6%Win@Migd zF38XcvlX6nA@T0eek15lVm7J_e^k_dd6MkaYjk2(%SXy{BwbayF}Qj+neGz{bv#4X zOrfVlU|ID`bEVus*WyOwJ6UceSl3Y77_`fNb;jCzvYN$5;;SUk&E%M(pg*R|WcrI_ z{ODKr3Gf4_TV^a;+zbaR+Zj8Z_U4fly9!p3$kua`Rr^ZJCuy?K8!j3+txQIAhCcl#06tZDB1s1gJqjR{J-JnrEZ@*Z~LLaun zw4I|K;d{~|$ANNE%kCv=-wZ;bSI^;Rg?~8rw*tBTN zXSotP+5+|;mDdhwOHiY{LZ$b`p7liZ8rMKgY%?8h>A$n)$oqFoZKDp=6UFEld+nnH#C#79`V?BH1%_U+$&GCn@u6HN^?X>8gu zjujX2xy%{nl_xCwHh0`HJY zb)3<8Ib-%)t2(YaVYjVLUyo*dUP>rYKf~^{`=a7<;-v%7Y0r5=S;!|Z`aZtfrioq5 zrA%?X4~^Ma;#ndqe(1Yh*clSDwCBGzbCws9h0ekAQ^`etb;H9$F1woZge=U*!Od~r zA66glTw6CY{`wNyJexOHh^}>Ad)cvj)!6Y(8$so5k`u@lAUbRO04gzR#<1eFCT-UL z0cfaN85zkG*EBVgroir4*GDr@`naJ-O~I%g7So4qCrW^r>#3;c4j{ct!!#Qknw|Dj z=pu--2NW2YRwvjm&ZnoVn`lA7e~2j^cgdEZyHlSw#V#UE$7sP7oc%-Jck#cgnD>VP z#TSMDFCQW%(%ucWs5G@DL$$yk@)SVB5W$b?8iE)&9Gk z2mnf1|G1NaR${R3q%X_J~RI*=}MD4hb~Sq5S83MFDI2_&WfZfEIPn~FzlLu z5)q#hVZoA4#h9SmN*8Bk(@(2v4M6{Nr>Yi<4+voC4~W|m;Dfv!H$wuWQFPLnpZL6w ztL!(k{CTwRt<3uZdYt2Ka_uaMtK3K)(vBA3CaTZge@JPCf?XVCHeM&SH`{crjS}AOq!16tOJy4FUFdKHV5jWYw%O;xYu98Ll?7wU6Y!K1x$w<35h$ z2y6J}ML^z(4`^>ied-~;CbIHx7x`CGg`<|q=tryln~P=(8xj)+*8vGPJYC38XxFiWpr$xj_qqbN>$Fwb1weohxG9E$B*BfQx(mU&i`L{B*5(JBZ9kj zlV!Us@;-vPM2}lJC^{N5&+LnqAXFLEZo)eBRuuDtL2pVcich>;xtV9s(=xV%LNLdfla z3gYA`A;v5})=9USU7N6eh_`P-oRMmafbpxM+1;tbA(UzW(U4AyM2wx>+`j~!|Eh37 zcPMSe04OZdN0%??J1!D{n4>9%X0jQ;VUzl%rl$J(w)LNeMs9`u-7aE*En~F8!_T(R z?|l`lai`;~CT6l_@23+AZOBJYP5*a23VI!<8*Fl%3w;#S0MS;DkuNMr@;^O^|Eq2Ce;=TbKS22!W3+GGiaP_$T_KNtheb;SX>0x6TapHZprTYDovhR?JOkrKcZ}UCE&pK zFT!D4Nz^4V>s+HcXWPovX#hBy{$SlJ@U@oCL*38!>^N@sqP$TL>`;~8@ieVx1;!#A z{*hL9#Q-TH%Dxo zrfDylpO$jE;6)ocGv+&RGstqq*EKe_npNiJGS(B)iviA+B4Dgc(^=gYlb-ZAE6V1C z)KX)k^6JUILD$4)&V;tTXU(N0Wt_YclPm=BEf7b?veHt3Qs24t7nA`7L~X$*^M#!w zBgFTFV9%3k+ps5`@ojZe32;qOgUB)P{-8Ok`aSOT2$lb&Od%QXQjOw>4eLCL=c-ak zhn(+kuk8Kbc`1;{GrfiFEe^p#s@mBnl&2q1ck*CO;Czm>1~IV+@_tFbIl3w*cvkY$ zC5eKss!x3w$8=g0E$$~9mF+4nLY$nKJ#nhlPyO0UDQoNM{yfD6@y|AUYytvCMWESr zbc<5soMpP~P8I(Y+41A)TVU zvbW%NQzYY)|8PLmKVAcueXIS#!+Y>%LF0t#*1zeTey~gwaOih-t(m6u1IS&ScUKRr z++8~se=xu--Dzv*OD@=4*@yoN$15lrR;Aua0j8Z0-U{{91$PES(&jv9oxGFZJCRGa z!#t zn9E(u1`CF@!KbHHc5Ydv^n`GOj_o!_GAD|($)jN~sXY5T1x2SsBm5KpFFLjNf01U8 z#{YI`|NmX!e_fIP!rnJo=RnUK#HR+VTPID$Jk%so8IjgL8wLg}?Bn;;CbLQw_2jej zbD$Ia!(YE>>0)S@l{aMPWTe)pSH@~(U2nquMBUbw1&}aJqne!rR5CpSWZs>ws7$tvdc_4WGt`UYkCo;U!GjY}UI{^K!e zJt~yrFYoBD`r5%D+MF|$j=^XD;E1dTNOi=cw9rONx1M{WAr>rL`4%3@Y)2Y-gX@f* zI6(X4t+=0D1%xwY(V`t(&9sBXlExe4Ykx7`Qb}%6m~ZEgIKGDGp9}9M?TsAkw>iNf z4|Kg8abYC@lw01Qh<9V47T-ukO|5D!vp3!bu7ce9Kh<1&G@E%E4zo(ByH=)cdcl~w zr#7^uH5M7sjN}M)tx&26sZym)+*Z|H#wEn1F4eLT4Xv4IQ%c!Vq}CdjRQ2k_Xhf(~ z?U_*B-xt%J89lpu=FI#ve<$ZV-}!yd_q@;dzR&a8Cp_B7aKTIJxL}t=2fRrY2PFSK z`m*P!V^l%q4y6AHf6|7hQX$bBB*(VZhlhlsAt6pU-uIwQDK1{-^E`~ zy5^2Bj0o=7``4n+722NSmgR$-!E+dh95d^&{6e8#)ogwE*tj%0?$O>pI@M%xbB4Lu z1ne1vc@JVd!GSt9)HfYnQP>18V-Gep43b1bX37ovhY8nM(Fa7 zoiYofnI`!ikvR$d*zcRr)_0MHJU=(mu^@V%Hw>q@CTm|Edvw zda~N|7jy_}t3YCY6ZGRwoM`1kiEIgz4<7f?fvtWqjG2e@JJ0?6z zi^p0qIOjMU6gAJH4CqICV(qOOEB@~5<;rib_#HKymugXInBNbs`_Rrg}T5yon~2VHk-w2@ny4AWZVlkhv?2qxbGlO-|S@! zpU)$Lw-V<}d@wIiCd%SeTcEWk>4Tm>={K}*cZdaAnERehl+L=_|JPx!U&-4 zWIr2I!o%nUU3*i|)^WdTWNPs|i_B8AHwI=M43Gc?nQ0|KZ0~ga6W|}zcxQ0jWCO%T zzPg9}5K(pk3v|1B zQJ+h$EIgoBp(FJDu%rf%lg11yHVLgTPIBQctFd`?y$Vmg95xnAc)netchG3N4dToD z+1=jPpVt&;hHW)U5^^j$)7U>x`*B$2uwe-4_L9Ub+NL80+yl4b;FX$Ok!X7EN?mM@ zQW&D`@2L#3TXe=%Zm=aAuaO z?Y@O94a#|PKI9xNDrFd$;eUTzVayWYDzkr6zjpY;z8VNV|7kE`EP?&JW=a-z1~D&L zd+eBsOGdUXVJARTyDbRPuf1ELQYiPuzy)wHJ*r^k4Yqmp?KwU(Z=V{%CbmmKm#O-$ zOEC(HmU|tKzSI*`h6*oKA|~X+2&%GcfYdz|ggWCFh|y5OfB&kVNZn#?^`UBVqjjx@ z@HOZwdF&{U6Z93laJ>v#kGcT~i-P_li#xAs!CD{f_Iwfm{nS%1W_4PYoIeZ}{n#c- zm*8vc=_iuQD9EU5 zEC2@o$K^x%Q;ge)$MnID_O8lbhVpj#S(DSufJ^|dOMq|mi>LrCA zNczgDx+!uuSehGmDE&&R{~gu#AL3Fv<;(BobY9&SD0zOR2(SusLF1g790F7S4Fbtc AlK=n! literal 0 HcmV?d00001 diff --git a/bsp/ra6m4-cpk/docs/picture/import_changes.png b/bsp/ra6m4-cpk/docs/picture/import_changes.png new file mode 100644 index 0000000000000000000000000000000000000000..ed6794cd1fbb9c307b166e97921d33c9b5d28fa5 GIT binary patch literal 14142 zcmeHuWmHt**DocYf|Lpf3W|WVbV(@PNJx2L&KMm7FR(-Lth3idR%Pa zn?0^%A~ZB=G#T-?YM;>&>8@jxJ;?>ybXom26<{pbULL z81_JvdCceTYa@ycWKU96cpnb_2cK?k0m6RVkDVb2Q7sUn@3dpmkNX-7IIoPte5>|a zTgXP6qqX9-wC2@4J(s7amm^2p^=PN`}fSihpg?mB7xXnNB-=$f&V{&<%aa z;I?=V2|=uUjqn$@U-Z*q6D~i{pC;^|$Kps^m&YT-Y$e1DV$Fuy6SIo~pSndQ*?r)q zSP;_kj>_m{jGHgh!_mb_$6@ypZ|PF!kcm2SVhm3knN>VnzpsDIC;<412vlwxe9e{` zn6O8n&K9eeTh4f~y8?(*&@GONrc&r#{PPaJxd&U zMA4S|s-g{N$`cA@<$Jlr&P39;$(&%vb$M75MevCpsL`8gJy0#cN0{qZ=E+ z{bTevXn&`oKgo~2((UKYeLEX8Yb;9hU$i&7^(VnB?}sc7_lo*wr@ppSuhFSC)PT2V zo!g?PPxMKEt=6y`bn}3lnxc;u=peIw;*%uiCuz+HR;j)S9L}wzsNRH1|KGm zr6BaHtF=jnpDnFb+YayP6OyS%*)|XkUX{EhtLd?DwIN58LSVAcjS`-Q`LYU8eou~e zuCz1P#HQS`h`TkuEGomSqGVPA2sQltIour6?%IZzL(s(&lLh5e0p8bEOx^?N4yyjX zp**B8agOHN_OrYV(Z+}2JAsOmBI(%rKjZ*A{ltw;2og^;p}n!$Am6a-TW}pqG$l4S zLQ<~z`CbM8Urj&W;;(Me4>=DRfLU2DT6QShK0xkTdT8uX@A0T1hx`{qBn zCw5~cf zs@tj+^t`rB(luc3YxLCv2caVWEJE+Dqq?KM$NHTnF3)G{NQigli``I)!umbyt{ zwOTUjLNi+B+sk;5Cy8t&flw9Zhk0+(*lMt$PaajIAH9t=aiMu;=)^POVwqRu#L7~v zmf`nMlEbunAxeXwuZC`ndwjXsrD92q724)`zB#R_AD`ZQ(tjkSpU5<5GeACj6*_eK zqr#+Dy`o=66Ce7ANk7J1lQOC(@gPwqaX&sdaWC4ARG6i2bd`nVF(U4OZRJ%(%TWlc zTzt`>^;PCw`$zE30HZKhtD983>hNIR2wRLCLvwYbJ zcAx`Ytf4X<=kt{X?1Kz(! zIW7k^VVi7iFH~8*-}ma?ZX0~Sr- z7YGj1bvEN6KZT>B`N+Y|-&8SuE+S=64JWP>3Y&0WQMSpKLq5pEm^j%h4&~Ql$>a`i zm0Z3@Xfn(vJMPKO@aw%wpmjA-k0+Y{_WLW3c&vSa|M@ZMoY8}1SD}Wx2xLtq4W)k} z9|}9!tP?rURh=d1b3%08F$#)Zr-b^Uch zK+|v3$%&vHYP(qJ+u&sqCzgD}Gn^=Cy;^Uyow zKV_`GZudod^5_gjWIqgjpVBRC2&QZaACk~q{*~+Htu8l6QQyj}xqOi?-+rWNuhJOz z26mrqkbl)f;@QHLlob)-y#DCY_-_;II#{(%d?C7SymiH2L`s4Z_P25C~^JOLh}}Q z(&hS`CMHzI-pV`c#Og!{lQ};D`<;QOszw`l>sGQ@7qtwXP7&1!;{H|K6IJ|0)`<iZH?IucFRmupLH=$&->+wsBY-258sh~H*Ur8x#59&21t1GO6e)Yy^5sqGTTMUh zciQgo${-su+X#DP;gi&kX%`^@MpA}&=Hesrvs+vHy9g}r59eJxU$%z=M5*_z&*fWQ z>8LKO&y)v+?uIi_GL^Mague1HbjLHvdkXy8VD= z;;w)iDEu$~donBM`ZY%lPI#d6+=CL#VXSh?+=XNRk?qd{MGFKw%1=l2=2OmE1(2dJ zM-3gFczi{nD}Ec1R=ol|P_#wpcAQspDeX6Suj?xfNRGSH?(t`Et!_Fx+q9$&VR-4M z-nK$e5t7eYQ#RzSgzN&r`<23dWo1KzMfd1-3q=)EvB;<9;Jl77zR0Pg;%??@K}HcP zeHBU#nC8NhkhjxKg?no5KjI~86cLf{$1t1%C}!<&{i(^U=4SKoeD!@YFhaIsyMET3$l) zQladOBr{#mWsv2hozBejGSg&-R4;~Hv+dPn1s}C4>mt1v>3;O!#^02kSeF$PFKRM# z<#BX-KPH8Kj8fWRR#?jKsIZjFEJ|L>I!eLc!uVCk>0B!1J14=o1YlR z(maMk$`NSRSI}bu@p3amsGmI-3 z#`flfxEmp4%|0&d2ZL#ajo9nd5=na0k^HgHEz=#f*x+nVcaH60=I=r8_+BrbI(cqd z7bI$el#ClxOP-j-Zd6K&6sbESn?8WoBS!mbc|Qgg>`8AD)`EhwiYJFo9o>m1o1Fv} zi(rE0VbeeTCbyNs>qy5HLj1h=6O!S@1yV&{wF+0Y4)mJz%1;MuOpah|{k3c@SqHKi z_Vd3vwkL!*eqIz9e=lDR?q96l7)XRkxd^k)UKxL7_B5!tIP|`fnG!!iH2i&G(|#Fo zaqQw9cli5Rl#y9k^!pl3Xrb20Ko#dfe2$V1U5%`q|V)5GyyUjEBTyfE=^$LwRSk$R`Nz$EX z#t@dFD$#wMerD7M;?V;ce(sj&tz$i9nIK|h8T)vyx_cML(nhOEjZp%#K_^&KaV^r# zi4QSt!r#(*WMu{4c&}sV-z02i*t7AzrsdURL+55hC`)QF$#a)>U64=HdMx}wb5<)-W+V@k+1W}|Kmjr!nLFv2xnDtj<(vH;(V>}#SJwRRU8 zN1pZ!j%{W0{gOS@z|D57`Wy@@bh{iZTU^$7)O4=y{Mn9_*DC9Tg9L<}lSDo&!o+#d z?*afiXN9W-9SEX7YE7S*5i65t_6IZ|M!J{q*KN|l9(lquSg`fxWoBBPo-n&*Dp>(krNE_1NF3d3b&vv>2=o`Rv(AO*#|JRimoq z=-KLgHbefEci#xi~2pP@kG6Wj}xTP zN`;;iG#?~rnxwj5CQY{0^5L0=(+NM-a~f2vp)a0*ZPZTc>Pp`I?iD}Osry>{{R}GY z$Z+XGK%u=TU6}otBHXOtsdGR-rQ9x)x}5<>p-ln4p1||G$+gFr2YC-~;AK?pppBIyZ%iZ*<-8?pSaApQjv+c~WY^vT4=V|h+LQJu&uG)0E ze{$14uWxW%`K8-mH0f+M)=vQSlx{K*{9fnvoPdz%_ zheB(HOLt_g8V2S9bd2}96gb>gust=8q?A0BS45oRTP&CC`F~e)AHmNQs=>R8^XC`n zuDip$ChI_wE7tc{ZPa=i@1%cQZnF@$XeMnEBS${G$WcUnD_5N!{!fPAaMu_R4ANr< z{Fc-cMrGX9Guq#H-+5pRC1|qex@bJq#lm6QY06UHH{vQTe1zgY@+~?USdZ1{Uyn_I zqV!#rpLu=ue{*Cj!2@1k#5tylI#@m`^sxP*a1?fK(S{r)o~MV`t$^J2q6j9fYBIaP*7sK-&Q`{vx_LA24Rt#AQbtWwda`ANUG zfG2zQA_6zZ>!z%!!~()cO^Zj5CMgttXVLi2#jt%>OSpYk(<9W>p3~7c@)PKJ{u0wc zYN=@hbhGlV%fNejme{XM&Bn7M%hgW1tn<|-iD{nOfWmjc92P(C@p~QLL+$N7vhPZZ z2QGKm7ygpXnjKE}cuEn=_^@=8YC>XJ$^30uZB5cI?>HQ;Hv4x?gPhyNpVB+x>|1w;PzQgx1> zd+sKm_Afd1)24w7$M}NZ@{idPzoqfs5;w>39*#+GOQt=IdIL?^+4Jjiy@U9gb0!{( zj$LM0>Pb|Xz&Q~R?zB-IQ}Onvn=t#+T=&hfyDo#@ND>A=0+)NBbu1?;e6&Jx5OtE#DE{E7cQd8% zArES8a}hSld64k?uW6I+DGX*mP{(94(c}`EGJyT=yi#84Gzho~<+VOUGu&t7aD6L5 zH(b%*?fQsZX!q@W^~OBivxsr9rn1OQYvPZf?~wScC*EdayIoF;_n<7lW7o2q^Eu$j zn^A`i1J5@eL%(g1?&9cBavKE$SCPk`O+i4FI}MBKfL6KPBn3^@-IA+q{Q<5?@>-2D z`OHhqs*kM_2JZ7fb5W@#T`j}*gMRLt$XRcCZ}(*a zd><^-yFp#?jpp6`UeQma*1)Fg2aGT0S!1_s5@mij48XP8qr5*Lle{oAHrU?4>zN9v zZkjJ=>7x1ByKM^x#g=Vsf7gMiB_t*i?-JRnwIXy($8QX$b6x$Svl(O_3WJZXK5t-i zmv^>WW~5+DHQr^bA0$!QkLQ%7F!|BK!eMg7ll;c}t9(j>99{Z(qdcFKr}MyRQzUfg ze&Rr?O!fs)Mg-!Xp+&p-OgS7%_bM~N`rhz zemT#Km~Wi3gAVTcQGGntQ%+74uaRQcO)NAlJYS&dYF#*((Xom5JU#aeq%`$n z4jD03HJQ=lH5t+4oSE_LW>!&BjDix&rFlEbPKUQ!q>duRNDeKxI1OcMq2`T;9xYf_ zTyr()O4d(w3BUT*a?o8($?aK1>97D`U@45_tn}P?ye_i;#CravKBVmh$>>#6SZ1@_ zsCD0nm$qUOs+7|E>=|mv+}#8&QW0Ahxi@A~T&@1}!vD6pwizs{DXxh=2ed&R>v3tk#{(Oe| zW9|-XjLnEkCM}KH`nxS5om6ky)haBmU5}1we>L}a zF^8JgknQishI5!V&BH)ZO$kl%Z(c6yyqZOQ;?~O$^@9Uf>xzrD-e%YZ)SKFj`NAEw zv{db3y>G}5t00B8On{FN2dhlYnM);;K)0)c(SOx8Tn80+Ee_u|#Bd1w$t#OstxE-j z)9O_{vwoZI-|#ZG?N&FO3OCxUvqG?w70^Bt2$wB6`epVu@Wtv)D>BLqqlpNFDI?S* zE5xB9RH8L(FufQ&b#6QTKU-@@|5QB2gk?_;X~lRTcoRv{Fouam9aCu~-TpPoLa_*! zA9qIM-!?nPn9;=>v<#6G1c`YcUdg&P>b)DevCfVxB2mY(zOQ+@%+lWoJwuY2%nZbe z48sjEZygnArdp;KVU4DL)5ukOC0&mZ1lM+{Lo zGQ)my+4R|GAGnwzy0wCxk|g6n3=%b4hFaO`TcT6LLy!;U-DV5Lq6x)iE_2uLGe&?# z2-=m zJP_w^wN;IWb%kygA;Srwe^?E z%Kjk@8=##y5IXPW zv{q`oOLL>;EGnQ=eYqyFaNFw^dJEiom-pk#TR6c4bWGJEO>rCC>496DoK!CkJRK}e zS-x9brQ4MtEV=br#CFr6)r#t~S*z#-2W~{kUcz$D+ZwiX>42)|3k|plN^sj^R|`_7 zvyuu%R11cyZ~~IdlyxfC1OL{RX)GotR78Z&P_903@0$NLnLE90Y`$okgZQKqutUUp zhOfC_qqCE^QkWhdhbY)~SwU{kC`I?SgZ3r{D{QplUi`BBb%B~;dpYfZh|N@|nx2x= z0ko1pRr;~xWtjN*qMy~z2Ue%Yj#(jeA@Y_EDqjoZvgxfP)N3sb6t-eajg0*lju1ha z_{SGq+fH<_XY=gpn!A{4mQLpgaOuO(yuC%LMKP!bHjP-QO$+z&bYZwzk)nBqchsq0 z(#~e9E!KFQp6ophv)3Uk@fro;D;&A^{$ML|lW_e2`@Kq2PyOCWL$&O33~?$%y!#+& z5bNsxK1xJ>cZe@X%Pnbk=m$8UcjS|^&2K)KxMoth&~vWkgqObD$_g2c!|0(;cr@cJ z2ibWNbAQZzLd+})xp!6jxjZM$`w+HZ>1jALy;?T^#C^8fNGDBScG>z&rKlbrh+tUc z{ZMqwDEx7LrhSB+La^BP@Hn3F&=cBSH-PtEHq*gYSnWM}<73dAf@3OsT5ni#Yez-N%+E z`4=P|%Q%TK?zoG14+T9&UC>=Wy)cuOV~Mw`rEE>CMQhNzO)c zW+Ec0Wb(MPOC#=_s}KCKQ}vd8V)AuTYw7qLtg*F64VDk~Oyw!`*AtYdXP4YL{)*Yy zTn2T3-rbAX32*q`z}}c-*L3FGjrYLif=e&G+|zB5K_5}@E7U>-7T`683RfCh+uM^$ z_A9FtcKG&fLFX0Oy2D^N%prn_oqGuUq@vXvjJxYxLvYV#@h2A#@&`Wy9kJ)fbmHTU z*N;^uG!9P?xF>a&!6u)p5c#v~@a}pJmgm?nLNEE4b~9Q2V0G4t46RCE6xVM%4qC^G z6c5ecTQKn4OdHss%eHLIrZmH5b5}0i$Pw#Im_-fA*JWd>JH>^>Ic622v$Sr^DdzZ( zmxI0E-FPU7R58ZS2s@zQa8Iep_pa0F_=wn zhO~A<69!enP+cs2%MWykEKAICb`!_!4p-Umm6kPVoXZxqWA!KGb1bOU5cd(%)e2Oh zjXj=gi|K1Fs-{+ip<8lbf6az)sfN;GuEXl#tf!GAYzib*(H52lx?J$o6Qc(IhHcWH zg34Sr7s}v!7fBXI*=%v^=#d}1734}HnlDP`id<^G7)cwF(;$=vjJ@uhd+=T^R)e(sn#-TClN zj#l;z^qb_Lw1r`v2tU>7`o)O+9WMVy!A1GIYRg(NJCjbSJlY#1<)X`6<)EEL_vyV1 zRalXyrj^HI)78-}NBB#MlEyRVFW_KLl=+3gq&?kzCN#9isOwn(umUXc$Jd=diu3HL zT~8~74lg2>8IbcYkU$rjUcV8dDn!9kL%D&Y8Z$_NU+%t6t>&Gm_EKHO`0V832Ye)1ri3N*Tp3RvA@A$`QkpF&2pn|g^y!6$->EAH zvW+9@Q$_t%$@2$mxjgRm+b?&L%yXbz(iH2CqeWpBtHWmX{xH-jak05rOWC)8xAa_( zT+^3^UJRM1($|lFDf2=xT&P^G<;`w0e@%Md8KJ3Ps=JlV=&ZYSH<`9Ka#nAYj-7pN z8eHaZ{#N}-g;nan@~_FMApG`=hdQc@2YEILF1GK9dh$(F#T-?CzdU_0z5k^)Aq%R% z7(F3E3{@^wok+d6bR=|DbnLdh_p(Fq8T6pLqDb4jm+MmAi&O}{-rB8Eb;EO^c`Mz zEV-8GLcZ-#T85??1yF$&F^>s(^!-SwI{~>ZJiBzs977EZOqI}9=`rxEN$+Tn1h2JN zm98^ukVV}z-(*!)NQtVm`l7s-hyuQjWqiej)vmJ17mqh0SeWhW%X8ss?D_Q()QWz~ zwP$G?BNF*_&zYh7&0y(ImZDa+pG%JEs*7kL+`nHUlXLlGj&&aW9gBb%`662xXO}w2 zr!2n@IDgf?a@srkA;2?}{H~R3f_bZ=}#N$6RTAy8J^~-+SSnYjkz1X)OFhT_F2enRo7>j63Nx5ST z7Mnp%TX_r)=vA`!{K;+Jvsr=N8E+es#a zFX|XuXp0B@DB5tHRXlB zTS4cZOtB{mB*KQ3K$^EwN-Pxkx|Xf>xtm+Oxfu1-`_%7J8arkg_3a8fTBX*4f7(1L z(>@OHUZ>1gz~A}W-hn?%aIOqQ=ECpCDi901%q)o zw2nBhIo+J|c(_+Vgz4lxWqh8X@^EUN>$ObL0K0rA`(enw*i}7_NR>vQ6R%qKvk~Xa z6WA$6-ig9fbEmVuc6xVMKvKfDUcXZ~wqCrQ&($A?&B10j7M3ki z(_kh-u}YA_%C?W!d9kSXbqY&``BA}}Ade_t`LhuevTxNO$=@bg1c^6DJt^(I!WzK! zG%&CVo`3WTl;1=Io%__yb@5bVHrlp4D*?LfGF=RbVP#%erQ<%2WYvq=sg1ZLy?RZW zY2$?%0dFG_OJ77Or4DFkqDmopT~ef;LCiz#4q&a+FMZ$(%49{ANf$pCA1^HhrZ+*L z&BKqzucj{N@{d)s#jhvAcoCSo z(Rl`(-z) zD4S`;+|@Cq*2Kugd@gl+hIy1ogQa7{OE#7d??z?wfIEq;w83}sOoh(zy5%xm%yKMr z?t}Rz>%3IoY0*bA4OMxJTk($lYJ}%6WQorNwXu#qverSTxGkqlfb!1HhnUrjj)L+S zi;K>R$EdQ!$~n*XO&h$|G{1Zdve>yNz*_z-UcJT<2nqi9*ZSqZ4m@U97^I|i(xMXg z^J2Nb0J zKs4tiweG;Zu`@C(jG%q$&&fR3KlzBV0><5Biz#_yp&itK|KW5(Yl&{W#vZ8qh65;G zW88eg_C?DU#MR3WzY#;!eZX2-mwbqBKE1{TO!@6E4)85R=mKOM*0IBG`@V01u>Zg> z(fk%$HvNFPsr$SJST|09hk-8cLf9gI3rf_a02$pz^jq99AOzOtzh<(4d!x4|fXvS> ze6m}>@&^FxDHhwLI+16x1~GqcMND?c)j-d8F9 zmhl~y{qSDEnkqB2vc5T$N5}Rq#anj__oi3UXx-0&2Sl%-{1A9xAzO#xQLaINhBz?n z#K<&{$RB>JJKbyB^m5^i$;TpUi5k`Tn494^>`1h&sSM9dp=|Tb z3vxeZ$PSFWGs4J$-u?YBE!paWmrStvw^u!earp+&2l)3V>6H8|rw`^z_Ud6XgE{IG z^?AySGDXU@7>tU7IiYAS8oRaQDf;4$;IJydbnpZKn3fcMC21k@P>v?cE#KT*P<=a$ zFre!(Nv-l{M{>G!2F@h{Xv6$V&-{|a_?#~@3kKgyMAWk^=k|Ucf@far&g~7=$AeNL zWmPAIzxtX9HD9{`b~vyDq4F;b7nkFYUX&$DNPW=tbv*h4py(79yUJFruqzB2BtCmN zJoQM^M0tXFszEF7Za~%G&%%~Do5B4s{e~W$s~P6(mdETjfd)GbAc)yPM5FX@qh{Dj zLX&MvgzA2T)SG zKoDal4ldAcwBs>iU;3yu7iQuTxD%4i{b~t!q$j~v)h1V#Xuy`|32yeJB1r5dq_f(s zmXdPFS{0ai!D&ZoOjRs*io~xl4}AT4>URT7Ux8(P6X&=I1(iKT$11tfq}`JvWe zz%5SyH%pBF2f>bIyZ>5yyN-!@JMjyQ1=j%M?)IIXcSvsrhyOGFo>I~`q9@cv+IBs? zdmp&v1w?lp7+Jp_FE)ONc|CCJzJ3pnBAMZK;ClW)+hIm-cbXe<)IIhYQDS(gS1ld6*=aEImF%%elS*M(IR%l3W5U z6AnY*9BcJlYo_G6Q}Ls|*y#N$Y_CT%T$}r-fJY=8g8@Eh=>2+V1{p#Q;)&?hdFa*4 zzGAMet0<`*F)ZqHG9TCDA*ltWi;E#gB0KRkvQG@ygg$$B(0U1=zR$)HS3Na{5`r5<<0c(o^A4~X zp^C-{PNIf~58S!e#xzUHQSf_1@(13wPjpJHwZO_kfq`e19w2!ofBza&2zY5jijND~ zc&tC8*yg#Fz2HO#jrR#oT=W^>N?`=UqLFatKpRdw8;^1M3^#Kk5Fk@pY;9nOyn!>@ z0NV|C@z@Q{c3(N|65^Qv1M+RiLwCvbmAcv|ctwMJ%PQ_Niq8T3Z}9w(^Cj=zw$O-a z*1_FzP|d3V&n5cMnLim+YJXq1k(uk;{icBcYm~#QeTdmav@BssjL@$s)OI{sdi^p$ z!qRv++waO5N6gb2j@|U}{o6q6x!4c+scou@Hw2OA5s%j;s(VHD_b8|+-!w`-2HFj5 zt!+{FA{azqour6A+}XQWVuGD28a8_KKN@;36DY$(c z=5{G-GW${Pk=&<;lcCuq0cxm^pQ4$cXuq`VBDaks=qua8x~3{N zAXsNa$O_i;)%gcIh#%<`<6ztT5>thJ4l}L|fmarSbcC{8DuZCs_F8*48AoKu+s;P{ zv@zpD(;;^K9Q&9K-;CP6dl8Z>``f?9X_Nk~&eZom1?_7cT2B5e)_c^+OLeM7hr3eB zkPt6n-Jo6ak~Ph7L?mIj=RI4nwL|vCc?XdWZK>&_E>ch{-ZDs^Yj$M8&hOSa>N#;4 zN}TD3nKG?It%(zJSMB^AEsdker_zOOObx5F%7v*it7xaEi;RTmA?qsk3q4A;kTrnf zvOf;;U{3%X4|iU@6{2H@vtM56%0uFdna0(l7m)HXjZv>*yaB3T3WAsOiTo>r71e(I$%JUAZ4I8+Y|*mxD{t0J_YdwcK8fa&X#z? zz|~|B0bWkaY1iCjgbnc7#~jCT@DsS>i%wf3G8=yU4iDK3KlURC3-2X0ZGXCY9YQ9U z3!ZjLk)w#mO)q@`Z}tX^JQ)TPekK;qmV%(Do1lSsQogRE9BmrGOY-l2G>(wRy9o(+ ztEOj#hZ3BwJ9!eoDI-%zx2PNtlbt0W4&A!4(0j*G3jM}DNl5suX+&`S>R-J?H^~(S zAbCTKbBqR(zP>2GM4`_WTcD@?zhWp54_+l*haJ3Cw`}0(QJZaKxFf}F?0IUw7Ttc1 zIVkP97E=Bs?X)(s6PBpY8f1NPj+-4S`XZ`Z)Y`BksGJyA7-2S63b!AhYzuvsm=}VG z*2{vRlD9d68o0BT)(o4^HFwhg_!5C=A9x6S?>Nc)xKFi}U2sV%#HyNkA`LVk{{VE|s6AKgNb)Xg3E>F8T(*+;>55)cFgcnfd(TwTV9dD^KdG%CW f1EoCPg|{pTX0!^4V+C+74oyZvQM~+}f#3fCd%iwC literal 0 HcmV?d00001 diff --git a/bsp/ra6m4-cpk/docs/picture/irq0.png b/bsp/ra6m4-cpk/docs/picture/irq0.png new file mode 100644 index 0000000000000000000000000000000000000000..46a3d04b50243b93f19735f0c66d6de71a8a667e GIT binary patch literal 36998 zcmbTd1yq#Z`|dq72na)$v?AToJ(PqZC>_$>(v85-CEXz1A)V6Q-QA6JybpeVzki)` z-uJ9`*1|Q+ni;mwv-iHQ`*U48@T0sW`U|2LAP@*$S_<+B1cGA)fneg15rJ21iOj=6 zAaam2L`2Cc`C!rW2k{?w=KHH6X(#gO?lzn?P1!>q{i%LlRtl2sNViLy=pT?dL8O?R zg4K7kTfC_z?!ANvGh%Re+__;r0`|dF3TuK<`}0h_a6_@y@HK_fWB1mVodn2=LGKTNST z2TMTU=ZC^;f)32TPt@xeQslD=gUivt3RFMGP3|viTKsZMYOPmAx4y}ZTiq|3c+g;j!7&c1%F6glF8jrX)4ot+h3k~%n^!-_ zcb!YMZ8~vl?RT-RQ)D3!CAH~!tCqzBUQ93}kyXpXyI2O*C@zcMsMjlhzux4)Ne=S- zu<#Q>`#a8GxQMKOUzzyAWafYXh0b*jrMY1&-)?>d2``Uj*Z!F!UAVhTGqEj(LZNtR z2=J_>I^ngbcjYkind)WDm*4u1Atatn0{(iO%U0200mk5)Y5bRQDOVVe!%1{=ml)XW z2HOumT;;^)AJ?87F`rJfrwvj)_u~Ri>xiv>H?=$wE}UU}1$EQUkpd%{lt?A-YRs!? z7^;EO{!@}SsKpK=ZMc7Ocui;e>t?Ot(abzNKuJbB2WN7&4)jbPLaotHsyn z_wygHaILN$@RutEo;vY2gK88!4{fNnVgx814w1ajXYiVEnQJss=GWb+DJeB)8Ckn^ z=gV_xf*ALw^<+4L7*QA38$mhc@&lxB)+w7Ybm0NeKD?)?@z>`e0`9ONmW$b^!b}VL z6sRAF3J^g+hKaQ2dWp0nrb#TerBzq*|2x{lY;UcPjkpRYDiYb zVDPURN)g#h%O8&ho@jU49ti4FRNIy@r|pg@7<@9kkB4JmamvN{{2 zS{ec7t`#TAj3+2kbM`VuuN;zX}Y}uR%LY&mf6eA5tdzO;(X1Q(s_Ej z#ZEQV&EQHe4w}q_hztCA1Gk;CgQwD)_JEVA7JiL5i|Kw~CY3(&CFII;#h$#l+!#E6 zv1TKaim)`(>p%r}d5rbPP5ZD7ax_R~M(@6r6FoXfAfdLkHI35oi(RyK1qHX*sJtmCpc|*BEE?m7oUFig7bKZhuk|UMOX~fxwhi zpzb{4PIm=Wq6tc0!{WZ%%-0{2{RiEZHZlTz0$P-bxiUS1pq0VpA^#iN%s4CiWwuzYWI_Dnn3W=ZOW6Xrr-V+h%$ES@8yhQPC8BDdRlW8Xk5a5>!xS) zVd%1NL0b!0Ouv#&A2m_;Jsd7n`IBfJVJoXW`hgpqs=kf&t>DfE8$2o+GmE9z)q1yY z*)FLJYJG801pflVMHS{)Icul4jxWGM0gY71v>7yd+t11;#LKNfeT=eWLTp*wsM)b_ z^sUAnXKS@&r`hrmCdR!H^21Czp>)!8{@2KT{tw^=9`+A4mMg79&DM^dP2w6B`gvp- zxDQ>`-lWu24%e61&x8j7LYRCE2E*0dM4CTB!{{B*RR)BcK3nz ziV0i&u9S}qAOcNBIzAs<35c!vCA`jeRzpwA2g%eyM#$vt%8FR^_Je1#`(xVaUVW_Q zgXfbF!npinY3b*x;-~d{PdvHsg?gIf{jvT(q^b)2#4`0+2qlfgHhM;nf>Y~L=&g_M zv*OVmRhF>X^YW~T5%xQX)gX}KpR~c6N6W8=8rrKXj1ayQwZIYUHk1ifztSC$?In{f zKEdlX%TZM~VZcV&xb&@7^`w8N)6!H_eu%(vfT=)wOoy?q+;!0T;CYXk)0~8^@wh%c zX>lh+(;PeNP?FV>Z?3LbJ&rZ*z zQ_`yaTe)5=tDj*XJ+xc7b-tCDZ`QrhC+-}9MKXLHeoC*iu|)Sg1uBWj7i&c#>+B>J z49)w+DF{^^4P9_mon=DYFE>olfS} z2eC^F>B33z(Pd;Em4$UM|P zeF+ot^&$3cX3bEVp{kXQioz2yAARHXUiIw2W9j*#_x#I)iUo7EaK?Gy7DP6GoquUB zq~2JllJiSzncR(D532eyoU00`T}dolT)CVLSdc325P=6pU_(@0xX?Z*B@;xNH(S2G z%ca*kI14irPy` z_Vd#kcIcp$fdux1=~zZ}M3B>dan1Xw;&p?m;;$pZ5XcRufh-!BQR~!7Ak?XO+N{iC zbAH+HlkXv@HFYFw2CKGI2LuPA(Mp8_se|;IC1QGnh-bewiy*QWOr zDah}?&kjOh0i7IWRDxa%f096rdqpn|fpCyDM1#Sc1keA1Kj$Kf=>!6`7z!l{_+N|o zf2fYHm}37_`b{>wSdzc;B-5ewn=TZphHS+Ed{Io-k^a9b|9^hSk&QAFuln2ml%cXW z6q>im0;QldwP|oXwsgRSs*RnlcaM&bj~|$R4A}i~UN9c+yV(18D0#)t?*ty1)t|mN zRs@i`7w*s?~w3y5Yr-2Mas&TN7@AWx)s3=A?g48v)Pt`~5r3Pw9@5?5PbFZIQ6O6|cD9 zXiQZ}cS2cbG5mO0!+!3Fz452Lt}FZ4Zmr(ky&<@59gL*9fn*}xZ;w8(po*&&h6 z-XS0D^bTg%OieIV7j#~lYSK_rf?g8pQQ&7Tx%ozZ{x0dwD(9PHGP0&C-%JfFLM6aN zxra;M;pVcWgp3@b<}u>4BSSbp`spMbA0-)@IKWQgLqDE9u=76F#Gm$0-CHCfZ2eE< zC|a_9pStSOMS|h9)P;3EeAu|0{X~sCWOJ=ZR3%l&f&T^^U;Rjmwn^qY``x9+2AG4C z6}CNk$aR?~Lk&K*!eKqaGsVkt=Mr{fn#iGqdhoyhysQu8x^oSeHHrG>mRcET?5=Cyww{dZO~oi##<9{#7ZZ1qlR zWG(U~+jWJa79`)32HU74m180#Ru}qqxZ4$+MepwN8*d{U{&83vP`G-1`m8$jfl2kD zik(0+VYna$iSwS8`4TWiNIdZ{28faDK;c%+_#a-uq_2g^6dHP-Lct4AD zQLnn!cyro~YVHfo!@ICFD9OYBG;mfiIw17Dd|klS*WIP=F_mL_Ziu`7-j!7K5bCVf@T>5Z=Fs8wU88U~B+~9Is|CN5 ztA%JIVhDs&-+?|@?T9WnC$ee*Mv0~?QlqC@KoHssl3+v^2Ncfoq+x&eFnmIYUE901AhsaTJ%K&>a9t+3c`tn!b%fLn>yde>NqCa#@th+~ zg9Y3>Qt34>R>hgeZ7yBk*L^ciX|H!`59Jqr?KvL^fmT{Q_`|83_OvQLi&>RBtvjL5 z)Ah{+6nlKOJg#;kpVJ6;8MfZk4ox($(GB?VrN|M>CMPW3i(7K z>s$$^sMn0Fmc9K>K`Tt;T2q>OFj!i#E)6vR&3!4v?dCc`r6@DDrLOrX0tw6*_UOfa zSC0>+8Q5*K8;&8E+Nhr4(Wk!f=5T(4U_4>NAdJ0-4vL%fh^|>3YDks1ZnatP&po~G z8eU7a4KAri<=bE6Rj?*QMT;d;Frv(CB#FRQYn$Hm8HRxjJUL;G7R712PUX|5=9rI# zs%fO2w~Xu~2;#rwftfcUE5A=m3lz^8xq;u=4mIQxI1oQip|4wBe_gG>?iWs_p0cnE zhqM9t{Y9`);m=S(f9qrFyoT@@A%8UdQT_N*$?5&0`Ev34u>a`XyGRaFrQu4=+``C?!^dDQyXDb?=t1TCU78@LuHMuh7?eDK`E-p^K zkWYTeO;%3vAo-(8vvpnRbbAweeQ-ZX^G^va_;h}xzeU7Ed_1rI$e{hV9zqNXoH6a@ z1zgLyj>)4*7fySY)Q-dcmMXl2TYDO+=VC=!fc>{|o`@aWwFe5^t31V_LV2OEiiT)` zixDx-!hhOpK)U00DDgG{y*=cOq!2QR=1gFiUSJol%H|CAts6(nbxTpcS5KfXbT;(EbaGV2Q;5CU-{ z@=^9FZD+o{eejS)kjUtnT32FaefeFK5_Vm#hy)DA&5cl+5Ob2}o_#$M@qLjM;t~BOOi}*f8i_VjVqE3g> zopuph;Yjs9hHlf_lxzqH70)wVs6x$jBhM!vxtPB-e`LoMES;0dB}01FQwlF>ZZ)K3 zb1OX=zN5#4^DaXwx@D4K>)sOx$DX&V(B z=bg;Je0oNLr3kj~EiCd^?iviue0SpADO=JsV`l9&fy~>o(7-^Ir!v2xn6Yi6wqc*o z-+yP_*){naID)}xqVy2Th=cA#Tcn8wQ7Mxtm0mlKQiM$PNz$~EN+8cNuKrIGeHnK=kw4#fTH}n{qx0BV$zp2@u|hV9 zEhT%l?N+6pY#m8&-CJyM|Ar0XEqHi9V@re@_xBHj1Caq`{V(jpETYJ*CL6C3k7^cn z9dt&H$GTYu?vhxH~&PIds zU#d-8te|_jiiX;mQ)9H-pL5A1WvV)i6aAxUKIl3);6+DAOXZHs<%v5C!q2~w`taRd zMLonFMEzu`zyD-zrc z24jl}4*R+$=QZm5jA*^_o7Cf*l;fN-$1VnprT&XN&OegRuzn`9eWaF2_(Ck1b@j?2 zAqY!$+{Q1MW* zAU$+_pl0MbKV#LRv0VTrk4$&=hoG;RcU#D65 zryyt+x~^b1AS6dJxgl++y+#X!S|`h) zdHi+WGH-EAon!_^vm}Y@g)$v}4?;Fb{(5C|(PQxOMU zpgQM{qyJuHUm1BT0dw8mSD36ffBY}`8)kvaNzDLMGGzuL;VcnUWYZSdAX0r{ezHj6NLd{(I(wF_bl!Yg7MNJv5 zgb`OILEewtRgE!rGyOFB+$3xgw+K$TqT;?4dCI~L(oFcGoM_g4i`8PJV#W(7xmAd2 z9g1tm5w!kf2GZr+xbj?9F|}V zzZmT@d&Wg1cq)P2sDRG%>ETNGLepe%xx%3TY|EtMidmsy?+4%Dgc|Q=BU`xMa@UaB63c zT#{PDYedjgu{x66I61QD?tqf3DM4EvH1b}K>shW;AtwvE6KxNtNh&KVe=cU-(wEz{ zCpvCCAM$}s&{cZ_g=q!lNaIL*aTPe~tuqune2l62 z;Yq!JiYnr33!@KBrevQ6l8>>C!q0`yZ^R6h$fbYkzRBf(Q8$l+=Gmq2*mdExg7Tzg zLVD5knKbK_zG=ezZP1HHFl6b`dM5G#4YQ$6teirCB--wRT1F{i9oC*!Q9`rXUCZt{ z`~Fg~pBEeajj|Gz&`TCow)smmFpPmL96RDI6-N9z>fD@d)*e*!v$ZMkrVOE7mm>a!2KKvSuN#4D zG1KBHBq1Te<~`6EjL*u(=JRlOwGM0!xE%BJ&rSPR+VK?n`N;wEgpcH^^{xhLbY~8z z(dmF};08Y9J1Hj`gyfRSjfJgAod+T*!%niH4tb{{32x1xFIt7)03Zc6`)j`MU|V7H2T2Z ziQoUa?1>8{-CO8sYW+2frVRq@&x}X$*me016Ah8wJ7oE|27x7JS=x?5|6Kq#;;Q4U zVy6=|pKpc{0fjP7x!=V(TaNuzj6ebu)qM!z0Ex4R9jt()R&B0oNM}&Vxiyim$Vu#m zfs^{@prEj%z_bAkfKGEW2639PDEdPwe9GdWaqhhi?x@URERBD}1-{1HEM)4ta{oaJ zpr@?S?~NK*ab>Rse63G|hT#5Jc6#VK1rI5@nByqGHj|x0$w-3%{`HzY;^sGgvsbD6 zq1iW7oy^!bXq^1tg~#R+bwkv>!9Q^pf`S51sUhm@AxQ1dP$T*HEhkzHm#LK;t*g+l z=V5Qtaa=#9I4}gTKC=3RdfBJ7=+<`)&YubJo_g;>PGi6#<&-h)M5dSEIVn4|?rH|lWl zZu>(@S+CUqMb+LLO~cB^7u?(1i!ex>GBqY;Hft-n4a^V@;sm3G*X#*V3i45zFepL?IAw9y++x3mQ?1$`WF0R&6kT|c*49=~eM192 zNsrt4rqxPAsQ?NnK2ZRgGAtBAY^f63fqHkUoOVrTsroRwM*Ox~UgTYLQ{j(qq28Hh z3^+1LEsJX=t2br@`cqsZ)8b{Z%I!zV{B>sJQW3U&!jTLHeI$~KQ+JY(;3Qzd7>URL z1{f_MYo2$7+r7f=KVdGwrW_<_tNCs6^Ybfaq^%&viIk$kLR2_7xcKDc0eH4e2gbY6 z{pIdmR6B0gE5xBxSA3-9dmfjPmY2-;2jcgg-Eh|@#~wcsIhFo_U?T(CI^sU$QnP|n zQj{zLuyVmWaGz4dkTDX4X8gu*q(m{@Y0(qmSQ=cU%{Ur~csOH8EbG>RtWs|s`cjZ+PDq`HuOY1%@SlOR&AimZ+b?{zFAGs311d;y z!TrtocE4o|7(Qd~AtuYfhd>xZf5DO~)%A$yUy7&pW-Cc1_HJYE!+Ie8)rx;ip$)90 zwcPNe(`hH%%#Gx7M}gUw=^R@L8L>VhStpT zww0;?voUK#OG*Z@!o)5>Bg7{75JYea5|_+T>*vPl$fR@wgWl>Dp+7glzl!9N-f=d( z_%`}UM3y&2f-^co^ce@>xl ze`m}W+6N*5|6~Cg0x>_`eDTv7>Chs*hA{hJ(;))=XxGR3fH^+fju%Mg$W4B z4(=)_oEIFJ@ujr2XwMtUl1&qld?-?+60&73$PSB-wS)-FeuAmLNs@Y6R;Tb1NUNn)Syo_YcpA!<6jq@(}2k@CN4miWI}B z;?iSS-y8j>94aK;@AzndBQ0FU-1!Att!gNlNAdH`-Em7x=JEUeN5CnG<@!L?>=`)s zId{P%XG%9!8Ti8Xv22a@-NxOu~iy~D%Ke8t>?rK8zOqjHn+9RF96O&g?=C9wcz z@&a6l*)Pc1h>GpV65=5nR`t&YLnaX`T>P_EFxxs;gJ%mC0d5+Ipp_$#5(U@=EzLEy z{GL^}M-hyyrHpI?0-K$k9mvb9w5fXa&hW3YDeWQp3NHpR7{{N+J!6ELF~zIXrKPHB zk8nk6x0J6jnZ;;kiuMTz1riMtwQ9H?{z{N+Zq0dhad~OzlOUn{Y^$KYdG;1!n#t|! zyiNme>L#o)M{kuv^A66Vp#|e1!v4?QJ7cmH0R=pZQs(B*lL3BenX+PGtOewNY}xvz!mK8S29c=Av(0`~t?MMsEw5VXG^gc^N}I!^cq6VUd&4OyX|d9r0Mh=C0z#4hYDjg z#=po;H@Ut3$oSI9!dn*0+axVD_63YLg*KxnA;Q3iUAn+MwbrT8;MVt(J$TE}Fc^+O)wg(crJG%u1$1%rD}QNHUb)ZY7= z`(+@~Zr@kgW(=#!S7UxML=8J#@flTu61LjAkn0WAf3ZT-<@F}=Z&Of|`%!@orN$a}d{$W-0C4YSUEuX2$g2K-=O}fCjNS+6~jDXKs zumtIyJ_K^(rp<%9T)8JrV%WGpdI(p#hl=qouM8j66|bAt>oFj~zDpLlDldVKjY;^z z#zxb$_GUZx-?(^w6JagTw#(ERQ`(PZbMWz~(+!+DJrP;7KdVCaB@|5uv{K=JnE%}u z31e-eH~ODO%|f>@p>F!5qp*R(-el*!sN8cU}Jj_T$L1NmI;x3 zDu3YYtSZn_GQEwEHZuVU$EC;doek|f9r(3)pLY?LKV`?+42F`?l_MZT*?=t@fSMn% zQc9aXq|=A{TV<;W7(=}FjoUGlw=I9apAcSng0}?Tx?ncOxNOtvXQC#8TKd8+luw); zxa{Kf(I`G%-rg|wj*dpK3HH32qwkt;&bUq%r&HlUvV)`@L)&Cs=v+k-Ykm*cg&*8- zYlHFg4ndwYQv}7+W zvq&E;oOs0#)~-?RsdS;-V=IH>S3rpxT~jb+2)r@=_3bNNWU0DpRux1B4P^iA+gE^p z0JsFVrssa=&++<&A5U_;E1c1AQ?ReqBZJID04;3_XWZ^WY z-eG@&_rd;XK=?8*p5TKhiF7LrdpIzw449vbKU#W6CZ;5Z3}Kdr#`hImOh02DL&`ml z#&Ma$wVlx{l{#C4%ED1hb6ShAN~7T~pC5zhOY-gn`$nPe9BRPs=|$R8yJ*ROwO|*Y zlG2$W7GQlo$Q8$M)jOHih0T1_hEA`%4j;CXm|A$c7K~JO9^M@IdAg`XZZl-cf1*d9H74m|7SWxElUzDRKzSZed2NX%Tob}HX+e?J< zJY;QnknQcQ3*|@^@=O}G=WMQ^XJkS_JD80|OhqD?Q zh|Q);7#j}aJxR2Q;;e5z%cSRc__@CGsH*^VIZ$Xz7xyR2H1E6-mD)@~F%ZGda{jM8 zC9AW;cl9Ht7$6bA5G4{?mR1E|8I0&R#Yml*KpC!qC1MNEi7JY_x{9_tssg`G+vco^Me=@V}u-aZYrK|$?AiA_`50?+Q)YXVw zNhOpJy%f1{VZ|XG7^*fHc%4?T^?NbP%26qj-FFRF8kQ35w3SVZ9FP(rBl~@g@FfK| zN00*OvHXl~o8SUYA|ZmuMH{{SkR(7T#w&znA{nwvj7I)dSR}dvC()#47YTWj zO8xf7J9VYS-Vjgx{?m7g|JWUjV1Vp>`3BOPOog+f=`DGSI5P)X`vL?^$ zq(G5Jo`rP$vfYYemPj*NI>aH@cQBFv6Q7h5E)L@*(t-+8n(oL&+%FIzat#(v7qSeg zOmO^pd4@vxG9V<<17<1z<74^&g4TKx4VYAA0@BnE)5SQSa`+OS@U@N73EH~sW7MyBAx$H%59 z=l_mXFxEBnp0UawJw%qHVd(YttHP7ELLp?(wXaYee&De<%mi~p{x6I9Y8a5i;oRhj zd*w1-8}=wL5N>rg@MqD;BGk>j;KLVF2;PgUH1BO#i`^O|C`b!Fa;EvZYNv$WaF`Z(L!2$Xa!voWR)}g%`^lL`Lmg}9 zTrPc>%iHr|JH^^lqUsx~8Pagqqw<@06Y6JJqr|SO(L$SPLbUb(!(EJT5(MAI^U{+p zNm2&zjHQ^#XUID)f&lQa`gwewHW}^$Zl7<8mR2Jp4;6LPIiB+YZQ)3ajqc; z6f{maEcVtw7+uSRwQXZlUX-|m91{(*P~P8G9Q^w)9WX?7nThmK6=%bMG<`gVFMIe(*URxA z5r>GaIv(S+x;VK!@*2^3^h2O9@H9$26>zqqzG%Xcnei1{^bU_i+s02sVFH>5@@f2` zHQ{pW7ro;KO-nC=5Z)d)If4O1HoBt)UEA{i#;Er!>As~?l7#%;B%4a3V|9Oj<<{ok zjRY1M$n&D%4E?!N88U3ABPYZnm<9VbYHQVxV(@A(uEiUd>>Be?|1j;V=_R>C%LRdD z?N?4L=7a8@+!lX~rz1(zhIZZUQl$6<*h~eO+dL%qS@^Eylzx)Cs6wMZ?@0u&`CY!0 zcI*q?D!QF?F^ec0TA1oCvhr^_18R!7Hy+k zyZ+;^rlw8lTi#4a$UoU)ynF0j#vQcVz+dhn-b;-o>v>vgz_+1I>B^RAea#N7i=TS( zly2{oAsUP0(5U~>)gy&7Umf@jL!bK%u*^)mNU*W-qme_tu&H(W3DT^njBB$?x$EFVLn&Pxmn+jPlo~5LnX{24>e?lT9sR72HQ&wUXH_>t#x)SoppBGHb)1} z$$YLb+e4Zib#{hxz%Pz4+e=L&5xlI=;x+LcvWA(pbl~OwS0pytgt7$*SCA!S0FGO% z>Y&nqtZEk+M~148b&ITfUUGP4Vt3r>)TpIZ+e4!ZXqDqs=h(`$>0h<#pOCz62LR&f zl-Y9Ie0R?2Ww{B7Vp?}$X^yq5yWn{uki$$7a3wVY#->VT%;R{(Kh)&9ba~uxtl8>% ztSP)h{FJiTnQ0UFkb?F5%S*73tlmKUlm0RR*0^76z;$N2t| zm$jhhw(1yA+brE<&tp9P?=@=L(MWt)8@FK3tDXA_x3@4Hd)>;myWVLPwq*A~@qTMC z4HhI=cEMP*-vUFXa?7XUGWi13>e}J~htJHBv#FZ_Y+!yC^N;Fn_6jdV8h%owOqFeW zE;Vo?U796+IY(g51-2>AWL}@)qE`%+-vM`&$h{s0Y9sF*|JBo~qqeR(B&cjYP?#-= zJt?R3HTXb=qHQP8SiuI!`-JkX{+JRDc3J@nGGewO&*$*A81ZymQ=fyryV#Ek^i*g0bTQ=!k|9-6#&_U9(F%O%IBI@51wuNZ~+3l z4OL}|XT+_XA61nzNl?-~C&^z-B2!7R=9#8d?7+xgHc4kN8*8RzjJVxGCi3~ggSK{} zWL4%Z+gUA!4d9K4$PtF+@+}v(sZLf4XIn!nx>yM%_Ln_@V*>aGX)u+>8Fmxb{P8Ae zI=oAM=lJD&u|n-J{UvSBKk78YE6OdrVKwrpy^V%jW=4b0V9X?Nlmq>l1|A$RyrH3C zWnlpM<}EvE*M_g&PM*}eG&%6DyWwxc zHxVw}8n45l?~p+k`_8kWb6j)>1O8%LOQiIifMp}O3;HgEum*em(cGEyz~64cs&`#% zU?COoW?qXza1>(!zYn=hFe`*7%n|*y~op7>GE5sPgCP zc+w+l|FPO*o+;zC4*_UrZ%@sdTyeZ^1$E3fF#qF=#6P;)5e&~g=`PqH==(|f zEQ#jZL1gPsL{+lN%rrkai6~R~@8ChsnC_M|Ig%k?r~o0&O&$(KhNnsIVOl_4T+V5kc8uN7FL@Y5JX>z0VLNf`32&1%Y zCpXL{5!pO8eOVoTTHOw~^qFfMGr|BL6{YXYupof!n)l*A_YhOBM0ye~gws9<>5$SJ zi2&>-^PR(XisQS67n~Un;Y)_f8BA()Q0d%pDQYZk&qsbhyp{vYxS~Joru#J81|?4W z7rg17a;?#N96hRzIsRG9gqn7GF`b{`+kE4dre3(T9dT>XPVz4A5fwr>Z2^Lp2&^0# zx|kx6PB6}X>e0XTbAld}pcZqo|JjACNTVli6v|XnVc4bzq}n9yvEBb9Y`%AeNvK*a z5stizUVr|FUaksxMJNt>+}m=x+L`|q#;Ne%EXZIB%oDT#OcJ8bx1c8#=NLP*TbL}_ z9+D<2O?AYtwUX21Ux_gleL-Q+_ld5{ef|gCjfdlT58%)HbEuN1ORk~E!OLidn3XDF zH3=n+XMJH#X?*{-A@@LCN`o3FK+?)06uxclmrRIQFgJ)BF!LkeX}+85qEEd&A&;sU zqO~e2kK&Re3x);xdf>yxdOuvk5q>9wfxlhv;!bjwG-XbUShemU*u`H-p({Iy73)$- zQ&01m`}Co@5omD~Xm~yDohl2*P`?ulv83yfhK=7wA zUB|6~go3_hz-`2JS5~{K`H#?33))Xy zzs(N=farA2tkfX&>hf|8uxLJ8T^-C;?(FWG%-BrG9NBD`2F4PARB9|=ame|SBWJTK z9_D|A&!Ut#<63-f$rM84VPvhW97dXM8u7dbbVt}k&_IYRa+y-FjS5Y!1aV#zYK>Z+ zw37#Pn4k4oLjyC0zM|{cs_V`%MkFL9!GWGp8u~KVoke7`T6K;MScbAdN>`;7KXdE; zkS_IJ45p@}jQ^1(gv%DSiL?v9$oWg{>BfFt0uH~1gp0#6q%KUy{9LYfH)oK5FDja& z?iH5>sn}6JBvcn&rv&gX2dK1l#$UR)y0W*)QvFlM>&~UonbStd!i&*cxy%#jAG@(E zAFjC{SBz%)nV$y2Js)bl0zAzPKb>xnxnvwk?e2cKTptaWk%XBf5_=lkF{XPUU4&(59%{f89+Z&Tm%(&TAC(_H>u- zz!%qZTcYu|S?BA_hjSXj_l0yq;K z;a_ErM3=fi*cp)06=Eb5wwe&`cXIP#qxTkt?5G1LtnuZ_a_;HgwwtvP)+?1?cppDI zyvp)Z@yn1Tg>UxYtNwu3#~ygdwfH@WE&MRPD%+xKX691%)=*topSdBS4NH|lySZ`2 zuVvQ2+|u%8e;hMXdN@7Lw>>4rt~eZaF0KFoulisVN+7Z^a+ak5*4;e2BdQZ3Xyv!N z(D+Gsp$rZP#C_^YCbOpe^s+HS9_D1SGN@{%i0C&J23@WDzJuG|$xE~uESmC%oZ@)r z6C`f_sy-(ZKFfL&J_n<-M_BEr&37b%jd0v-{)06_UgdJGtW~C1^Hy_y#Gcj!0m?A9 z+{yFh4_7bs0^Dh;q&9~Hzc6SQA}~#sg;qHGJ7Rxmvj2RFsl){D-L;vxRc4Q9ASJdn}aWBp1xx^HsiEn3k^lz zbZ0qTWP22`saw1pR59dKwAsL1v9?C1FYsR~K8QUw@4O_7jef#gs4cK(S~w&3u)bz_ zsZQz|&_^p39QhYbj_1X`Mr2J9MsH`V1v5<IUsJ##kP%Y~{4D0Q(WZWqa_mNPH`khblH1Zlcr8?i zq#b~i^uCi)VpbrwE2Z~v>XZYL(w6*KH_~1Zsksi+0w8WN`x%rjj0KOpC06j050YEi z!gX1bW1UEeV$JSCsb*h--)&7+G0vdP{Dw`D&S)y|#tBq9hj`CteYH-J=SaNk2p9ek z9I~I}fHNTt*!GmN znxAL0KNA4d!dp!WUwt0A|3hQW^87NVvBx{EH%aEip{t> z8EesIcIFU9V^=}`JNSG@8=*i>2orMndkI^_M|sVQ*OyiqSuf!VAf{m26bXoukE>F9 zf7W0L0$9O4hFwvW&vfd*lyNjg_OHrHZ)o7d?g7qkc6|~3o7t;1ZjBnBl#NKA8T$+N zU8+$(msj1)Gp6GCKSv5+6-q&Eulx)ASMjLd0>>lf`wPE1%9Fm%ZG@-IMZ@X+)I}h< zuHyTs56Zf6nZ}iQHgMFwzYl%QN_NQKWZP_M5ITm%$O;;nob&@&D!^{v)rsd|HuX;^ zy+s&2P|K0Rgh#O%Ja`ua(Q$!K#2ZB#;|stfl@%V=dMo!g3ptj~1F9^HtCA4wh&D<#PbT%e=I|JeV!$dp^MWZCR3ss61T4?EfUH43%Y!swRFGwS5Od2yxh~ zuVKTHKpMyiay-uo$Mslr94SRzC?yIv&@bMu|JV(}{J#cSTc5@PmRv z3u|I+fbf?1H6e8@|Dvme)YMc!Gri&6&0D|Ei@P_#M9hv|Z+aG{3&yOO9CLb>Y4D+Ua=O#C(!TwlQC(KDzD za$+HQp=%82CLd@J)!TOfaHC1@b)k>ks0^n7S^)^E-Ju^aLtqv9>(Bb0O}{jl{_Ql}N&Lk?8t3C`SojsrAVqrTAj2Z3nUjK%aL)^?9(v;2+i;#OrPAOK_&)<$ z{o_8Lz!;SIm<#eSqK0vaqgYV@N#y$fMG_Oi2mefBQ=xykkmln#a{tmvRcv447wW&! zI~*@*j=$eD4g98y$0Lq~c_8y^CXpKwYWKgBNkpd)G7}}doAT_x@Xj0OYOKg&nKadE z9)M!FP`&1EWyK5xus;8B7)})keumEn+=HL&*P56QL4xuL4K6!IR;fUcDB9CN;u_K)nUw9qYzTXrw|B<<@0& zAxebe6-+-0nXBnl@ZlW-wug^O{8y_PiOd=D%z!XiS4b;A80XtbZ-irC(HO@LVpmC&@-gY?s7PQL{ zlb{67|8V+%0Y*1HumK#R!4;Q<0bx*1asC2MU7GdcYG3tM4QR2UeV zpFVO9Q%AwDfD*6I{cCzwE)pzWK899TSR%^km%H7Z`QSfdEw_IDcNV}fuDq^}2e_*w zUVcBEo+R%EUmILDYH*9a(z2K8JrI;Gl1Y1&y!rzt%P> zKu?irs590`*t$eZ%+1mO!?Vm@dxFE*rqv8pKMGm)F61bjf}BM^q*8$#MdZSuUNUEe zj2Vw%R97#|hW3wf4J%7F2VKP9GkBbOVS#}_E>wcPF3~Py7=?T4B5okxe=(t)GdbdW z-)clRrmgtuU?@un-fvdm?BM=yWDwK$fj5wD@_Qy3Rcf$xqIUzfx4!omF-oyq1ct$m zXRp|F2s=}; zX(%xNRN=Y#lU{FM{m~&4WxWj{D<6~HYxhF9EBRaT5{e!fKie~>09;$T8X z$Tkq2%2=@cO6pGT@McCq0_?>a+oke@YiJ8!4Y^WXl_Sx85V^zx?kPqAex+L{UxoUE zPKi`9V>oa4|EGb9sAsKbiTM+l^@BB5o=*prg|X%S@ZfJ~0sQ`$fCAy$fEd2t9Jumg z_xfqk+Tzje)<>egjX1oKA!BRnEu&_+Z>1_0r4I-d53vuj^(IG>#0ivFRoo>)lW(fxkKGwzf= z7pRBKI;z{k=bdWS)nIpU=>SH}Gya)=p`Mz2#+ii?G0^Nudx3E1n5_}p7JWVyL7rK? z7O2uN#7$0P+nu*lYBGfn#IJZ3t^VS5u6O3n4ETPj(BkA9op!XrxMR10=8{<-91O~8 zyFMbQ6K45CGcP#}!?4|uQEG6N3MVfhS^J6VYheFt#_C^6sp6Whc(?7t|Jir7L-$Q) zruYDl;z1iDnbP(HIQO>yY7+8O$H6EKHQrKc5Sr)b3{aup+PDzND+)*6HTluM7$}xN z1d|2eN=HXWvgC>;!2?{{kBH58bI~BL{8`_boBQ_KRsTt;#xgR`KY^udv6Ezs&EC2| z&WfGUn(4-LD`8j;RgBG0IM5}OFAhkzi1_GMm8twswUXmw!x6^0!^~mrNQ#TSE)5lw zfC2`}g!5m;Qe4d>`}*t~z1feyIgpYPrhmXx#Ts4$S<|C?ax+T+ww=|=L7k(`$zWR6 z_U-=3?_sSDZ8v_ezu5hwSii=ZAzuZh2qtw0yWFree2!$g3D~^|YKOckQaM}qG0`CP z=KqQl8K$%RA0W}@895d{g%bjVLTxFoNWT?@LWkeRFG3d$a1$Vmdq8vjIi_VTh<>j* z+?GR^EOZKJm;rO$vW9qA;EKf1$jD}Agkr(%eDHO@yX~Lc(~e+Q>-N4$Zf~mEoNd#_ z>ou5z`z$NnNzOtuMV_qeH=I^X&NRC3;Yl%AJeh86`Vn9JBs?EzrzO`J6v%?@sT}?U zY{EGj(?vHk7aerCh%E?g$ zHapk7vV~17s-c!{hV^{~>}JTi5(xasU()i@vn0a-hy`H`2D0J-&sp&sZoqj1KvqJD zJ}@LyqJ<~NN91=1nob9H4JWIg_vwqgJt&Ut>%D&%Y=OmnTn!0OW|2nsJ3l&&j72>s z>!=Mj$bz|BDFMhR^;g zK+XP_2-p8p+gpa!v2|Ia1OfzicPF?8cL@+eaCZyt!QCxLaF;-U;10pv-Q9u&+qlcE z&3Vu1x4-V&{oNn8e(`KJRMo0gYs@j`m~&M`{_zcMP#x7i>)AUWZpr|vy_h(^Q&9ps z(`U%`H?*%?nikZL{SZ5&As`>^jnYS&DQc5G_1ke9M_wSD$OXZ}m>~1`-PAyo$LP=w z&kDRGXG=dRS@U394kcR4%KF)}gEN&Qb+&7*rfLQqB|81!Fc(e}k6zYh0M@? z?*JCW0iC&o&NpYD_M5MM78Na-rt$x0hnH$I=znu~iA-_4@t5aipUK3RWC7}Ue5PK! zfir-CM}01eHEWJMMg#Fbr&}Kl=a|6!;jR*frIC^K2)loHWaL%*rq1EF)FE(I71|V) zf}BqHzt5`fYRS+2>bJG|#raWlo-(TDP4cc$=J}BJc;nkka(_l&R-6b9oZew1t3?r8 zTigFOS4#CEIp?nmyDGPuc#Qqx9QL?)h!|3BAK0wA90bI0+xt#L*GIG z2HpNqv%S->_Gv_B`68B=(8|o)kpxXe-^PXs1h8m7L~!l=PbjPneM~0D9NL!>=M8^^ zH8}&xpjO|qe=?Vx?9b%NVc?1^Rh|)nFYjP~SE8cY<=TDEsEshR;ga~Lbf?a@Uw3vy zKa3%fSx@(*bM27r9Uut-em$u1zJzq%FF)UIeFz6aJ27B%Izm(^{-s#4E0nv5aJ`N+ zH<4R7{wMK>HD-aQgmIqG7+rbJK^qRJ%a<~urvA9K{1mI7%FB~ zM0uUV5I?4%@Em7r-Tz7~uXot>2bqmqy=BexaQxA%(q-rI9d)Z0NG=MZ@?jhTCu+z2 z@Er($mZjCYM8tKx5zkU%2MvI_YRT$yypCGy^){f^ZIHYC?DbA~w|QI9dGeGHj=MT1 z-HT5hkaCLd>&xB7WqAS+zmix>3~B_B(FoLQU)8VTCMSECS=>C^f{p_SctCs|K52^0 z1y%#8M16y7s^lqC*^-#Gf*%#P=-0z17BVM*789g8ujy6fxZln};Lm0Js+Z#jHBg6d z+OZcK9odMf9iXu!4C8mBFVjJ{eD%IM&3-$0LtWg`sTv>*Ze5xvOT1^g0VB?PSYV8i8J9`c~ve? z;pT;Rybnt*!)nW|oYL9a3P+>&RpFc6>Gd|UX(erv)35kSWj!TDR_TlsBW4cr)OR%% zW6I&asC<)`+2%3lIFjDt*_>eWqqBD>jId z>XktmG`)%_`DgbyMNL&aJY#uhy)k+_KAPFNVgth{m%z|MpZMlvUJ9{N4!KlIq0eET z;Jq|G&j&)|jP4Ro^)Lr5B-E2|BBy2Ly-I{^Z~ZZ$-Sjdr4-)*FsgMzv#7f`za7}-f z!~b|clMRIn(cqQsVcA*5u-xp1ad2>;uB+QSJ&h?TNsgh16vG!S+7%{0@O|>Q+pNrh zs_Z-M>PX4&dnH-&Tw=vDY=+FTP_t-D!YJ4BHx$0F$S2V$q|tx;_`$-NEG}(_I4cy##L>bvs%!)tjYq;^DhK-PN>DWp#QGTG+!anoO zfJq!CpJ8he5n26dK#tb6k5^FJGkjTC0)MuRn3op_$wtdQ;t!m{ObvjN+Tu%4!&X!) z=sQNhd|$Q%P>6IWOdTt=o}i}Nad*dCrqdJ*OXA7Wjm{Z`)VA(1L+}ySt~Vh%$M|=S z6C1<#52^~4%J_&M=e$lPV6D1i7Z&+7X zCtsv|vg!dcaB{*#;Xe_cm%wMB3X*j|j`$J2g2>KXjHUi_WkM*eDn@O;+TcD|tEef1Mj`puqI8uTZhsn^igny~}(a|T*?4m|c`KGemg~du3TGw5^ z)-**9yR}#LWMn=z)?xcGWpCE%#N~nN*Py#~k|&Pl0z`y?IPgtNlF{x5rv#^vZJ#D#+l+2}c>!$lxb_B340qqKuICL^~ zMuojK_Sojemmm*~rGz87?SBtJIt?K?poL`Ab#F@Jt66lTCbey_9nc3|tMn_7=4?c- z_y#+0u0CHvnqY9&IP0sWJ+2ZMk)u}Jb~C(|t^ji7#lA`+=!pxiLo2H%m=0^p>YHFb zf}sHJ2?_#5-~yFl$e&W$00->W4lT4@hLB9>>wRp(@f-F=1w=pysF#*7i&Nb|KQ=1U zdB~KnUy&f@+v0SPN$@OsQg(wv&9d04mS|oj2EzH~izAzE$pF*c9?zvp?>R9jEHFT~ zoH_1|ckGowy&%jq2?c>RR~U>qqW6G2r3!keiey_F zsj@`DXQE#;oRL(gnAvD%Qax07e4#vvCa0&01^>!uXGb1F!7^o-vIY<6 zEAfc^ue{KmsI*C7+o8b<`*yewF@uIge!2+RpVxFd_DXXu}jDQ@Vyl z@qabA<&qX@?2WT@Ve{qcEX>cp@eG9_>KFg{NtO@v;J|Q5E{_1~qm0n>MjR85+QAXJ zd#d^>OLBl%Rge}*O`0r#$HS&{k?vOqFI4I7Czh*(43SH6(LAXbKfQRdwClfbsa!C zUaz=#JWGaY^~!~sJ3RI#0#9Q3z&3REt@iN(k)+K9WD#~4?LZbHs_Ojg&cya9(aT1XX z-{^TQj;NohEJ%wb_7GSptKX`>-GHtSl1Hpd(AYc0m60S4hlH@-tCkZ;EQpO_o}QDy zLZvByLA};Q3ahguR84V?Vg&qe(~dH&k+NhOZS1D8D{f4~rGc87{XRYiVHc z8m6ghy`t{(Th@M6Xc5Xokwk?ctP)|L_jcbmLlv8uGM4d6RWp%ty`Jp*5p1}aHVymevN!gn?}%h??r+}I9_iQ!Kj(WwaQ+ZYEZ&V~l3@jW|lcqLX-D05>5EYNuO zyBLNndI%I3__BI9l(%?ITT0mPqi(~O>Z#%4@$%%O`z_Giwf_TMjr+dHiL_Ert4NE! zO@M8(oY18l{Y)V!9)PIt8+s8|$$;>U^2>u~!R))%XrFtZtPl=E17pkAeI${cMLpt7 z06wH3(+qJ`#e9SIIEK!D(k90dlQ{a!6Beqebe3p~$y#g3$zUL~%cn9xN0<2g+r*fv zn{q^Mq^K|kD$%^nhg<;+uAp)q__M?y&vj?a-;9-s1M>7(8)KvUbQ8KY6kjB za69fq;`D>h1pI`!>bixb-QdCB^wOXxxDVHNb4iPQh^1bP;HT1R<;ux&nt5d=C0 z7y^oO{fe{J(2?hTNV(zpXM&r7-e|i6&=Wz~(;WRxzTOjV>%Cgi>GX5}jf_EQCI<|+N;hy&^eF8j$+}D_7=8UaeL%33r*Gbe`)6A8UKYakk0Fx)0 z|4eFLVlS-4f$*y+iXp`M)spQsG~3E+mNG;4SITy(9z$o*1ks{uq-Y#G-NTM%x!J5-;$h{APr~m7AhpUl4)5S>O%`7g(-w( z^Et)w@msqqUE8PgwSUz6!%v(Nu@$uTg#R?q^6W(-^GA&quYYOkwsUwyxY$%)6~e=6 z3#%dSDm4P3(2&iQ0zmwE$jMq6;p|*})hscFM2POWuMFsx)rEzB1pplHJXm}ibd6v& zas@VlYCJe#k&kuS>O}%BWfy!Y2YqM&5>`N^tleg;EzG>r$jyD~W?*fGa7t|1?nbt99TnlN*k1(*1*iy_^(tOyWtD6uLzaQt) zbBX_+8Jrwk44TvRU_2y0OimW9Q;YhpkmsLVal1=s#{P~UBJZr?tb<}`$O5{xjOli# zJj}}wa#uk9>}nm7ad2hR1pLq!{Qzfowe6Ci>q)k4?|oMY$`(*ZyKw0ozCPxC0z8{Yd)VJVwiH9%%iCuz!CDfHA(7VK8G10DBO=k6a7eC*N{Z)7^AKL$BUa zGKhOI%@)tSR~;B9IdCMgYp)gEBk!7E|7^Xf%#Ix?#?MeN?Lyk<5r_NN~#0h?n?u(ATeD z+e6I8{bc*R3}h$R3opq-O#{t4X9g-ot`cZdePGY)Q|USbb0NtH_ws3tIZhI@{S8OF}@`_UODa zAO?$7q7spk%6rvMq5pTd z?>yMAs-mtbOIFu15W-z#P$~N-hRli!abWECW~btRdT)~rFDL9#XQftlOq!1MX?D3;;j|izqVK(u zO*Bef#ppcFo4Wy&w~2vl_zyb0q5Fwxbb9V%*otlxVq(BDCFy-VS?&rU6^yub8q!?4r@EfZBJE?7$K^S5! z`SQG#rdrrlk_apdgq9({)+}~i2_p!U_3+3mBlN@zRD<|L*84a?xjZfl?K?yaxz57r zx6(-xD(UsieLg<20@8TN7i%X_`U3A;QCDR zAmDxSJY#pz_Q3UU0?}P zvixnRtL!)urZit&1sI0)#7B#!QB%!G1>s_wX2w0);p`>SwOmA20?=FvuX4}86 zx3=0x3rfMg%5#a_f5*P>WD@;!n6PEmP1w|Lcn#$ z`{n-`FVO;$iBs?P)0TE^Q|{_CXEPp0qV245_bDF4QXzb6s>cYIhM z3@bp3S793nic!3+rQ`@~X(j?Oc6 zJ0#9TCh{%tJvnT(Jrx)uBjbf_mPBEyN_DxaI`1@aAGx{l9c;X;6n*^nsV96xUk$Ig ztQ`sXL;er+7uE281j%I7Lg4p~7=?`oT5hxf0^<1O|1T^U(KrquJ6MHPCp9Ixilf;v zPLNoRXb3ZiqYVh)wHrGA5GOWG%THIC%*Ma4Xqv&vEO}vd`MtQ#{NF^_X_>~<)3Z5i zdoMJN=msGx6SB}*Bj-KcLXrW!6lgpNuWBLzxVxReqIKxyaqCu<&IBZG)ScXuQ+n?f8oeG5i_a^r55TR8;i_%vqxTE6p^9#|5vW8 zr&kQ1sIqW|<3B7}!+DZCWT`KI#c2Mny@tIIJ0%A{<8VFN0+6eKPQLx|M@=h|s-h07 zzWAO8e8PkntirvN6^jVktAzX-}kQzK{fz6Ytyi|F~Q zo0-&i>st6X!(SOf9)7g+5j{07h;bAGJW(A`(o{n|Y*~NsL)fnRdvVn@bL$1XHrJ{u zKX2vy2x(Ir5PA?$1+rSfw3Q)r2(aLnM9ZG&HPMli>-%%u`!vnv~couhfG4?z>z*m zNI3Uq(BxIqr;L(M;$j~LB8u4Are(JFK3zE`-sF|!PR4jEWlp1F*rMMinm5xb$KcE! zH4Gsgv5Q<*J~eM6C_a1{)Z4}U?bTM!HXVNABIacZ2o)CNz=g$<_Wrvpjm#O7B3tmv zx^=^UxqA~b`yhqd@<`%19T|zngbFlt&548K>xA~1?U)~!FrUQperH6qM;&`(pdr!N zi&wQ!0uF*uDb#-iYJ6`}_OeacAHI#*5A)Vj*&KN?x5367F$w7X+f}OvaAeVZWF`jf z!m8mS#k^hL+>0zq>kjIc-~6#&y;?kUS*pv}UVaSsvP?(QGSymDsS0Sis6nJkKyz5* z(*bv%?WczsxLR*1XNRa%;c;sJ=?m}~<)45@VIQ$TA`vri2` z`--yJwyh|>yVhtqAWR6r{)^24bAhCF1duu5mR`H-7`QsbU-NE4(T zT44Z;TErlB`yE>mF^=&f=hB5PEG~-XD|UE*T0$l!6!=ftp~w=tTN?DlZ4{g3LE<4s zLoTIyOV*<1b5(}f$`C(8MbJOr`cL`KID3)rPybgGkLrKTs->l+OSiDMXJ%GpObqDj zY;dKiA`9>h@GiPp10U!3en$LJXKzV2yc4H z(`^WsO&!^7XdJ7aCSlSHWDZ&}xwx=KZCEX;1t|z}qrMV0&>WJZN=(k~ywKsgced-9 z9>Hz8D-D${Q51U~#9_e9EinV6@#e4vRi%%Ub%&MP)!*jPV?`GBot=pArv7l(mD_9M~K(C}z*ymquyL!0>J&Cycu=P|swR5^%VCG!^ z2=say=h}MQ=n6R<>W^MPyJ@=gie^h@RP{J&RBJg^sid0&0USgpO z;IHSnR|LEphxP-Z2hGkluiew_{8nOWBr34%UY>7dw?c`J%Ia%R6X8VD zYlUbP+yUHPi@s^hi(hffbHfBdsMSR1=|Ti4tRM)kF0txno(B75p{MQFSFY*tACRZ;`m-Mzjc)nLFkEqs4X0kSOB}zZ&LoI6C}6U7>B4H zddK*$HY}Gt1%hd4Pi!aKeF!(5Ls;pA7@5RMr-MUy4+~q)+5QI+H$y{sW_k{qVbLR- zjo+c0@y8d>h;+K3n0HT$o`@ikn#M*qdCXiFEmX2X#vQ*;5|qa`a{iHgO~QAKyWFFEMEZpzfRiVW%zXAQtT?nwOGC$CMNiL87IxE ztuW4^x0PkO=)P6C+wncZ%~1ite%_SmjX$oH?FWO%EuN8-MK>$_ECITb2)fMsK!S$8 zljUt+z~$JXi=f{5;&OC9R$`7n4HA-`1<8;AqngB-j_~V43rF-W)2GdtCAA&fxxlD- z>eV}~bwBk-@^ijlF#JM8z*(XBN)OeZDZ`kbO=yOeM80Uf|DxR8L2dIFk0)q9ycF&w z`ck^~G7Cv)xi38leXf|7V{_8mNNjP>uH2EcJeqx(8;CBV7l6+ovom8BeX!A7$imzo;{kK|=SludG5o&XI@?YfQkLh@DUr99;$0*EM*z}K#S)W1 zDK$#5^pRRTKADFya4RP7wZoETeHu}5n6{|)+_NCA23*(?0R{QuPAfCkDIuWRuU+SZ z)8lhtcNq9ji*p-mtw!D>M_T9HCk1QRcdgobU7T-9--+u~ObvE_#^m=Yj)ZL`ES?+a z<(-T7-+h)WEOwD`y{sl=jJ~3_7bG|(!#9S25~mGqbibt2^?CeaWo;d#3<;(27A}4z zjMOqYd8qU0Pc8Fd2zeoERg58m)Z9LXre-Y%wxQvz77;x}cTUs6oKp#9fIlhuB1rJk zA4rsM{jO)^2OSg`3SDpE#NR0y(dn8?wcSp^a#D5=uzC$~Xk$hdx#pkp(cKZ07(!bmjP*cnMv*VF4eJ7WXq zz1i5-Zw9ryWVGK;+?OWgsGbEdc9r4vQzP`pGZq^D2m+{vZczL%xyg2plVeF$I6EGa zV+6Hw!XEAOT#IW!%lhV$~K{Eu`MSOe8zLh2Q6A_K`d1R*<)Eyo#4;z9!OQyCW49InRERcMc z57nWPd4{nzrRL`0!Nl`^2C`ivOIC^E&(1$@(V;pMQ!@Q62HkOtkU$$Uo53q zh+n#!gd>wOGv0{_HTTsGFc}zKE6%{7EBmghFu|56uh>r+m&`SNWb$q&M}n-4wqvtM=2`;?-FVG+?NC)TF4>WP7c}E zKc+ZOwJJagEnLo6pNXm6I@-RTiy7IGpC;0%?dVH;Kd+Zvw;-!Ln9!IJejElXgVS2U zzj#!Eu!1}{Gz^^AS8aHO2mz2l3`f!TkCgJxS`@~z1zVzh-v5&0^aSDJwQA#OtH*Q5 zb{K@JdBa>EC4O7-s(w3e{p{-`sol8o1ukFNP6+!iz0brk7~oZ(HHqoLMJx(6e@81- zptneB<+?qwunJR=%jM zv#ojFYVk1viqnKHR*E3&zh&&HUQ;`Sxkaii&tiGBCIJZy2r==Skv<;^@DoFmkTC{I zw(mK7UqRqm*h9iei%z;xm(9r=c;kStYvLW?)wtr+z@|gXvb0fRA;>}C$3wmk$bBz=^zUB*d*M^rt76c3l8i+Tw3W!aJnQvt!hYz~A5F7Z(I%cSQ~nd1Qv}YDPbpuguYt_~ za0Z_1vRtnm7EF<^u|b%CA7qC(1iCn}S5%h4r`QPEm)ptZF*PcI(uY4Rmw^}-8@t{| zdSsapo-95@KP$p(R|7%y=XB3UQjA7%bb9v@-FkjF#@4k>xyr3=7RQV9S81xeZ3p#)v@h zE&D7=H{-pN8Nv9w2c!7g`yLkun-1-6QO*GNbxqa392Im zi&>sqYm9YM&Cs3FrGq8`N!ISGPP6R$<)4wb8A(O%57!A7=}Sj@GJclkrb@$cB^gJ4 zf~2=>&Jh=XElvqE508zFje)|0zIEtDR`wJcgg;Y7awh~pLLCdMDlTf9^IQwNge}JE zhLxG}gOtWGFSWzD@PwAG8QwzTs>*BZZc0=r4Rd6STK79Ocd}U%cP&JWQ#w`4(kM>f zzw`&E5Eqm%T1*+bfS+^tM&w*-!aI@h&s_B@jm4+h#yQR3gVSxsGypdh5KUn%Mq((} z@Y?bojPR53#Dn!t-B(R%OUH`?@?*vi<=^2McUC28 zw1QP7v5aY5M36>Fa!*N~ex66wJ~c$F)bDGz}m%Ar~{6AT?3rr;&4$ zRd-8-?e~u5w$1alj?WoK(~jLGXyla?`)}&lqonIE8$a)kcf|J5bTL7qDdb7~ckD7J zUJPJXC19B-$z?x~G$%F=oi!lWC5dI#cw+tIL7|2ytisS@rWRnhFi)g5eQ{ef8zih7 zVewwQKk3T|^`GYw`iT(Hp8y|PP%v?;R^QWTS-{Klxwd-g+_ZdX>Mg)Hzq}f0LJw!$ z@&}ABn``uzqB50XwOIO9r<8KyHJ<>Z89;kGfoNfNZjP0gHyk{1BM~cH0jPe~m#i8Gzl)>HR-cmJ=9iyluK=xTSupx%jYun;BM zVp5o9M3uX-kxpOZ4=kALmp^vPoOj6~?6kPX?T8WPKdti=6O@jot?b*71EP2c$=tS*~T+p!}cBJ zm6O^guom?Mj+P5IPA2;>*?Gqpj-Ig>$8{1(02!L7guEsY9x3AwJC0VSoOpQRkFu)t zt1*MR3x@bV4a22|z?W*W*6&*`*6@5eyUSGBrrq8M5WDG(e3RQTBc%I3C+RbHcA6Pn zO^fojgHzBNrQBWQ2)mn#gO-o6Gw_2c{u!eO=^<(tspGtqVvO)6HrE7V;x%JZ|IUFe zMapzr!qd2HgE890V`GCjxcoGuy=x*%0*zle%Ru|Q34?68KW=K$d`|hC`Db7I?J=mV z=@?#nCym($q3!m@_VA}R5QBJ(92wxWUyh5RbW|}&eZzEC5Y;D7O73gmQj3UF&7O0| zwxV@IP;Gq=M8&`Xukbg*9M<^3QBuN5@1`^`m-@aygZ5wWBGIGR#RUBVgug4#;lMwj zA^pA6DTgvR>IaSq`M)~4Af31GIvGA$Wl1^n8c_)r2eoP`YFwO%M`II;t#^lO36t)3lf0 z%M}|{m^9BZsGE@T)O6qj2&(nCqp{}m8JKs9kU7hGmW{Sh3l)R4Sx%R?DEsWJF)Pm1 zuELQFdE&?crOpB62eEGq8HPFme6MV6joRMcwu8iTM54DRst&&oM6CT5GHH=f_~!~Y zp1%Z`IL1SDCQnCVqh&+r}x7ogi3v3=k8~zPTsE9>Cg= z#?V#8@H=F`FFrI_1emeX-&i;~gMjep1QO4UFir*Oe97ApKtS9YI(eyZ8W*3%L?O;0 z>qh%T`#JL)w3Fx7u%!>ANtThhkN4-^zTHhIAER?-?15A*b2jG4nkEo+DV^CMxV)Hf zwVFcq26e3RnLk;Ch6%|_Vk=s``ja~TP49yd+3c^N{5nkuPx9FUbS`75emXi;=%334 zE472WenWty~olV zMq)Tj%t_#Myk7~Ci|(L7J~d4#UyC&9`1vQ)r2>mQoO((C#8HQT-Sj1yuvGJyfCDja z^2TLqtZHF*f)k^$QM3ixWC;`}4EO}GN}vx1`(>oCeSczC4=tQEq}TLo*GbqVmW^G7#WM9`#%j#xK zc+yP1-_*R-YZt^FqJPhmxAEl^ zzj~XsmbajW-Y4$-WA%0IpZn>N>$PVs%py`#OG|_V8E8<;HL>LT_owYpD1nN|8m05* zuK*+&DSFax@4G!Qm0#A-2U0!N4;>Qu5>4iPeflrvI4ggu#k65U)0zSI@VM<&Z9MS< zmPU|CQ{sIT$0LKwv)i=R`e$FmgSDhzxYU~}C9`#HxxX3X*@d;s;kK>v!S=*QLD4jO z$c-bAo`eb%8>M(g^X|yioLwM>z48hahm3ky-w{D5M5H3%&8VpWWeR;Zl1Z~7vAQfAy`^6_N)kjCF!REH0F4H2o@Q7DV#BV2Lm)}*^<)k*yBB9 z{m=LN<~sH_Y@*JMr8Yx<#w7n#c@jc>qV(DUHu@M9Oq!iQ>RQV6ZHS>{ zxxSzuDt~b$YL1mx+t@Ay*#No*Cs#iV@8^x9e<#(Osw7{9`w(H^v~_?kQ{>BRJ7iRG zd)GAlF}pVcDVJ>ax16iB%3j|OQqXEgDw<>hzTE)}=i)$*Puv*#-okFqZvxE|D4Sfj zNH?~W?iK^Sq*9y$V-*$W86*wBW z)bYyogtDaKYgWPd!P%xl7ds zJEx-*B?8fkwXKIXW5krJ6^z3X-5l_EO@U~|FDQivle&+r$!ClaqE)$yc&~7Ir6mM9 z$GrQ+>lSk+SV81TOq5j3{&8q7fOq9?K5fsrt#tHz%jEIn>h>+~N&2LS+pjyh;|nhf zM=S7hyq*(6+*$s7R~~}h9tfnZCpO7}A`3W;((LrN^H6Twj1~0mrQY8~R9SV=C*M#H zU40D~sX@jVw;pOK$t~>q@Oa|IG$J@e>_c3oS#uCPMMzPMWh-T}R+uot```lH1Shc; z+}6*?BRq7Z1E(~`iJyB@=GmGOy^WA{&ysP*`twP1_#0&1;A#*0j2b0ec~mtY2kBaRx^22?{Trml-F zZDWmriWM6Sa3eOLVLjg>(NPRQE^!CBnREkDCxn~-x0px3!1xGrnn?G}=!QJiHAqg3Mmq>{q_mi zJJut-v2L@LKe+hPcH(FQ3-Bc6N(XDE3yXe>amq9}U;(%)X))ICE~eMM&TSH4dYwAX zf%L1)<>4Wdytq)^Vm_ZVm#7kUKdkKKoN_wmr&`^BKPwj-*eL6k3mh{M0~Wg6cgyWT zKr#SLfdfpR(ll!*U%mJ^%@S1EHj(giAz(#2gdb$Eq>I(pHMsX`>ZTz%`(cI65soaM9svq)3Lt7? z(NgwcGW#s5dU?n#CdG=0%KibW5|V(|>veu8&$hO9A$iAOfUER|x&PGMGay3>!$Mi7z-zRi4RbzXUFRfQL~)fL{D3yprjZuz$D8gFsO@uF}UBP<=WW~@ai=O)Nn^q35 ztYyLogQ>7B#*iV92@8dsNe~;B5a0MFau9NRBgaMqw+tLG?dD-&V&xhY3<#8=J`;?^XIN_j8_9(e+5CX!Q$O=B(@Cu7dvAX=NDY)mR=RC7sAk#uM^`E} z)VRSF^g7qgV9-$8-Mt0sLcqs})hC)bmGlAS%8CY;#gBJ3hnS|Hw0nEhJW$dw->A&n4Tc zwtPo!rXP)rwTsW_H9ySlpXT-tem$C}&!z0YoF1x1Ae7ZHnZ7$V6M1mpr>NFPH}lWq zrkc*V%#`^|P=TgPT)>;CK4Gcrt|_4sTW>MGCAYX(=Tv)i0I-)o2*$toGJlk1bv*=q zcRifQCafCbe%z@^at@yQR13Nga)xKl6bMK7^rl0mk7?_I|73HA`kfxHAHpZXc0URG zXXcwaw~a6fSzBInd*%$(tH#$y?fl0sN;~h$*DE8Q+6T3+C*K~jctnApSD~dGs!|ZP zBV-iVWo`N>16V+@?%Tj7mRSOvdtlGa{lZD(dr7b%+K?+l$A4DgrS!&4LDr>j%LT6> zn!6P9J=10-{m5MhVb4NA^ujZd2WB+Uk=21wfo}K0cbpUPISLryS2!8joAVrUH&@I? zki{%Pr2E|;c%vG92tDvWN9(q4qWk7cXro4-wxgxDB9_3@-_KIL%=wt5BcILjG#Dn2 zkAxVNx>mciqwkQk-82)XVf@fFqFNnt735j7Vpr|PK-|DBzkp6#k%1h zXk|VP328E=85U4&Hg13I(7nTK3R)67SbuJpZKMTiM3}VATys`a9Uf;Q8k!??wAD-M zFSLcOG3E3G{r(tLXxTGm^&*Ihjeo0)yLj>G=dR*gp;7<^WG9Mqrm8d(8Zb%zo*(&Y}4Uk<5ZAy{nz=EUoIQ-7~-woU8$2cJJ78l?aLca;?TcqZ18N7U{I)wy-Ub5q`y zm>!hgd)>Kto4I4CasNy=WjvL%2mh8sDUM8FS_B&#k~aKtF1!Hfneo zCU-2{ls0SEUVrq_N{)HcwU6M<2SWD|e-n)g3m`QicMwsMYY4bJ43bbnSD~G4ha5#q z*wc8UE={YEoYi;!UL)@m3*Tu1SE5SuTFirKFX)XA6X1c2mz2}lpX*VmA^P=f_85IY zts0%#Gqp;<8vFw^H2-!uvmr8~+}UBH^7T;|0{7`8wUfP3pOZHH?!N73W5DaC!oa{5 z5=ow&2C_6hjCFhkL@eUd_ubk;AzOxn;UEx|8En+n@+1!-&J)7v^oxis{F*JK119rV<^~xJQEJS(yHIdd*>N!a!sE|oLR&^|v0 z>M+Tl5Byy0R<5VqD9W?=jv3c5fO4z12z*V$fX+jjG~{mn87`+fGUdaYx8}#ZD-Qcp zjlFl(z@i3TD70NgWl^lIh&g8q92k855s$t^yoj&0t@uCRTp zM;`Oi3Xr2HOLc2jE$ep+r}tAA_lv(>Q!|a0zc@c->^BS(WguvJJvZ@`*ZnNeIV}FO zyO8ESy99y({K8y1PKRxgQ|IjwX=(GGe*zzkYPgT`)i*8>I-}(ahIIQHaniS&O&*0z zaWd7rFMuVP`Oo<0PwQtrYApz*`8^2StRCU)qfX!2pv>)G#kv}0u79OoYXf!{-U?Cwes z9c{v!b<(YG@;mo^u4AmD6+Vp}=I1PIO|}a7bNBk8sEv4Ucso$PfW5(z?x$wThX$gw z-moE@)1T)?KtJu*Kbmf~G48vqMq9%uHlwdtiGWq4!ND2plhuKp2N-{F;$HB_YY$<4 zxyVal`J}SI{%Q}Jfr-;ir>3w&t{!Lb9kmf8fJ5)UOL6`bjo>Mz1sAUXfYqHd#=8;5 z|J7;PP4k7yUl~)fS3dEF_eH(aurf!(=^h(wyl>JhPky?a-fUET_@a0diGQ^JXuF58 zwL==V=66uOxoNV?H-0tG=ZWG{GIHgiBc$c6C&4(%3iT{kJAQnRlHKHJ7vaA6r`2C@ zOn0W*y9HR)YHTCZ8&1SsGZ-^Cz80M1y6l!+*3Pi zz4^B9Q4c`HAM_9%Bs+SZ2-1)zfRR@4IL{(3bFjV7Pb7N-&`Yecd|p*$ZSH8?_fC&| zs6|HC2YKGdzaQbATH${Q_FWVW^9kZOT|I)nB45wMxz9$wyb3fFPb6h`C|8$i%$p8v z*H5!)4Gha@hsIe((Sk7Ap0Aj-#UG>AfcxVA@1-Zw{Z)%(`wo5`avs$@slk|3s{fnyOQl*d<@!8E+!D#P zw*QM|iO}P&qT5Nysbu!MtzyR8tJ{UP&$W>Kmba^wBCvAy?o$h zh|FzHF88Zv{%y1CbMyGX1)M8ri0Ny_ufUNc>c9&GzG$!ej3J|OF1=21BfJ)G_!q2K zDbMOA|J`dHnbAqb*SK;_&*BV!W+-rElx>W)MOCllgScpncbnl7Zf=MeE$FPg$FO`u zOw_*Vl+<`dyFG>G@t zl##6`3LouI6wH+H(#y(scE4!H&p5`~^ep$~Jf%($r{@02rQA(mWu(~a zA4sj*AsECvN+jgZ^_k1VxdZEx7o*O=)2EZ!5p-aV+v!6R7D_v4gVF?{)8vhOjw7e< z%#Ppq1P(I9In>3lx*5!2Pr9K!rhkyNc1XQ^+{B_RGR*3Qk>)<1pZ#cwSip2-*h-Y^ zr!PQ7p4(C$F~Ib~TSGhhNP}Z!^5q$@VSkO8X577ex`_AFiETei1eOg^9~`!r739^{ z*5(ixra13VWXV86*mxHZI!J30B)-Hc3fwkrfb`JC1clTOJKCo3JRI^rL_mC3ATeMh zG=b_za7?yXxy1GET_^Z&fwB)~e%KDX1wJ(Zb%!wdFa~svhej3Q|VLZhCe24F5)I|+d=nUfN^gU1K e3)fVjdGfjCf(5qe-PDEv4rvKF@iI|;|NjRgBE|6l literal 0 HcmV?d00001 diff --git a/bsp/ra6m4-cpk/docs/picture/irq1.png b/bsp/ra6m4-cpk/docs/picture/irq1.png new file mode 100644 index 0000000000000000000000000000000000000000..9a2032978f9c1e92be03373077365f6c29b5cbf9 GIT binary patch literal 15952 zcmcJ$cRXC}+BPf%LG<1eMDIio!RSQqMDH0v^xlmg(R(k69;3u4L6GP}l<1x4y)*BU z``*vq``P>V{l52)cm875tZQBCTGx4=$9Wvbid1_ghmA>riG+lNtsoE5Kte(WAt5~g zJwgM%>3Q_D7YXSJk^)Fl%QI_t?k!}+I*043x`gemHHOidwrX=Y`@7ZPN{6Ns_Vnp` z{qT1wPVb}HtH|S)Ub;t(#DGk~>lcX9U&2GjMYOXiehEKTm%8L$TcF+cDMl zjRc0WM*RMjsgKbDX|#%z{iS)kPi6ti7^64K}lZ9_s5uz)9`>}>gzmD z71wy?b+ya3r1exw2d;j0c0rGac33JRSmy&;HrJ}k$;DIM+$tAJpLhF7fhJ8IeqrbF z=X82sAeEvZa^tnKP^MsSm*?j$JjDD>x(kn+SZ0JgFOd3nx9xs^!Z`1m_e;AQQk)m` zX?i=~HO1+7BmOf%@C~ilw-6Rl9Q8h3AL6O(+-Ux^3jSN~{~6MN;xnvIOcKizg3$j)gvC4g0y@9=<;| zy(0d!z@dg013p%&nlcwkd`c#9UjEqU{5E8x^?FJ)AoN|<%0~SD(q0k$7BOLZ?442Q zC%ESJQ<^LsB(q%c`T=h8D6N&wD7Y|9D`MA)Jd!RqD9ti^1>Tj)``(rSzp|}TUO(U( z>D=V^A{3+<^z*|$rucB1r4UUqHPu4&1dRUk zo&>QY*!w+{c$y_DV3Ep@OFR~TiF-aGE(!SBHPwKP8;-nWDxByv6TGWppWDbqw;eZw zh!4Pd+uwLNDj1yXi^2s)Aw$}b2#E0^grJ-m$9*J;;YX-FN=gnf-i3SK&Oe2l1l@I9_&}QA=yO(~JkgkBuWqDY7zhfK`-iK(>(DU@)SxZZ8zn@7CyHIJjx z8$OgLOTQU5`S#}cl3vW{05S+ad*N>q+u*Q#*B&xs_N^^;#zUjZ)hP=76Xx@al??*6 zS@)f#8IK2#A~)GQdU2B*_uGQONMDgmZjN`hVb{Aa5Qv_I+@^M<**S@WNuRh}blBE! z3t!%YY3~||3Qyz9V+<17M)r$2e`oreQr{|tl6s2|r4!O+^gr6o06tznES))mBPEg9Z=i zylZdcWb@|~3V8V3<>7o!M^}{`E`1S|YQU+N@3uk?#lZBBx*yUE?0AxpeZ{ z`?ERp&#@#Bi??0$9;0_hvpsXV4bCEDp^;{43N!v|RSU^q(uSF)Umf~j&g#7Gn*U9o zWf7=KBN0Xy>tG&kBh<8iM zH|~}L-;;5IZ;X(T8i|R~b3Kidm(CV+4yNZ>XtI4QtmfOPTl?6l=W3(Z*jb(Z& z3JZ&QGj9S_2XZf0+CtsgkB;%%N=t3x^FxiTC8Ei9+8Hpw-&W2K#rI?y0zC0MHO1~i z>Ej@_wpfq$B6)jZVIe(wcH%pqyfFL4utUyTeHOkdsnRUE;p32}YB0#IWgBA# z-7{@Hs<8+RJmif*@-WA`APF6LcD~{(;}YX>C;b(RDsDL`PwddD1-QW8Ts!)qAj7ML zd7M#MI=V#%baUEo^S3qEPby*O0~hDBUv{5Kwm@{$( zJlLC$<_y>uA7~0^p9M4H2D7z>14(aUiP$U{ioT+EW7Gp|RJ3iZ#swHi{7{fbC`@>g z_^rR-BC#W_lc5)OS1Zr_Zo~KCjlCCq?hE^K?b8D6qu@13Wy*+as}n(}-vQnho8W{P zodL>db-=BIuJ6+p*3k2Hy{M&DkM(veUgO3kKX4$+TsH^=C21oO?(nH73zSYlo9&aJs6#lmk0Y-Zvi|qL-Al;5o z=6Yn;nXv6bJ^9b{BccnYYDQ-r;H$75-l5c+Yby$QMUmR0U1t=&yRAZ z9GflqeYE{ zQwX6&nfp@RdYH2_7*tRyLWc5bsTLPs_FfKF9C!<(ZCO7^zBN;yVy{(mYI2Hr${x!dFf z!{(3EJ~6N{L$<6}NvVmnT}q;-4y&0N*yqIRm&S=9%p<2<8O@-p@jLN1mgmvf^i+#;b!9t^y)c6P*`!qWUID8mnY~<-L8pBe`=)IaAG6#D7W~L% z^~JJlIku)zuE~Qf6OqGx>f)sQGlK)~6L#qRWj86lUza^}cBp_U%hs!-#uSkDDz5MJ ztKtLK?N);1+z{2%`aw(vYXdtrR`w|Zz_|5enprs$HG;h&Iw9O;)3J=wb?g>`yT&+} zB0Gx%eTeOn*B^uFsco}`onl0kYeQ8)P;TBcHq@O}NU9p~avsjh2QsB_9c)n7TMwQ|+gznD?fjERltFJ3*nH)9Z6gszt9ac zN4a0M?^xxsl3lw`O!~3WwNnFM35vwY$%tmThNEE2tSJa`9}kZz zcIG*LiCSsFc*lT2PiMgFMVl;z+X~k!q>_}w7M2L7e!aTF267=*p*CyOb8XYNcnT+O zs@iVe`+7TL|BE9vA|T)pcb@2+_O8>1!_(}b(Xrmhy*xnlfGKs(<;&I;LfLbdcD9`v z`0tWyHUM%Xn#QEpj@UQN9&dL;cRs!zD_>TejN08oZL;6+o*Y$QB5}R;)|CQLZvRMR z`jZz9*+3o#ez!ytcYECGR~N?Blv_U*Q#-I;pC_4oxg6gJDYvoULh#%j#5LZ5^CLL* zHu?i@BAQT;rn+b`z+LmSORxi0ZFI@WnQ_B9eJT_;&2FCS=|~8qWEvSMYkNdpy3##r zEaQAX#6zNo3%!efWo;6H**qEHZqZV-jT89pW>OwET~Hpj-bmPfg(orE=xkuP@N_2H z(vCo}#DiR1sUOH@V_;Oo@gWSIj5_()XU4Kc?+XgOzj4R-R?PhDm!})**sU;@W1g%q2Mv@NNV5tS$tLHGbYjUET zZ14mUU+juoIyiukD_;3xFJ5(NO~9w@c6{4n48;dw=4&wP!1v|YZ&L~}*I$j0yE!bn zH1Ven=-#?-kOmc8jL)wWiK7X0LS@-BK_FM-wu@z~mXlE>AWy?%r!vI*d1FkraFMv$ z?`YE=1E;_{D{ZCt9jC(NfO~`LZNsf(f!g87O=jxj-X{Zo2K^zu}QG7fyLzjkv1jYwvY4mj94+b^1st zM2k1y-KeSWpuQkMIu+OC86BO0$ZwOoH4|QsU$;O%Wb+Bn@1O(yVyA9ct$MPy{&|br zSe?zVldo^g0^)X|cWKG26V}>?C&HeerYK4LIU3 z^xTuUsLip<&3L~WC5i5b0&cy?h^uiEHLz3iGR8{xkjIw4@cIZkv@y*c?nmj z;g&ZL3n>v8@W;VLo3VoPUq$y@5uwcZHKWaD$mfCU zN(GJ1eGX!*j3TqWMna4edEDV@Ap`2 z=A`JWf6i~++Rg2+H~n3J2pcr)QQ&Mt=Kf*jbFspF2+VGm`>6pI>ShDhq}$T8$W^g+ zm4CS}Ea14p3q|kImcAY3iE-74=klAe$nk+0DIT`&9o%QXe%Ah#9Lb93!jG%4Vs%5?1 ze7BTjlT6e~OR3$MEE!g8*YtF}N}S>)CbaUIFstmJ?MzTsgbNVU?6KQ7Cz*m|AEpbVMFw#XC8FDlpf{~^eX$~3ee-(4F%_8iOKt>@YU zN=L}?%x8?)U*)x1F0Z>_jBi$LQVh}y-DpEflde_g^lyk5M$oPBkAaEuLNqs;#oY$xfq{UQO z_1KbzdjW$m9q7Sh&tGlY%+|`MWN#ukG>IAZKL$t`GH??^bsP{(*^B zKOUc}m0ypEej?SIUaMh}x&w;GfiaOqw1 zsi9!~^ZxO~iQVL`YpE~370Z@(?_D^w-jF)(Jc-!!Ru?6jU`v_KeA%Dn&<~l=J=#~b zYuYT6^w368jM5X(H`*b$S}92F9s2%|izV@ig7D8LIle5m51pN+FUsVN!gH04zrLFD zH$VjzR|>a2D_}jzA>SNm3oNxLwZAfZk14L88`smPc$N;D1kRtJL~4XbA)nAMy}|G^ z5;^!JV4iuyS9fPMaH$sMRS3?Cm>Tla3jTCn|Xc&?@rcA-dZ`N8^d}eW~ zXebXAzhNCxoMy0fh+ahY3YMb9Nl}CvObAu(Tg?%e)oGG<`{* z5qX~u9$`NF7!VL0qoOdX1y17qnYPeswA<+>sy6sRZSEDMAL+v2oSVsh|Cx?3N;M%UY+fO_OQeftONB;{mT{vt3(n zGIxCQ3nxYdHelPOPf?^HVPN&@dM1}5GhCr>*4e>Q_;6+<977_(`7u$i|4m}g6O1gS zlMP3D4lKd~w~{|tCID}FNyh(3f4t(DiR%%zpR0Sr&;d{{y#IcEuo%4XXRF}b*R7?J@aStK7U~SixX@<;!j*% zLqF#JE^#DxD+rnTC|VMebWXB*iLuV%U}=-6tspd zw;kVU4i{@(vzmQzXl{qtegUdrlB@JSRPc(EC~)GJr=>@X$OY9&pobHz2evgVS5>h6 zdhH7cC&nDZZ!Ubh`7Xqsi55B@w>=1Sd2@ESUB>WR66x8hS8XJIp~1zHef4U!Vc_PeM%=_P{0fC_Y)`eQ(B)Xx9(i|we6R`9wu_Rw_gl|f1l>;TmR*SFfOd>u zdR)%#YrWfvjTi1ck6pl$BM}fcEPnlXV`D=|TpTB{z-BmS2|y#j{}(4?s)sk1$3L*9 zKQ8M%S0~*@OkmiTnFiRnTG#qKtHLt1^j0Y20XoLzn?giRP1f?aw#WodTKd7J;)^v= zS)R`-K;t{g|Bn>CmsBKxrj1|Q4-Y1RayVwnHd|=uYSCSDJGQRu#<*hqBHjFGmET8i z!GwFlWwXs-47(NZaxnd=LgapHgJ}RxL#38;F6IV0U%vq;Y}HSzvxv_+vupTgCZl7u z1<}TAyffo%O6kry{5ILz&!YW2?QEEc9`ZQ^15VWFIM)eaiICRTR&JK7t@7$lOWU_^ zaZkOefg>5s?8OxYq&kr;D8b%F)LzKVs44`_x}BVXPfWeWp1Qvn&^86Oi`!249H9Vf zrBP>xqieQG-t)>rF0V_M`si2hsSGF-{ixjwgTfu8xi02t=U7*X!2C+!q&ZW6q|JPH z^)r~EYo7aNyri>f7SfhQNOJHxfUOHa1m9$4=*n!<2`N-~dv8ijXA zyqjt^jO?2B;9xW)@^K5|)`|37Qz0RT)0>4gv1@hy-NwfZ`{()%Pk&roT?U#JSSmv_ zJeR^q0U+8{RHpF}^dY~pGD+~}45rwEGL2ym5vD&IPRcZ2B!KCe4;2*}C){ zuyE8~CqHel=V^Gg|HLJEjV%`EsGh1wgVvkxX;Z0f;WRn5iDn=Tzt+55@e}A5phI5v z)CS`uoW8nLUd_It>L=~_#vhLL8gWa)5cA^aD{F;v%tuiQ$89#gnSS?REsPJ{?A%(v zBkNk7d$)0?k;lKiH?ygYf19ZQ1WbB*dKxpafUEt+<;?O?pr?R6RE3E3nq}w+`Iri? zghL}@7ZZJ6CRdWv{4(0UaAEfy#M)Cpd~K>u?^Y>4x~s#A_WQokN|1f`dFJTm%jZg6 z_R$*Q)8$VEpUJ4DXqsOh{z5-o1a-(!;N2PzG6h$VP#G?$c zhSt}I(cUbg-#^@SqhQhtd~!?5?0KhK#{{Pl{t=Ac$IP~_KR5MaqDK6l>B4{Fr(EK4 z9bwp4}Z3X&rs4!e5V?I!IaujC+_3 zd;k8@5|62zc4@KXr#lxv=HEXFhTejAwARSYAF4OVJ5E#neuFpDkkDUi?e{EYo!Zlp zy{VdfFE^}~ALAL}>vQ7sjo9TepfA#UT0lfa)}5f_rBoLeM3)gideFPiO-@(f@GTUg z@m?LgS3u*wacXh;4<+52b7Au^LpWE5*0;!#VI&4I}|#ACqw-kB0b4A(`~ z@FRX{18vjmZ)%P8JlW-8t7*YtjO|ANyI_-#m*D(#`C+3J7i7Nk zE*8MR_~Fm9s`L+6Ng*@D-zfYLccP>Vh$rGUr zF%aJG!Hmg)uke^MUiK>==3GqC>B2V47`MVv^!_DxBH8zb%~VRg!p|MhptKT9qeb#A zGltaejnG!}aaa#AHqXOvR|*PoHrzO`-&KWG5fTK@k;1{3=_MB8hF!UJirt_&)43fFt07$C3qa^ z8ju>}GJ+g>w?){}p4ozztlCd}fb_(uC2!P2gi75YDpu!gOgeWdhf9F(MS^cgLYzxN z&bI+?Cu%08HaZKs!CBe4UsgHE$#j-1IFLYyUHNanE&{+b)8^NoPM0tr$;z(fDhTq> z!nrd@Jy*jloxqTN5VqB~J+4R=4gG!rElVS}nZ8qRiMH(g4U}kzPSPd-kPI|mPo^IP zBT)J;L(Xxyj^5?$GTTl8z2MTzukK#Zhbo|70u)R*L48S_br`kXqYOz`uU+VLGhefh zu_OHfqH3qeD`TDJ)}0M#7SAot<8^=IHWof~ZN``jb+urabepphTrT<55NpKQxMK~3 zRK?=&t&h3xZ|&Z1!D_rr<2|}>`HnXv`7FW!R>peuD|3{wHVO1Yq?A?e54=i_d*+^> zo_u_rb8G2^d&^-iUh6Ot5)Ib97eHcuaXsyNf50U^H~F)|jMP|7e+&fDQxk1ne{kI7 z4}i*h6bR;kJt(*2CS!+TfIw_Z+jjxvq`D{)@!P$j@-;xM|0~cJE)Z5mM%XuERkW}H zU?%?=(a^K|AnH*RiLyXsKffsY~~j%n}0byT~Z z@j{a5H&F?})*xsPa1oJm?7F-a`E=febdrVabu#82@sM6xAIrxJf)zq486?W71SR}*XXK(@c>zE4UTy=Lfb1V=p*YzP2lS2C$JAs@FZnjDx68Pd%=JaD#DC(1 zIU_0D_sG;R_Ypsv!qL7%vj`CRve8-U+{1z}3n?f*=12&^hn9H7r=G@tk>9$5Ea@x@ zf@T?ig-V`Ol5}M+pL78`u-)%D&`w|p-2PPcI(?%(_`)Y!=wK?IK2~ zK5@du^H>RJpmTaGX@lX7T=fjm;!Q znqGXkMNpuu9+xK#K>_x$JkLaeZ5VJp6qovKlL^+@h%)1x*U5(=Izs`&Km+xFm=5(% z^YlguHjA|KL_OtunLwD38nVb7s~&BE8{?O%ZB7`z;9vuI)#ZzoUUKTzby5ICYH+vfbXdw^S2$IPE z-m^SUr}^W83iyv^`REEVlNfP$bl_0{ov_TV;_07$QF`e72?S-wiCLCcW~DH7l-`aR z<~5`w;r|%>xgY%_0en!NMj8mEbtmVYf+chYr9?F;bjJ|NKz%vsDpHXah7e(}>qa-=_h0_7^T>-h6GV9XxP zTZZDoGc{3#rV;6RNg75)&1z=0m$<S!H2!UB~NKnJoX%W3kuy*XAzXIG)h1%VzSVaA;x4|oqW z(ewtIJqV1kpA3J!xzw3s1=n0Af(LUyowof0tfq!d)T_CTrCeZf5UkSGoc#i>!joj{8PAs{S*8 zc_stzfe+w9+WAjb(swt&%q|VWd?1174RlW64f*@0|J$%M{ji<;pp=W`aGr}q>}_b6 zpvlhzlXb^Qs(saZBRT^G-MefN&wW*fmP_@~6Si+j@%*!wgedJf#J8_p^!@b*)-|yf``MqlAhxxP--=D{+<4l2!1e=?J>fqr zqL$5p+A6xhN80cMDx8J~rowvLria|A;ZAsAHydx#q3Z9jvb%p_wclR7e7?B&g8HJr z=3&)x7x~bc_|0n#?u>@l_h&@hs7hvnTh7Le7!Ld{T7ml=Ar@>Hpqam`*l9h_bzK~k zHhbcc;U@{2+#*H0yBQR_V}x+DgBKSbyo^dCygOs+DN^9E;$4Yl680<7CkJN; z5(cf;sJ}IR(zIIdJS34~HNVb0@4jAmySq}q|ENFP#{>`VYrZ_%=zeg`eQ0B$o2?Qr zoUm|LF6Sv)_A-*O`+cnt(hY2q4BeIrAhS;481u5I)toM03rw2t-tl+Y_tUaF9TNJ< z$(=WLA`R*%veFr}eQPROWRgrii|{``<*J!V>mrlV{T6CV?N_ukbbjS|-I}&nsN3&( zOY7iClp`tZxj&bboP+QWLYz@k2mD4)Fu7acxM@Uv?p07LfPz>XCgtWnX1xxESo5)P z-gbWVp#@@;_O3Oyv3)G$cp-sx-S>iz?FM0TclvbxPL3FHH=dBr*p1ioKrs>no`Ki9 zG3(_)I`^$#pZI1q*VUI|DBzK=#78mqmGOPj@Q_TC7o9U@`J2@n!xH@_nqjtE%NN}a*j;3*?%YPsBzX5)PSi;55O{d&jn1`Z8jsZv z65BPy8hCNk#D2ILd;E%RvTFd-r}oFT-gT!c*$${&$R7u5?*y*KEVVsRj8%n|vYUn3 zt_T5VKq(JoJ zzy=;t&H%a?Ke~ImddeTH1PB(!*1?EN2{fQ=t?zRJH`!w{QWn0c zdoAs6`@=sK4j?ryk})gFkPV&a+hhoK1~b~#lnkfUR0+@L+JDKk4!X8m>UT3GdS)B_ z)<|)({w-sL&9ukh=o4b>0faU*ud>s_t1v(l^c&cyUh|UjAHKC`3Na7RmBi|b$g?M+ zs!YYLQQvG3gWI92*iJF#P;8*>ScMIQ9Gt@9$avcZ4yz4DJ$Qs`8=wi3A^ZK%{aQc@ zu*+lz_ci!{Iga|%>;MKkblQ2T`OXBLIr4!#K)$)FtGq*5|K1Y)VkI703()F+rE7QP2fI{ovyIF8W8^z_yxdGr}S^w9Pe^WNYk<+^F}Pbe!)r zmoGzlalsDwGd{eZo2aF#zabYjYo%X1 zT}ro9Q#mRn#TH6fyRzVZ%(338dDcB8J}23x+odonz_dr%jy>VjZ2eTDM0GajXKfaLT+i}n?j)@?;X9I z!%M0{p&1Xq<+n<~T8dR{dKpcQ`+iFzvNJx6uD?MPA5MgeeyM5}L7u)#zk~_(1*xBW z$k)P18V}bmdm>INB8^47$nYDs^Hu1C;5^$aQ;8B_@_NX3&+L#ZoAN6EDWE(?@4*;( z+`mN6af)QSQh&liJb)0ZwnP zog&spt2KrID8RYPKJh}c)QbOOW05keS1Ic)T!@8V-s?tpzQ?|ka_y>|B7~nXzswk`t_E|Ii^#2 zj$en8(YtIdIJB?)2`W17+h2*zRRGe*P60Lvkmub@n6UxiX1HHSBy5=5@D{V{bBjhe zh20p=kr^t3wGYsrbfjO!Tw8J8`A1SYeG~YmLR$aav$r3^;uRofV8O@&LR|mQW1tn#z3&T{35WalrG!B5JR6$7cB^WQgh|G~W6;K8)P*_C;b_&ZW+!ktEELUg zB|J3SOOBQ0RmdLFJjrVoIF7GX<3MQh3`?KxZ zJu##>1YO8s#jzeHSN$(yVmk0~;w-l7O&=Y9>NR%NG^S=`RQZEoN9C`nP`W<|7bBCY z&Q~K<5@tcUE0Vsc#ho~{)v)pnZwMd1RqF9awWXdgTlaW^j;Lx3tbx5rIpx^&SmLk^ zC*v(AZ;OruydU!z3P;b*{Yf{Aj@|=gU+BK~RDEe4V0+4-CT8ArmueKVdI0b+PveFU zIiISqs!z48GIfa<=&KI#1a2$p!n;`Zf=VSpyN9-akbKbH{b1G8Xq`o;!*@bvWf4o5APMYx9q*tQpA@ zKb@bvJ4XLWa~#-YTG*bcZJFPiS3V6vHtcsq;>d`xe@7~#AoU}l`2Cqb4PeJK{{^hf zqI+~Zi%EQfY^Tgp+>bET*wf%0zkD62Q-zO+b$Ct{L1G% zC6(STGWuVEQmL1L$WveXJ+OB6ST&B3&fv+HD97b4voR-ABBjmk2GU^>ub(dEcTITH zuF4RdobwxwdcGrWwc-vrH9WYt%h1Rc#&Eu7Tpp=1G#wvKa8FL?P+Cj&!i#zV^FoxA zhr!i6)K<`F)sHZh+|T4qF{`Hp>O+0~xE>=rc=A$h@bw70m8Wj2FPXehq7@pl}7 zsA99@f3TRUvM;k|6B})b&#~UWD8y`Z>+>>vbA}LG|NCG9VjyrdQ1rAx43nZNx<>^X zVf*l&JOSG13Oo$7kdDupFG0``WVCO4CT|fg0SiDB1n=(d{_*@+LC~#wdNU6x5$&@J zf&j+;`#iv?rqyxl3=tsypP?%G0NoofrmiO@Z@7>9eIE`Kn3dW~8(1K(e4qn75R^io zrAyM!Sbag|zG5_dM@I)2K@7EIO2ly1{$5q@KkTT` z2X^1wcWtWj7tkTks}Gpxr0`S2=!JBE;0W6mP@~B#$$Kj9s`CfQmA42(oq!9cTjVjL z+VB8W&!aA~6u&W#$Y-sS!G8+~!GN1N^jL{!8)Inp=C=&Wt67Hx_jt$N?>7l9z8XFn z`!&D8Ja~VZj78i-G`=_hJf=+Fq%}Z@Q4ZwZOfxLqRADt1 zcCy>m;7#VRn4jso7y_Ippu(Ru4u;J-g&F9n1_p5#HjA49!s2B}+Ul2^}`-K{5R@5vD4)tbHyNAOQS5jbM z&i4ZpMs&w)fWeq?`lILx(|+~02EBWUTE%%i&8>8vr1)|DWbkCPX+HQ9GhgRu&r}O= zC8ArfGko#w^LGHffogWJT#sAuYK+QH=wbx1&DpA6AhTbM?7q>=l>JZlaQy(^tLZ11 zr=oTI7+<1S#v!#(B4voJz+c+HlJd~#`WFfdAyc@pL%tyIIMFL&>J_~Dd2B7+8?b0h zjGT}pHu1MJwUQ_Mx`7iIuMEg^79pSC5C_-*Q1fF!*Jrg7o~H#YmOHwbolmU)qEo5x zesK;@O>+Lx5@!G{k!&|#6ONOb3mA8uL)>B-Y!Zn0X91CT)~Ut@W3!0roM=Yik8}4J zvm?j0u3~Y2pzS3tqhdnPd`p<@ggjuu;{AM+V_5#&#>{%-=zfU)D&*HPWcHTKZ4y+L{K>K$B{s|?2?LR$dhul8!8xDZsCKA6` zM7j58c%JR(ub$r9C@LH0rRshuw{w4qvZ#-kAiw?rjIM+F|Ic;ti*yfY!6{m3_yNai zsF|(Vq=7n_(JGlt{tSmFE^wKc8rW>7ZOVx(g^j{s+zgDEcUbW3BNA6;-l~K_Id}{>uAQ zNW7nnNvmdFdbzd{)jn&dk8g|Kl>H0hG)Hzi4qcZ5-S>zyk%u|(jzqz|V(J%^W6R8RI-2{+RCVB5$*&X#V)T%MoMg;ZK; zWr|#N=oJx+{MLD@nti`SKWf)@oXF|`Q;@(4&fJrKBLF#I84B>EGW(viMJHTuTPv6oBad3+?xBuPgll@c-p4<$rn^ z{*(UuUjYBVN~ZV0_}6Pw|HB*3Pkg4VQTzvd(g65HwlqA9hQ>xfZKNwm=K24m9RJ%j b?*cIVB-o3^4b^}*#gP=4Nem)uPKTseTY}y z4`MI0>3aUIjir$yJLafqzYGWFZ3)s;*?HrtP3r+0n-jx>_pOCi@;pw-pEEQTLu{YS zq*+U3!7C1Prs;m>;Z2Ur7`AUMJEDqfnLgf2IoeJ7<6Tmao&P41@)mh36sI}9=iF%f{q z3u?CF-m=4!%RnH!QCY67cZYVBT1QNDk1v|mjM!6H&(|P}UXMqkP+|h7pRjbCu{3@B zA<=!-*mh{+x?kSts=x@0@?m(UT<3Iy>cMr)9uH(gCVGDwUG20#Q?UQ|`bcm_LMQ|{ z%ng!b@Ib&Z-uZ%th88$-{pNXp!a*Rf2fKZKVSAq6tRlk6wg1X!y3mDw+zENu@cjau zMW$;dC#|rid=6qYrn2S3H7IH1tas*({=SmdJuJL>>>CwgPVgt(tevFg!0r~Rzh83H z!CDr~?jdQNTiW7t`QY``=UV5u)boDtvLj^C`+OF5Z90*YLKNSZy3=of+gGnmPk)c? zUcP*KW1m&?h0mjco+NPr)bSwlyX5);de3?^V%UB023%1b{eHIkTWE*3yS!7!Lw9x5 zhx>aw4Bc6e(?1WD+rL)tDrTH0@A$}Y-zf-{tjB(Un+sVSv9kC4Y4kL5l@2uCv|AFi zxwX{h>X;OYsH)0ukjh^*)5c3rN4|f+!htwPsaZ3+L6bXnrZ2ou{cU}`7S1 z$xO-YSM<6a3$i6g*dYhxaA-#N{pE7h;wi*M*^{){1Ns1!gT?2xCpmZHJm=%$^clRmxW&#AMx(DTOsv-^x$(3f%uo*6DxIH&ZzBl*$! zVnT-HJ(x4ybdh}nMC3GbrTdfv^seLU-MNIEbR>u@ITfzjUum6SB`?TE;PDK<7Qdy~$2 zbf8bRQk^cteIzp*<$cD-b6D=efmBkmK2EUGLeRfV@X)?!n9BI=W@8R}&9OFYA+cQF zEcFGhoZ+5t=;CFU@aLNmSxos!cb9(qj>dB62v_De)O9qER`8TTxY8ZGFV%gky%xuw z?M1EnY`YZ+2kSZwMKOml>sHkW&VANuiREnlXPBkr1#|Zcl)qw-nPk(D7~fq&Au>qvt7t) z>Y505N{L|f%}(pE3xJoXR1-^u2B^2h!5@TDvicGxynTk?2^zbFS2dv489%F{c^Vuv zj6RB@K!ch&XZs0T8=S(5p&Jzs!UQ1YPdWWDzo$aqoHFCAb;1*D!>e7hqw0vK^15Z& zh_sp3)|mZLMDU%>$br(${rEt_(cwURqJrP?Q0viU`Vwv2{myRka>_I6-t_#J=+5k{ z*Z#!A#)ED1QT@Y(n1<6`n$O)%!~V-zkp)M$GuMtcw7<`2V)q@xp+6&Cr6-%BpS_3T zY98^@&AX_sxvVpx>CTLEJZveYR>m-_w%}zy$G}a?Au=`SE?R{mpkQzPmq7znQi2 zUVr(a^|x65k$d5TnTu1~q0fEZ;k8TaWyYNSA@pPSu@9QhpI*BkH$E3$ts8B3seYxR zxS`JPYe}G=I8gi6{#YqcXRX42K%|;byR=8>Ph+4f{JzFoQ1vB8Q2k5oA1ntBK5{;M z9N0>=iRhxI7j(|OCsnN{^}#?rir#Q6vhZ6>v}v=o6o^_LKHSUt3jfPFdrRy!t#)NO zTuahQ z5^*+mIgzL~CSgZCIU9bpq~nD^`HoCQTTKr2#{X=GerDSug z;%gyQRJr6?UWL2;2Bd?Z50a^r7)Q{%#G!kae!H(L#?eSmDdc~29^OscSSlWaaDzFl}wf!=zEN{-ZU z+z*ad=to>79=K3HT-fnW=_)?>v_IYJg`ldq{3sdr!G3VP_Hb46DY8fb?vK9rsZP_6 zOVO!@qhWR^7Tm|9rRJfU!^K8KI9G+&Yi-POK(*{!?(B=f8{bZR*i+t2V>=dKp2_l} zRL9+e_kD97@{!s}W7q@#G-T|M)iskIqjKwjNb0E0KebsHcM6kpg^$a~=@*D{a%qK;Qi8dk;sU(2|m zgv4JGQj1+Qdhz$)b+K1Z@o95uxQbtPiD9)LgpEaj53BvOWKW#ufv*m=wY32_ zeh^5J9gH4C`gVul;tC+;<~VMDBRyECw;D4XOD0V^o-U$5g<3ye;=k|*^yLrxnI1>G zW)xts@dkh#6pm=XaCBcvIq6#m@Im$cA$-91%Z5p8{|pM;0pP%9r4OPn6Auyp(#?xU zVD#c33fZs+iT=-4i2?9YxF?n{)5Z>H%^7HVupC=?@gtwHJQFi_m^CBkytf$>c#-py z2X-A}v3FmjM@~?}nWdvjeN#%UwyLTV*d#J$sd1Ru$y9TL{Z)PYIMiof3(>p^U=ppR zy16{=&tb~yF}v_VQOtz*HRi8-z>C5b5TebE#Lo(dA~Y4aB%)pr+T>SD9zcGH?}0v_ z8`dOLRRk#-O(lpk4p{-FChPCyy9`WS*OGSWj4iG}Jnc9%A0P`HE5{ zfARCIZs~;3#O^UI*1fzEi&UPv|IT>Iw(%XU7X1)T`nPSH2J%yO9Wa=X-ZFfQfk3u< zIR=gG3;gSEUfPApPWuc$!}YSQyDUrk{W-RL8RxIN@Zvh7jR?jbkse>Qn8y^Rpw#t#2dvrMSG zw1YR8ahLfnAS~dSo(S!NT>zJ5>ukwxW zL*jdl6Uh&ahZQ$kbZq@s)An{lK39J~UU!;xa=oT`D7brqb0`|d^7H~4AdFS)YBpIR z?{UoU53N9~XgxQic;$ea>O!~}E*K>gp;L)e0o_N7@;qWZz^IOv^~@^mYyEufy!`vs zlGE}e|Me#6+8m9L_gCW?0+V8UC_}JSDvW;xlBL*R*P^}rI8{;Th5SX z49=M0PX!8@?YbjETuPrD@ifznSdtIPGN8_mEG-vC8d>6mKd>vHqu5tH>S_g%YJQ|eUtp?OU+dJm})LtDKEt; zZ5N~K7vIER&AeM>KWN)vXFcv9xUpxj^}51gqypE`fNenTy#5ItVwnM%QPi|eZ|UFZ z8e@h=$Z5S{r4>8*T;ztD;`Byt>diVgz6!>(pPE>_?*s3kcs#6oT3}iP73{4mzG|(M zFyA3#Dw#KK_SlIKGK|qc!Dk3+n;BTV8`5$2^z?J#X?DUG8*`)wy~;vf%WO?we!Z?> zvFq)=v*j^wj^=UBT!18pLQ?T_h|)g~*MH9P+oOz+h#+W1a=v1)h%>wBdR5Zw&gnIzr_OrZ3TpGNbmtf1$0D$T7WnPD*O_iK*I)e5&255{WRYlR#>1 zCe3P*JX5dYB3j^Ao?m4~E6&ZuR~xNYe|UsIKSi7ll%iLeCicA?i;m}VLO$-zgq)s~ zcg|u(kvs}Cj6GFMbYES*AD>w+pc{;PsW=tgTo=baMQ6JeLr-Gbnl^suoTdm~8h3PD zRM7p<(MU!0Z<|QGT9CXst(%RjZubhTJf5RDzB--@(jEI~b*ixBW=;~dRNa_^(@+5u zgX5<3{85u-0K4No@H;^c-U7;*m+ z(SOxU<*d0EMhI~;+Kr7dgmG1HoqtQuiWDG0yZzA70g-J=^9%;u^-Q?k1M-kS)6j^- zK)jcox28)x58gU5@Dj^O%e+R|tD$`#Y`r*5`@q7zfq@Y7$(k5KLh9pAdkTI9(s+wX zDWf@HA}YYA&fOK1kV#nv5B6_QUf;0wzss&8yHc3b93u8JB7W<~A?ucp_KXv|I&Ntj z&S@h$(0nQA>6D0|;No|FfN1pn=ng@pl;FR3E*lpmHLp)C!D50!s_fIVlbuAH%vqqU z`S!Ksm*VA1K`!*-(USC@ich$zhA%JM=dP^d7* zoAxaiR%4ri;#@v%RoT|CZPRG87#x6#d+{Pwgn$W;?YU+Zx5?%j2Kj4Nf9u@$ZGMe$ zcoXr=B#c$md7LSV)TMb!NZH$zCNY88$#8Ho9J9+;P{k7Yn;gqu=b)S>}F(y2hi zCSz$@mgLH^(&Y;KEFM%08|62=_~H&F7>+pQtFlK-9=I9Vz(lFPfVc*$T=Ki-S^a^$X%8mrh$oVDIbTxZG~bOKM~1 z!ATd&M$2f4Pz1AB{>{e zj)^|`)v-RinMC9;ULiruZN>X7BEo4`V+T2~a6fDoyo`VOkplHY8Eg zwoN&r)1izMB(L$e3IMB+9@}Y6Tt~`fHsl$@)gM9C7TSFEGcGh8`Leh!&zLh=4r#V= z!WxPP0Aq`Zgv9_J*qjvmh0)QPS#OyR)tPHKg&bjQ*i}Fgoivf(#Pc_mSaPMue0b6# zOBGu2pe8n^j~$olqk-I{r0);FX=pI2=U+s$#_v{Cur55F#94?q&p_S~8{C|u7}l27 zo%soBD^uWa!d^88tOcZR2GtXLH04etaZxp^6Zj41!Pgb1H`tYLXEBC=MAi-!@TA~g0%$T;4JW9_lQjBh=1o1SnAI}TK5y}S60JU)|o7fGd z@MqtU3YF@KV@j4N8rEzYm5OKlLC$3AuqtJa{H!wjiMf4sQcYqty{-iWvnozfz-r!f z?8goE0Hl=B0h2|{i0ocNA*%GnCrr)V-@^2{nWUkCCR-s4o*O~=cno(IfBKvhyl8Wu z;`6X76d>-%ArGJy%ndn2E`-^!^PTY5kj7lwjTK0Lth23C!=#KMT-FgNcIBu%M_ zid*l`=mwLNgQeGO;|P79LE=HFwPr@ghso_x_XJoz403FWzR>#Ry%@88_V=LGABv z|DJA9;o;%rtgK3U2G{_% zw?dzjl1{&3a;%j%uIy>fQc*q7w%@OB!1+$FeBby{NY(RB<52uZ%7@#oH%Hy57w>CF z&DrRK43a7gR`nX88WU$jJw_0>mwCXlrrB=an!PYHw3y3;^u6=KuOW@Y2Z%o;4{xM) z7{K@Q*%pGha-GI?Kh&q4?Or#}Wd_si+O=d}19vFIQ6Lg{siAzQQtz5^<*K)o|8&pg zbjz4j$o&@2S+|<}yU-0q9Mvs%h4=RxDDEh44DX#5*Vhpj{S=N4C9$q&l1WQR2CtB@ zb8QS5iKN=OJTN$>z#Htzo=8xuNDmcSv!!1Y&{BMma>sez@Qf6RZlI%pu8b^xC|I7W z#ztp{c}Nw(rtM^{aIkS`66%Lf%pHW8pK@OWB z-Fx_>rlU*ytq&e85n%t7Y3d8xZD>-gJ%!2bd~4Y9Q8?tavKRgtFpX?nF$Z@i3;7&< zz+VD=sHSi?&f3U=HhyYJAgIO1^;7v!G_JT?X$(S+qwz^Snc054Z4~w|txVt3{pdhZ zl55bHTro<#0o)**G^II|h#C}m;vAwOF;@RXu1+`j&_0b);@C*rXQV+|Di9PyHB(UT zIM+XOk&)gvEy43FKI^>(=syWc$4pO?lsoN z5YkE$!2=?z2P?ve92cNmJwUa9Xn*YgobcPBUQpwyl4V>Rt%`-gI3Ua3@sSSnF4ugZ zlx8c-DGSPr=`)!>ey#qRmK@!&_c?7n{*o<9FdN-QLe5*?RF^fvg*M|b2qYLC2KAPs zR^nPy2#ptK6pn-7iZ}}CF_N}4ADHfP>==|v7b9dSThRSn@9;ty{CvGJriqOzUskH? zupl<(`W%xQ5W$_WI#wG8;I$U3({iKCSCc#{cx0EHF9%2{3xYTpWQ#%oF989A<;uV9 zOUKEh<($G4y&NU|=0lZ~!jDbFC<9F+5 zBGu=UNRrPI366ykgb0YwtO}#CaB9k+z6791YGszr!8eG@UzY4CZLI<$BI4h06BSpe z`g*SRn+*&kI3*-l$~C*9WH8<11Nw`*e^Fz%vUx5x9kDpC zvNOk&`b66cK|}U9F!%FzSSaJRSX}&!LddnM^wU=Jr=8~y9bPvV1s{0VZ{Kp4C}fF5 z4s&#P{>LThAFGSuj|!O8^T4ibJ;MOvl;brZ2hyFj?`rnNRB(dEi@KrfyKn!RYyPFn z@3zOy?$Hm0mMTrXs3eZnt$}~^9Nao^KXrF1{AIB-WAya?)N9xKmHnd`g0txfx2LBZ z>-!ucIB?8uXF^ZCuf^XxO+O{f)as-1+!XON_|9X6Th;2HONLt)3`vHdn0ft;du*|% z(-s=7=l%C9JD#ro8FhIsBQtlT<8wa2ciP9H*E_GF_@hwthD7M0yQ8;)#d#yeEUWwB zyo>NJWDWq3$v{6udytRs>w4+*m?bH{m7J7VU#$6nX;l4$z5U9l^=yd6^+ynigw5r+ zFja`x9%|E7Zn!-$ll^?f+tZ~_{UEz7FOi>4@SgoTJUfet}V&hll;O=o>Bg z%ZIQqfKY!z0>alP!8|qfe2U@hO~9fSn?9<{0bVw z-P&H~Ql48>I2(&*?V+L%RM_)U1o*#eVD1uZsXkd+>Q+>D@NKDxc^qlrB_aBtakOwS z^thcI;0~2q-uJg|OJ0}Dn(yCZz59;5gTT&D@;poNev`p-H|4wW&Zx_e&Emm)@qbLmT3??2>vOVU3DLL#Vd%+vIERs&8|klKzY_B{Z~y!PNJ{_N z8JC{x59HSK86C>~>x~Wlo>_fQ3OFVAEjOXt-vLc~CBn=?wGpCM17261nO4{CtbnUH zC@TRW{kfz(EukV!E2qN^0>#>`p%21R)=orR13>!%0{~%f89m+2#i67?Mj!Cf;><4% z4le?`+x2(puIJFJvudoT!ro}min&O$OfQ^4TyHXsj-9(@R5NnvG<^KYb+YIvNYU!; zQJ(kZg!@rb<41I4o7VFP-QV{%L47_xi;(H5XWZ(tz%)R~jDhR??|fsiAL8?jq~_$dga%oj`xtVf&0hIessS$79!x(syfy|y>QlD4#Nz}Z%a-!Oq;sd5_T3FW2s;S?4LH7M ze>Ry!L&Moy%txF&t=b_lo@A&7a0SJvQf75+8S5t&1beN)3p<;{aT3|3(HotEh_YJ+ z)4I{Es#;n4s)*r_wLHJKIReZ(Vd5EB37L{@ov=YKPO)svM>eR&FU3$rUojwwmG#*F zRpLRAi8)h?$6sN)P8t(qOmaA_l2-3M9Co8R^HzyJ7^wJjKt&B;kYI57I zhXhB?+A!J7>Fq3n%QgW4FsVKpFKM)7SeS%}78ZQRFyH3DgQ zwU|FLaFc^1=L2^qRbKg2G$b~g!(Ao`@CjAF!*uHO5ngmr&WkbjmgQ(&LZ;jtE0TJ* zqF&ZiEKUHMFkqoXUoe`Do)jk(iOx(yR*vpgxFK**I=Va7F(G3w-o0O|yPKjCI)g>b zQvOtBr~H~kQNPUoz{rAUZ10Ej4WY&~V+=#G6^5*mZpgi2;R?fdP7~~z$`q2c-^Jgoxms%XSkHC& ztmGl6p51$eLW1#NWTl$cSRu(b75;nu{!4|Y<7)IT%qa&*ZmSu&xb8Kv#C@s1!G($? zu>n4Llq|`YltlAzV$4Tns6q+O3^&v6nL7HoM1Bkzv+$v0*^?KNlniWm!k?MyBWYk! z;GQlk^QKuz^fO>IxuQjF@Ec-`j*hOM{OG=m3W1ns|L<5tl*lQeZS$W#o_;AXnETrt zkbb}p#QzD;|H*A&nj1K{U;LLu=yw7(oqEQNE*=s+`3eHe#)9y)`VH$I*=juaS2*uK zBJgVxg1F51>?BJ5D8$0DFL_t!fmvmnaP{8g&Y=#0cRLtmJ|*j z{2-ysO4{{{m2|MeMP@H2eLeWd9}0Redez@rY5#r89x%dtP%(rLP8iU{?|o-PsQmar zx|0Gxt?Q-?ndMi8bS#LKaqWf#<*h~5mujupk^`3`WTY1+c`|k6sPOu^Y-y1mflb+G z-=BeVZj>M!2Sd}#{gAZ-AB4cj`Q0bK?kRQ5-a9>vP7lhLnH+Zbba#X%VzD0c!tWcu z_e~wOYd?=*s^8;_I@f-$(6WfnO)W@Qp_G>+# zf3NImO%qj(z8XzV=?^OAo?9T4fw-eFbXr`J%j3NH8)%Tn@E_&XlrBD-#%I zP~Ztx(9xEtzJ%(FYDzz6oN5h_JL!U?iyJZ@9lVKeOF+gCPuAEsQ?4`omWNmV;3fH* zajHJMBAp^64FBtB_(Vt3?Xj}11hXYG;D2EhHZm>90XIAWt$gf{MOwN&8OIXvIkwPM zQ5@!+z+jtcr*eFMK6meMKMh7=NjV7hJ0v+N-!T)x9xUomuR{>pG~d&qzyh z{Zhl9Q?;2z6eEfFw7DS%%)x78tGR-PBZKg0n;tTs5MlBFBjab7^aWA__C%%vCmxMS zF^3;Yn-T*;nHj->kdA2k`kSXtDcqp(pT@w%=T@*Zy#(YE0*AU21;3kEG1Q(fkzyT~ ztAFJ=9M5s5ma0`5wlTbB*+J#0h06yY?ETqV8zwF;o9GdsS{v6)cohNoy3Q!n;FqFS zx3E<-iyK^qoEOtshjwY&nk<#?SK8`tRAnvyb>;($zYTRkveGY_jn$$iGVqIMMAa&K z8Vq1=i3V%|INDr8E*>79pLjE!8Ot2Vos^2}^;7POIm2DVTEMg?6dxTDyEu}ST+I%q zl^8a+4VM_|`0&n3cIu##G1JMKT+3_@7I2AeUH*(yw-L(}BYegzQG5+WZk@5R$q+;V zT+3(57x?s~_V2{q2ZLwIn%im=fxM8!ovHyONss*V1C!$|IY`3_pK}xe1@>(-5^E^?)6U8r7=30Qez#;AKbkcaa;ar&rxB>j5v@a(2Q?gk%<+78* z)LH_cF)GQiHI-v!c&{2>#1P_Xr5xLC_j~s<^yd&G5;RO5xWgCc&Xz|&c#&ZMvoQqS zO+#N1Muq+;D_8lpEB0K)Mb(yJnUe%?JsBv&S28Nw63P!35IeCVq8cJe^TM95bImj! zy7M%PH^fa>(*`3qZ6FPg-5r73o=6&P8K9VDmwc1m!lku4y}=mZ(r=21@1TKkb)u~$2Wfax^Iw<%ynqltw03u3X0FOo#ArTPYR;3u|9wAU7kU* zD>;KSdYUpUj1A?`YRt38h0z{I4{CmI$f*X*5* zPY1F3DAJVcGAH0GPH(=Q!bsfxScQGv|mq89K@K=;^OC+s@`~PU%#-DhSH?b zp-)SO4Kx}kB>VpjJU(`8(9n_<0Scnr>IN{*ot-MMj_d#`C_hZ$wf=0$;aB8-m=!+| zHXM1dxOM}Ef=I*v=)C(;*8z}eajVOf1dUB)A^?gbBZEpt>SqYCUNW?T7!I z8i_6=8cMc3OGtTGbUKU@1Oloag0b(WDe8M5}`?!O(4i3jeK9o0qTX{2sxP^y67tPOef!eRGaO=uA|BmJGp zUou?28j1yXVQCS70B9UT`ainPl&F8qF=8uh9oXoRkq11MgEF`nCr4~+_UGOXNcfv< z?+rCnC}vL5r0jNLw*&k~LQ8a-nF_9vPQV;n*rCH?$|WZt1AL^mfxM|@l*i(q9DkJ?-ux<|!kM#8 zIkhxAOiC!A`=Yd~ad&Tt;nhaupWks&cusGaB>H5)IWK5-n>(|e3qNtGC~IR2$=6hC zucJpp%zstGdKe;IPN#I!#p;s3N@(zH@P4ilGtv&E&@e1OWFxcLC-|FvEU{|?q|>wB zDNn^z8C4~ATelzJcd3D9*b7ch4cI*rEHL3X7~N;`RQh_<$LhUBSkF^af>J9lHg?{2`<8= zLK%jK%~H5O5_belK;_opF~;B4z}vkTgr*m-P=`D*x7m`D4z)NIprflmX)$yv`)sf3)wwpWzXj$ukeGzk4hB>FdOfe+UK{w@0Hou-)dJ5xXx{#Wx z#mmXhLFuH{iJT(P4FH#prSrO?4bzf{If?Rl{x)^yom5EecH(@ zqe-`MFNvsi*AdYe>~PBN4qsMT`f21U;Wzydk8bP2Y#X=gvO3_u)`s(px1j$|-U^sa zjTMKuPle{Do4J}gfHo*Twoku93qKBz@Kvy|!#;j5@n1KB?8zf3H6D~uDM}M)+>YD? zl#$_LHX=1}mUj%yokpQV0V$)x3{>FA_50r#5&s52)AdpJi7VcPHEZTbyGkPMayr^v zP9>i^wDy#?^ZH&{-qBnJG=#v_3iBvNJm=H;E@b;fk&Tn5a5j~0`&YX44_%jQ>V~nY16kiHK9zHr7u#FGrwJ8?JVh=ZYr8al0}Jl!b!=z?5}s z@Jq()8ftaPbO8u3MRRJ}%JwYrtBs`ZFkaW;_1d0!kvTagy0Ur9jgv1>=zA_8Iie>&~{6WSrjs`jO^hfn1 z8j6hc2$sJ;+PI?#aHJ{zDB~O~{W-aT?=pV?g3A}%m@&wFMcf8Q+2shgq`9F?RbH1k zE)1PV-?m>n5jCC@xxM z7Uir3Mig!xm$WI_H_o#I=Bl=NylELHd+taCtbn3m1`8J>h*|KwI2q})M2EQF)s*G= z4h(s^oCAyQBp&90J3hs!>9cyv=QL0sX!y_q3!~ z4fPMM%zN;c2pF*LWYc{FRT0OW-F#UBy*L4R*#LqXZ(VK;Xsq$rQlOw849=@Ewwa=x}F$l>>&5?jg`@r7t#BB4`qdit_`ft_ zFsy&Ck-;_$EayDfa!%c?TOw^V((Qn+a+1rquwqC%_zsAwudNAHw!u}w#a*pU{2!i- z&%rtc!Q9gSEKbD!Q=j#LUM#iaS%#k<>~yu>$k^L$Z$;>f;1WGQyT2eMoerc$EozG_ zzVlA2ic92c*Vy~DVy%}Z$UuXJ5rdl$zGE3r?t!i)9rKMMISwxp^%2n!jk}X&Xi{C2 zJUBS$RL7`!7r}qm>)zBl%|A}$T~sj}rc7^ODu)=REzmIpYc*IsTTd!otV|cDsml3B zrpa$6$Kt`rt?+bW4U6C0&O9kyCSFz#0exW-^bRy4|XyZ8zi@^X>;1s3&1DB+C^bpRan_ z3iewqB@8>XXmd}M^u#%@noZNC!cmnP{OX?3a4vi4NUa)a*P=1z1BpIOI54+q{47AW zT&mx4jU@pJBn%KVwaK!Tg59M@NLsOr`@EC=)?2j>U_*Wnoj}v&W7{4uy<>}Vlt|M+ zYJlI!fE>$;ztwW2Xtkg?AfVkh#e&aB_d{0u6@iM;!agq}-P4kNG7vV@CIH8GO(arf zqo_e8JQ;#8|BgJys*?CbvQrQb6-#d6B}5N zT02(q(e6<;#QatrFm>{%pQ?V^(JVybVu^AIc=a}~r?uMon=;I&s)O=&mQ2qH;ar4d zb{OsLX)(&`Sh4}j9l@A}(-bVQ`9l<;4rnv+T2#cAxiv`_K&;feSyZ zU;->a9Q#7Iw|Rtl5c>zY%O~Mau8BsH2*!UJ zC6)in?9f#ne^AzE$lf(E@!} zw^>8KkAYi3JL2C24VJ4qv2UzfaYAP!pq_C+n1}dU zRy&{m=2fR9lYey5f`cCeU%>&SosguL%;q$!VjupR)=5dgyk~R}ZW>P7u#DHlEJBx` zQW_|ILBvsQPNGO<%#b_Y1DtbOr2#K1;C53Bj7t$uu+}}+vq~!m3QNwQf>&Yn zzG|FdL+p#alOdX+=5>H*d4=90x+o36>uEfusMa97qBN^jQC7o!blI2R_=4@2tt^FRw`kQGTz!ZfD{${ZaU!%oQR&EXI!23 zO!=|?zD5G5D<+oZv8iQmL2U%w>jk8+XW-WM<}h?ZRS+5{V!Bm0?8MiUfR{Pu@EPPo z?Rm~ri4dn}`jQ_&INsT;Nao1X^&t00O&bT8~OwD3IQWRqF+VcObz|j zu=J!2;7FLac}tnVf<$Z{*iHf1nSPHZg)-IcS+T>_SHKGZ?%xMLq&CCPuQ6rB{dT=n zens(7w!)(Hk`{Y|j2nfR-zF!$pb3cCdfFomW*BMRVO{;%HsEU^?bZIe}v6Dt`Bkr@kM1gqbHg($|^=L zQWbj5yCKbX&Mp-F9(0~nndg1GQgrb1`F(KKbhA4m(dMwx#V6$g2^5g zn_qQ|nq1MJmKh{vNt(*9YOQIXJct;8c8;xf?LhSbZYot~%Vdpkc>)B$X&`?0?M5*E zaqUySjEk{%&kaS2(Jp$+YR&oqURMJ#yafnojFZ=z;= zKB!;Z3h2ZFtS~xI=HWN1*&09TC5jH-9*QMNEKr+=CHMXI*1?3D7S*LOliyt;CYipA zC(+un3OaGxeyU|16l+ssyOGW5ckW0BuUmFbr1uXosW!DELXiqPL~C3C7Q3^CVwZc$k3ik zSC@lX?9N*C#{~+|=PGl$r|j!FP)(GxZoS3`Dk7EESGCaSC*lpxPuX+N&--+XHXcJj z(Qp5iFg+uq_c$LQ+b$kplr+EL% zb`5CR-Y?l$>k8oaoWAGyzToz~(+iI#TX zgR!DJkeUX=e63tIeHZr{t52^YZQ~qn%K|o{-<*!2#xuKGJruw1nZ9qSRrvl-&w{w2 z1pXR73GnB6mj2!D+pFzMk?3XUN>F^E!iLWspYAAn%bV`w74;td+h%OB9;Qr(kGIw+ zR3#jj6ZrS*(C6N>cAS3(D2}e32v)E6EkVjA#xEc7jEa#Se&(_RvNI}#1LwM1zZ0wP zhNq!hj~Zn5`82EGNYZ4M=WhN~v|^3QHk?jfi+X+N5~0BcvC94oc`Z~Ct;snA_#|pN z3V-o+xxgJ)M(}&bwLHI$Uhi&J=2yjzOAgmRORGkg9)Fu*`tL6Zy`6S$pq0NF5Ph!S zp?92G-^@g+Izvg`HM6l~oeZaP_SkX9c*u4WEk7n)U~aWJiFLPCs7TaVG1c07ID6lp z#*7}Qi+I7!Na@=sX+FC z5CxJPbzpzjUidAU-g~`9Jv73qI0U1v+0Q^!WV64=ivo0(SJ?rfEB$z@cBS=I20jSh zKw`p}R^(J|$5y)zY1x%9iqrLD*6Kndu)Gp8vAVj&!^uFD@oq=a?q##rxq?rIz;p37?5 ze1--_<)FWU<)>;%2Xk+=sH-7v)8HslbdVEl4*_UA8$tjfR@F3jMzq^9n4!nW7)YLc zD}7VW1my7oxblIsi7ded*er)e=A@Uvww4#Cz@{~1{7Kr)CXAOh*{V;$;7wQ>2IRYd z6H8PuVQc-`C9D`CI3vkPBmbJ05ycAr8T|{kuQn;qOsjh$0wEHAaY@<%C2AwPEGZjp z{|TWjd9n<=`#jZgEYLz{-|zmo9f8fmz5E#px_7(rqvhH(Jq!W5ep6!cl%@X?VBdfB ziZgSE<2C^(xin zk|pBaefj3NsILQbB?u5fxJ5B*LxiAI<$dqrfQVPZk<#=i35ez7>iE^u&B1<$z}_R3 z=h(&y7eg(f65JduH=!o6%zPFM7UYry>iGUD{(7TLY_vdz2JGco6LWRhu1HVxqj1N< z0@TXJHNvm--W4Wr5Fw&4&7ynjP6u;Jo{Qc=IvTPj)H3kePgM}&UjK~VN! z2)b|&AgFEzOTf+I%amd`}-@wIMS{q)?m@Pst@o}+T0&K#Bq54 zwW|vAcP&vMV{L+_GzNkZwq2n^5)kgVxg{oIO)aK1?>#PZ6d<-iEv;;aRNi(Qdft5F zJKcGpTWUQ>e6=<#ARCtT{%TMbM`^#Y+OX%}sSkaOqo#}2|;M=Q-msvmy;qli&)k6Du!IpFajj0#3>177 zicP2M-(Hk6eN*-qB8h-2ii+GzdEWK*!^7okWcGI}ib6^&76QJ$9KH&OUJAiIXf6;H zWCvoTmmhK=p+%QmEP$y(?;B*vSJii<_cy@`MGyVIYtycr`r9+G*k}W|i!&?$bpn=F zs0P%JhG&w$@2^rt;-oqKKea+lsg<=SluYZ`?*sbj0-2ujllLzfdA=9Glk@75k=IrX zR!NzoSL&3VsZV``Da)EmlS30$o}218c}DHibs24Q-V{tGJ(^rw0D(2-Oini@cTTR! z?be#j9&kD?#j!Q?8VZNC5ds!6MhB&(EQqFmwM9?$SCIh!ctK;auJcRkz1P+h%8_zlL(!K!*O6tQTj7ey z1Eft8ZT@`S3GppW>T&K?5I_`@0OreiBKCY>sH;pyT_BdTFe&DO%0TN?@?NV)8_<87 z+P3lBq%8&5hZ;(=ZAzC>DGu!ROPr?-g)A%*+F7ph!*_(Ee~zHnfM+PL0O?oXF-1UMi_UJ)#x>6K7j_GS{@tNzWURtU?)1Yx(gLJ|bIb z4J;G7@jC{Cf#(b?KNP8{D*hCl)^1671cD@BBcg(cwpT|Ywb(#!+V>g;OXjHrr$Wrp zGGnE%#0ctlYO%O#w7_oMSPA+V=@eP&b|CiI-+lTToEG)3Ei0@zR3b~quE3@Le*xUz z=;p!4a1;>5h7zXO31t8J?|akz2CThzWm8pJ8RB0 zGuN8WeCFCaE8j7%?j@MJHLI&lplM4jlA zyh%!II``?W*?5Q=(~4GjO(kKX>uaqBi;mE$#i)6Lb^Pbd57}gf3vr;fmrpmZ*X{?W zZhFUQr))k&>j_pYLV_( zP}}|Q3MJV(DxQ7ok2JzZp@QCpmXsJL3p<@C;*fJTijF@t7`5;mzh<&zb4#eDXr|@{ z246S&Og>b7nOQ)l@7o2Cj7fNP`NqWeaxD=^*l4~W0?!QzVu1WCI3_)v*r( zkwgJwU(5R=8ydMR)iL*PEfLGmurKivR@7r|8)yEynC642gc;+RA28PFAP+9Sxq z)X9NBY`$k+bIZj$y6jmi83Tb9L0f6yYlT)IP_-cZzeLA>1j~PE7MAZgSkCzgSaxM< zh8+q8$ip5diKHH?eEGPEPFaJ@{;<=a_%8|rfe?G0KJlE7dvmd4puh3l(WE!*7?rNk zhPLTQv^T5#^I=znc)Kc}saeu-815Da@tVnl_Zq^rvo~{H4KPzT($~dCtFlEr@nu~L zUnOX9)qElT+q%WkRPA0>85FT%Y}NAfw!-%3PB>|KP3~a+jH}Qf_n;~@-=srR)0)9H z<*w_)+_0V7o#vCfYqo6}a0Q8y9Cv-!$%4+Zp%1%AmE3KiQhW#NUo_n;fNp*Xxg&8qOHbbDIJQBfc6 zC~p6pV$uosavnnee0;Eay`DRjbuZ(`q(s9HF@vC^kdc~N20)$D!`*n4f;TZbQJSj8 zHbV`b9dwmDSUpo+Lq0_ztWNBXZUz*FCra6S<(`$b_#d6}Z9~eIqz&<4XZw!{lqkI+( z2As(de&4LooAIojjG1bG?I>`4czA z?x;M}Vbh#VczN)b=%gZ}%*UE8qjH*LwS0Fx`_I%%(s6ZaW%Rg*WZ(K9iMI}F+!%f& z-vXk9%v0ZB`GoCyLJ)qqLl094hy?FFR36p?X0syFlSSB6?mYO_sYtWe227tT|6;|< z0X=fY;wx!hYP)|LI3f-Nx}u^?8|(kKX%Lnry?EHKwIH~xEjYTFh)X_7i)Qb?IE2FG zo#<7r9$}Ualci-j4T3Pvz%a_(H+eBO`{OwHau0;ujI^5Q2D3iCr230p+qCkomdTBR zUoZHAKc5zIO>BLUhI&Nlj68D@vowyd^U@JCws@Rm6%HRSnW^@?ejy1^H!s7>TQOO? zSL04{gtqSW7d7}VYQcXbM3ATYCcL-X*~U$B{(EK#mL|iU%pcBu9KVrx!_|D3K~e!V zBT>Sy{TT2_@U!6HPs?jc$B`}MZRuN@Kas5kqCwQC?N_=2K8t<<8%fBCJGx{k^!HD( zQj}b--j;;JCn zbpP#;>|dXITty`~hx1gC-RezCj?*!z+26*5=kh<)Yhz9Abh{l$zqOfP0JIsR`}zHL z+;`h3Y^yBI`AKns$`%$%gUHxG9WZ4U?ZWSDs0M+9;1iME7wJNZUf5mY&Sss%@VhxicQj6X zGc1X^{3hPDOn`xdQ*v2uZ9l&o^Zr#NGcg$AT^`ZNt@riZJN^-a3IU*la$|19e?ea0 z(|>WA-h0fs`TKMrWf(woazZ-hLv4V2a^2T?>4F9ALe7U=0D-uof=`2b|6mNAY$dh- zO$h*giKImTC#WFj-Y?$Ez;^#jn&i1O-Z#G`Z}4I|`ZHbztmM7m@Z{ql`hHpJrD&_2 zTX_pmB!eJ+GrI$W5ra*1?>b~il}>`E{SOc*MqSyUeWN6m{57tOh)`-QjX_@dTO@Kz z;C;EBSxWI^y`Kx(poFs~)-krDV(r2I9}Uf5}OJ~|Km{~-EfTHwnt z{-N<4xC>ggY9Sh=lE_Xyh&tTfZ{?3$d1ot~J+Y#A;1}@SXmdzf@Hc-7uXKOq-Jl-1 znMlLf;Jpdp!6@^tf0lOh%eDN#1K-x+D0jEDp&msP_W+D=oD}|lRyJ^$+;s9xjF#%5 z5ior4Vw=5Lig8~wSc&3QHBArd=@=`56lL!Nzeb*H1!v0Wdu6*T9>FN>j*0=RK6IeL z$ij{5m?e7JssN4IFrTHp_s}>12=s!P?qAI<0SnL|W6QyMZI&D0GaY?0`Z;Z#E9WNs z1A3_E#!vnHCJB5rxgH=qlhxD@u)F5PB_$Poz$Lv4fC}LCpRi~s%c~hax=Doa`<*Uv zeq-XaIorgD4^5x*EN3yE968RhP#Y!q0D|+@$*dXxZEpPGu@uO6GeY>#Cav@&e(H89 z=B~H+rq4KK$XV5i;_RCWK!gT~a2{X)h?w!dV>o=8)-_myOoEdzFZZWvS z*C6ScJ%0+&+5`RhPS{=f5+%d@>^0;`bpDREGu&3IXoCB^TD0Y0v4d9&*|P=c7_5Tv zVE%$K;5mOmr{R#}oQ}gt`JpRMaRS7;WJz7ZS&MFsElh$yOso(PW)T%I6V*SZH$}KYMg+CoyjSc|2 z!a3OZDg|tSjLc;6=pj^2a$Wh!w|4ttZ)X`%ehe(&$yCO~i~+JgTOb8pla~fj158Pm zh5EHMIzE9o992s=Fg+;5=B3FJBAt7iq(0=`ubp{{prr2fT5>VDe$qx~TV<4waV9Th zk1VEDOL$s1LUuw?>y_Mwh2knKfSvpIER-t+a)o#vcmb?I-{nd;xSjA}6ghh#=BO89 zb4}&XA{QI=x7#;qxunJtHy>|{WBym^B6R~Wa2w{FFO5eGHWpS*=XJ;II`4HT6Tt(V zS)`&;uYpmHzCB^*;^m?3fb`}>*7J;N5($u22!2X`_^ny zYwV!vzGV(r6wh>rAC86(lEkkRf?>Jcd5@W2qxiAEJq{%-#Qt!8V?-Qx*?iBeBT?FiF~{__Iy;F>S6$FAFmN-5r+Mxj-0&2W zDE&C;RKlOsP*ZJm@A?F;!Z*O!BBH=nAam{YWQI~%TFKcMX8y6pO37RtmNmUVAAF8B zsd_U*XKu{~r-b(AM`TSC^u5gtRLnI_a}304nvD>GA&HQii!C!bdmX?80&J`PAqmI; zhKhl8eg+r|KX-n~WrgG?-Y3a!#%T;Dqi;GUAFefv;~N|thRYe*e=mABJ;bXw?6j{U z5sRz5izBF2H!LmL$Hd0U;iIvYSZucx5CqDqta-mN0Q4`6ICJ6uLdN-cqqbmL;O6+r zrKYB4xv6N~1P~ttN{@)6(1_CfdtEel@Uq`gg$O!hP_NZne8KA?aDC7w`Z}%O{AIYt z1|4a(>ctEk4z%M{*!~ShJ>QhHsHJ;B{=iXq%aZwTT&7IcXnk@5>a<55q#!G6u-N6# z>U(m=?)QBWfzU)R=y6!&PH9Pr>|gOlQ`R-b>hdmm0r?y?i+j#JQnfd*H$gMp8k4m7 zp1%wvDBu+fWx8CkL9tP0FI9?_{_;)!WS&0Ov8>iGa)CT>8TLo$t zE01~)rKE)1A_bQ^Nz((}{mb%o1NCe?byrJ;OKNc`a@H1BjadLbJC(AI%#1I3>f%?g zG`CE`(((mHB)m%yYR!`wuM3#>-+T3GgGFaV0@Cri;At9uNrJ=0Rk=M@l3~49&epcG z)PmdJ+{RVf-42OlLnBn1=(>vE(_5pb@6^opc|4mIe>&&*HHVSQ@cC=G>GiZ>;6xSy zupyW6!}`UVvAweyScOXxkC>=Xz&XVD2kBEz<1xCfN8c6$>MtQQNxOZ-M?Na=CPlIZ zbimJ@$#6;O3;LYTw9@Mw1f4{m^A@l;Zu}rFvaEg#G8B5cT|G$eB5Q5YeP0%+RR(Pi zo@wkYOFDs>z94v#7p{)_)1Zz0Z9#&L!e@o0BKuiwg*?N&XeYU@r-SkLP0OE;@+ZQL zV-&2Q_~B0)hy87f#{1*ZBGQKhD~aN~CT?^j(+{-g6^)TENbB7%E^x%MHLH{q=?}O&)BVTQv!an0Hrd}Ru4Um{fXvtCIZR+HEO7X%9A4u7PSU{pL|2KXnG?c$luXNT< zuYcZ{Vu@xT(cw1S<`?W#K=;&oVjeg`5Ib(4JdOm7<1!ijMiP ziqs&-^&mr3$I!j1e3&{wPYIWcob~LH4rM5JQvlLGDE3*GlkYjHe`z*fAv+1m+_ukt z7bDD5;Qc+F^homF$G0WMR$%DmPOXbvm<2(HYbv(7tskwA7+&%6k4V%gH0Fj*+iQt8 z6SzBPjkEA>k2d@d&k{Kh?WZnDOxL1bRDbenEdO8xhX+nK)SxN~68V>$+||U+0j$O%UA^ zMdzby9NVwhDkx0d@pjw(>pg9=-X(5%ajzrSW+8Z~VvDftC=xPaQqevR(kQm_9v+KP zIRR@GdL3&OlCD@fD3xGKZK(rlB*(s~SWqx_k}IGtDTXwI);T#t#N%)L^0q1`m42K>A^Fm&amI-GF^@TdXbG$sGxeI=WV@`>!H6Zd@nUo~*S^DU5!vMn=4>d;L-il>%ApIq9pL9we z&is~g<6Yyg4J+uFNtMOc2&CE`VF1y|$`*Ib8^bj;xgcDu;{XQ|Qzmjpb#Sj6)6{1E zK+zYZ&4xt5MrGa?Dbl8wGi!kRrl*;>T#PEqGhyoa!xr(%=1%<8CPbmT$t(hFeoV7m(iWX-_PXw{t&fa=<>> z9R&_iw?AUDeBXEV)Z}P;cnQLj9j~;8SFE{Gw$y*0e8te43Kq&_66B$J^VcJ<=9dC9 zq9Z2-?6sjsNLNQbNs3cG1p!pk9f`X5!cUIv!#R%dq#!Cb;vv& zL!YbIOc?Cz4>B8nokCH&b?ppx52wfsh_Z|bb&^sPGl|1n;rQOt@lTRz>d6Hpmet)% ztl+2;jKO2ex&?Z>%HP;4@yNPB)TLdMu$GI8Q2#Mq7Mg|4*# zz;OMid7UEQsu~`{2$w3sET#t>vDB&LS+G!+Yp?T6pwIhfr$8_Kf#hAC>GoLRW4MK9 zoO`PFMN^58qOkzi0x0+8qK2@NpHL{iv6gNky312mEV>U*e6uQ|NB zS^yk06<6Kw!dMM(qWM>@jqijd2K3L|K7AAD^nx_Me}e=9(Zgx~5wNj=UFtdcSjpxu zMrPo3r*t)R3d?3LR$c{q$`tG@L|pS}U~x)pB+QXcloUnQ0QM0adRc`wT-*KV>-A^s zS_oHwhLhy(KU6DpipEf6y2-#f{vp9X;%8qivMB5hs_077suU-A)Ng|J?<(#;i#ml{ zEVgF#1B}1ie#MbLs4MZ|JP7^xBd--VN8nEnYo|8?)X7D>Jz7T;3&UeaXl*gob-F8GG_w&9Y^7ZpMvf~1#P#*BC&vS1>XMhmbQCUc?$y9qLW!@}+Cb@Q z>e?D{_m$Uo@JZ+fbxVDhy3v%o-?I6TvWJ*O%lpdjCjHR)P4H$s<&e{Xnmjxr-gTD5 z)m1%p^$1H^L(X8+*Q=m&X_&HqEpELuW58cc;jPjriTVp1i)xXkjs%TUg2=7p##Zk~ z?#+jW%@p+@)V|Wr+|IHe^$Xi-7b!IsrI5ILD18!k@^X8QxDvEp0A0lR}+F1-4TmOV(amI&?+>rP8LS{hlKT~bZNa}1qESE-q^YX=xb%@t$o~Lj;0!we literal 0 HcmV?d00001 diff --git a/bsp/ra6m4-cpk/docs/picture/pwm_env.png b/bsp/ra6m4-cpk/docs/picture/pwm_env.png new file mode 100644 index 0000000000000000000000000000000000000000..65319f431258cda4314524777c80673da001a564 GIT binary patch literal 33223 zcmdSAWmHvv+b+83mM%d+kdO{3i3Lc50@B^3G)TjuLFtf?ScFJ-cej*ucXzYMJ@NlM z@4Mgqp0m$BW1KI?FESXDIp?qLEADH4Rs0}@h5j5J1Oj2nNQ-|2f#5|zAUHczWZ*Z7 zsGY!nR3I5~Q5Dye{U(SaxqAE&tn0+630JJzDo@Jf3n?K{ywR*swH%dB`-Yj(B%4NC z2a%TB+YZ6$gN3P5S7TG+(N>GqudXlO3s#Avn0_`M6dQIrYF%Y$AdImhs_eY{fH`Iw zfRRxyv^EnJP#BZ)K69#^R-54x1~q)_UDEsxW(v*E9HJL9R8Dd`8uUTk6E-*>_~F{#vptgOeVVs*)meqyFM(Sh&zG7qVMjKbEv?CqXO9+cEK0K)eN>`2 zh@!52@0=Cx9uFa=u%nho+jh%GmKUt)1%(v0EE^3kkh%j|Hq+Ih zjo9p(T_rVV3JCc^!qG3Bh}xjXt5xB<6J?kU%F}yT*cXC;F&J7~v#cHI*=NY@$>w`I zKKuNc=9)K2K~i%HiTFz#T5(c2=XgsM;!NO|6_)YP78hNg)%NHI9_F=gYdqVsjv9}6 zi}?w=>Q@P({JX|Cj;da%i)=eBpBFSGFVKh@lyt<`^ElOw#h->!*B%XDSzS%X<`>hx zmc2@&;ofie&`NIQQFj?>aL@{?M4HP_`vnPGot=F?Ia$22ZL`xWAs&HX{Q0v+@?oci zGHL%1B~D!P&WA9bFDqi*oRjQ9R~)+?DS4XPg%I_eZ_X?Z#4AjFqB?&WCzs4quh zQC$e}449hnZ>`2Fr9^qlM$O3}b++7&l=CC38FN`oJr;#S zB@}Byk_!~$4$V9;C5CV}b-P7hHU`4tnz4H#^D>K`t zEHgYA)px)eg;>QbNNugm$7Qf&VQyi|CwGF}B5{JpOpZZhMF*GsFg6Eblhhzd8!(XQOYLld0E{Jfm#1o`VHbdPZ@&zk1{xj zO1%Vu@B!AzrKC0XT?Y1y2$4&vn^QIKpG6U=?YwWydk_YmspPzY-{>Nt6qmR+L{w%~ z!iHQu8GQ1Q#qMH(6d1tOtWJ25GKCiBH=X7}hRaKQMA3D41p=xj+SLbI)0gpsM}I`W zlj>>ILJ?SXq+p-_@RJr&Y7dmnq}v$!&cC|LC@=1{9-G3ZB3486nD5!uC0Ud^G&o*e z`F+o%i7nji=m5@Vd1`ltHh3TFi;31i(nc34%|d92esp9(2}&o@ zl1+z;Z7_C?eP1hQh0^*!;p5!`>4>Tr2+rgl0+k3V7mgY?6a+i2^FReS{IXbIu=Ppiadv!~Q`>oHHi%5bxPdK{!$S>PVjej5Y z(EAY%kI2WNLYYE&(F`uJTif+LsoKfG6v$cFpOVrW85CBeDrq{e*?zvW-;xtkL?1pe z&2O&ndy?;cMZ%=>+2A;9${9Wr1Bu<4ux_mFmh>Jd2I zr(cW0aex75?by+H&+CO31>fHrIB-hIN{XDy z&gN+9#qVhJKQl;`YQ}X?N0$t0cU>NMf53M%A?|n}taG`7<2foE5lpV`b$rAHj$&+i z`MVaa2ChfSvxR4W3l}QZoERjjqWm=>@;|jIm=2jC;fI=V@A;R=E0|TnQl{N7rUU`+A0FUycsibT~ z{*W0I*0_2It~scg1J{Yij;Md{bP;-edBUc$->d#I48jqsjKnZz-fn}hU?W}`THbmu zmz~`RxA|1q{M#a**BHCeK`_2+|CF&yh;ul-TQdvtw+1G&jtQUF?h&^ewp=EOR(UVFE)OJpE=LIzA7d z{?;{@y8hZU;{aX~pnP*lFX9s4ZGN&!J++Hoszyt;CsW6agNhx1WnhNI7~RNoSfV{p)YkxSauLL2nMC=nFYBjwtU?0?Fy7hE6YY7tOzwIRBHLV3;52Y67t17m zQf)~>8;?L5%D*4FU21l2K}H7WE(B~Okhi@o63bRRK~Qa1xpii@9Xm!OJ$T)2aG_PQrsBvVh?=-fM8@G>aQ zaMx*Z@>0I{y0S&oFIp95d;@Q!){=*I-fzv!E1cE$tJ(T9zukTP9cad~Me}}c7dm#{ zLgW1JDoazjMQYV-Vg@%8pbJ#=2^Vvm1{(4uUHynX$AZ~DQbitSmG|&!bnCmD=F9lQ z#EVfbs}ErU8$CN`6~K*;FRTwtT({s3jp;obe6yK0uWV5aMHv%V?2?YTpC{N_`>D@f zExs5QOUFGZAMwJ{ZXnS7yeIh=5DxBfc&&Es}&*NxeQ=PaqdO!K@TIITnhx!0zI z33Lo9(1#Oq-bAVW(gY8l#!7##kp}M>o~D*c7(qZK!|v@_JL(%{HFXe&0jk+}y!~{D z@8*|KK5+DhiN&R4t!C9{sjlAdwrXP@A%B<#I?czvf zu~*2{h1*%knJfX5g$jNkQ!JAbZ0X=k=0bOlT`EY^Kb1;&?Be1#qxFm{dlofkcsRa? zXXQb+>CF?|r|VpVj^1l$m_QzTRWcm4n;+ak8d~+?v-R(%(Lcl9+m_%Ae0lsMm3h%h z1N$=bAW*+{^Kg>sRlP~i_Id@@vv5PlU;$QRvAfZDSFzPIbp5MOKdb4sYN3Tk#^a$` z3(480UClo2+!wBx;m^|&`OMWienCoxLY@iFcY{H1Ki6}|82!MIC#0(Qc7V}$5Jsnn zwo|0FmN^o>f4|4{E$Rs$EXgL|+vns{`9x}2Zm8i52$y8_L8i)&)$gZMWZD{%yVfhM z@z2MS_ilsv45iUKs?pgs+Is%{wywB0yMS;L_hk3PIpL(;b{rM2rnzMEi!1Utf5qo} zq6+(P*9Dh{Y;#-m6-dejt=iG7OS@b4T>X>?hmfkF6O2fHWf9Nt@awYa@6TbUBHp?u ziRbVpz97*1yA^N@&cC^sz+rKA42osjXo59 zRk>=h#^-sLVqitZOd^LYHC80E=l3pq@@}t5u+x+8Q_Jn;hNt&$k=KLZI=!VrJML!f zfsKm+ikYjDm9wh}#zTbZ@&fV#^#nT%ffN6Q8t$C=77zW9J#zJ{9lE%J_qlGJqmm(Y zc+YmQoUFCE)6~1HG#s7|s(`1_$nfNi-7NiA&~dMtPfPtb50`-zk7n}Z7VmU7)P<>* ze}VI535TI|4bFCr;Mzg}YmzFjt*K(2Bcb{@zJJd_0+Gs`bwfn}(-fTknqyRuHP!|y zk2=QT8dFVK*uk`}J}V`@4v*Yk`EpSo>m+F-{lh?a{l+z{&Vg(o@}To<_jaiT|9Ja> zc1H0E!W#X4JD>Na@L0!t(0<-Ka(2tz+d(>S5bQpw3{x_8@!Wvfrfv+!^)Qxt&>tVX zSP2|dZ(6wd0pG5Q8KvGUY&6s9Os38z)M}P&%V)ZLg3UG8COf77h}qIqi9bAG4jjA% z^U}{-farKu>rZIqZB2iuuXURHpsGXhh-v{5t;PX;c%S(Re* zYTaHfj&qsKBq^$29lN^p=&j$YqS$W^^}jlPt7gGT)tkN?pfbfSVJf7Ols~o z<1X*Ax({xCMZ%qa@}v!0A9i>+HSsDp!smgoAXmwW9sv~%`m&Rq8Y9q2h=EDqyr4?p z>=74K%5t9IX7fyx;F%w!nOuFG!)h*n^iB)u`r;b1x%YKIYD`7O^qc}t*KhZ2+Bl3b zs<2)h+gDxEo^t)z0N+uHy$Z)c%T#>baEivNx~FRpSTXt>;4Ui^AAL~U5qB`~+xd6& zAq2!-i{5@zzx!n2ZfcK$^{V`z1R<)}a{4h;XP5N9Q=s5(Bswo=vt)lgp1{AC8hKG+ z{7WfHY0hNm7u7W-`lX`*q{?Vx)m&lCwm;!`O2Iq9{|}|4Rq^w@ROlKTGpD$nzRKFf z1bBIsYsppPG3y!@M(O$?U*BSQZiAdv_za8H`|^!@YxacONktAv!@c7jQd(>C9`@SK zDR{XA3X^28J@nA1e|+%}+|5=t+!4Qtaf6xm^g@4JNy_Tzeas(yROTbGL7*ig>5A)6 z*)Fe>;Xz2N?d~J~-46#uiC1SA&a>%^P#JZN{j(R-sY|N|3KVT!=FM&R8%uDOq#P98 zgQ}pIcC`lWwyRA}B4v_GJ$RsY3b$KZ(&Mn?yqINy1o27r6I zx@jBBV7PaK#MlE;b!-o)u9uEFRs@l29@p6UYoOyc`ac&?;}ADQA*j%&hRaasS0b{! zJ5}&QYOyCxaw;Y=zah2TGBts0PExyuHd3xs#Zmzwdju2f4qJp}o@vv9b4q0R6jOOns<3q4tizb}275rUt za|cQdWuLAt5~}9Y--pCVP$HNt(OzOb94Ie!6qJYVXg8jWyIkv-!*M!`?ld3!QQo?? z?8=oKwNA3ZIZjOTX64Fj*6jm(6q@iTTFP$yNL1pv+Jln(=mWu69+(LBbqE~@C0DqD z`;nCN8odRO#lYNVWBqpvhU&kWIM0BC{lEA$R7eat$BEAk?45oLySzL7O)dFWqY_)* z$oL|Fy_u%Zv&Nr6^?HZK;>aKnk`)TG8G8F}SYI@noVA|6nU8?V8&8UN9bVQ|)ZtBy ztXc5@eV9x{c|SbcgX3EwGPk?LU-%@Hp(_O1-z(noI6UG~v?;7woD)qS5{;=?1WGFH zBM#DUfvSo3??`nvwMTU1h2%lGqEi}}x-c7LrDbNLpKF+R^a>VfCm^z}lV9*&+p!%M z`nesh=I@)e#c+mB#J%0*u@pd7mD`h>)QUc?I%zF%kk43`0Yl5FuOiJPcQ)1;LMt8^ z^m;7MyKF$9_GprNzsm@W*h8w-KTuzul(%#iWq+z>`x1J_MG$og>M7zqLO!-TwYZIj*P&^E=8P7bU7k(~SBMY@++EbZBLylSFy4Z{X5F{Hnl<&n<8A@7t#>#)pi+Q5Sp6>HE)x0b?L)VC zF<@mLUJfJ&qna=UnH8rANW>;(!QMfCPTQF}&aguDeXMbLygsP!EF=RfhqL)7NlA%M z>ctFt=*5`R9SoSLYq>-P?qvfU1I4f7b#lwel?dOzNsJI5c3o?PQ~7Msoj54+_gwQu z=J|@+SrM$g$NV|8Pj+G0SbGW9-LagHCeo zA5E286t59$r7?vtn1eFEZPGQNs$Iv6dRn`JeMmVSNF4u^JK6MJIfKvUi^>d<4#mOfh>De>Q(P)i(W=byn8C{zzv$O>yc) z9|}zpk~cLxRNN4murK*-16G8q7@_%R1+CTF6m(@xHfRIrDE>k(Wp?nj$H$9)kX-a! z9IV;9QS2OWnc#lq!&ziX8y=@70P*RO8l2r6#Mw@^EE>#1D8?x*ItEt52n?{d>F7N+ zlEZDAS8^hIF-oIPW4}qf;Sjk=SHHS4cl0dWBnGt&k$fntVIQ|gRQ?b+a10w%^DZpj z;`m}i1b1oYTpY^0MR~NzMpauQs;&1@5Il1^{B~K-+l|@L2iiv^LbO*97QV&A#nV0P zbceBsL|5C=Ui1fRGM!a0q~a~F29-*IMW{SRM5Lu0hY-bahhjqBF-~Y zdi$0^yL1;_lo;W3`XRM9DY+T$QR2h{g+=UR0x+pKa#S;#{sx@BuGdi(Sh$hAsUp}t zM$*h3XRc_YjIkddiPR=aY$zdUXSqFl)dg2=lprIF&~5a|kjZAofO=IVjd9yW=e>u) z*LB6Wg}5wpZZvEo)0I5M@n$8XX6CiPuzw zrd1r&zD~S}pT(_}Sw9CV2Lzt9L1hhS`+UzRTSX5AV;U)SS|bx)sNfn%P?|rods?=e zzl@S+5%WcR6|>OV&fMxto0v4b`R^HKM%$$rzW$NT<7YA8)L#T;-r(dCO3om`}=;E-S;|BZyk?jo|f4>pK zqEA{Ge#8JL#2a9uGZ*a`Az3}I5r9*WHl)u){*i}BH*g*d)?A<*^IJ1!sS{vb)$?XC z>9z8@2-xo~Db+P#O2H|8L)1}weq=%hpEPe^gF5*#Of%&>_#{rgT}yd}6Fa~Ulku)5 zDsesP^p>1SUw#bjJiwge=R+JcPlACx^yL^pV=DCS=5bRt2psjy5Dm|t&ouTatiIr9 z_-MJ5rbx)=jhnE=q`LWm>^PQ>%vL1wlPA}E%*~5;Art-UM5PlglO*xBfl?P+^`0{u ziR5ZXP5HeSJOphvVNfds;=#eK$-4MR00LeXW95|VNq-r(tv;w|)N^%S8#PoW4)=l$ zJ3sV!Hf4MEhn&^SW*T(63xPT7lAsl|0x&{7qX|O{PM#_CDOYxHBx|^S5*=DC^35+c z>@MT!p9_g;UevRZuS-m$Kn#e=U_2?G;7P{)L*G-iQrM|#mvW&yQ~5PV@SiZzBMA?!u$n(YoLkB^l8vS)@{qUO?el5m0Wp-@c z@8{^X+<&H<|3X6P_~xb|RtIUgphOm+6xHtuIQGAz^%;DqYOVDgU=qp$yKGT2gvgm>NabDssEFfWRtL^$#Fb86dXtCOGiRvwL8XMVF z&zscN*W{x5D9IO0P($dBj1s?83s82lJ^f0hyMTmmo^ zGCa}IWNgA?Z(Y(AjCmwUP?RtsxblR+5A(r5m_InKgUS)0DAf9>@$8)+1RmizhY2b% z3deEb$Ea*5RC8~oO+-t{ge+N0jh^k&0xc)eL?ZG?I}6IRPKp{b#!WZ}-zTn#sN@g@ z2%f(T>_2)~|4Dz)b>LPxxTDf;d-hHp%V5t}0wluL9kQDI=v}Uc6)2TXE!;|9X2sw~ zYG7O3eZ$DP-@CXr`-QqWwO-1&1P%3cXMJsl)JrCNl|hW8f6r!)JtO%}F=FgiZhOoq zn*IsFdW`tGer(OnMo9~36!ft3>r7+#K6?&6sE8*w9bGhRcKpJj^k}UA-J%Ecl|n^l4m^a3Q$qOk)Ww; ztd^J#igWXfc}Q87lsC@ezq0@o;IE8`e6d#ZXB5XKtkEJeL%Sh4)PeBX6jUz+qS(=Fjr+ zFWc2z>iWs#k{$%Jmp|`+Ej<&0-p-@Cbr~sfcnBaKTo>xgPcmMrYQ)CM$uW@Oaev4DQwrn33yyP&;m)wkzs)#ppA`&YO*HPKEfqXX|M4bQS+~f&fyX zCa4dr7!&kMx_LEl!qo&(P5ybJ81rFw3-ftP*xFW!REQka*XM|_Xz3R?ccWYyo4!>3 z``v8(VV6i?4IB6}6~bw0q0KCOu?Lwt=Cun6t6G`ISyIY@h|&0xf~8Ln)oJ=pd2g35 z?ragp5c8hxxl}%!b!C9Mvdml4!VFVzZ7u;mW6!z9!Of2PVTg#8{OB)Jl4NJ&c`arn zoQLW+l*bu~AmZ@GFHyh$J9QkyNsF0DBbXgITH2Y0A;Q(ckO=iEpXhole-9&8h1ste zIQu=H5Ht9Bzb5$m;Uq1B3y+3(Yu`wAYcASSP1?SB_`^DrDH0l+3tm-(;S#6Y1zD}P z#q%KE3>)NUBYVX7U2Mf|lE2KVvyGF`N7=ew8NPf7tX_im;Eaz=ZLeBm6P63=NgW90 z4Sz!c1|4(1@PjPlUH_8DFmYZpcYpk|X{W>iFr-r7(|2p;g5JXWXoD*quY8cwi}9r` zBFuTcLlk^MJ4Y&7jTp4Prj_ZvdONhtx#VZo?db(qc+0DOy>lkwg1LsdezTNRJW*(B z2KfGW)aSYt=jH%u#rX1rqc_{rf{=iLY&AcQeD5awwrA)@pMbTrv@soRCR8~_=8YRg znTkInLfv{bJBOS3dQ9P+g@7gQS62jglW^tIbcUqpK$FyyK#2*Ms)4ps`*N*No!D{J zFVi)w%9S|RNcmCYbfxuqkdOn;i zX$D%yZ!tRQf|2$VK5y3iHZaG`nbs!h7X?92?&W5_V{g&DM+bkc_;E6|7VT*=CSCyS zy7BZO@Jr@Qy-F>8=38Em#9ubwt+t_%4GPZTr4JgN(ggJ$eMO$qCkkuP$f)r&94haM zhwHty?~TQjG<@XIu9c!qar34TKjy-djSq_5aq|79E*?;e5J|pkc^Yrx_D5Md9BfLw zDy0)w0BP-H>rsw4edl@@wH*N7#k~832VWm;w;osy*=nwi{K243BBD{kLbxY2p!#y$ z8ccSv0V4zbM!-q>^$yh~B%W?yYJe=HkF`Rl0u#t2XrHamT!{#mTlo~7ARx*u&h$wb zluRT&>mKgDM=;{KfIE4wMgxB1UELj-ClQhinwrJ(2?iTDm-h@qQTF82Le#9oLm3K% zy6hgDMI4-PLOLta4VpJPhR#g0Aio*Bk)+3+H&}Tu=*{R_zX^{&7+(L8&m|Im|BEQ2 z6%nt`#=wwhrD?%32T{P7XO4V_0dh9wLOQ|qB`OV#{K$9C#AP1 z70r+97KmpVdZlOn&(DOyp%>R^!zp%Y?O1j{WWd2sLkaaD;-K!92p?djq)~SxdIHp} zga*(4VAcDXD+Q(6R!p>iQ6c+S`F<^Bv%nU{1*;r?%eTI1$IL{_c`UCefB&x@H{pqLMyWa>UUI%y6_>eW0@X-1rc@p zOuM;doBhIG$lLi4{JQg=+$z5>iX*ujTVzETqP7>R`uL3`BJ%xR7+=mwtZPr##V-K8 ze{A01op?UDgbdyk-Sk-9vpHZWV%2xMbNtB&J)UIolOHoIJ;Oc!BG0g8LUL?iPOvY6 z==H*JRb3qON2G+WFY2s7+}WSso`5dQs#u=xsK1WFRJtUcRj<`pXqmX@7h=_Nz-;+5 z##@4fGFIN#@TP;4g->|1LpqqG^wX8Y&Ox7Qltug%wx~TgkY;;NQTTv^g3=2nCg0)N za(#z5JJO+MDDCZ^FRK0%?jQz(dp=ZopR_S+JT2Q(x(iipJnq4I4q(r08YmDaqCAnN zQ*E_1bNk2q<;C>rZvPcD%nM4m&JqI4yPuqYdTaw5;I3JU2R_{umTKu+otNwYa<~M? zc$Pkx{f&=lK~%Z*N+~0>XS{S-_|NZ*Pq0%lVI5=DmZk>|y+_i1renRt&q#R`Gi{pyoeti}qh|CF_w|L4RF%bPn(uU!%r1h_> zL!~3~f6;~h04Ez!KPNv>zie3PQo0I0IujzK7yN~+5k?@HS&>Oae40dVN;vaoI*gV7 z)2j+#0E)#fJn?$rEz?1m)>}D|3|D3z?|6=O^|ANEpd2${`iCd(cjaE*4%Na|I4PII zH-gYQV`DF5wnI!$yWe|9WdW};XR_mZGY=y~bHPsi3IgbHfP*p&lY3wi%o?Nwwl1EB zvFnWfSJR25Z+8HbW)5PtSFX7GWh&hxm|W$fS-oY{=JhOlm9hmZG%*J~zfAR`vsDKJFW1X4N9Mu3l=C{-r+80*2S{1= z{!F%KR6I<~l}Nm2vZ1bdlL}UtPJ3hM!7AzKa5kjv57VL2cB&&Lp~psE)Z!_&(mI0Z zQL@R0{jQFo*X+mvA9kN1WBUjZi{6S5d0ZsYwT@|8IC;R!*yfb1Ri>bHS0nYPIXf;m zuUlFYIQ1-4D$MT7Gg2Q|t1h4fUOF33fOm*^(q-iXjlKv0X3Chz)l0j3$t!5HuBS+! zd%Cs1KLLqN^x3BCx6$iRwXbRtX#u=l?@ql=$E4!!q@Nw>JF+$RkwusF-F z&lMweIC!&{%*hKELMemK^k*+93@e3Ud$_B3(wWF@Ch!(Qh>&y4csHtEs)mc#|BdBH zTW-3ZUGz0V_QN7gdo;o#r8IU?l3quUqyvj|OTQdRLf^2SGfYJx94C5)&xv+*O+PZV z!n~8dzZ5nEpV+1NqeR&>gEZ98lNp@|e|rojrd$?6^~RVZ#i#%WY(6&pWI@#WYP8t@ zR(fJQE$Vqtvhiy>*b^s^A8U4T64o2LqzxXWXhORDY2QBTdJb58U8e}U>%Op_e8{?P zV~-IDcqRzsV<+zBUs_M`bhDHD&n1x=^o_z-MdNI>jUURe3>3N*YnHuILO35dUS^c~ zYk+?31TedNh%P=r!w4-N@A*Kdv3E1rQ!~x+-S!t!4-J;I44O_?XYo#dBf9?eJBWYf@W95aUNhxMYLgOS4jNi?wkP@+Uu!% zVZ<3unfP!1V!`iJAq)rl%V1Q7KC&5B32y*fXb?JM zpa5q7)v9aYXM~{9_=0YjCWt4cd;*RaCs{|lLfxO@IIDd(PO-?y!9>f>^S379$MhtF z&Cv8z{K1faF_<8L!JIy3S}Lx!k6FUH544dJ;O~kgo5qtkv%9FPt+CCU&T;C__dcHw zkXt(_*#+G0r*cxF&rZr_9_a{b;a_Qp`~a-vBl9-W&Y@StX1J&l@9%TI9nG!m;_^bdV@|xD?>k= zg2*PC2LJf&gb+T<^ZZcn4(L+K&wVaT=p>(EA0i~#DR+?sz#&;PpuLK zxE2Lrg%xDJ!OSwz$s9m}dhYL_m=QE$V1jV=yRZ9r>_#y?2&AFS$=44t|3Ln!JC)xT z)ORoNsgeS)*DYEl!l#b#5q|)FiopxVmnhArv940jo>%!BoJLCNUjLeS!th8hwZa=I zPPjw5M|8&f$9oWpk1Zit8SC!^>H8uY6VVNKzz2qItsr^2UmYbiB z!xkoo68d1MWyk*8NZLG8^>8>JQk46b5qx|M_OSmZXEp;qU%YJkafmV-juK}nw-Xd~VpLO7-9dhlu)$n+<&u5tG9FUj=) z%m+Kb3?X2&FO`m?1pJWp7ZSxFTyY}AhSAtfc&4HBEfskVWq~=x_YF$3Z*7&uLq@J~ zJ8zWNS=pZ&JXEM#1U>418;_zm6k`9K7W@SL+a-bjzfZ9J|Kp;QlG6nc_rVLR=46ql zR+u=Nq9QsDC@iw;bOG`2Wbp8%ZO!6X*)H8ojb~ z=sw2S=CrW^!Q4@3r8e2blha`%808pezEO_6fz%MQS~ zNCGx5+nY#^3gM{cZ#5w|O>|P4uP(bsR5<|di0_jR4tV$^GOWStr^lN>6_V)(#IhM2 zCY4wEP*$Wdbwx!=r6op$^BF{6+mQOSHI>*&Bjw{n4Qq<~6^I#l{dkWf?)wgSjp
    h&Pe|o1-&m6WQqAd>KsIajijMWk6(}^L+ve6~=bzVHc*O z=s{den*4-vlI!G!DYT-A$**V>lZD%P*CY#@r;7OCQR4MVYNAY5^;li{@u(whgymI? zhyz?MO$!jlqy}y;9u0IS2r%TN+(#Vv9N-5rLG07``FIPG@Bk>fGN0KWT!`3}82Of& z{q+UJUA~*N$qefE8<>`>FG@QB{R)sD&~Cw7j&C!5YLDoTFq#QM$r=$i4vpdxf+vJ&ygf6RNC^jGl6m%EfN{BuDJ(x}`2p?P3-r{r%JXi5 zvq1_f8v|w?8Q91ncs;`*KS1EGE=IiaL+eif?yFcNwBQRsz%sL0FGn7KN-BzH*fL%y z+baikU^2iutr2YJgmWT7riWbGz5u$TP<@Bf8I)DHKTtZej)@vHzqEV~L7Ui}p&z3~5O%KpDDHzqO;WjjsMhNT19mUe`B+e3B#;xdx@ z&i6>xW;3T@p-`c4iiNPtb7i^fJ^B{ygqtLwM{Qdk?MH(Fz~OeROKmZ{$4A-2`jF@d zQMXtrQ2u-Jm-5$2x)fZX*>j5eL8^%fu?ez# z$7y8$$OCl2`Sx7c9@#3)M|j!4D)(re#-zS#xZEoDJUiiFy#@F-@mkL(Di#f?7i`Xgzk&h-^EF*G0aAMMVW(1t z`~d9tTGJP>Dg}c_`bZ+Ua0shujt6=UGUi)G9-^DvX=OkDaYPt%f)^qWb@}z#@mBx= zSI{XMRToPKd)~r7stK0zWF+$qBUv#+MF`<_;AO^17*)*Jf`1(l_hozj_1zI%<*~@y z2uhsCb_PfNk_YCIES#HCc}frGP3~R|br-azsk9t$S{%M{k2M*Yp9OW|U(PaoU!vBO z4;ZJ;gUJoeBmQk(muSB~3{yy$@fSVg_+E}*_)bIieD~Y)@j$o;buHV?%(w`wt}Z8L z(&4diXxlZ4_N>pe8*%ZoA`DF?$PTLpV1mpG?_Fy5L8>Je2P)$OR_xnh?NmsDW zpIX-&`zL=p*R-LXBCihKvrv35CT8Kx`cl2Xtc4M(_%LeeK|}<=w@Nxi$yR@EX}jfs z!n4rgYFR!R9;i;D1QkJ*FgQs>P%%=OpDTu$8n;Aj`{zgBq|lFV%{Et8auf=>hY|nn zc_CWgngNrE>1UipVrxXzC$*G29PbIFdDR|L`%0Qln?(0`^8H%iThT$+rSxxtv136* zKJ_13E$a=KVCVm`cImEI^TQ6**JOnYUjk9AI6kO;L3eXf&ISbBQY1tXA+3gt>>;L_ zIiuxcxvQ4cH5U1~1jWzeg@#6Hhu#MNvG^F6h%kRN%;A)>=wC|5>f7)u`0i@KOcG_wSO6j9d+j$Q*0Tf;VsCS| z!|0GsA4<}}$kynk|Hpu3&?FtvXi=eb*QnAD#ekK<0im1B&OSzDY2pA35NdLY{)$wq zO)hT>=Cg_rmu>iH1nXyHXg-#0CuKw&(#jsad~;1$AMv;MYyohU*U-6^Ns*x4wIVLV zWHoh80jeO$4>;-m5hdZKa6svCOv>a?Wrr)cgRHc|(6%_E1eEu>uESs2V-DWbwCkHP z(TTrn#cnF2+WN^SMbvCn7N_7%YT(f}L?0#oqBf2J5+m0Bk0>Erow&&w9|%?;I?p*Cg>Uw={pwLN%Lz}>Ufq>x3hm2`SdIS zMx`qH>KZLMXONLeq1#1vt9Nj2Q-op*uuyD@?rGMyWsL#Jh&gV1q?iA*tHP zkQ*J&b(Rb6iCJ#A#> zpn2qQz(>KF7qQ}#@LbdF7afOJzd?tSj_$|*kn(qST+S{b{a2nZ`j_la;y~O2+xQwf#QqAWUuNitlFmz zGW`D{-(J9fAm7I{FW&FRn|oxYqf;CijIDtfgQcDsULRpLm;UI7)~$-?f-&#iF(R5F z=aV4Om+(FsU<}v`@W`gcFu}z&)jX5-Sz(WqkL6oTXT2SS4Oe#{j9y@Sfw!rQ#kbvy z_k>D%VNT*BtP735JYUjTH0ujeSiHm54$P=Du*tf_AQ>p(-7xRL`gs?OSO>Vtd7(bzMIQF@h#k@CG@p{LPzhA&OdYtrlfyeKJxVZd* z7~oX6<&go0Fj0kYH1JKQZSyG(ub9=Borss;NY=c9WCJg*KlbyV%cHOB3p>(M{w2%3 zOn$U*Wn+nqje;cn!BAnpmqXpc(&A~oC7zofg`YMkb`T*8@Q+F zr8F_~o+ri=i6-m~Xlh}H-qy%CdsL1un_gnxAk!^e>Bg_SV^d#kBu7Rn)j615{3n*{ z{U2iaYQV7ZCnr459L}U)vCX*#yL;*l>v45}HklG&IQzKO6s>b`Gc&8)I1$NG zu!LX?;mY@+lSk&Q@CSV8wo8egbwKnEw&aMrB_^8xH-7uav0tR_%)-y1ma((PDYOdm zZ~qtM?hiyS(?ftE=Hn~_o?*9Q2B!yqW$gT$gwwyDF(E&R1S}^?_$e?$PQysE(C(iX zCY64haLwFTvOlsL3 zArh$YBSFe)u>xyjraT5Cp8El(If-Ng-kEYj+_@MC=57?I`7v{{lU%w>pIJWFI}x0^ z-8hjX^?;v>;yuqFmY*3FF&67=Ui9W|e_T=5Qn`6%ZD}88;*SW5Jk_oXI89y?I+*oo z&H!jGgD(45p@7|y2l{cejTxceQ3GvO_TNONzuV|kyOo$92aAxByrBJjP4R+w0E~Fg6PeD(&A{0GRc`iJiDZ-e2W3IQgWU`kB+b zbv&A)G;mJ>2GhGGUaewnM-!8+U`?x>B98Ie}7{fx0aqEz+teN#`WlfURl{1bH>r=`c5j%V}u*~eCsk|Xk^ z$dgk(dpaI?iioG^EiT+JM$$~uzg)#FvEl<0!xGU{h?rtIC)H6*$?Zr-{=Jq?Z!lIb zAQWgnsBwtYQ|W+2rq}O)=#}%kCYY&RqD136;*fp1WP!iAB%`Qbmr?fBM;8X9DVFF2 zFSES3Kr*Cn`*Uidp~BSNZ+8fOR-VYXh-P;s+AW$gC9LuWb#lDfTSA9?FG|G0f&-s- z`=!LLF3%2Z!^^?e6X1X}swY5cedSa$Bj8L}qWP$$2qG3@{lXA-dD^HS9j47)rLC)#{OIu0);1x&QA`+J-L#zv4CYNsU^{!?1KEba)lZ53*S&-%NrUgPo);CT3iQ(4zvBcq z?^u1tN$y6z5ZhUY(G)>jxzd+1xuf;aK0A;Oa^{dxwg`n2Eh>&|+KR?RD6H023QPFWhoi~~r zOmBiO3VKjh^k#mT3kk#j)3nTvS zYJNN>#ok%9a^d&U8#VB*-<);hDaUjSf+;IZ)wMbm!)I0k)`H+i&E*r>Is;tFZpP8r zoHrx^?@#HVb>IKF;olh4|2_pC!YmWP=w>sIBKrAk-3l{c9Lwyg6G%Ya3>^dolLfAq zV!$-9#YqQc=7<2`+_yYrrIuQk5O!puti+`jb@jO=|H!@lJTyaY*0J*}KFJaI7GpFk zd9=JAYZIr!YEAFuemwKRh<5fYw;RAZ{rl;Be4JzJpAyyef+nChJN!;{)jBy70HVBc z$Jp<)8JuG6QzCkokNoR9RP~IV4w6HOaAR_0nP!t`2{N zdmt5=;^2Ef!8&QSSQ%m3nK3Ze*4?Sb?gp{qrtH8aim%SV(o@O=>Epm%^D3A4@4vnJr|;B^GB(7YiG>RgyPXaj%?_1PP!&4Xh=3Qy5&4I z1xar0Wthw4v_;GJJ9F)3<;qh{!2gTNR_RTmH8;JQqIvb2p$~Dy9raH#=Zhg6{b>fzB_kI7YMTNqvpVo@lXzx%HER* z{cVwYfJDXrT=e%+=!vncOw}FdH#UF)`Rq zpRVuQQgveKO%qDr&vN4`>?l*+C%43c|5&`6QTw}GO5VV^Pr>zdWBP5a_qyu^e8k&5 zCHB?}?U=3ty$qKoTANp&9y%Qyug-19z!KtkJn$riZc+jX)wUS|@6PvP?nf`B-54r_ zoDfrv)E~9|jC6kd6m0%{^Iu8o>U%K9Y&k`oB0;jkjNi8{W5%H@7oiFKKva68*y#XD@|ct^CQq-Rguqy z>r5ELZsF3nH1mG?#|jvU^5_>#0Pnkuj2Xf_vS0&`goT^j0fum&p|TtaSkD?;Reu6 zoSe%I1)dVCp5Ct&q_>YT)0vdV?PHWqUTzK6los6;Ug@48eR{TqomO9Io%H{;vPP+3 zVbp?Y59NRq&2l2)HTp`7(+ORo#w@VgDyUPU(% zI-(iCTfQwgw)hFxFm5V)h8fh32%Ou4?zPA3qPAJi-xY$R&mKag&?cV`#KI!iO`x5O ztbG>V&?u|zd5;FxD=J9kyW>F_Jbbimc-gb(dj;L9dqfGN8t{?(EQm+$JsQ;(FzB!4 z-NJm;A7vlTAE3)H5tBSTP6wk)bQm}>4!b^h)ihFLCtL94EQ)kE<>W6U-2*h^Fw}ps zw~-~(XLrBk3Bnl?`}{4udcbk5cQLP~VbH}b|^3 zhbD24&7ZDWfPPqd(0(4H9{(c!*wXjlyZ_Hil-ydN(YeQvSqeK*dXq4;_fu}L zsZ!>c!NQf82B`}k(UaVv>3R3)w_*6S3BWrYwRlYKjn=8GA+f$CmL1&1+dci{Xy|^M zuXIG=3h}&WdP^|*bm|v7hpy)%%cNlmbXBwvs|&|-#xyCT%3Vm-=a_DQ^9Va5u}ag= zaKG{}jv`>Isk9H1PIRWv%m?QL&a;e5^Rw=N@m4{XsPdo49{=;r)>B7SUTbGA{V#FhpH7SjU*$MIrt8x20?W<|`B$)7>K!zR!#_l#w1i5Jg%>YFd?txI+$$@fnW$&Nct zo5LDVjT;fg)~m%PJ*!V>A@5|#Fc9R#)1c$6nz4+TNJ{)##r*b~B~mYAJ~%w$-KWE( z3WzQK+br*uaJqpAfkz}Z(C3%3s&XHWeFx@{t948%+a8R zB!rGicjCzO{JHQN#9N_S0leI>WWWauB6>CS^{my>N-Kn?)k2KL#F`wVSDyZLUL)cUMpZJncT*jye=8!d!64}beYX6UV9U-y=PgBBzDg0slDgD zGu<)df%G*1J9}fF3PTd0e$D;6Ai+#@E}nd#*Hju}i1tozD~a`Lq0CYx&ko;544lE7 zJ#M_agJujJy}7D|c3;ZEX1VTzkrszk74Ne~T7;pL^M{;d6PO59^PVacq3zck#82)5 zA|wMDd(4=8Lu)Dr;Ph2t!`Z^75HUTs>f$lK;V)xhP;t{Oe2V4r5S3BxNxuDrNKWK1 zx6noniSYX*`8lC3H>-Aga!@62h-0@F84Hv~8Nn;4zDTQW%k`uMClPQyhw1v95b`*B zbO{w6=(n!zg;qaI4E)A_Z*vv6{z~h)=tYMd-F@!Tb5>Wth(9X?Lq_DwxTpuuY&uw6 z$@V?F$T4M}2~L|1Y$V_AY%yy?hGFF2v(4IL%7YRv;U1h1-zdAPv6;?+V(&}87pgJr zeva{*=X)3nU=8OPUsU(r+Nlq9tW#l&?+TlLwR<)(6n;TE`UxA-u_`9?inq`Uy729m zS?8ipLma$&(lON5R(*}QmU|Q?`(-*Vq44`DGrkDlAG~UJCK~D~+4Kt#jJCjjr#52tz8L9vhHv|=y1*KuZ5yjs4BZB%{1syA zcC&~#JY)rS^0G4Q?uGB^n#uYhOL9s-2#G4V|M7={>UMO7fXFSV_A*v_wnPh3mac5# zqq{IFho5&g7~x2l{vOiC52xv1`5Df7zL6XC849}5fA=;M?H`O+Q%H#kS@Uic5)CG& zn^l8Qdl$CGN(Ioe-=YRz(y5T1XTc@R`k>r&tY>Nbx2^aE{q`-#Bj_b<;-JNdH5Pkhp zx8&4$i>+}d_#rsRLs{~yl3i-WBm$8I>akY-P_cZ*`mkQP*kd1OnTn6$?btJi2QP}9 zE`d!3=@78Vpz&*GC}z5IDX#fN?+eiPz)3UV)W$Mr5-Zc5{kaobO>+ySdjO|RJyiYO zuO}d{@On73^k9MfGHF5RM@6`rtzpoSSb=OiuDe3$KDfX@4dJyw|_T5FSDs+@;C0DufbCo9K8#h?aOv6D}J)xgc`lB)({^EKNJ&^vm#^eva4yE`ENJ*3x+VqQ zY;LBE$Vm8(yZ+K=As2XjJO8KXXQBh3+l6N+CoeUGc^$GPw(eI(@#$b^1M>QHW&0P1 zEd{&bNnKeFGj&9ow-DJo`-rpPkO&fd=g*Z2kVzSETttNmY@bnx>&Zc*vMrQ_jVbz? zVhzNw#WyhX?BC(=Y7x04&7~_mg+(Q3mGaC*bRE>=|LPI&#&E>l99K3)(u97B5F!~JZM#a63(}(;YQ~i;%a)Us?JFj5rxQ*G{7BRp_I9R zoKl#Go}8lEuutY@+op^0I*|}2p67^tG*yj`lacRt9c7uoj$IW z+}Hz4p>e6cVB_+_5)vFvt>}lPMv_*k_o$LD|Hlnf_Ym*~8 z#0luK(kAairsg_(L*t$(fwWi#r$LzP@gAp`(IdR}dN^>A-IIW`xj0igj_` z+X{jm(&JAk+PYwIiLH-HkM7>ZsFE_;%Qdfe@bq?a7-0A%_S{%ViRMgSMst3ZZSrD( z@L5o7K|`W-S&os&+w<301Dmo>`;JUiUCv1F&3=n35={C|9aNwWdL-*2Wk_+?xl%|y zxLf?uX@HI9^^?&Wt%z4f6w#3*cz#tTK+S|X6?L+Pm6cIF08;*RLW*Sa@a4wfPdCv7V?Nly) zjcr*EFOGdZj}2tKbh0PBi$)^InycLf_r$%Vw|gy?~S>N#jd-Rn3}4E=R{= z-a6~G80ohd)n>UD(bwuXn$^`YIgj?q(n4AGExj=b$MVC8?zYCvS#-(CeW3V|34eM+ zG@7nA6}^RIkbK*UG^BV@cAKRkSLtZ+WwAIb21ZmH2@=KZ>0pP`E04-iS8uaZo+7ZE z74C~=wLNW(UuI+e^IWwd5moB1 zE!})HA;=e0;#%qyw99-qoEo~DNG*C?eD-wr41kTgT@-h7MaNg=W5)MUYFZu~0Sa6B7 z`?pL+rA$G&^p%8hBcQdD`<5nhopEA5KfMP=z~r@8w{U>E7Zs<8Pq%KuP1DLrdnh?w zlKiMN*7)j0GCODFA`41l3cH^1%KCoG*e@m}a_N~4KP1uy!T&j%Fpg>^9LdAUzCsp` zor+8zA-X!pgU$64P2?()l=7Trr#&_@3c{GGG3=yN2T`z{2yu#1dir>a99Gy@J$Vt! zL-r{w+*SE0&XWE($H2BJ%p`1lVSKRuh|gwME%2(5IA1Pm^;j2}gGg~VyBE%SUWk0y zVG2bBjyeU*bY|1HBtiIeW4%N~uhms)K+uE-YM!7IwqgOpG!$JWih| zUA(_|*`-r*_bgpx*Z*CKgrkH_J!8=$6*`AV2yVlqaLT-{3sD5 zeCAtg)tQ;GhJ>iRQwA0SZp;#gHGW<;fP5l+A@Ba?gUTJ z9?SPi;T%!^xQ7I0fUV#o zgnFQo)2jfHvSfXbn*Ld?^RsM)`jZjYOMG15MPlygD%iV625aHM!Z@l{4LM{Q!EZLS z@!=Dkr%s&F%06P^=)Ct3J;FbSrCXwbw|{-3%n%D8{?~sJeXNJ^J0c8QP{yQCPK-Au zGgKx2Te{lJBUY8E(4ZbMcfL@Z-?v{_-g!J$IWyH2lcFMjFq9n+c`yxfxv3VF zjgcl%YFSzvT4^`^%HLrn6ZfdkTDW$jr6lPMDQy~irAHwt zHzv-)%L&&98G$_5!DMGM>lD7Fl8OAuTI6~Uj&5}XiS7pIL`eGu|3JnvgLqbl$6oX@ ztqG7Nzf|7vBo2#R~Jh&Xl~?+{%};kD1RfqfgE0lJ8g78_>((+ z7f{{JigZQ%eq$T*j-5vnBwpvxS5jfvjeaz}UzeO@Iq}Tzc>nZc%@~yb%pLWd?aG|K zBEKGgGSw+3D7l)dh?lQO(#`Ocf{K`*UzBH?i5V2S^r-C+PtQ12kwvg;0+3|qJCrL& zoztL58{bh476m@sFOSNjn+jAoZyRMJPL6a-**861?ji7ul%eFTzT?0EW^@un?E^cg za|+3Bv$CVI60;nEW*qtkg%nb)HnAN2oV(>!ts;B5nq6wPR12J!@6*iTw1)#^vC4ZbS&vYFn#z zzZaTGsEhGLb-u#~(R~G3I4D^;9l;fqv|}mDal9pT>s>CYsxdO@jN%{yoGzPL1Hn?F zn%#+3O-W+=cjdPl1t$LS&B)JeT8Z&|3`Wev;7mo4LEWYdIxzVND@ z%j2J#gXe2GJTwgmyiyNWHNDKyU z5+w$e>CobGF5VJr{|<)!ngR0vPH)9+@1jVw@pspWPIqESL$Qf zzqbn}$#5?rXR)dX=`}8e4@&AK&Q#0^n$0GO{~U;=bW9dq{1{U^n4hS$Zc z)Zu<^YsP5xv9@Q*8;_NBd{3S>r>Z@9iECKCAq~}4s(>(tE6v7!b5m8t3lWY_#F)`9 z0)`2U8yJ0?{+l~WYh zUuB|jXA;o!z7$C1W|*XD+%)up_A3m^!)T0ZvtZdc-PLQxpE`L008VuJ`MzB^$(ms8S-;+`YEb@}j)A zX`wDZOayo{y^5N(M3w=F7m=?xS-I9vigl^#yS=zZXh6cQ3lKzOB7*rtCyXjWXRpel!Ktp z9Fk9>=wE&bTeE%ev{|&$kK|y4<4Hkr@Dg7~dIA}eo1y+}#P#BLHDz}q8st<$Ce9s= zcV)|}BPs5F_byy{Wk<`I%h*k zXuwo>LmfFPs_IQNP|4*r+tqVAYeS{fsi2v^Ze;d&C1b-Ug<9LZyJDr{7-LNWwBkF)FSf{h+qF%z#rBS3^8`-QlHY=y$>0QhEX=wmT$V zWvX^Xnc0&|6{|n0oTp`Jm3l)5X9|dFc z3fwCs%e2|by8I@dOK=dpv|Xt5LHg(4((4Oa{vqV$1&=}M@g0fMaO}55S*rhKwOnt~ ze^<9;UzHQpXi-5gIc9-RCv_9tI%Mzr3W)ptdvaMau`I;Viu7*Aai1XfM10;QKSKNV zr6kOQ=wK6Zf!R)(NzI8>UYGS#cy|_6Vc3`YTc#Z-LJUoZdU7&_JK*LWsrWs%u50dz z!}z2J0>}8heSPzT)adr5MSs&J;&!TuJ|)IB=_I0TO3-XRmm$aRS?kd23}U^WaH9u! za|RlL9ez>8--~%}uVz(p(fkXJ3WfwLw|XlLWUAvhy6zNwIFh(L5X(V^_6-)02@>+_ zn-4~5$*UvlS1)nd1vvz)imTT+{F%Iqg2M2u_;N0-hd^WlghJ?*TKFz{>?+Iq>dTT4 z(;Idnj3+n|IJEVT&&x2I@x|nnj9QE!Xa`PXxT-vg%w7^4?^cR@V0WP*4J?N^nT@^? zx+h44HUbJk?>;IJFjWx8qOIo>_Z+4$K1yyl-}gH^)iF&2bpwLd5~YB!?Ou$q=W}Oz z$Q*O$ZLS-fRniwNiul(kTB#pElCd4XQy3p!w*zAcSW|Tno!-A@(npiN_;a@>fGQIV z(|kj~AkX^j%4Bb(LjU`2VN|Mw=VsA&oL6TBB>7$Gv$(+wmq?kpei%Vw@RfK{;**}J zD$3?M>tu3;&pVH)yFt=LBoxX+GWI7JW=W}Ep=(%E))X8EO(9I-KV6Dic3styOV)+{ zilRSiPKTq#mFz1+HcOH@%gwZ`H&mz(v2A7DURAg zu{Va_PN*N&>!(kw(FZ&5{{(Svy$I1|4!F47h$0~DEGbh>pRSFoIWKdxeD~oRIAMIE zlQCaex_mFo0dYq$NQ!ZXK6_b(SzeO?Kpj)~UsksB!gl*f`v6o2?zKD<5QB5=`%2OsI z)xdu53N3%?T4=GmAj+b`j2Na$-?-B8x}rb+?lxBU(alkptjo)!fYf`2?nN ze3*T>9-idAtHOr0QgAi1uCCJz`v`h23AP{kR5!}QMc2pDtgDMmKgBd{4F?2|=w`9R z+A9_T-~NA)G4GKUu=hHMSqEoRb9m`&y>wbBRPB^k_5W-b#z(_)d0)(FuW%_J!g+yQY zP6ZT9{#!&*bC6{u-L`S3SQ2ZZcY|zK{ux>xw=CsBPZOC?*o~EV=U$yF|4%4oY7QzG zPTYGA$F$yGZxXEBzox}o&y$tS{Gd0tgeysE zz=WCEq@=K(P;^%&ZY6~+Ec~jsF=LW08}ixL``Z9f!Z7`zSjpA+A!N^cUA>`(YrZg) zcl!~88AjrR6 zT~!pP24iacql>PYt{XG_m-am1w~I;#XEcgV1V3FBYFYYw%X0Iri(nM&)YS{MD--*MGb#lQy1?xrQLpW8z zHg_5`&$fcayxR6>PxH&i zQs`)X;{Uh8<;BmX+=QRAg}z4b0mC$)c#FYE=ppdgS!3i?_b~FztlH@X)UrEHtS{Tg z>R*ZF3`5%($$t!)%hUgnbE__iwegRn((7c~Wn<=(ukfJ>V!f{da@Wwj*E>yWSCvg0 ztLGouwf|f%|C4U*e?c$zA(CqvfIBAS7h`R|@QRv(3x>GVy{80Yxx`~f>^@N|3F?e6 zoi~jP8IO%ThOvqtCMOJ#bzTM%Nq_!{zf!Uo!^!=c^cSf*>&bG>A@?vfzEuaRgK3 zDR>zj^q>~PZs55|0SySQj?fxFV<(hEy~Zo9XcH##KSkUcM0u>-uVQ!0n*cNBSkk!# z`hXj@XhK?~-;l8%o`{-J80I;CQBykQQ0LS8${zcy1arCpZuq%jq&nzVHW^3q{}5Qd zVsjd$6Gn8W{#)r}4=%^)2?pB@t**8y_V3Qmw(W0sQy7DkTxsw&W*+_ez9`pmqz5gT zwCHNRmu3M#5~6uV$YxN>r@7ZzLAg3*-OrUeREwym*vSioU_hbdat``Ro)?@KTqNZw zzlW_q55dk9jg&^QULnU@?eOd>BMTaKF8y@_E!m!o6ho0h*E`u#Ahm5TmQy1?6i*?= zeWD5UD7%~sie*;*d$4i>kx{_L5@z^vCO3@)uj|XuZ^$(n{B)EN({0s1Hi)$wQh+tCQEms|j3UtiOx&IuC1Zsy zil-p7!-7qw+P!S4oM~KV&S`e+x;mKF-UOsDYd~<){BxMaOJajqv(LSexl;+Az~;N_FwIMNYKCH*@YkJ(u4<(PcMfKGxUJ z)l#mT-Ylvvr_dy(SqCGpsQK=NFfo4Xz#-emSCHeo6uYDLt*APQAl(_$zjbNraW?4xU6)pVuya%E%LLjE<*WC==YlQ; z>4i>NhlZ`{;ys@2CmCSFWRL9GVd-$i_!T)sM)6Q+5)NXBpFE>Tfp(iFS-)m<{aq=^ z9Iq$K#laEoBQ)w8Y7Y)+&DtO`9!ZSo@fgst5Pv$^cI&oc+d{hnwegRU4*{cI?`=lF zGasauOb6!FX*7zHw#w!{YTTD62-dj!2IIy@8jtWc)l&(#J5GP~Ywx z)5BaYfmUBUE1+*WB+6|$oof=f5E(?=2y~2CeGTI0q3866*6pAY^}vr1B9(6qZ=!>! zT^2*d0`Lr-=zci`@wzAxZp5sJ5PJut@~_v->5@}`sMif zDgN<8_7%3 zNJCQ>mv2^I)Fq-t9H_h|&gyEbK%c^eOF@Ve+-Y9`Dg)re-km_IfgAz*W*d z8PfVluyJ$Gh2L7i_WNI^u5NbhJQ;#yaHFuik|q#LL>;4!n&>&$Mo{D6fi%Rq((-s_HS5g z-HipmOq@k*G+h00TE$}=sfxhryBC`_IxHpNxYxg=Mo2_Mowk7=H2bX=STltoy;E36 z=|piCDnKVg#cu$qHIhcwQK`8zd=D>r$-(Kj2wr2*ZxIFR#M?0S(vJZudyF0fAnMT-cx#k%~CLJt-W}eFNJpSzm zd56ruMC}lf`aSxam;WOp1@kp znfxusuozHixRmq_l-wuEb~rd`jg0IbOi4+DPdnS6tTn=kzLiIFWnaGOI3D6w31dCK zVJ4&ollWP{Z!H zebfsTc;XCC&yl!yq-e*d+ZvOgPu(8q<9lo6lCq4F+=^`qUp|e!KsT>y^z0TA+quDHb;JkN zE!B;skY?`KOnV$Fm`L70L2EQl1ZUkWek>~!$nrE7c9vqp3*057hGA8~233)Hs82b= z^fM57+ra{fr0suKK@f5BY%AQ*J+o?eI8jHY+sbKd0Jj48$vz%z&&m>6YniUmU!yNG z4+bS(60t5DD&NDZc5&PK!>M-2Ykdu7L_PtWOdaJL`ENeauaS;CnH`VhQs&ZfH`9v; z$STMZit!Z4aR@Xt$~$uU&qQxyPfYEZmT z+9QK7ZbzDtqH4<2ZKSls0mF{-!1F04)!(x!OCinq0cl)g5>18FnAQ{9-#QvG$q9Zs zMh??xC)U(aV_INJl;6t!RJ!>87*oT=Fg1Xp9X;izHo4_%fcWF}c3q6UHTk)=k}ez3 zKi%g5^p7*Ss4-__>E9^HL^Crc<5`Y_bqU86KhCw-%RZGp&E?`9xyV^K7ybEt`4cWD z4(-0wUP;jT)2{78tf@OMTpC$lla6?z>EEni{2B9Smk^dl>4k}Z_>VW8w r>VG*p^jCKM_wq&myZ=%j8ecD_CSr2g6u5x_14Hxf1GV=mHc|fv34oi% literal 0 HcmV?d00001 diff --git a/bsp/ra6m4-cpk/docs/picture/rtc_env.png b/bsp/ra6m4-cpk/docs/picture/rtc_env.png index efc3aae4cb6801ba7c59d5f43fbad2cc8801b182..b58d1a283dc970cb0d6a008ddfe23553c03981d3 100644 GIT binary patch literal 16930 zcmdtKcT`hf*ELE9MY??bB1#dYgH&nKdrv6RdzTK^=8dbI!F+!rm#%lij>~69)%}OyRYx z8V(Nb4DbUXAp$PXuqz-66-+EQINc#VfPWE5egON$!0~xuGdz{=ENQ` zrHz}b26pqP81a7Wh+sZ4%HA(DG}H$zUCbygE33Z4U=s%X+H3SyR(4sCNRN$;Et`Lm znu_W)vNJg}wBO=ZgRHEq6se7k&A$8Jf0~T^{T$H*#(EXcNI$nb$Lti?;Zem^c68D( zCsFfZLch^HjZ*xd|KB#FIX>dd{VJq142l9>S!*rPP*G{%!;MIB5cV^sqH&Nb2srd= z19I|W9Fk3S8OBcbkd3XxCE05EYH!{m`RcOoN-7?Wn0BP{^`~pASKD+;OpWj*A;2T4 zYa^_<%U0r=EICv7A&O8*#LGNC2j%oZ)-Z<|8ae;{f{~0xDdD0%pfNfo*WDaHZ#;~O z?Q4nFIK}Jowy^-|1w1fcOY5l8eR;cutM)oZ=&?)j%nMm{78~N3s3@{!@2HxTO3jYR zl+0*b4^tLK#=Mi1Q)r|#0*1Fr6$?-I$I+eFeIZ8XgW{=sl70L^_Ayz>rL9Pmb@WV5 zdRq5s*e&3*;D47r&#yrTVn3*%iyd`YN533j4+1oN4F%HpI9;i%fYDE>1JyB zz_&{yur%d`=58mEgYlk(jYarzq>1TXP{xAM^K6XaD@RjPd`3oLhr>Ir{f}-j5%&rU zRq?|ksxdft^q4_<2Eld)>%DGR8+`J+2snV>A|gEmduq7teHR}JdO*>Nl0Q+15yIIl zO1M)Qd-T`rTR!J}=Z;eZ2u; zvYf@Jy!Gd)7KZnXCSU%n0$q`srWuo6QA0jwFI?&Jr$vhy+~0cSu5%puGsk^9;ISXQ z0Eq4D^peQrrgy`1&Q|}Ro~0ElzMDM%?m=f!uy8Nc92uizGonwhBD>m2AWD)!!z+mJ zgW_^Qz6Cxm0iKHKLOebEnQoy^wqOo5m8colWXXo<^UN}Ep@oZ~aAmOYQ)sn+lw%G% zrSv@`zLiv^9Z?L<6653~Ly)Hgn(bYp*yNLqDHlrjSTEs^b&97_$H;)7&~FWsyHh2_ z@A8Z_5&Zc?b5ZS03(LnP^yic$`ri#^a4je>7=y0w4rjYuyaq0JpC7?-cro?f4kHcw zr!(khVEf}o7qSDwm2Iy2aPz#QuRayc>^{|%%%<($JiL~np|2|=!DqF^dCFc^-mh#A zKOW`HN*|`|Y~0=^6xsV~rt-j#)Z0RFcgjnp!+zRs|8rFwQJZ5OeF{;Q=5E}Ts%CoB z_)wPWO70UggB@rjl8DuVjm?R>$~gXbr8REAexlOQ0_DM0;#Jt0+;0yYY<1GXC)Wp6 zJ@enAK<)Rz!JB(i*D>G;rO3YN-=M(C|L-T-H*b`zss{A~O*xbG?(*#B1R~;rqt+cF zT!_QI&Zuv7c(zj6LT!9~O`DAojhh=ZR4JnNqXiA04|-EnsqUXW$*`<$H}7e4ctcr>ADy4%veIVAP; zoJ9YeVb5@gz|44T>IQu0Bx#{KnWO_s+H^V3P3@j!wqyC-6wZSA#p!cZqqecbUh=pi zpu8i*)tV%`c9c#Q?<8D{7-*V~yf_ z%zT{WvBMQ918)R`z-Q0s@~9LO4)$MzZ>i374{c;_jXVBy_)sEXxOX`p->zvd{T))f zb-!%*XJMlT&T-rqgMl;U=3PUr-PJ-At997Ic}zuN(UJ$&I+?H3)`O~YTSi%&xe*$B zBrn@Q%Ve`6-O4eC?!OzXG&zOk7UJ{$e7v?-q{4*QJ9*>$3-o!X(ysgH*qrLUvhqls zP1ojy@7w^vq-zyft6y0{uLat%4OE%c<1{nz3&p*exMwdh?-#ArxHxaafyd+5*C_3A zyXjcR(L3AK_H?516S{&Pg&kAbzr3Sh5Tiuqv7P4AWxMV{H3PaL*i(7Eu~UTkfxCc8 zCR#vp&qLF1+(Ovus8CEqQ#|Q}*>z`o;<+{jJ_=;9sNXg;-$K}6OKb(-K1i=e?3_Jd zTf2F)fpPM4rjp9S{2%#K72c6&M(26%RryR`aA*6HB1$O@eDP-P73^_TaIhEQ>@Hz8 zwI(mu&2dr_!kB(7o`ieh<83zJTGtMSE$xcs^EJHiu%YooW^!*%Pw&5Bym##3#P{aH zybcWS(1wj9CTz`ZZ8bGo)3D$=&;vKlb;idB+***T;GXxNtRsvW9_n1EJ&l34Yhw?E zS?s?HrlBOYc+OKg&l+{170vn(*=2oy$(iBFk7Mu8ky0JpHb|COc*6`M!9rU8AvZL@ zW$rReW~cn)pU9tZOY7R&lUD0N-?5$a*e1Q%Q@!TKmMqa+#D~rwcUEHhSi8(6;x#8wSRD_zlA|6v+m|P>0@5UwpjFg)v*d_+Wxacsb$e zw$!dM<7O7FW>gk+#Xfc@a&L?N$;R1(5;_0x{;c-1A5IW&=E&LDzJB#;J4M{KHSh_% zO+^(qQuC)D7I^@U-*dO^daiU={nCasKmOt{q~yji-`7FSa2q>Uj177g;^lKrXVdF@ zk<2dR6md>ZC8|DeAIte}VY*NIlO_wG`4~-K5C2=GjRHz z)2H)w3L!}6NqslGpATwXe9+6P(yS6`<1eKS9^WZG`=?8DtB2yLgryj9!z&ELLU#kU z=nHu{Do8dNt#R?AiXclEHg_bMF*_gSx5xYgYfeF`Shf@_9&i-Yp&WO2ukz&zy`gcf+>R38T6Seqi)r>; zpQKG^cMN`$wIl@o>`%S8wzaoV>8GDdF}8S`T5$2aOiVhxFht54E3Wncwy2>TlikNU zL*N5uKkxB6E_Hd7pJcr{WFO*lF!NE@qPb$&<80jOhvNA>l#4aHRg9p_YgEv+^~&ov zxb|r2@}x!zijI%7(U2h||taHREE};uzPA_+4de z;hL~1JkN0P(t_m&;+3Oo-!3@F`)oupJR<#ubQeT-I(U!kNK?4#hF&A?1m7Thx@rbo zdTKv(_)J2B2ewEH%vPSom*lwP*B)8384oGXZihb*`VK#v=}0%imL{IjvvYB|SCwwu zmmu203OBYNv>$uFW#wpRi@_l9W*}O^`cQD2Wp+6_A?4Hh1$wYkNZM1l#_PWREziJm z9sF(LS#eJ|38y%$dN|xV{R}uM&m}+i-OC|&ci2;P;Xi0-cAvdEXFDVa0)H;VK z%+}Nc;Y)h=jF_SCMyQ2m?1ipIR+qHBo}mY2Mp&!9Eg<*pVzMt%Q@11JxSG!!F&?v# zN-=oHQd|f4{AYJt=&l1)cnxPQhK~Y+2#_c-u+iJk@pb#Dl2ww69yzx#N9{eoGUWCQ zwCx}g*&0hjw~JurDjoKIv+wr{rdORt(CT~F;gZE5`pj1dc84$8+vRgQ`Y+S8j`8;@ zUY;T4MkVomPABP%j!fqie)^t|Y0(WH3o}a?sjk2l=U71QpjHYS_z@l}ge2XO)mmug zUUr7&t>9Hmd_>nGVdClgkb)rr@w{J&FWxip?&7E*U)4!!*E!VDr%todu4>tz) zK$2C$-$GZYAY{O5B}LeYo71^Q+86i4}e z@$|DntwM^D^%beGqQmblDu;8KhOqX)5tDO(%OJWjYu?mMrP=wFT|3&DS%hNKE?f7a zM{;Zsg5O!0e5fkFc|Hz-yN_RPAF&(WS}#4joyF#zzb~zf*nt9hs8o5H(XAeg&|YE{-*TV* z=RIrSsB9Q(Z-E6QaL$9W`g9Lx1bcVDgOU{w2OI&ng?8D=qfg1*AQuj2Bg`t53w&@i z!SXZf%Z(x_+wVidEQweB8?IiX9d(uW?aq1TFSS2_U0KqypcP~(Qd9oW>AHX`T7*I) zns~$zmMv*K3!bli#8z^@xRQlH$Tu@n0K9JfY{B?P!CszJXyek=?c9D1{ZD$GTj{L5 zhQ4Px*wop-qu(=#(T5cXof^935K3K4dYp9@0S~>yDdllyjZH+??QtrTQY`&qM?5>J za88l3`aYAc_GU(F{|tNYQnv9z%l_HI$~jcI5#2rZqkY9^=Q!U&TzrVtkA^BKut5kN zunujxy60wdR@tI*#U+#OpTC;GDgnhq-N!k(8^>d~v~v!{|Ni8}N-}hEvwIR%Ck6%w zsThK!s?Ng@c%>9VgSc}4hkYefO(IUs#s-Xq8*>uQW0PVF7UEt%9G144k z1=xsgwbyR@ECuxJ!-c}diwmv<@9&$EdcL3Z`HqU?Kdt_SW>g3`aL*otVR@R9AD20P z2#2aTxw$1i|80~k zF#>@97T`lxy;5>CF?h@SuV-Rf^@xq_;0^#+fI~6Z_}(`@`x2Tbs8-CVW-`REL-pI& z=H^)a%*?Mm8G0t?sbbWqTy z_&DJFZguS`_q@XEbxHwZ0~5ubW~1Aci?@PJgmH1={29)=Fu_B_Cr^=^*}1$KUbQp< z=Cj-QAG!{!aq}@&MaC&&m6}X<_vEz&+rQ|-IR(CmI?y~=kCs8t`DAbOj-)lv-hlW0 z#K98Bij`I1V^3N(tjA+AF~A!9*^;!LavBfQlli4 zG$e{RS~I#l2$LY;e;%e?!-AA1rTd!j`ctF-#$kDb{cZ>MFjMEdO=(nM2(Wx1?6~~l zQZtTYKBsMyAnp;?#dgXOik$N=Zs{Vr4iQTeuuD_-iyo4eJm(G2SVVfjcy=B-X6(f6 zSS4_&k~=zkc7+RJ#KmKLe<~bnEd5>c;RxT0Pb2fi3Dvl_D})C1d`3Y9=9fxZ70H7rmoLmzdy-k*oU9JG3@- zX$%Ha{X&`Fay4ZO_EICqk2*qaxm{H=fdI^`+lI26ir#rCzBRIQ+J`-T>wPg`D=Aqx z@GSA0 zmOUpAN}7AZMvX&YZ0$vzdQNBk`q38EEb=2q@*jU8?~o6@CT+AKf3#lUiDBy5nyd0> z)9rfRnn)s3Ql29!Lne!7_>(Ynoblv#cy2I){nkIHG) zbLJfZ&1XXW_DRd9;9Ma~^1yOT*CxI1kMrFew3L1SkzviHc=;W=LJ{$Py$6NbF8)GJ zL1@e%+(&bp?q+0A@L&o91;ZwOY55@~oV^ijW?_XRd|Cv$z1d6a+t+dUq`%GB3@O-AW^5s*4 z9$vmYzS(ad`8R*hR;K#FE{139+QGgn#}{wgeJTd}gpDp8V(gD?Y%4&e=(i2&p-Mb? z-R30|hbOMBzC3+~FF9`z9Mg8#&1FF(KoHv-Y@a1K>U_c-0J_p~aI4`DHC?>40H-+u zPP$SUPgfU|&~vPS8d3tHn^oM(9Kg)6Ed>6f>^xm*{ix*|0#D^_0ime_i_Q7(-* zjY4da7jH8F2id)@Ddg}v@>4~P?GHpe-21fw+WWG=KuUP|wvD_A!CZ)3{>?WJT33e8 z-bRLrFx$g&Q8dv-X5fn!+w7^616V~~HwQ)I8mr2P;TFfkVX=3j7a;KAkXDw}@=>CX zptnTujU&VW@8^Spcv33h$U2LpfXhB8m3}N@9DkT2%5C&^Ux=0SimW$+1b)1q5pif_RG-mPDn_FXb1v5HmV^;T$58+nlJ)x`q3}jG<7yWKu z&j4@!*UO8Zo;~aX{>Mm{64E05>^j%JN`NFr^Rc#~)qY*+kQU&f_pFG1PRpC(Qr=MDSyvkfvXVud+Naw^xwJeT&Xc1-@Rnzkj#&uHYSN!$y?FAXXioh1~qH!>$#2lBPmQ&$?ruYl}D z^mJ5^0}>1V`fK&9-bliCh+i@l3W29&t7?msZC~Z`GEr|iPTOh~QOdAK$4nU4t=%f@ zo&sSNdY|>Nx6OiCY_fYul=FTO`;`D9L8Dk0Z{ii zjRg3}|17nb-L=8;lK(*NuH@=Q49%<{4!l3;XVfc2M9fsyOzJ>^>+Pzo;$NJoCoa)j zwy!kgJ1B=f7#@g~Ct`~kV6dU&vsJDgA;n1bQ90&DLrirt1I_O_)63pcC#|v0hH*Kf z(TV%7?iU6RJzW@tt+3xLA>J%7i!3Z{_(q%a(_F(b&ip4E%VwALG__g9ghewqqyTOQ z(vXzlNGK7=vH=|g+ntve#oijjpXSsp_WIcVyBe?2F!k!^c$r9}Bg*|820emvrXY6> z5)ida5u6%cMtLF)W+-R^MyXJp&;e$7)7K@OZbog_-<=h|MxJ( zcP=^-v)F^~s*}d3X8Ly*cS*vU;ZJ<7_Ct+Pr{$J*9Z}ALYL|EO4d@{gywF0WO-%o7 z?qAu0P1plFs1a$}`-s=l6<)!<#FUX)urz|tgiSNxhVUWAKKg>i$6LZz7>+F?YyFIw zwv*O5B%;QNXLlD=i=5PiC6y? z&!StAi*_l9vXe&onntJ-_Z@Qg4u}W*H5!R_-65uwFjwdJF^3WS0M4c9BUW3F=G^l( zVC5&Nn+5mIRFaFQuc6=senZml`KrD=p9TJqkJp~X5NGQsT}7uTjx6F>_co-lvMr+3 z+u}~%@7a=9y|8Lqy2=J!DMa{;9({JBiB6mTdXF_5W%}JX@A~rtL&;W77Q0T5Q%TNC zTiNo#kJ>JsdDw0x8r$31#CLz+&PY_5B)T~R7D@)q#=Hk^OY1s36_q7&(P6a%b?>k% zG_Gg{>BY841js$RL-Z#g23U&&O%%)tyQ&4GxcAYY=vTFV!{%(OO2Un`nPz?-=y7L5 zhwSrC+Bm#{{lnmg9zKz@92zpWfOXF~8eYuMQeY@j$g1kGIHE5d?LOV{=-f;vZ^#}- zF3Fs~2<=N`c{hI9y<=g0exvnQMw;v=LlDlu*U0R?zHDNYT%{9WzM$OGIUAc=C0}hk z_b%J}t<94S8M+3Hmk%)FN)F4-@jJ=`N~rxV&-WKI%*W^Qi%hq(uamed5xle9lbF5( zTb2Ui7xD{QeGy99t;3;f2in?UXLUX}7O-PWa{Y6RIziv-SWj}jI~6;O+;aK~QuYI8D5t&f5OK50lSbNZxi#(t3Aj(j{kutS{V69XzeF{uaBp6$HP zmDDRm?g_NQpj?%}uo-lf!FHz^$Rlv#ZN%5YXh_S_aP&#Gg&--^i4fw2nI@jTbu6AZu z{prQVDP&0b5WLGuUcH3Q;>TlUxG?mR-#6Y$P>Is)M&Sbd7l~uye4x<2%{w~kr&@|G+RTYi0eHg8Ba(vg zdr&RwI}ycaH%S_TVY#BJT!#PE3!pJ{Vs*<>s+e)TMdTT`ft0O*{$z_t>kT~(8zwpk zZ@zCbth|0JXBNEnsUBPwK9U|~U)0p9fwEI#WNIs{e)pm?CPFB0$Ns~tewt{!XLe=x z%E(>%7Ol;xjOf#g9UH_Oiy8>y;g5rf<>%s{FC#NHS5;DArmQQc-s4bJpPLOiqV=H! z%Owtz?m0gK%jFy`abnGXt|m4n&>HBAoEyDbWp3Lb`}9Gd;VIuwyEmR|mWpUz4aqI! z2)*Csml}iRML=f^iZLk8SmZUiN_~Bgcc;8AS7#dkr0ybsbWM@}i&ic7l=B!D=ncLY)H@BYWe_gxUZFP$1=!A zu2elmRpp^u!~`RqMWYQaz&-|GMHE96cRnI!8+k9e>yE9-@qAH^rI;x$J6rwPw$XoP z?G39ZOF_6fT8Tz&$X5!@a8Eyg9x$i`;bBVtJqje)dq@xNlzGM4iq02JAB(snW&!tmtvv^aO=8 z=Y)}UrHBjifJtUp6<%lah?ZXHp$qc4Bg;!t>)2o4e!#bvtFJk87r>dfAAZmxhn3F> z=z-kAN6^dL{a!Z}80mB59=IS+JS>X!RC~*g_j)FA=+cPq^WNIB%T4S)%}wkpa`m}M zxb+9|KFRj(9Y0S;yg2&6Ty_qo*8pyKy|7XBneXQ$`k7%KgVy$Bn{Y z0T~#Y`Fl1Py?SBP1kq*&Y3?-oUNnEC%RYDl&ngdo;b_UhAM>pHSH8Q_uDCo+fjmg>1-p?h#_5mPHl?Fx>bKAG&*qXtbQ6~ zbK9a(CY)FKR2vxp1jGE}8 zeR3KATMPTv&-rYUx|wpfFE`_vlbIA{Qly!h<4=S6c&u7X=!W|M-z>O3Pv&niEGe%o z`_*Hh83ym4Zk+#NC;i`P;Q9ah7Q_=*e4LzCd2Uyl>-M7ebe(iKk9$q88-u9g_`Y1v z{=faN|Hl&^Ty>3-O?rGSXY@}Wj0U~8ca!Nq{Yh2knk5|q#!2R%7QE$p*e`v{*Vr(9 z%MWl~@L%r;+9f=5CU{w$e!vQ-i$fv0N>5qZP@wf8D3$?+!eqP-@A(o6qc`#3Xri@F zg9>(*Qu!|N9~S!$FZ%HL*5HTaZ;!Z1vvU_jo!fXR8h+WJc&R`ck6U zpzfpLH=JLDKAxGq@l)`jdCitR27PWS&mdqFVnD7weQffC{GJed_PXS5Go4@)U3$iI z%WJV2tCjwFqnhJ&d#c};0iu5YT5mtNfxbdAXSyM5R=5PyBAjQH<81II3v@hbfIW zfNrZ>kQSF$XejBjAN%7X!ePm-<0Th@g&U4Q`suxL?0n>KhAY!#=I5N^3- zkKbo-nigR@2f%os+I0Nc-bz91*rtGkNm3%L%p#QSF#J^13V}h>cdUp z`|+dmdC5;D1#V0#zNDcIkktEn)Ai^Tz8j+d!WL9M8=BJNb*lhgRZa=>dgv;txAd(1 z(!H#EJ^CfOr`j)CIPZHkuFLVqLJQCs*Og0=onp_sk$iJJH~6m>%p>Ao%#i8tcatUi+ihx7wx5J^S^4FN zaaq}(?X6UZUvE4^QU3uA+fX?J^(tlkYQf570VF9W!yu!HuQ*fYt z!e7`{J8{_F{t7tj33s4PayQF>r7@p>Y_E@`O&q%77oHdo&m5|yG-toHB~&zr+Lm8{}U<0GCQrlhzW z3;bSsd0`MSJdal6BAH?v<(h89eG^LJrgxeY1>$OmTu*GJ7AORM=GARkKjXxS6Ud_) zR5Ff4?MAd#-cKS3I1kTwrBql&PQ2K%;N9SKQ?y2fxsggK&Nu^J7$4^usx&{2zpiYx zHj#FW%W*&f+&*0FheTC`x2|CVBD#K`Ilj>ClA(V6>CL@Cv~WKYNv4NVMqeyAQ%x0> z#iJUan*h$40SZ*O!8dbl_GXW z#@UjZ^u`V5E&7_QE+VHHWmq2)B}-_6I?;vMzWVvE#y9}D`T*S6Y67o8&COz1x{Ro% z7VW$iMAtQ+|A6&ZJiuEjpo?iVX>9U&WujR3!7|q)(f0?cLjk;iuO`b|<>o=K=on{#-3-RZ*}R`6 zVXRB{tD?*`*1nmK_`*7$8kWA>z)#qSe;p2;Ipf(b!d7ZiRI;>!{}w_D)_5B2U)Nqzn|6oF z6A8)@#C~9%;BjTnpx#15?n{M@b}*33*|E_n8DE{;V@s1Ea%(UY5c10%^*{7Mu6f!| z-nxF|7OyCGXmZK6d(Ko6JZ; zW3E`;z|Usfz5XXFv-j+au)U+m17c>{6crW2w65l|6}ZB`Y7YxMe`^niX9+1>2LS>d zRiwlB?>(aBR}X60kN-nTwxa&NrWq^qL8QP(9`M}rN6`frKsG6PH>N9LAkKVUYNLyj zrcqN+lGH2O8BzO;JSeQUUGZwI9vWIF73Ms$+WI|f`1tsntsS&1KH%D}q%Ux#1;q2W z)kJrchiDc4R@m);Mdj@tor`C`mn*P3;qUYfHdYD(e|x=gHolj`OLDIwaW^ny7sDB} zO(r$9{T#sEC-o`17-GL=iJv?;t^paE(S`;;PQx|M8ws|^)FyZ%hDcpWOvob!`~Uo) z${3g0xD7bg)81{Lrgar2O03o$m(F32R$!PsrtK+ejc=PgEEG7xakp4v1K$HDe*Gyj zm$z*>2YYSekm9G}ZKtt+nxjpzmqkc(wTzw06FI(-h;Xm#?jz=(&hw_$&20D;hNYq^ za<$UkyZKAcmX*uE2K2`i<#7#q30m2-uHW4p+Y^OWe=~24c?|gMB0a?SqwwN5f%OJt8; z{bH8Gz?)B{-Xmf%oO2WOE_t^C{9qHs1i*pv0ZKjprT(4XVNSRWhG9!PvDD}{xdF9J zY_6*xDFmkQL?ay3eR0EfkXvTlf6J*qo~Tm-EdrbYhu%IBGC&4-@Ff{YVh_Hy%FDXP zTuOeYE{rMe23X6GR>Y`{kGSnGMFjeI`%P1g1I>$EAba=+eb(_5Yu=aExUm=X<*v}A zoqF{3baD!#RKm(BReFE9=;#r&GnC|cwB5J5K{}xMENDfBu&~~#;1T!32;a^nVO(bW zUWX?lVfXv!Wz=s1izr2MJjn6mXmqCx+OA&y&ttrPl_n5$GalXaHQhs^b0&aqBa@#4PxB9fqL@|pMR_dUSs3h6lEoBvw*3w6Z(pzfIy6}E zZW#2{nR|*@DMO53P`J~IN%Sf86ej=f^lmcyi+FVz)QLot>RM%fP(m8D-@*Q)*E?s~ zh6C-J+J|`5J90jMw66#L{{s93t;I;{mDiig=9t2hGob46 z`5-?Yx1WM$V^>Ej5TN$(V%`koND?RBf5m*^uX`Wqqgm*FmxJ>;I zAB#NN_~~NUOCAAh&N~TF4P=SvV;p*a!QA3n?(Oa{ACXkXhB~5DnfA?r z)KZ)w(RqB6WXS-e$Q~4U9zUZ3g63G#Ks-Ts6$ZLDK%>Z7k@lBVP zr7{U9NK1?crATzJrtwN41S1nYe!alO7bOF~AutJ9vAVq@6F7K%>}gBfBKoY&pF7JI zuWc?XICu*j+pHvdxDV=Z>idQ&8ch7`6JBw5^y-6c4cxru4IF4BpvqVR{tR2ZrM$0b z!v8y_ik>m6k@O&|Kv6^A0(X2ht$3ui*MsDg77_u1VQo` zH?+`rn5z$t)AfoM?*XDK_dh!(T2+g``chwR;g*?Hf+(J$wKnMTxaH_ zqz_@rn>q>gnUdqzk2oKEfSxf$w^kCy2fpKSINPhnj_6erODk8ZAiVX@z1vP&q$nkW zHYg5a>?_IAHmto0fBJ@PS$~H&tYL3orufDzAXDApl2bTRec!7Z>BVK0_p^BZ>f9^r zERV!lkqYt@+7YyIRrH0&gP`*2)Vb-hXpe3GAjHFaN8wh-S^43wWUXvsWoQJkfoAZM z=mqhx1J0!LJC-Z|6oecCnZV%cVBg@rPwXN2JS7!eMLXdNZ`>K66x;M;gx1{QhSGPj z%ytE3(+i2SfvPOtE(h9EfWqwYMZ`~(77RaLV*a@6KGe4xxWN7%b>HtD`+=Yz=|6sg zf5HShg{634XJT+-@y82}FCWiE3=8XvOW{QF%yzAJQi4NOc)S}KJ#KoZ+vV=9sKDWn zrEQ)z@V(D-d%kw!QLSqWtV^sEcn8>VcTZ>%=iNY_xR?&fiwyf0UBi+yQS9!>-fU0^ z(N0Lj;|H^s)Lb4t^F7%<1S(4kk>LG4hB0slrXWwqKmyG>4XKz-@kQw|G-*g#EzBMj zy@j7kWN==S^{6QTt*7WUKQt>6ccqhUs3^QqDj>L?&-ikeo5w!jWs8>2zURfhWIDVR z`$%)22?M4$A7pCd^ts)*#h|@)=8q-5V8n`~GAHA|s=e1i<1Pk^bJava#256m zu!Ghr=HOT^dm2!|{?~%R?oZ-oYh2hjAs|?ae*Dlj<8#87)@Dsgr9pJvU--YxGk|V# zz5`mYHkuCPzmXQrZ+|xO-ZqQG+zLS0^D?B->Xp(S^Qm(zV6xB(l{+LW%t#Pxai43~a9q zulBwh(ieS@O$z@<#aCOrP3+rjKIVodFwi*i0ydi4`M{F?ILC|+FW{I#>a7SNr=t@@ za0&cFR_V>Ev=TeO?E-(U?GW_SRy!5sBCBYyq71zoRjFvUN)oI_e2^dK3U`nHlw9a= zxG#~Wk|ixaufbKckgL?reoe;a&GaqZTB4kavg^yy!*9=1&CZMIfLW*~*|E8ua0jrP zeqo4_xi!2v8=1($IjoO!27I6uY)ZXn8H_J2Q4-DCo!if(9K$n{u0i$_Kl z&XV!P^@mS$+YRAcgUnfyQk39a+E6|=<5IIB?Z`&tyB2n?98*KCc+$63^xgz6ZFjmk zs6v_Pe$PG7CD=5Je6>bNeY|?uHWw%k)ymtSvPr@~Z#M#DP zug1gp>5+dtDH4u!xWUVt&Y{OY%pfeAPNTAat;n$k@*MG`%0`kk;xA zM^WbTY$$ChrZv76>tY3lh9sB*`RO@OR{q=mcH@!sz_EeSD;<^lcW#{{@c^a7d#_fb2QQ*{B_hXTUYyT8FQ)116Mrk5!DM=EP!STY)bS}T zG9L-odIFB*KMs|@%w*7NEO1&^AL{Eg-5}U%yP;0_17v*)^!xCKz&>~GODv@{VAaVd zYaf-o0~%P3j-!4cFz~@B4~4S6cVc8SH&x5z)h2WVmEoIN&w8J>Ed7#jyrw2k9Bgpr zBvUu0l#d<*s2D5AVQv?BInl8UbOZi;KRmr6|M6)iA+h(G4jm)}LY#kBJHO%~otWE$vtHA6 zbPe!MXv6=>%LW+cwJZUcUJXEP`-8d=1Eo^;3J8QkObyRI5|eMt+wN_C7$7g+!`Ax@ z=P_=cdmhmXcpBV|a~J>UTgk%qc3$-c(1fk=&4g$|4UiOmA|#HB+!e1gTcnFUk82LT zUgh-@=r^yC0gZNp9@K?>h4+rc2BauGLxAA1*~G(A`KPcYla9_oRl?xT6+p)cj-Q)Y zpmo|3Vt?Id_^j}+rUV1&ukEbH+)G8(>7loJY6eN%4i8{w>S8|9;eeKRKzZQACRfGU z$h~Kv{9Ha>?*R0mb=j6F;7~_|zGO-HrvI180RoY)C^jMPaWgx!oyIK6{TTPBkU;GBt?8AurXe&zyMI$PC$hNa1%TM zZB-NAtw)=J=mJIpU)vO_W_l6^Duzt+C4a}c1H8%ocZEDs9UVQkkOz3c;8Vu`66#b_ zuz##8;7%uh*iu+OAe#S5`2T$cnEij#+G~jmu;E${iNAdK+QFiLExFRO;nd)@{N78a zF=eAO49&HVxG*rbY>4tP?l~rXzwr;C0BNwZGerMz&NS7JOY4b1Q~z~zDv^JJ%{1dK z+@#`Et_?dtUOT1_jL#;i6yN^snVthAw1un|i%Qoazw4LKUDMNfMjN4yOd-p{y-mph zr!cAd9z?Q{@BC@GmG@*P-Ls;^c)u_?BLpr%{gFdhIPiiy0D2viNB3zcgOVZP=z}l3 zZ`DIGha0QE;83S@${eUW0g)5XBH?{}rq9GeVw>oVajsqb@)unKbW73~P8d1AhjYnm zcmGxQ?K!VgcWMK&Q*KGSN1NkV?hl`PdjY;G;QY}d z`0wJa%&(#J1^_+e07}L(2A{ClpE!TxdGQ&ij9rZ+SiG9X0tJ_SKdvRyDb`j?^R^+Z$<(6@;iIKW1eN3Yh&AVMsNS~%73-6|J%<0 shwA~QR$$lbP@yv1Obs=BuJ4i(m^1hNf80*A|*5_(xnLq0qI4M zuC&m5D50lL@c!S=Ip;YuYu1`KGjqKFUS#FUZ)ab7fA)8OiFvB4L3f4Y3K0y6CP3&d+xAg`=AXvd z3x@Nmyff}BRHdTulWs1zV|cHyz+ykLD9c>!9dK_h7BxNz*#`MNnAZsgCZ+zGH#(U( zQan+Fz1c4P^6uRV5KhKKxDqvji+@w|@)ItR+Z0`Dglp9aV!TUc`bY6KmCX+KT2<7y zlULVj9;_))`PZEBe&H!!>^!uelQb969=t8$@ok6PP-1AYAhTOHuDqf3Iwg0|`>m!p z8^K%MzLlW0956qBe#Nsf;=|iy-+wn#mficz{QFNHMIqX68(?|CnO=PJ0-eJ9WS^TQ z3fTE>5+$An8ooRKxkt>@#~y2M4m14xCh4J?n&B%gdETBqu96>0bN8u?MR=DAUO2go zuZD0qmJ0Z|{oMJOGppSFbL92KOR3i^##!VKE+jzoz7Hr5pOL=anP=~sN(pwW>Z}xI z)sP?j4mJ}$J7#8La!dVAvV5F4aMEpOUG%)t2sZJ&A(gaAwVhJ5L@^I{dvJ5JQp=Ft z)q?(`Zy#h+9aLZU*_}t3Pn{iM*4snMwx_*Bb*}GziZRk)1$Og^^PC8LW-pj?3dlMP zp0U4hEm+-&J$=?nO$-^WCM~;n&)m$&nzW{LEd`$9jBTz-le4!s@nV!jSdLDu$l`s_ z=}FSxL#8=CBq^NUh}Iil*8Ci-)0iuCEEj#;mte)an&gQQwSjxtM>r@0(w)sA;OTb^&Ho8dCo zsy<2A3Nydl8j<;Xl*}xWWgWxi*DKuRlHe>QBH5==ScUx;`df;k)uA_d%9UknDCenm2caY;l0JKR8=5m->AmhsIXUYV z;8}(=M^+o|=e&<>uEm1S>}N3hD$EGCEPCNtPE>qSx{evn%>E*34pqC28~$q%Pzq>7?a zfxi37*H3S1=o&F3ZH+wPss21YPRK#x+ZPGqeenEs9wsJzr~bRVX{2FVwb0!s@xH`& zva7ZluDC8{RuN5bce%7u61V%`pLOJN8T`mSj1Qoj*>rZ{a^Bdbtb64K^y?u$Cp=yL z`7BQ*nn-&LXgob3q&p>#PKd3$H@6tFU;)E+jqdTD^s4w=~9GKM|&tN%z=!C@(N@T4rj|^YeP)M3s&q|F6o4 zPN`CmQA^4(mu!lZ!yk!Gj8PDFk?)K1SrKPu!!^0f1kutNmYJM~#xARp9VYF4ZAPWU zT+uxLdFQ~!eTM5mg8Xxa-kqoF+bNk@t07+=tR%s3!fY18a#}%Dp%#ytYeqr|0qZ&M zRi37qp%VIF-iEI+jZLqU8#{aLa>)0^_sgL6`OhKl=%p@s&zanXRfkj@$;Rb( z)>K*|i#z&hBlyD&i5b+In|O{)2hP%z3MD)*Kt!CxMn^jamVVnWoAo8WODMIe3G+G- z(C*C-y&1!Nw=XO1%wC;bm!bk8*YYdsr11&ex+F|Q@r;DR#FW^3m-p{$^lX)%Tvp|r zv?H%*Z5_8jQF=w8VXw$odu9@=U8U%4O40MzL2?&UcgI}0WyxxM5|HT_G24?G$06A6 z#0HxQp*RZ^$dKn_jwGj#XMaLfW+pkGwCz3$Xgf9*Jwr+w`EHvCrvOKN4L*dJ(yM$x zZo^%n0Y=UrZi`N7tG?_1TZkDC2(6%e_?qPFexshj2a4Cc9YfP_s=g@Uy~_e4(P;9f z7TXVU3v}t3m1&e>I1+}4W=Nhs95_s`!1e9fZynK0w=p-l&Aw+$huW?1!6uf->pINB z&55ab=+YfN@Fu(_YFx;E=&IusF}qXkGSHptenxM&#Qlnctn>3Hh;!7<@}T#FUN>go zgsLZ2np^$rqBy6o1dTUWu$;#~6USF9Ax?YgX0bQ<12Fx9C4`QE%#?KpM-Ivu%$6Pp z{Z2Z~?z4YH6J4R+J|WBqUH;(fqzN2LM~L|b-}N!WKKuk`A2>m5+zm6TX?S0np%q=> zQ<9ymAY6vXd@facPsKeIym0{;Io-tHCc`}Hq%R*HaulGr{#)7AS#C{6xFDh#nb;Ui zb#6_bDmVeR`-8h|9EBa*Nw!n^0~H)=m&MMsBT5Tv1R@vD`Wo{JUKp;5ainUxFV%1>QvjY(V2$)FX-+!i#% z$5k=pg~g{Px1H1Ml52miM1ywR$cZeub3EKSTQYY-7+$^(5Dz#2Ob5w)R;_gQCzh-T ziXZGunj?~#dNHljG+N>UAx6Vcoaw!5;omP?cgk8D_<+%fP;=R!tu0N#J^?4R@`7hF z)$y(V-ZCK%b`Y6XQY`@tWfheA!9=F|@*n0~L_$-qS3Imw2G=6?Ujb5*@bR3#!=2|k z^E>(~vU0*p%KEr(z8~axR^|chge$Bq4;Qee+-Mni0Pjgyh zsxvPiS!JPBPy+kze<5pYPM?vhRO*s+a7?3qdgZu4H@?*(8PVh6zX^}nQzxRSSM%L( zRBv%pRyVZJQ#$Xz7+v(7pTW{8I~7hQMwT{-814l{$Dt)$c}Twa%$jIaclEUXevrN* zG)$kBQKXz{pMvt#-9A+z2<9J)8`wH%-HtW4Vg%4a3 znFqrZD^Qy5!0R~#g(Rx}9>hQwr%+8Zbs}@G6=`{J(w&ex`Y3(dJ$?(MjRq(|<}{%d zr~NwIuOOZ~@YN9PgXfg#;Ht1chqlE*_qIW0t=Rf(7|Rz6i$il!UJ&~|fQN79n=qBS z$*&Y$FRzb*3liPJf{_+J6XI)9Pi7L^+B{|cIF^gszWLqrk~pBQz=P8Fq4I;h7s18N zsdPaFfET<_#Qs9)7gu6@xT1X7yuyct`L*x(r}B&sLgrdoN%ocv>L1o-5Hbo5jkWP` zC~Kgf-WXT<-9Ein@sm&Pe6GrS6G_#ts@b@U0JZh%or-dn3VIt$?KI;2%T+!nGx0R; zdb51Et*}~$T2sOP?AJYs_#H;GRXYx78c;Uri4#2no1OpE zvClccX?0CC6t%wgE6nL@D<}47xUzM;`)BCi_8LPf0T*vMW!zCXTRbF9EqPP@K2u@m zX=5O%k=BQ`;nVr-+J->9mEj9gnR$5{Pz%jg2TcipD5%v%vKnb-&YJ{5nH0UOV`^ay zb&%H*XQTus}r?&5m zU;b6Qoo>#z&SDcxUkKFWuPd`LKK4I%ZuW$B;t&l#;;{<4AZ+f{$q}Rc?XoE0IM2OV z7~w43_v>g*)9=;~F6K%)rAb4wh@wqYtRSK5k6ysLq*3PR_u@Dx5Vm`3&{iC~Za`l$`eb_kcq0=~cG~|6^)sLaJ33eo z(T1w-8ee>QzYVoVuW8{6^{V9mg3jqHF=-dVL5;eDLG!nXc3+{;HpOpCZuT9aMLZ0E zlwd!u8NJ=NT~UJ;B5!4y)(Ymv^$c~V*6~b4wu~YG3xnIyinj)X?0w?bWN0H}2onZZ zTWP$I4qsO!k74>W|1J!b@_YM>H76v zNZXb-pDtLCKi~7De?xBtB$|E*gklqOuyf#;O-XG8}$hixRtTGRhpFckPQa0eP>71b!p+<)SJeqiFX z(}-BIJ%7zrGW){oK4zi7p9h}XZR4Hk*y3Ry;r}v{p@tBoWfwQM`jZw(utn4cBol*9 zRV_8(w2~{-Wj$x@qEKJ{1mRL4P+y8haK!}~8tDeJ9 zsn6ol*`dkk{t^w=A*_F26xKanE%yU`z$CV!{~dVby}e-sd7Yvr*KV>-4G$!SwD@aS?t=*_fPUDMi*?!LN7CFKtVZx<%7(@z@8KFId+&?d{gq@v zx5_G{g<{*uy%b!IY zyyA5b7r{N8jzRw@V$xV-lkI~VJg2D7PSmA4sE=cfp zpubUO0U-$f+ZI8I(kfY5otbTWb%L7hQ5(0QdylWeRa~to*0&EZPoyG-gWpBTYhg&$ zPQ_+FX=5-Ql6NACM~ii4&shjrchUD?X38>v{%~lBc7yIR|Mkt5Q>g!W0R9zIXfFd1 z-8atm57!s}cdqXV`Nn(mNj9^Guepe?$~#x;^yWw2*_mCZ1Jq4HlHKpJ>5(E%DB9-c zM;GwTXEn*S-Ts)=l;3^Qt}EK?JCM8-Uu7|!<=qC_l0Lec(H}Y9*WO_5#H2-TlLFSD z<6nOduL;&=_nw=Qh1=+KG}L4tC0O$7EW4i5cjeGib?e3sn&MlF3*m->-Rne$_q#4c zzw!Cx2Dfl|%vvew#yxud<#&JX)y4?zd6UJK*MK#)v)C8kCynzdgI&p;YFr?^2Pdzu@h>=bGF;HTp~@!7skYzc|)- zMM@NwN2N=?8!YMfgO$k$f9z*-pmQN+@?5(9UfLZWiHI(Jto-h?#>ud@8g4T0o!G8a zD4Cw%jpE-_%|Cu&rDq+S28+vQ-$j&qbt;c|+@zRL)C2+Cu+5m7WPlUuecHY-IxSJ~ z%>%~z+&+J_@Qymw^F*-#$26F6ldTJO3vHbUp>L4%GX_M*P^&FJU{wA2kwK5QQj&E1 z#3I%G<@2y^>S)OL$tN+aX?NE~n+cD0@Q%wZixuASL66>)ZB-S;VVB6_z$4zKvD=NeV&fti53_sD zGf!qtM|4FW`sXq|wCqwTrzC#R4g=7T>A76j`oujY4vn3SrnL<9=L_?AxPY775}*=t zN-2VqzTsz^CNJK9BEwdsm$XOJv0{#jXgFeXH(*E^s_0dh z1$0bo9H!pd0ZYV4cVhztm`yhUgsKUJwe9dn{y_hd@#UbI?-#u_wId@5Ps$~;8lzCfwoV1QD8~B2ko^LR^FiU|rUldL845Hi%APqlI zpif%9^flKqRTX~=nN%wagdNHe$ai>Uzbp3{afI?c%puv{%mv_-oBxo7bNq^la-g0d zW|X{(i0TKx?RtNJTA5~-%i`>gog_=Wwd_f*`45#k@?i57-dwCB&GJ#~faTVk?_yKD zZ-O76p*fk^1Zv@vO#ia>(&GLbryz@{~$e!K< zrE{}sANmtn;|wAq(^bDCw9xVNjQxpRg=J)~d)rB`a{#r$^vfmIz@wIlYzOp;6`GtZ z$L;ZRkT56kVts_AuN9|dszU_e(F z%dc6E7qc7u@~om>WTH|3a{uafi5ag#X-CuSbtWP=F0c-8U|! zB1_Xq5`D|^*}Bh{aYd;jz~)$^$tTiJZ@5xoL!5a+HXnHllke&^-RzjD^fe};0=j~YUmm|V-nWKHngAXKR-2=m)YJOw;` zJtd}vI)H@GOjfpGG}#9ZnbL`|geU1^w-F*yWcyavgf>mt)nC^btEc@aX_BeCf+yp{ zJ@OEWsIXeLF`&GzqjEBYMYU*zAyv{=ttz`?QVogJ@bz3-l}31eydUFNmr0wnr7&k0 z9#I!@;|T4Y-s}3|w#S2+Fu?PRmkLjZ8W{pA{Tc@Q8j#bUmQWSn>aZ$Rpv?TMH%8~x z@(nh7xhdY<0p~Htyney$Zn%kJx^ew(%4V1K0+;s8VWvAuEImD8-qFA7IH?GWg1V!V zg98RprTR1O*yw_c3VHDTw@%QbR1h|m^1<==+^CB;r_cBc_mWMPRmH>)>BpXaog|Jb(jk#?Tmv+|zxYHC(zYu13_C*=Orusq zaagxRl!uTFnrCIA&guE)gi1-YKDjNQN7@_KKPdcV6*oH%mh8G^!G=c+k;h2zTcx?72v&)^7{SPlBBIv(AFpkrn@BDbB5a27$J<0`rU5l|4 zg8rt8{IH`VVBA|}gc6IFyn((%2HxRZn8gsP6?3BiGuVi&sliKcI~e+rkDAR39UmHO zp8g>Zm;{+E{$Zhg3s)@{SITYJ1|6;GqMeN(VzZD=+@C8ukkT)g8mUe(P4Eo9GX>`G z^5w6YnQilY%~b3~xxL<}u0Nq<@%BSo8LH#HvnKrcZjb4M)-$!v1D4Fzj;M@U-DZG+ zrcSyUyhPgt{qgRwaPh$)DPifTZ=IPeG0`QatBERVk=ei(_BVbvU~8jNS5v5~lHI*S zkav!dZ{nfiq1?yzPcnoyGP?*AsJYp0kw@07e%nKKnl&gT!wn7$WGjBcNC3CQVvm8p z3$gz(!Tbwww>TKJKgtU(KkKlH5U+wUN|N#lroArxAPE)Y_PNnXr(LJFP)ygAV5#$#U0bzem`n4t0s+Qz2?a4 zowF;C@8?K>;xLiltV6BlhjAc>%oF@fGQfMz|IjEVo-z4G7~jcc-;3y&SaQyoKBG&X zyJL0CYfqhCH%fi+=M!!-HD&aQ6Y5GnPWO8y_v;!aR%ET=DQs?QP|t`IV$*Rx*;Sds z(`gh0PlqkFc18$j0Pb`2$;F1?)*jypbZIr zaaTiT^{i6k2TgQ#xdu^x?Z))o@-?IFjOKov0~%n9AA;!#7nJW1p7MBsyVkWBVxk8F zWi?!Oaj))gr<|@2(R0%1T044DBLsJd#g7cYuGQrH%dT%oF!P49-|<$ zw6lJLm09TX4fEblm6X#i0M9b@@y)d)?Gl#+7P#G5tb5eDCZc&7u8YischF`$GW*00a?6K zB){z;dpm)ip$Ma{jLu{-OnA4suPY1qeKZe|L-_GpMPFOhd0e_y#i<<$SE%CIyE*m^ zLzLT0sA3xgmbIt(h!h2mV@*KDjNCnji;6S*@oZ$BV!#z-SO%n5zEO`16?c1|F_ucy}%~gw$6QRBx-yy{1M>3$X<3MFSvK5j#1nl1Yhq3gG zdiXjbHp>sO4Xt7Fe(wH6I9z2aVIt&uKkNOiFo_++jg5p_9Nd%--TUn65Dv}~dpiGq z?UZf?o|GfSG(o~i9F^(JXd;={y`Xw?ANrX~MR=TU2`&8sZ=;+x~c(X02$ z&oTL)UMfmfEG~?#ZH4Gyx~}-TA-}8~mBbC_i*A9^>_`}M_yFKa=*#mJ>Nz8@dmH{r z854Vr84(fZ(WMsvJLrX{8|3*903K8S1;G9#9q|F;#1m^~`GaOEwc8r2qe}&UH1aOd z;M%@*36V?$+deF!)TQhK6oL-xK3jO#8W zmol86F(a#MqTY;5)u}*G4A_ZGE>!URLh@77lBa`&gD!kND*<>(nZ}<+CCpDG(=IZB zc@-KaGGht&Re+s4EZg+Q=R0GkpQ}$)9Tg-&>-6=?+)V}&fiAPP3vCscev>?Ouebpi z3(G!L;-=nu)i;D>r07K4XoTHTQgI#F?_5wcVfvQ>Efb9s+r{#Qt5_%&_a|^BRQEf1 z^d%m4u`tqcn+zML?#~VvNG1j;)!bli%YGO62$2M8K%g@@k{;$YFka*P(6W?8$fJ+gB2KWv{FUzmxey8*@?-jY(x*n8A}J>cbk@lnrn z5pia8qf%H-<`}~=%#b{8G?zJkRK?R&YXN>5_T|Nsi&J~7{28)#0sq^PiqMAnhCxr7 z=K7Ge62Oa($&hsK8!;*Bu#mq8+)I1?I73FR@_D{Q`?!#e09)8-KltuW)Z}TS5X4g# z`W_3ZMDOPYj|d0%Alx78W!$;usK!|P?TgZxJ{O5z>&@zElVrIes5+r}wJnN89*;O* zTafu>dZYMt=yn#2^jV8$;?onkC0soLWX&v-mm zjIn)BO{j%&BiA5A4MkAPZqQmGe^_zNNm+&h*I_r9VTI>YgIq0 zgItl+TA8t=!s&u1!D$p1U8D29G>D9|i(ZWL=(AM9BS56zB zXhiRLIPcz<@F|{u_=)8jwOcFWhU(^ijwbH5HYuYld!)Za1a41mO_-cy22M-5p|ZKH zi<7X82KW#CQyp)%e(0C4SKjf#cfc~iAdkhn zG<`0t_^yyuheChFh8b{Tvd_e9d8@!f_qQ-iSs-Z1tc$P@89|BwW3kiov{#>w`HRVh z=7ru1L0-F-25pWXLbhanqdON%8!W?=o1REYBd6f3V^E4RiSpZ%gaz>vbd%xiuMW8|By*PM1R>ldw!Zd%lC3KlBkGc<`?(#Y- z#aQ5zi@=vJDJm)|bWLjM#Fn0&T|dtPY{w!62qKm;N$;_M>e$U;`k}lSdwt_N{kCVp z#v&Ou7fhqR`b7d=pC1Muvj&D$q}l_Zgh`$g{rbJVKKF!!@=HZ{>Hx`LLUaRrJmZFP zpc}73cz%D7&&p{)g(!jV+{FLIxwVUb6gvL&JO6FN-rz>E{M)gO!Cl(?FnTZekApYE z^qCa3!N%9`3R$$*^T9pp$@?BMZ@vY(PLvEwEG7L3T-0eY!fA1QEqwk7kUS?d%Mk77 zj<)E~y@;q@QW&kdDt~)d}FWebc#$m*9|Xp0E70o zLPcxfnF;TD4Pr0$7f>@#c1uYAvV|Q5;DmY9B?V&Q-kJJKhouLjtG}QX%plty&SGH( z1FA!@XpW^PDzgFa8#7=@4=&---31i2-H>HQhN>~f^@i+b1Os*elYdOr@m zFVcvrHXwPYuqPxoN37PGHFC`m^@7jan%})26$|E0nc0jo!r8^QlM)E9 zr6Mi;j?XK$O}Y54kWrjN8(<6?q;2QS!TJ5GoCzS0QP5a@t?Iowx6YK{#S`4O2I$x^ zd&PoWfW1%8SIxlI%AY46-Ql_yX$r|GH@}GSufccV4QAMC0GP7@!ams2RRTdiC=jj* zVcTYk7AMk3=wxjRGoq%V>Z^jhi_6_5mr?zdJ4|>kuh?PXhbrHU2x+m?d@7Sd4#*VM6h4?;^AR+;vTQ>hFyx*#RX(sBM)_neqawV#QiY%P?a4zle-wvkN7qN6$hy=aA!O5oX938aY!j^j!>qB8fa`6#+7N#od+0`{=X zM7;8$U87W}utp|o!Pl<4_b(;84@3%BgS?~OW~{fZz|>*r-F4W-m7xUpopTj9_~j{s z?{abwD&6u7W=Cp6(94W@dkVf>eKiDEKQLQ~zw=ru?BOAOB;nzfXAEKxL5fuSe^o(k;u-jR>!)P=q@H(j%s&#atsz&BhKQ_= z$L(PdSf9r6Z3FlG zSCj0#0y36xqFys-G1B`NNx^*Tx+H>YE9_ETyH_3k;ojg%FF&>+0j8MbI0k&26f{H~`toLFf;Dkmq<}@!u^ti8 zU%1<5O(@i1t=oiN$pN0uj0F9TWhlRkudM;JA-Y)Ev8pzc`awy7_#`wgeKUnx?iab3 zdcr?S^^834KPp@O0QOax% zf!({n4}3065$17n$m`j%c*IYC9-p>_u^+^g{uj3ayxzUb#)vbACT}#ZOX00}FrU3$ zOw_UPR|ecj>sl}kp{tcC>yV_lm09(m#E0y!nLW)G9^;dGap{iAVhJA_2m7=B=OC&5uaYr}37kxbFu}5Veo>sYzmxqIX+_JYyePfVQ+j4g;q$c7=Cg;bsgntn% z`8&o&7UBAlI7PsZIhwVWSyS**0*$vR&W|vg-v2Mg>iXk^#dJJ#510U9c9{ADMrmCw(Niu_6OM9hX>#_y%miT32SlL43eA=~hTJEa(*1Eql?l4SD+~R$CffRjWuUD9fsu?% zo~Q8)5J6NI2d&eIB$nRAzR;>{#TYJX;k5HsmPc!fc-HUPtOfqmULTfvD#-Ruh|8Zc zo?P(G{nr#vbAH$?00?wxgsqkbm(Rk)6>wjV_57F{iJ{$|j}TDTRd*|bHjgMKr8o?| zwGI6WYoiI6ROlf`lLLzDixTH1x>Ggo?#-Od^*>dR$+pOQTX zBl8;Hukg0_!pv&{%+D2*zL&4>5+9W^#KV_+ZzhMWX{Z@;40!*eh9xR!p@o?*_x5V! zJt2fmDnUhy`|D=>pH}_-GQQiG} z-~X~n5Mq~N!wi3Pj)2;1YxKYZa9#SCt#n{2J{#=0b5(<|hbPKXePS4iLmlgRl-@n5 zU31yD#kYXm`cHuYXB=%um7Hu4%*I}2ATzuh2*X}kD47cWb|-e<5|fR=Cg84Sw(8lL zA+@vKoWKtxx8FPipFLQ>&ALzpZ@n^)Avjd6m7f?EHAoRjL^4D|LQfMybQEK@Kn;si zF;zAA`KqUfqp&3fo?E0Fr+W8}mM)kz4iEJg5il&d3P=Q|6wEdP`mSHt%EzDojF2FX znTe#`EY_Rw8oYz}Id#bU(eY#b-;KO=I{S1z4~OCMnhn zGC?<7bn#hlvYro@4V?K?;I+?`h%kjFRyc(!97!=tPWvrNueW+RU~>1HFOzr9>KBb? zP;P-g5>A~L8}Ux}aakP{en4ZLYNmiLq3)~ndAz-6<|9ndCxrzZEc!!&my$y z00O{r_&{*qPUi*paTWucPN*}~8nLxEPCIur0oIUMNeGxg9B4U3ir#X7bN7>l}q!8XZ1zb3(Tp*NUkpWrkmCeW|)dY&za=%h?|7F z2EZ%&UL%8bhh3-w$d)}J6QjHl(|dR=r~NYscP;%GS_MW3#qrSMN!)mZk0LlCCm`J9G90i(1prOSMo>PpL^}~XNO$3avOro zyV`p78&MC2;G@MGitQunT+hJ;XN)-1^UR%=qX%h+wDB0KbJlnfW~M~CEUAa9`rBD^ zKLzPmAY2c)vGZMiha$ms+{rA^=LvmcB0IouvEXGRZ;m0&Jql z-*vf#3JTbD9H1aPZj>6)F$0G4qb3K%1^qCDZeB_I{sFL3DLf$%@(y@H(w^>*o|*O+ zaEmw>oH;Aq84l8YO@ligWI;T>dj0$gbRRSr(R8lOzJ#uU`NF|JU zR|E!|kD=m((fg6w$z$3_uSKjsfQi8RrGuA~_Y>ZnHofT}wxC+oK}y1M10~RyT@1Gl zE*%<^!ueFBiPHiD6g+CL`x z$ao`bDcCi6>e-YFmh&u@<%5;hwnNoV6R;{lR28a|N?T;MU5#D2Qi#k6hnqoDh zU%YovGGitz$;c45w@95A^~881QFgy71Sku|H*Y9Z1;J9c(mzwQXAnk>P3>rZhvqz9 z!3y&01O@)2XO)_o>GIQzboL%Bp&I?S*lVq2-BPrHpX_7f*2dLQ6ZEn^c485g(f#s6 zY~}ErI;Q&&hjq=5h?BA%ts5QpQvm}X+se&QtM<$q$pT=c=DCMBeq!|OauHDvj`;Nk zkF4PNkB`GV?eT*ka63*JcNKiSDb89bpQety4!Zj~4Ips29Dd-q-7iQr7gbcG@J(Kv z??W4Y>}I993$N9$bidNiAePO|uF23dMweQPDs8p@I7$XREt4Gx79r#(E=ZTQ5xjGD zRG#-6t%t_Co=pLtT2S#_+)Jg2#f{xMY{;1BxYb*PSqv z``n|!u!y&gG^n~oEoJm5OVKN13dE+t3^$uRwS zj?ZVI-MBX4GVApTkcr7=GaS&o6qEH9C5U#ggN@+6*-KkPhb$=n#adBssNDVMnm1Y{ zMC3klTnp_B$?BPVm0PY+;7$UQ61`7AsmV;>^OnP3R;ZQ4r^uf1S4`<&iwuTaZC zvQLd&n=m3*b=5JPUN5j_)N`{glWe3r*Q)=6dlF5a=u*&(W^MtqiC}t&L!9*3<;FfG za}}xUx&1|a%-!$Zs1=BAImW2{XAI?bT+|GulR+dB3+QxHyPf`PSg!lBp7rhcVz}`K z69xV!djgt72gKET%HMfx<&Z?v8!h@B;lTaFI$4 z28L7^&xhJREc^@#&b8sz8OIM z08359I(q%>-eXeZ!NJhw|5~$WT16ibJOX-sJhJ{tp%t@kND1(Ry}b!1I@sWP`_|u^QedG!$Fu{}|OgV})!lmjyayt49Cv_FfY2 zN8}m77HMu_;iao)(inYM6Me!!;LXfCfx42Z;VNy4QI2JQckb6i6_eun?(82(suE;5 zLL3j}!(YtYzVu7#dk|(t(K>gUjlm>^w1cuf!13W{mb$pV=FsbUxs)qw(v*`&1U2;i zk-Jmi{~28q`uM8T|Mw@8{|z<%^-=%-XSn*mIzk Customize Tools Menu…” 2. 点击 “new” 图标,添加一条自定义命令: RA Smart Configurator 3. Command 输入工具的安装路径, 点击“…”找到安装路径下的“rasc.exe”文件并选中 (setup_fsp_v3_1_0_rasc_ 安装目录下) @@ -13,6 +15,26 @@ ![image.png](picture/openrasc.png) +- 添加 Device Partition Manager,添加步骤同上。 + +1. 输入命令名称: `Device Partition Manager` +2. Command: 在安装路径选中 `rasc.exe` +3. Initial Folder : `$P` +4. Arguments: `-application com.renesas.cdt.ddsc.dpm.ui.dpmapplication configuration.xml "SL%L"` + +> PS:以上相关操作也可以在 FSP 的说明文档中找到。 +> +> 文档路径(本地):在 FSP 的安装目录下 .\fsp_documentation\v3.1.0\fsp_user_manual_v3.1.0\index.html +> +> 文档路径(官网):https://www2.renesas.cn/jp/zh/software-tool/flexible-software-package-fsp#document + +## 更新工程配置 + +使用 FSP 配置完成后如果有新的文件添加进工程中,不会马上添加进去。需要先编译一次,如果弹出如下提醒,选择 “是” 然后再次编译即可。 + +![img](picture/import_changes.png) + + ## UART 如何添加一个 UART 端口外设配置? @@ -21,40 +43,39 @@ ![image.png](picture/rascuart.png) -2. 配置 UART 参数,因为需要适配 RT-Thread 驱动中使用的命名,所以需要修改命名,设置 **name** 、**channel** 、**callback** 是一致的标号。 -![image.png](picture/rascuart1.png) - - +2. 配置 UART 参数,因为需要适配 RT-Thread 驱动中使用的命名,所以需要修改命名,设置**name** 、**channel** 、**callback** 是一致的标号。![image.png](picture/rascuart1.png) ## GPIO 中断 如何添加一个 IO 中断? -1. 选择引脚编号,进入配置,比如选择 P402 做为中断引脚。 +1. 选择引脚编号,进入配置,比如选择 P105 做为中断引脚。可先找到引脚查看可配置成的 IRQx 通道号。 -![image-20211019142514276](picture/gpio.png) - 2. 打开 ICU 中断通道 IRQ4 +![image-20211103200949759](picture/p105.png) -![image-20211019142801323](picture/gpio_irq.png) - 3. 创建 stack 并进入配置。因为需要适配 RT-Thread 驱动中使用的命名,所以需要修改命名,设置 **name** 、**channel** 、**callback** 是一致的标号。选择你希望的触发方式。最后保存配置,生成配置代码。 +2. 打开 ICU 中断通道 IRQ00 -![image-20211019142910292](picture/icu_stack.png) +![image-20211103200813467](picture/irq0.png) -![image-20211019143151891](picture/config_irq4.png) +3. 创建 stack 并进入配置。因为需要适配 RT-Thread 驱动中使用的命名,所以需要修改命名,设置**name** 、**channel** 、**callback** 是一致的标号。选择你希望的触发方式,最后保存配置,生成配置代码。 -4. 测试中断是否能够成功开启 +![](picture/1635929089445.png) + +![image-20211103201047103](picture/irq1.png) + +4. 测试中断是否成功开启 ```c - + #define IRQ_TEST_PIN "p104" void irq_callback_test(void *args) { - rt_kprintf("\n Irq4 triggered \n"); + rt_kprintf("\n IRQ01 triggered \n"); } - + void icu_sample(void) { - /* 初始化P*/ - rt_uint32_t pin = rt_pin_get("P402"); + /* init P104 */ + rt_uint32_t pin = rt_pin_get(IRQ_TEST_PIN); rt_kprintf("\n pin number : 0x%04X \n", pin); rt_err_t err = rt_pin_attach_irq(pin, PIN_IRQ_MODE_RISING, irq_callback_test, RT_NULL); if(RT_EOK != err) @@ -72,15 +93,15 @@ ## WDT -1. 创建 WDT +1. 创建 WDT ![image-20211019152302939](picture/wdt.png) -2. 配置 WDT,需要注意在 RT-Thread 中只是用了一个 WDT 设备,所以没有对其进行编号,如果是新创建的 WDT 设备需要注意 name 字段,在驱动中默认使用的是 `g_wdt` 。 +2. 配置 WDT,需要注意在 RT-Thread 中只使用了一个 WDT 设备,所以没有对其进行编号,如果是新创建的 WDT 设备需要注意 name 字段,在驱动中默认使用的是`g_wdt` 。 ![image-20211019152407572](picture/wdt_config.png) -3. 如何在 ENV 中打开 WDT 以及 [WDT 接口使用说明](https://www.rt-thread.org/document/site/#/rt-thread-version/rt-thread-standard/programming-manual/device/watchdog/watchdog) +3. 如何在 ENV 中打开 WDT 以及[WDT 接口使用说明](https://www.rt-thread.org/document/site/#/rt-thread-version/rt-thread-standard/programming-manual/device/watchdog/watchdog) ![image-20211027183406251](picture/wdt_env.png) @@ -90,22 +111,21 @@ ![image-20211019152536749](picture/rtc.png) -2. 配置 RTC,需要注意在 RT-Thread 中只是用了一个 RTC 设备,所以没有对其进行编号,如果是新创建的 RTC 设备需要注意 name 字段,在驱动中默认使用的是 `g_rtc` 。 +2. 配置 RTC,需要注意在 RT-Thread 中只是用了一个 RTC 设备,所以没有对其进行编号,如果是新创建的 RTC 设备需要注意 name 字段,在驱动中默认使用的是`g_rtc` 。修改 Callback 为 rtc_callback ![image-20211019152627412](picture/rtc_config.png) -3. 如何在 ENV 中打开 RTC 以及[ RTC 接口使用说明](https://www.rt-thread.org/document/site/#/rt-thread-version/rt-thread-standard/programming-manual/device/rtc/rtc) +3. 如何在 ENV 中打开 RTC 以及[ RTC 接口使用说明](https://www.rt-thread.org/document/site/#/rt-thread-version/rt-thread-standard/programming-manual/device/rtc/rtc) ![image-20211027181550233](picture/rtc_env.png) - ## Flash 1. 创建 Flash ![image-20211026105031200](picture/add_flash.png) -2. 配置 Flash,需要注意在 RT-Thread 中只使用了一个 flash 设备,所以没有对其进行编号,如果是新创建的 flash 设备需要注意 name 字段,在驱动中默认使用的是 `g_flash` 。 +2. 配置 Flash,需要注意在 RT-Thread 中只使用了一个 flash 设备,所以没有对其进行编号,如果是新创建的 flash 设备需要注意 name 字段,在驱动中默认使用的是`g_flash` 。 ![image-20211026105628706](picture/config_flash.png) @@ -113,7 +133,6 @@ ![image-20211026123252310](picture/flash_menuconfig.png) - ## SPI 1. 添加一个 SPI 外设端口 @@ -128,15 +147,13 @@ ![image-20211027181444023](picture/spi_env.png) - - ## ADC/DAC 创建 ADC/DAC ![img](picture/adc_dac.png) -- ADC +- **ADC** 1. 配置 name、unit、mode,选择扫描的通道编号 @@ -146,7 +163,9 @@ ![img](picture/adc_config1.png) -- DAC +3. 在 menuconfig 中打开对应的通道 + +- **DAC** 1. 需要先关闭 P014 的默认 mode @@ -160,6 +179,7 @@ ![img](picture/dac_config2.png) +4. 在 menuconfig 中打开对应的通道 ## 通用 PWM 定时器(GPT) @@ -168,21 +188,25 @@ GPT 定时器在该芯片中可作为通用定时器,也可以用于产生 PWM 1. 添加 GPT 设备 ![img](./picture/add_gpt1.png) - + 2. 配置通道 ![img](./picture/add_gpt2.png) 对 GPT 较为关键的配置如图所示,具体解释如下: - 1. 将 ```Common``` -> ```Pin Output Support``` 设置为 Enable ,以开启 PWM 波形的输出。 - 2. 指定 GPT 通道,并根据通道数指定 GPT 的名称,例如此处指定 GPT 通道 3 ,所以 GPT 的名称必须为 ```g_timer3```。并且将定时器模式设置为 PWM ,并指定每个 PWM 周期的计数值。 + 1. 将``Common`` ->``Pin Output Support`` 设置为 Enable ,以开启 PWM 波形的输出。 + 2. 指定 GPT 通道,并根据通道数指定 GPT 的名称,例如此处指定 GPT 通道 3 ,所以 GPT 的名称必须为``g_timer3``。并且将定时器模式设置为 PWM ,并指定每个 PWM 周期的计数值。 3. 设定 PWM 通道默认输出的占空比,这里为 50% 。 4. 设定 GPT 通道下两个输出端口的使能状态。 5. 此处设置 GPT 通道下两个输出端口各自对应的引脚。 - + 3. 配置输出引脚 ![img](./picture/add_gpt3.png) - 在完成上一步对 GPT 定时器的设置后,根据图示找到对应 GPT 通道输出引脚设置的界面(这里是 GPT3),将图中标号 **1** 处设置为 ```GTIOCA or GTIOCB``` ,并根据需要在图中标号 **2** 处设置 GPT 通道下两个输出端口各自对应的输出引脚。 \ No newline at end of file + 在完成上一步对 GPT 定时器的设置后,根据图示找到对应 GPT 通道输出引脚设置的界面(这里是 GPT3),将图中标号 **1** 处设置为 ``GTIOCA or GTIOCB`` ,并根据需要在图中标号 **2** 处设置 GPT 通道下两个输出端口各自对应的输出引脚。 + + 4. 在 menuconfig 中打开对应的通道,[RT-Thread 的 pwm 框架介绍](https://www.rt-thread.org/document/site/#/rt-thread-version/rt-thread-standard/programming-manual/device/pwm/pwm) + + ![image-20211103202216381](picture/pwm_env.png) diff --git a/bsp/ra6m4-cpk/drivers/Kconfig b/bsp/ra6m4-cpk/drivers/Kconfig index 56e2cbc325..78029ac720 100644 --- a/bsp/ra6m4-cpk/drivers/Kconfig +++ b/bsp/ra6m4-cpk/drivers/Kconfig @@ -87,16 +87,6 @@ menu "Hardware Drivers Config" select RT_USING_RTC default n if BSP_USING_ONCHIP_RTC - choice - prompt "Select clock source" - default BSP_RTC_USING_LSE - - config BSP_RTC_USING_LSE - bool "RTC USING LSE" - - config BSP_RTC_USING_LSI - bool "RTC USING LSI" - endchoice endif menuconfig BSP_USING_SPI diff --git a/bsp/ra6m4-cpk/drivers/config/ra6m4/dac_config.h b/bsp/ra6m4-cpk/drivers/config/ra6m4/dac_config.h index 12284c449a..0765a8c228 100644 --- a/bsp/ra6m4-cpk/drivers/config/ra6m4/dac_config.h +++ b/bsp/ra6m4-cpk/drivers/config/ra6m4/dac_config.h @@ -18,7 +18,7 @@ extern "C" { #endif -#if defined(BSP_USING_DAC0) && defined(BSP_USING_DAC1) +#ifdef BSP_USING_DAC struct ra_dac_map { char name; diff --git a/bsp/ra6m4-cpk/drivers/drv_gpio.c b/bsp/ra6m4-cpk/drivers/drv_gpio.c index fa2a2f2151..0f180cd2a2 100644 --- a/bsp/ra6m4-cpk/drivers/drv_gpio.c +++ b/bsp/ra6m4-cpk/drivers/drv_gpio.c @@ -383,7 +383,7 @@ static rt_base_t ra_pin_get(const char *name) int pin_number = -1, port = -1, pin = -1; if (rt_strlen(name) != 4) return -1; - if (name[0] == 'P') + if ((name[0] == 'P')||(name[0] == 'p')) { if ('0' <= (int)name[1] && (int)name[1] <= '9') { diff --git a/bsp/ra6m4-cpk/drivers/drv_rtc.c b/bsp/ra6m4-cpk/drivers/drv_rtc.c index 342d598587..dc49462241 100644 --- a/bsp/ra6m4-cpk/drivers/drv_rtc.c +++ b/bsp/ra6m4-cpk/drivers/drv_rtc.c @@ -111,6 +111,7 @@ static rt_err_t ra_set_secs(void *args) return result; } +#ifdef RT_USING_ALARM static rt_err_t ra_get_alarm(void *arg) { rt_err_t result = RT_EOK; @@ -179,15 +180,17 @@ static rt_err_t ra_set_alarm(void *arg) } return result; } - -static rt_device_t ra_device; +#endif /* RT_USING_ALARM */ void rtc_callback(rtc_callback_args_t *p_args) { +#ifdef RT_USING_ALARM + static rt_device_t ra_device; if (RTC_EVENT_ALARM_IRQ == p_args->event) { rt_alarm_update(ra_device, 1); } +#endif } static const struct rt_rtc_ops ra_rtc_ops = @@ -195,8 +198,10 @@ static const struct rt_rtc_ops ra_rtc_ops = .init = ra_rtc_init, .get_secs = ra_get_secs, .set_secs = ra_set_secs, +#ifdef RT_USING_ALARM .set_alarm = ra_set_alarm, .get_alarm = ra_get_alarm, +#endif }; static rt_rtc_dev_t ra_rtc_dev; diff --git a/bsp/ra6m4-cpk/project.uvoptx b/bsp/ra6m4-cpk/project.uvoptx index a345fd8d5d..f8db0ba535 100644 --- a/bsp/ra6m4-cpk/project.uvoptx +++ b/bsp/ra6m4-cpk/project.uvoptx @@ -170,124 +170,476 @@ - Source Group 1 + CPU 0 0 0 0 + + 1 + 1 + 1 + 0 + 0 + 0 + ..\..\libcpu\arm\common\backtrace.c + backtrace.c + 0 + 0 + + + 1 + 2 + 1 + 0 + 0 + 0 + ..\..\libcpu\arm\common\div0.c + div0.c + 0 + 0 + + + 1 + 3 + 1 + 0 + 0 + 0 + ..\..\libcpu\arm\common\showmem.c + showmem.c + 0 + 0 + + + 1 + 4 + 2 + 0 + 0 + 0 + ..\..\libcpu\arm\cortex-m4\context_rvds.S + context_rvds.S + 0 + 0 + + + 1 + 5 + 1 + 0 + 0 + 0 + ..\..\libcpu\arm\cortex-m4\cpuport.c + cpuport.c + 0 + 0 + - :Renesas RA Smart Configurator:Common Sources + DeviceDrivers 0 0 0 0 2 - 1 + 6 1 0 0 0 - .\src\hal_entry.c - hal_entry.c + ..\..\components\drivers\misc\pin.c + pin.c 0 0 2 - 2 - 5 + 7 + 1 0 0 0 - .\src\SConscript - SConscript + ..\..\components\drivers\serial\serial_v2.c + serial_v2.c 0 0 2 - 3 + 8 1 0 0 0 - .\src\adc_sample.c - adc_sample.c + ..\..\components\drivers\src\pipe.c + pipe.c 0 0 2 - 4 + 9 1 0 0 0 - .\src\alarm_sample.c - alarm_sample.c + ..\..\components\drivers\src\ringblk_buf.c + ringblk_buf.c 0 0 2 - 5 + 10 1 0 0 0 - .\src\dac_sample.c - dac_sample.c + ..\..\components\drivers\src\dataqueue.c + dataqueue.c 0 0 2 - 6 + 11 1 0 0 0 - .\src\icu_sample.c - icu_sample.c + ..\..\components\drivers\src\workqueue.c + workqueue.c 0 0 2 - 7 + 12 1 0 0 0 - .\src\rtc_sample.c - rtc_sample.c + ..\..\components\drivers\src\ringbuffer.c + ringbuffer.c 0 0 2 - 8 + 13 1 0 0 0 - .\src\spi_sample.c - spi_sample.c + ..\..\components\drivers\src\completion.c + completion.c 0 0 2 - 9 + 14 + 1 + 0 + 0 + 0 + ..\..\components\drivers\src\waitqueue.c + waitqueue.c + 0 + 0 + + + + + Drivers + 1 + 0 + 0 + 0 + + 3 + 15 + 1 + 0 + 0 + 0 + drivers\drv_gpio.c + drv_gpio.c + 0 + 0 + + + 3 + 16 + 1 + 0 + 0 + 0 + drivers\drv_usart_v2.c + drv_usart_v2.c + 0 + 0 + + + 3 + 17 + 1 + 0 + 0 + 0 + drivers\drv_common.c + drv_common.c + 0 + 0 + + + + + Finsh + 0 + 0 + 0 + 0 + + 4 + 18 + 1 + 0 + 0 + 0 + ..\..\components\finsh\shell.c + shell.c + 0 + 0 + + + 4 + 19 + 1 + 0 + 0 + 0 + ..\..\components\finsh\msh.c + msh.c + 0 + 0 + + + 4 + 20 1 0 0 0 - .\src\wdt_sample.c - wdt_sample.c + ..\..\components\finsh\cmd.c + cmd.c + 0 + 0 + + + + + Kernel + 0 + 0 + 0 + 0 + + 5 + 21 + 1 + 0 + 0 + 0 + ..\..\src\ipc.c + ipc.c + 0 + 0 + + + 5 + 22 + 1 + 0 + 0 + 0 + ..\..\src\thread.c + thread.c + 0 + 0 + + + 5 + 23 + 1 + 0 + 0 + 0 + ..\..\src\device.c + device.c + 0 + 0 + + + 5 + 24 + 1 + 0 + 0 + 0 + ..\..\src\kservice.c + kservice.c + 0 + 0 + + + 5 + 25 + 1 + 0 + 0 + 0 + ..\..\src\components.c + components.c + 0 + 0 + + + 5 + 26 + 1 + 0 + 0 + 0 + ..\..\src\clock.c + clock.c + 0 + 0 + + + 5 + 27 + 1 + 0 + 0 + 0 + ..\..\src\timer.c + timer.c + 0 + 0 + + + 5 + 28 + 1 + 0 + 0 + 0 + ..\..\src\mem.c + mem.c + 0 + 0 + + + 5 + 29 + 1 + 0 + 0 + 0 + ..\..\src\object.c + object.c + 0 + 0 + + + 5 + 30 + 1 + 0 + 0 + 0 + ..\..\src\scheduler.c + scheduler.c + 0 + 0 + + + 5 + 31 + 1 + 0 + 0 + 0 + ..\..\src\irq.c + irq.c + 0 + 0 + + + 5 + 32 + 1 + 0 + 0 + 0 + ..\..\src\idle.c + idle.c + 0 + 0 + + + + + libc + 0 + 0 + 0 + 0 + + 6 + 33 + 1 + 0 + 0 + 0 + ..\..\components\libc\compilers\common\time.c + time.c + 0 + 0 + + + + + :Renesas RA Smart Configurator:Common Sources + 0 + 0 + 0 + 0 + + 7 + 34 + 1 + 0 + 0 + 0 + .\src\hal_entry.c + hal_entry.c + 0 + 0 + + + 7 + 35 + 5 + 0 + 0 + 0 + .\src\SConscript + SConscript 0 0 diff --git a/bsp/ra6m4-cpk/project.uvprojx b/bsp/ra6m4-cpk/project.uvprojx index 1a05a1a505..969b850402 100644 --- a/bsp/ra6m4-cpk/project.uvprojx +++ b/bsp/ra6m4-cpk/project.uvprojx @@ -1,7 +1,10 @@ + 2.1 +
    ### uVision Project, (C) Keil Software
    + Target 1 @@ -15,28 +18,28 @@ Renesas.RA_DFP.3.1.0 https://www2.renesas.eu/Keil_MDK_Packs/ CPUTYPE("Cortex-M33") FPU2 CLOCK(12000000) ELITTLE - - - + + + 0 - - - - - - - - - - + + + + + + + + + + $$Device:R7FA6M4AF$SVD\R7FA6M4AF.svd 0 0 - - - - - + + + + + 0 0 @@ -58,8 +61,8 @@ 0 0 - - + + 0 0 0 @@ -68,8 +71,8 @@ 0 0 - - + + 0 0 0 @@ -78,15 +81,15 @@ 0 0 - - + + 0 0 0 0 0 - + 0 @@ -100,8 +103,8 @@ 0 0 3 - - + + 1 @@ -133,12 +136,12 @@ -1 1 - + "" () - - - - + + + + 0 @@ -171,7 +174,7 @@ 0 0 "Cortex-M33" - + 0 0 0 @@ -305,7 +308,7 @@ 0x0 - + 1 @@ -334,7 +337,7 @@ -Wno-license-management -Wuninitialized -Wall -Wmissing-declarations -Wpointer-arith -Waggregate-return -Wfloat-equal SOC_R7FA6M4AF, __RTTHREAD__, __CLK_TCK=RT_TICK_PER_SECOND - + ..\..\libcpu\arm\common;..\..\libcpu\arm\cortex-m4;..\..\components\drivers\include;..\..\components\drivers\include;..\..\components\drivers\include;drivers;drivers\config;..\..\components\finsh;.;..\..\include;..\..\components\libc\compilers\common;..\..\components\libc\compilers\common\none-gcc;..\..\examples\utest\testcases\kernel @@ -350,10 +353,10 @@ 0 4 - - - - + + + + @@ -363,14 +366,14 @@ 0 0 0 - - - + + + .\script\fsp.scat - - - - + + + + 6319,6314 @@ -380,33 +383,25 @@ CPU - showmem.c + backtrace.c 1 - ..\..\libcpu\arm\common\showmem.c + ..\..\libcpu\arm\common\backtrace.c - - div0.c 1 ..\..\libcpu\arm\common\div0.c - - - backtrace.c + showmem.c 1 - ..\..\libcpu\arm\common\backtrace.c + ..\..\libcpu\arm\common\showmem.c - - context_rvds.S 2 ..\..\libcpu\arm\cortex-m4\context_rvds.S - - cpuport.c 1 @@ -422,61 +417,45 @@ 1 ..\..\components\drivers\misc\pin.c - - serial_v2.c 1 ..\..\components\drivers\serial\serial_v2.c - - pipe.c 1 ..\..\components\drivers\src\pipe.c - - - completion.c + ringblk_buf.c 1 - ..\..\components\drivers\src\completion.c + ..\..\components\drivers\src\ringblk_buf.c - - - ringblk_buf.c + dataqueue.c 1 - ..\..\components\drivers\src\ringblk_buf.c + ..\..\components\drivers\src\dataqueue.c - - workqueue.c 1 ..\..\components\drivers\src\workqueue.c - - ringbuffer.c 1 ..\..\components\drivers\src\ringbuffer.c - - - waitqueue.c + completion.c 1 - ..\..\components\drivers\src\waitqueue.c + ..\..\components\drivers\src\completion.c - - - dataqueue.c + waitqueue.c 1 - ..\..\components\drivers\src\dataqueue.c + ..\..\components\drivers\src\waitqueue.c
    @@ -488,15 +467,11 @@ 1 drivers\drv_gpio.c - - drv_usart_v2.c 1 drivers\drv_usart_v2.c - - drv_common.c 1 @@ -512,15 +487,11 @@ 1 ..\..\components\finsh\shell.c - - msh.c 1 ..\..\components\finsh\msh.c - - cmd.c 1 @@ -532,82 +503,60 @@ Kernel - timer.c + ipc.c 1 - ..\..\src\timer.c + ..\..\src\ipc.c - - - object.c + thread.c 1 - ..\..\src\object.c + ..\..\src\thread.c - - - irq.c + device.c 1 - ..\..\src\irq.c + ..\..\src\device.c - - kservice.c 1 ..\..\src\kservice.c - - - - ipc.c - 1 - ..\..\src\ipc.c - - - components.c 1 ..\..\src\components.c - - - mem.c + clock.c 1 - ..\..\src\mem.c + ..\..\src\clock.c - - - device.c + timer.c 1 - ..\..\src\device.c + ..\..\src\timer.c - - - clock.c + mem.c 1 - ..\..\src\clock.c + ..\..\src\mem.c - - - thread.c + object.c 1 - ..\..\src\thread.c + ..\..\src\object.c - - scheduler.c 1 ..\..\src\scheduler.c - - + + irq.c + 1 + ..\..\src\irq.c + idle.c 1 @@ -625,26 +574,46 @@ + + :Renesas RA Smart Configurator:Common Sources + + + hal_entry.c + 1 + .\src\hal_entry.c + + + SConscript + 5 + .\src\SConscript + + + + + ::Flex Software + + - + - + - + - + - + + diff --git a/bsp/ra6m4-cpk/ra_cfg/fsp_cfg/bsp/bsp_mcu_family_cfg.h b/bsp/ra6m4-cpk/ra_cfg/fsp_cfg/bsp/bsp_mcu_family_cfg.h index 46477a5ed6..8943340af5 100644 --- a/bsp/ra6m4-cpk/ra_cfg/fsp_cfg/bsp/bsp_mcu_family_cfg.h +++ b/bsp/ra6m4-cpk/ra_cfg/fsp_cfg/bsp/bsp_mcu_family_cfg.h @@ -190,7 +190,7 @@ /* Security attribution for registers for IRQ channels. */ #ifndef BSP_TZ_CFG_ICUSARA #define BSP_TZ_CFG_ICUSARA (\ - (((RA_NOT_DEFINED > 0) ? 0U : 1U) << 0U) /* External IRQ0 */ | \ + (((1 > 0) ? 0U : 1U) << 0U) /* External IRQ0 */ | \ (((RA_NOT_DEFINED > 0) ? 0U : 1U) << 1U) /* External IRQ1 */ | \ (((RA_NOT_DEFINED > 0) ? 0U : 1U) << 2U) /* External IRQ2 */ | \ (((RA_NOT_DEFINED > 0) ? 0U : 1U) << 3U) /* External IRQ3 */ | \ diff --git a/bsp/ra6m4-cpk/ra_gen/hal_data.c b/bsp/ra6m4-cpk/ra_gen/hal_data.c index 34a14e4d6c..1727d2e918 100644 --- a/bsp/ra6m4-cpk/ra_gen/hal_data.c +++ b/bsp/ra6m4-cpk/ra_gen/hal_data.c @@ -1,5 +1,29 @@ /* generated HAL source file - do not edit */ #include "hal_data.h" +icu_instance_ctrl_t g_external_irq0_ctrl; +const external_irq_cfg_t g_external_irq0_cfg = +{ + .channel = 0, + .trigger = EXTERNAL_IRQ_TRIG_RISING, + .filter_enable = false, + .pclk_div = EXTERNAL_IRQ_PCLK_DIV_BY_64, + .p_callback = irq0_callback, + .p_context = NULL, + .p_extend = NULL, + .ipl = (12), +#if defined(VECTOR_NUMBER_ICU_IRQ0) + .irq = VECTOR_NUMBER_ICU_IRQ0, +#else + .irq = FSP_INVALID_VECTOR, +#endif +}; +/* Instance structure to use this module. */ +const external_irq_instance_t g_external_irq0 = +{ + .p_ctrl = &g_external_irq0_ctrl, + .p_cfg = &g_external_irq0_cfg, + .p_api = &g_external_irq_on_icu +}; sci_uart_instance_ctrl_t g_uart7_ctrl; baud_setting_t g_uart7_baud_setting = diff --git a/bsp/ra6m4-cpk/ra_gen/hal_data.h b/bsp/ra6m4-cpk/ra_gen/hal_data.h index 310230edd5..eedf16b98d 100644 --- a/bsp/ra6m4-cpk/ra_gen/hal_data.h +++ b/bsp/ra6m4-cpk/ra_gen/hal_data.h @@ -4,9 +4,21 @@ #include #include "bsp_api.h" #include "common_data.h" +#include "r_icu.h" +#include "r_external_irq_api.h" #include "r_sci_uart.h" #include "r_uart_api.h" FSP_HEADER +/** External IRQ on ICU Instance. */ +extern const external_irq_instance_t g_external_irq0; + +/** Access the ICU instance using these structures when calling API functions directly (::p_api is not used). */ +extern icu_instance_ctrl_t g_external_irq0_ctrl; +extern const external_irq_cfg_t g_external_irq0_cfg; + +#ifndef irq0_callback +void irq0_callback(external_irq_callback_args_t * p_args); +#endif /** UART on SCI Instance. */ extern const uart_instance_t g_uart7; diff --git a/bsp/ra6m4-cpk/ra_gen/pin_data.c b/bsp/ra6m4-cpk/ra_gen/pin_data.c index c173e439ed..448eee5d8e 100644 --- a/bsp/ra6m4-cpk/ra_gen/pin_data.c +++ b/bsp/ra6m4-cpk/ra_gen/pin_data.c @@ -38,7 +38,7 @@ const ioport_pin_cfg_t g_bsp_pin_cfg_data[] = { }, { .pin = BSP_IO_PORT_00_PIN_14, - .pin_cfg = ((uint32_t) IOPORT_CFG_PORT_DIRECTION_OUTPUT | (uint32_t) IOPORT_CFG_PORT_OUTPUT_LOW) + .pin_cfg = ((uint32_t) IOPORT_CFG_ANALOG_ENABLE) }, { .pin = BSP_IO_PORT_00_PIN_15, diff --git a/bsp/ra6m4-cpk/ra_gen/vector_data.c b/bsp/ra6m4-cpk/ra_gen/vector_data.c index dbf7d108f7..5bbc494618 100644 --- a/bsp/ra6m4-cpk/ra_gen/vector_data.c +++ b/bsp/ra6m4-cpk/ra_gen/vector_data.c @@ -8,6 +8,7 @@ [1] = sci_uart_txi_isr, /* SCI7 TXI (Transmit data empty) */ [2] = sci_uart_tei_isr, /* SCI7 TEI (Transmit end) */ [3] = sci_uart_eri_isr, /* SCI7 ERI (Receive error) */ + [4] = r_icu_isr, /* ICU IRQ0 (External pin interrupt 0) */ }; const bsp_interrupt_event_t g_interrupt_event_link_select[BSP_ICU_VECTOR_MAX_ENTRIES] = { @@ -15,5 +16,6 @@ [1] = BSP_PRV_IELS_ENUM(EVENT_SCI7_TXI), /* SCI7 TXI (Transmit data empty) */ [2] = BSP_PRV_IELS_ENUM(EVENT_SCI7_TEI), /* SCI7 TEI (Transmit end) */ [3] = BSP_PRV_IELS_ENUM(EVENT_SCI7_ERI), /* SCI7 ERI (Receive error) */ + [4] = BSP_PRV_IELS_ENUM(EVENT_ICU_IRQ0), /* ICU IRQ0 (External pin interrupt 0) */ }; #endif \ No newline at end of file diff --git a/bsp/ra6m4-cpk/ra_gen/vector_data.h b/bsp/ra6m4-cpk/ra_gen/vector_data.h index 4beef7fd21..fd6cf29e21 100644 --- a/bsp/ra6m4-cpk/ra_gen/vector_data.h +++ b/bsp/ra6m4-cpk/ra_gen/vector_data.h @@ -3,19 +3,21 @@ #define VECTOR_DATA_H /* Number of interrupts allocated */ #ifndef VECTOR_DATA_IRQ_COUNT - #define VECTOR_DATA_IRQ_COUNT (4) + #define VECTOR_DATA_IRQ_COUNT (5) #endif /* ISR prototypes */ void sci_uart_rxi_isr(void); void sci_uart_txi_isr(void); void sci_uart_tei_isr(void); void sci_uart_eri_isr(void); + void r_icu_isr(void); /* Vector table allocations */ #define VECTOR_NUMBER_SCI7_RXI ((IRQn_Type) 0) /* SCI7 RXI (Received data full) */ #define VECTOR_NUMBER_SCI7_TXI ((IRQn_Type) 1) /* SCI7 TXI (Transmit data empty) */ #define VECTOR_NUMBER_SCI7_TEI ((IRQn_Type) 2) /* SCI7 TEI (Transmit end) */ #define VECTOR_NUMBER_SCI7_ERI ((IRQn_Type) 3) /* SCI7 ERI (Receive error) */ + #define VECTOR_NUMBER_ICU_IRQ0 ((IRQn_Type) 4) /* ICU IRQ0 (External pin interrupt 0) */ typedef enum IRQn { Reset_IRQn = -15, NonMaskableInt_IRQn = -14, @@ -32,5 +34,6 @@ SCI7_TXI_IRQn = 1, /* SCI7 TXI (Transmit data empty) */ SCI7_TEI_IRQn = 2, /* SCI7 TEI (Transmit end) */ SCI7_ERI_IRQn = 3, /* SCI7 ERI (Receive error) */ + ICU_IRQ0_IRQn = 4, /* ICU IRQ0 (External pin interrupt 0) */ } IRQn_Type; #endif /* VECTOR_DATA_H */ \ No newline at end of file diff --git a/bsp/ra6m4-cpk/src/hal_entry.c b/bsp/ra6m4-cpk/src/hal_entry.c index 35ec1110d4..acac8a8442 100644 --- a/bsp/ra6m4-cpk/src/hal_entry.c +++ b/bsp/ra6m4-cpk/src/hal_entry.c @@ -6,6 +6,7 @@ * Change Logs: * Date Author Notes * 2021-10-10 Sherman first version + * 2021-11-03 Sherman Add icu_sample */ #include @@ -13,6 +14,7 @@ #include #define LED3_PIN BSP_IO_PORT_01_PIN_06 +#define USER_INPUT "P105" void hal_entry(void) { @@ -26,3 +28,26 @@ void hal_entry(void) rt_thread_mdelay(500); } } + +void irq_callback_test(void *args) +{ + rt_kprintf("\n IRQ00 triggered \n"); +} + +void icu_sample(void) +{ + /* init */ + rt_uint32_t pin = rt_pin_get(USER_INPUT); + rt_kprintf("\n pin number : 0x%04X \n", pin); + rt_err_t err = rt_pin_attach_irq(pin, PIN_IRQ_MODE_RISING, irq_callback_test, RT_NULL); + if(RT_EOK != err) + { + rt_kprintf("\n attach irq failed. \n"); + } + err = rt_pin_irq_enable(pin, PIN_IRQ_ENABLE); + if(RT_EOK != err) + { + rt_kprintf("\n enable irq failed. \n"); + } +} +MSH_CMD_EXPORT(icu_sample, icu sample); -- GitLab