From 8fe6f45a7b203ce45e07b9a6fc3c405098584007 Mon Sep 17 00:00:00 2001 From: slguan Date: Sun, 19 Apr 2020 23:46:49 +0800 Subject: [PATCH] add scripts --- tests/script/basicSuite.sim | 15 ++------ tests/script/general/db/testSuite.sim | 19 +++++---- tests/script/general/insert/testSuite.sim | 16 ++++---- tests/script/general/table/testSuite.sim | 47 ++++++++++++----------- tests/script/general/user/testSuite.sim | 1 + 5 files changed, 50 insertions(+), 48 deletions(-) create mode 100644 tests/script/general/user/testSuite.sim diff --git a/tests/script/basicSuite.sim b/tests/script/basicSuite.sim index c282480bbe..22c92b50c7 100644 --- a/tests/script/basicSuite.sim +++ b/tests/script/basicSuite.sim @@ -1,15 +1,8 @@ ################################# -run general/table/basic1.sim -run general/table/basic2.sim -run general/table/basic3.sim - -run general/db/basic1.sim -run general/db/basic2.sim -run general/db/basic3.sim -run general/db/basic4.sim -run general/db/basic5.sim - -run general/user/basic1.sim +run general/db/testSuite.sim +run general/insert/testSuite.sim +run general/table/testSuite.sim +run general/user/testSuite.sim ################################## diff --git a/tests/script/general/db/testSuite.sim b/tests/script/general/db/testSuite.sim index 49a2c4eba8..3c63864e6f 100644 --- a/tests/script/general/db/testSuite.sim +++ b/tests/script/general/db/testSuite.sim @@ -1,7 +1,12 @@ -run lite/db/tables.sim -run lite/db/basic.sim -run lite/db/len.sim -run lite/db/delete_reuse1.sim -run lite/db/delete_reuse2.sim -run lite/db/delete_writing1.sim -run lite/db/delete_writing2.sim +run general/db/basic1.sim +run general/db/basic2.sim +run general/db/basic3.sim +run general/db/basic4.sim +run general/db/basic5.sim +#run general//db/tables.sim +#run general//db/basic.sim +#run general//db/len.sim +#run general//db/delete_reuse1.sim +#run general//db/delete_reuse2.sim +#run general//db/delete_writing1.sim +#run general//db/delete_writing2.sim diff --git a/tests/script/general/insert/testSuite.sim b/tests/script/general/insert/testSuite.sim index 1048e179e7..582351a218 100644 --- a/tests/script/general/insert/testSuite.sim +++ b/tests/script/general/insert/testSuite.sim @@ -1,8 +1,8 @@ -run lite/insert/basic.sim -run lite/insert/insert_drop.sim -run lite/insert/query_block1_memory.sim -run lite/insert/query_block2_memory.sim -run lite/insert/query_block1_file.sim -run lite/insert/query_block2_file.sim -run lite/insert/query_file_memory.sim -run lite/insert/query_multi_file.sim +#run general/insert/basic.sim +#run general/insert/insert_drop.sim +#run general/insert/query_block1_memory.sim +#run general/insert/query_block2_memory.sim +#run general/insert/query_block1_file.sim +#run general/insert/query_block2_file.sim +#run general/insert/query_file_memory.sim +#run general/insert/query_multi_file.sim diff --git a/tests/script/general/table/testSuite.sim b/tests/script/general/table/testSuite.sim index 5766dd7507..8a8698a60d 100644 --- a/tests/script/general/table/testSuite.sim +++ b/tests/script/general/table/testSuite.sim @@ -1,22 +1,25 @@ -run lite/table/table.sim -run lite/table/vgroup.sim -run lite/table/limit.sim -run lite/table/table_len.sim -run lite/table/column_num.sim -run lite/table/column2.sim -run lite/table/column_name.sim -run lite/table/column_value.sim -run lite/table/describe.sim -run lite/table/date.sim -run lite/table/bigint.sim -run lite/table/binary.sim -run lite/table/bool.sim -run lite/table/double.sim -run lite/table/float.sim -run lite/table/int.sim -run lite/table/smallint.sim -run lite/table/tinyint.sim -run lite/table/db.table.sim -run lite/table/delete_reuse1.sim -run lite/table/delete_reuse2.sim -run lite/table/delete_writing.sim +run general/table/basic1.sim +run general/table/basic2.sim +run general/table/basic3.sim +#run general/table/table.sim +#run general/table/vgroup.sim +#run general/table/limit.sim +#run general/table/table_len.sim +run general/table/column_num.sim +#run general/table/column2.sim +run general/table/column_name.sim +#run general/table/column_value.sim +#run general/table/describe.sim +#run general/table/date.sim +run general/table/bigint.sim +#run general/table/binary.sim +run general/table/bool.sim +run general/table/double.sim +run general/table/float.sim +run general/table/int.sim +run general/table/smallint.sim +run general/table/tinyint.sim +run general/table/db.table.sim +#run general/table/delete_reuse1.sim +#run general/table/delete_reuse2.sim +#run general/table/delete_writing.sim diff --git a/tests/script/general/user/testSuite.sim b/tests/script/general/user/testSuite.sim new file mode 100644 index 0000000000..199c8f39a1 --- /dev/null +++ b/tests/script/general/user/testSuite.sim @@ -0,0 +1 @@ +run general/user/basic1.sim \ No newline at end of file -- GitLab