From 0ec79d4a0ea2a32f163a85f8caa760b4a65ad71d Mon Sep 17 00:00:00 2001 From: zohar123 Date: Mon, 24 Dec 2018 17:17:27 +0800 Subject: [PATCH] [bsp]add swm320-lq100 bsp --- bsp/swm320-lq100/.config | 413 + bsp/swm320-lq100/Kconfig | 25 + .../CMSIS/CoreSupport/arm_common_tables.h | 136 + .../CMSIS/CoreSupport/arm_const_structs.h | 79 + .../Libraries/CMSIS/CoreSupport/arm_math.h | 7538 +++++++++++++++++ .../Libraries/CMSIS/CoreSupport/core_cm0.h | 711 ++ .../CMSIS/CoreSupport/core_cm0plus.h | 822 ++ .../Libraries/CMSIS/CoreSupport/core_cm3.h | 1650 ++++ .../Libraries/CMSIS/CoreSupport/core_cm4.h | 1802 ++++ .../Libraries/CMSIS/CoreSupport/core_cm7.h | 2221 +++++ .../Libraries/CMSIS/CoreSupport/core_cmFunc.h | 637 ++ .../CMSIS/CoreSupport/core_cmInstr.h | 880 ++ .../Libraries/CMSIS/CoreSupport/core_cmSimd.h | 697 ++ .../Libraries/CMSIS/DeviceSupport/SWM320.h | 2548 ++++++ .../startup/arm/startup_SWM320.s | 558 ++ .../startup/gcc/startup_SWM320.s | 406 + .../startup/iar/startup_SWM320.s | 464 + .../CMSIS/DeviceSupport/system_SWM320.c | 215 + .../CMSIS/DeviceSupport/system_SWM320.h | 24 + bsp/swm320-lq100/Libraries/SConscript | 18 + .../SWM320_StdPeriph_Driver/SWM320_adc.c | 522 ++ .../SWM320_StdPeriph_Driver/SWM320_adc.h | 83 + .../SWM320_StdPeriph_Driver/SWM320_can.c | 687 ++ .../SWM320_StdPeriph_Driver/SWM320_can.h | 141 + .../SWM320_StdPeriph_Driver/SWM320_crc.c | 51 + .../SWM320_StdPeriph_Driver/SWM320_crc.h | 39 + .../SWM320_StdPeriph_Driver/SWM320_dma.c | 138 + .../SWM320_StdPeriph_Driver/SWM320_dma.h | 20 + .../SWM320_StdPeriph_Driver/SWM320_exti.c | 131 + .../SWM320_StdPeriph_Driver/SWM320_exti.h | 20 + .../SWM320_StdPeriph_Driver/SWM320_flash.c | 95 + .../SWM320_StdPeriph_Driver/SWM320_flash.h | 9 + .../SWM320_StdPeriph_Driver/SWM320_gpio.c | 279 + .../SWM320_StdPeriph_Driver/SWM320_gpio.h | 17 + .../SWM320_StdPeriph_Driver/SWM320_i2c.c | 150 + .../SWM320_StdPeriph_Driver/SWM320_i2c.h | 27 + .../SWM320_StdPeriph_Driver/SWM320_lcd.c | 259 + .../SWM320_StdPeriph_Driver/SWM320_lcd.h | 96 + .../SWM320_StdPeriph_Driver/SWM320_norflash.c | 174 + .../SWM320_StdPeriph_Driver/SWM320_norflash.h | 39 + .../SWM320_StdPeriph_Driver/SWM320_port.c | 221 + .../SWM320_StdPeriph_Driver/SWM320_port.h | 482 ++ .../SWM320_StdPeriph_Driver/SWM320_pwm.c | 744 ++ .../SWM320_StdPeriph_Driver/SWM320_pwm.h | 57 + .../SWM320_StdPeriph_Driver/SWM320_rtc.c | 413 + .../SWM320_StdPeriph_Driver/SWM320_rtc.h | 76 + .../SWM320_StdPeriph_Driver/SWM320_sdio.c | 436 + .../SWM320_StdPeriph_Driver/SWM320_sdio.h | 139 + .../SWM320_StdPeriph_Driver/SWM320_sdram.c | 58 + .../SWM320_StdPeriph_Driver/SWM320_sdram.h | 23 + .../SWM320_StdPeriph_Driver/SWM320_spi.c | 447 + .../SWM320_StdPeriph_Driver/SWM320_spi.h | 75 + .../SWM320_StdPeriph_Driver/SWM320_timr.c | 381 + .../SWM320_StdPeriph_Driver/SWM320_timr.h | 23 + .../SWM320_StdPeriph_Driver/SWM320_uart.c | 543 ++ .../SWM320_StdPeriph_Driver/SWM320_uart.h | 95 + .../SWM320_StdPeriph_Driver/SWM320_wdt.c | 126 + .../SWM320_StdPeriph_Driver/SWM320_wdt.h | 19 + bsp/swm320-lq100/README.md | 126 + bsp/swm320-lq100/SConscript | 11 + bsp/swm320-lq100/SConstruct | 39 + bsp/swm320-lq100/applications/SConscript | 9 + bsp/swm320-lq100/applications/main.c | 27 + bsp/swm320-lq100/drivers/Kconfig | 154 + bsp/swm320-lq100/drivers/SConscript | 52 + bsp/swm320-lq100/drivers/board.c | 54 + bsp/swm320-lq100/drivers/board.h | 40 + bsp/swm320-lq100/drivers/drv_gpio.c | 599 ++ bsp/swm320-lq100/drivers/drv_gpio.h | 16 + bsp/swm320-lq100/drivers/drv_i2c.c | 70 + bsp/swm320-lq100/drivers/drv_i2c.h | 16 + bsp/swm320-lq100/drivers/drv_iwg.c | 77 + bsp/swm320-lq100/drivers/drv_iwg.h | 16 + bsp/swm320-lq100/drivers/drv_nor_flash.c | 125 + bsp/swm320-lq100/drivers/drv_nor_flash.h | 16 + bsp/swm320-lq100/drivers/drv_pwm.c | 190 + bsp/swm320-lq100/drivers/drv_pwm.h | 16 + bsp/swm320-lq100/drivers/drv_rtc.c | 177 + bsp/swm320-lq100/drivers/drv_rtc.h | 16 + bsp/swm320-lq100/drivers/drv_spi.c | 288 + bsp/swm320-lq100/drivers/drv_spi.h | 27 + bsp/swm320-lq100/drivers/drv_sram.c | 47 + bsp/swm320-lq100/drivers/drv_sram.h | 16 + bsp/swm320-lq100/drivers/drv_uart.c | 260 + bsp/swm320-lq100/drivers/drv_uart.h | 16 + .../drivers/linker_scripts/link.icf | 62 + .../drivers/linker_scripts/link.lds | 137 + .../drivers/linker_scripts/link.sct | 15 + bsp/swm320-lq100/figures/SWXT-LQ100-32102.jpg | Bin 0 -> 560656 bytes bsp/swm320-lq100/project.uvoptx | 1389 +++ bsp/swm320-lq100/project.uvprojx | 980 +++ bsp/swm320-lq100/rtconfig.h | 212 + bsp/swm320-lq100/rtconfig.py | 92 + bsp/swm320-lq100/template.uvoptx | 177 + bsp/swm320-lq100/template.uvprojx | 389 + 95 files changed, 35132 insertions(+) create mode 100644 bsp/swm320-lq100/.config create mode 100644 bsp/swm320-lq100/Kconfig create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/arm_common_tables.h create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/arm_const_structs.h create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/arm_math.h create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm0.h create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm0plus.h create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm3.h create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm4.h create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm7.h create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cmFunc.h create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cmInstr.h create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cmSimd.h create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/SWM320.h create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/startup/arm/startup_SWM320.s create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/startup/gcc/startup_SWM320.s create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/startup/iar/startup_SWM320.s create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/system_SWM320.c create mode 100644 bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/system_SWM320.h create mode 100644 bsp/swm320-lq100/Libraries/SConscript create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_adc.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_adc.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_can.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_can.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_crc.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_crc.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_dma.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_dma.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_exti.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_exti.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_flash.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_flash.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_gpio.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_gpio.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_i2c.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_i2c.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_lcd.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_lcd.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_norflash.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_norflash.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_port.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_port.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_pwm.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_pwm.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_rtc.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_rtc.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdio.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdio.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdram.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdram.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_spi.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_spi.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_timr.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_timr.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_uart.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_uart.h create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_wdt.c create mode 100644 bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_wdt.h create mode 100644 bsp/swm320-lq100/README.md create mode 100644 bsp/swm320-lq100/SConscript create mode 100644 bsp/swm320-lq100/SConstruct create mode 100644 bsp/swm320-lq100/applications/SConscript create mode 100644 bsp/swm320-lq100/applications/main.c create mode 100644 bsp/swm320-lq100/drivers/Kconfig create mode 100644 bsp/swm320-lq100/drivers/SConscript create mode 100644 bsp/swm320-lq100/drivers/board.c create mode 100644 bsp/swm320-lq100/drivers/board.h create mode 100644 bsp/swm320-lq100/drivers/drv_gpio.c create mode 100644 bsp/swm320-lq100/drivers/drv_gpio.h create mode 100644 bsp/swm320-lq100/drivers/drv_i2c.c create mode 100644 bsp/swm320-lq100/drivers/drv_i2c.h create mode 100644 bsp/swm320-lq100/drivers/drv_iwg.c create mode 100644 bsp/swm320-lq100/drivers/drv_iwg.h create mode 100644 bsp/swm320-lq100/drivers/drv_nor_flash.c create mode 100644 bsp/swm320-lq100/drivers/drv_nor_flash.h create mode 100644 bsp/swm320-lq100/drivers/drv_pwm.c create mode 100644 bsp/swm320-lq100/drivers/drv_pwm.h create mode 100644 bsp/swm320-lq100/drivers/drv_rtc.c create mode 100644 bsp/swm320-lq100/drivers/drv_rtc.h create mode 100644 bsp/swm320-lq100/drivers/drv_spi.c create mode 100644 bsp/swm320-lq100/drivers/drv_spi.h create mode 100644 bsp/swm320-lq100/drivers/drv_sram.c create mode 100644 bsp/swm320-lq100/drivers/drv_sram.h create mode 100644 bsp/swm320-lq100/drivers/drv_uart.c create mode 100644 bsp/swm320-lq100/drivers/drv_uart.h create mode 100644 bsp/swm320-lq100/drivers/linker_scripts/link.icf create mode 100644 bsp/swm320-lq100/drivers/linker_scripts/link.lds create mode 100644 bsp/swm320-lq100/drivers/linker_scripts/link.sct create mode 100644 bsp/swm320-lq100/figures/SWXT-LQ100-32102.jpg create mode 100644 bsp/swm320-lq100/project.uvoptx create mode 100644 bsp/swm320-lq100/project.uvprojx create mode 100644 bsp/swm320-lq100/rtconfig.h create mode 100644 bsp/swm320-lq100/rtconfig.py create mode 100644 bsp/swm320-lq100/template.uvoptx create mode 100644 bsp/swm320-lq100/template.uvprojx diff --git a/bsp/swm320-lq100/.config b/bsp/swm320-lq100/.config new file mode 100644 index 0000000000..4a9cc31e92 --- /dev/null +++ b/bsp/swm320-lq100/.config @@ -0,0 +1,413 @@ +# +# Automatically generated file; DO NOT EDIT. +# RT-Thread Configuration +# + +# +# RT-Thread Kernel +# +CONFIG_RT_NAME_MAX=8 +# CONFIG_RT_USING_SMP is not set +CONFIG_RT_ALIGN_SIZE=4 +# CONFIG_RT_THREAD_PRIORITY_8 is not set +CONFIG_RT_THREAD_PRIORITY_32=y +# CONFIG_RT_THREAD_PRIORITY_256 is not set +CONFIG_RT_THREAD_PRIORITY_MAX=32 +CONFIG_RT_TICK_PER_SECOND=100 +CONFIG_RT_USING_OVERFLOW_CHECK=y +CONFIG_RT_USING_HOOK=y +CONFIG_RT_USING_IDLE_HOOK=y +CONFIG_RT_IDEL_HOOK_LIST_SIZE=4 +CONFIG_IDLE_THREAD_STACK_SIZE=256 +# CONFIG_RT_USING_TIMER_SOFT is not set +CONFIG_RT_DEBUG=y +# CONFIG_RT_DEBUG_INIT_CONFIG is not set +# CONFIG_RT_DEBUG_THREAD_CONFIG is not set +# CONFIG_RT_DEBUG_SCHEDULER_CONFIG is not set +# CONFIG_RT_DEBUG_IPC_CONFIG is not set +# CONFIG_RT_DEBUG_TIMER_CONFIG is not set +# CONFIG_RT_DEBUG_IRQ_CONFIG is not set +# CONFIG_RT_DEBUG_MEM_CONFIG is not set +# CONFIG_RT_DEBUG_SLAB_CONFIG is not set +# CONFIG_RT_DEBUG_MEMHEAP_CONFIG is not set +# CONFIG_RT_DEBUG_MODULE_CONFIG is not set + +# +# Inter-Thread communication +# +CONFIG_RT_USING_SEMAPHORE=y +CONFIG_RT_USING_MUTEX=y +CONFIG_RT_USING_EVENT=y +CONFIG_RT_USING_MAILBOX=y +CONFIG_RT_USING_MESSAGEQUEUE=y +# CONFIG_RT_USING_SIGNALS is not set + +# +# Memory Management +# +CONFIG_RT_USING_MEMPOOL=y +CONFIG_RT_USING_MEMHEAP=y +# CONFIG_RT_USING_NOHEAP is not set +CONFIG_RT_USING_SMALL_MEM=y +# CONFIG_RT_USING_SLAB is not set +# CONFIG_RT_USING_MEMHEAP_AS_HEAP is not set +# CONFIG_RT_USING_MEMTRACE is not set +CONFIG_RT_USING_HEAP=y + +# +# Kernel Device Object +# +CONFIG_RT_USING_DEVICE=y +# CONFIG_RT_USING_DEVICE_OPS is not set +# CONFIG_RT_USING_INTERRUPT_INFO is not set +CONFIG_RT_USING_CONSOLE=y +CONFIG_RT_CONSOLEBUF_SIZE=128 +CONFIG_RT_CONSOLE_DEVICE_NAME="uart0" +CONFIG_RT_VER_NUM=0x40000 +# CONFIG_ARCH_CPU_STACK_GROWS_UPWARD is not set + +# +# RT-Thread Components +# +CONFIG_RT_USING_COMPONENTS_INIT=y +CONFIG_RT_USING_USER_MAIN=y +CONFIG_RT_MAIN_THREAD_STACK_SIZE=2048 +CONFIG_RT_MAIN_THREAD_PRIORITY=10 + +# +# C++ features +# +# CONFIG_RT_USING_CPLUSPLUS is not set + +# +# Command shell +# +CONFIG_RT_USING_FINSH=y +CONFIG_FINSH_THREAD_NAME="tshell" +CONFIG_FINSH_USING_HISTORY=y +CONFIG_FINSH_HISTORY_LINES=5 +CONFIG_FINSH_USING_SYMTAB=y +CONFIG_FINSH_USING_DESCRIPTION=y +# CONFIG_FINSH_ECHO_DISABLE_DEFAULT is not set +CONFIG_FINSH_THREAD_PRIORITY=20 +CONFIG_FINSH_THREAD_STACK_SIZE=4096 +CONFIG_FINSH_CMD_SIZE=80 +# CONFIG_FINSH_USING_AUTH is not set +CONFIG_FINSH_USING_MSH=y +CONFIG_FINSH_USING_MSH_DEFAULT=y +# CONFIG_FINSH_USING_MSH_ONLY is not set +CONFIG_FINSH_ARG_MAX=10 + +# +# Device virtual file system +# +CONFIG_RT_USING_DFS=y +CONFIG_DFS_USING_WORKDIR=y +CONFIG_DFS_FILESYSTEMS_MAX=8 +CONFIG_DFS_FILESYSTEM_TYPES_MAX=8 +CONFIG_DFS_FD_MAX=8 +# CONFIG_RT_USING_DFS_MNTTABLE is not set +CONFIG_RT_USING_DFS_ELMFAT=y + +# +# elm-chan's FatFs, Generic FAT Filesystem Module +# +CONFIG_RT_DFS_ELM_CODE_PAGE=437 +CONFIG_RT_DFS_ELM_WORD_ACCESS=y +# CONFIG_RT_DFS_ELM_USE_LFN_0 is not set +# CONFIG_RT_DFS_ELM_USE_LFN_1 is not set +# CONFIG_RT_DFS_ELM_USE_LFN_2 is not set +CONFIG_RT_DFS_ELM_USE_LFN_3=y +CONFIG_RT_DFS_ELM_USE_LFN=3 +CONFIG_RT_DFS_ELM_MAX_LFN=255 +CONFIG_RT_DFS_ELM_DRIVES=2 +CONFIG_RT_DFS_ELM_MAX_SECTOR_SIZE=4096 +# CONFIG_RT_DFS_ELM_USE_ERASE is not set +CONFIG_RT_DFS_ELM_REENTRANT=y +CONFIG_RT_USING_DFS_DEVFS=y +# CONFIG_RT_USING_DFS_ROMFS is not set +# CONFIG_RT_USING_DFS_RAMFS is not set +# CONFIG_RT_USING_DFS_UFFS is not set +# CONFIG_RT_USING_DFS_JFFS2 is not set + +# +# Device Drivers +# +CONFIG_RT_USING_DEVICE_IPC=y +CONFIG_RT_PIPE_BUFSZ=512 +CONFIG_RT_USING_SERIAL=y +CONFIG_RT_SERIAL_USING_DMA=y +# CONFIG_RT_USING_CAN is not set +CONFIG_RT_USING_HWTIMER=y +# CONFIG_RT_USING_CPUTIME is not set +CONFIG_RT_USING_I2C=y +CONFIG_RT_USING_I2C_BITOPS=y +CONFIG_RT_USING_PIN=y +# CONFIG_RT_USING_ADC is not set +CONFIG_RT_USING_PWM=y +CONFIG_RT_USING_MTD_NOR=y +# CONFIG_RT_USING_MTD_NAND is not set +# CONFIG_RT_USING_MTD is not set +# CONFIG_RT_USING_PM is not set +CONFIG_RT_USING_RTC=y +# CONFIG_RT_USING_SOFT_RTC is not set +# CONFIG_RT_USING_SDIO is not set +CONFIG_RT_USING_SPI=y +# CONFIG_RT_USING_QSPI is not set +# CONFIG_RT_USING_SPI_MSD is not set +# CONFIG_RT_USING_SFUD is not set +# CONFIG_RT_USING_W25QXX is not set +# CONFIG_RT_USING_GD is not set +# CONFIG_RT_USING_ENC28J60 is not set +# CONFIG_RT_USING_SPI_WIFI is not set +CONFIG_RT_USING_WDT=y +# CONFIG_RT_USING_AUDIO is not set + +# +# Using WiFi +# +# CONFIG_RT_USING_WIFI is not set + +# +# Using USB +# +# CONFIG_RT_USING_USB_HOST is not set +# CONFIG_RT_USING_USB_DEVICE is not set + +# +# POSIX layer and C standard library +# +CONFIG_RT_USING_LIBC=y +# CONFIG_RT_USING_PTHREADS is not set +# CONFIG_RT_USING_POSIX is not set +# CONFIG_RT_USING_MODULE is not set + +# +# Network +# + +# +# Socket abstraction layer +# +# CONFIG_RT_USING_SAL is not set + +# +# light weight TCP/IP stack +# +# CONFIG_RT_USING_LWIP is not set + +# +# Modbus master and slave stack +# +# CONFIG_RT_USING_MODBUS is not set + +# +# AT commands +# +# CONFIG_RT_USING_AT is not set + +# +# VBUS(Virtual Software BUS) +# +# CONFIG_RT_USING_VBUS is not set + +# +# Utilities +# +# CONFIG_RT_USING_LOGTRACE is not set +# CONFIG_RT_USING_RYM is not set +# CONFIG_RT_USING_ULOG is not set +# CONFIG_RT_USING_UTEST is not set + +# +# RT-Thread online packages +# + +# +# IoT - internet of things +# +# CONFIG_PKG_USING_PAHOMQTT is not set +# CONFIG_PKG_USING_WEBCLIENT is not set +# CONFIG_PKG_USING_WEBNET is not set +# CONFIG_PKG_USING_MONGOOSE is not set +# CONFIG_PKG_USING_WEBTERMINAL is not set +# CONFIG_PKG_USING_CJSON is not set +# CONFIG_PKG_USING_JSMN is not set +# CONFIG_PKG_USING_LJSON is not set +# CONFIG_PKG_USING_EZXML is not set +# CONFIG_PKG_USING_NANOPB is not set + +# +# Wi-Fi +# + +# +# Marvell WiFi +# +# CONFIG_PKG_USING_WLANMARVELL is not set + +# +# Wiced WiFi +# +# CONFIG_PKG_USING_WLAN_WICED is not set +# CONFIG_PKG_USING_COAP is not set +# CONFIG_PKG_USING_NOPOLL is not set +# CONFIG_PKG_USING_NETUTILS is not set +# CONFIG_PKG_USING_AT_DEVICE is not set +# CONFIG_PKG_USING_WIZNET is not set + +# +# IoT Cloud +# +# CONFIG_PKG_USING_ONENET is not set +# CONFIG_PKG_USING_GAGENT_CLOUD is not set +# CONFIG_PKG_USING_ALI_IOTKIT is not set +# CONFIG_PKG_USING_AZURE is not set +# CONFIG_PKG_USING_TENCENT_IOTKIT is not set + +# +# security packages +# +# CONFIG_PKG_USING_MBEDTLS is not set +# CONFIG_PKG_USING_libsodium is not set +# CONFIG_PKG_USING_TINYCRYPT is not set + +# +# language packages +# +# CONFIG_PKG_USING_LUA is not set +# CONFIG_PKG_USING_JERRYSCRIPT is not set +# CONFIG_PKG_USING_MICROPYTHON is not set + +# +# multimedia packages +# +# CONFIG_PKG_USING_OPENMV is not set +# CONFIG_PKG_USING_MUPDF is not set + +# +# tools packages +# +# CONFIG_PKG_USING_CMBACKTRACE is not set +# CONFIG_PKG_USING_EASYFLASH is not set +# CONFIG_PKG_USING_EASYLOGGER is not set +# CONFIG_PKG_USING_SYSTEMVIEW is not set +# CONFIG_PKG_USING_RDB is not set +# CONFIG_PKG_USING_QRCODE is not set +# CONFIG_PKG_USING_ULOG_EASYFLASH is not set + +# +# system packages +# +# CONFIG_PKG_USING_GUIENGINE is not set +# CONFIG_PKG_USING_CAIRO is not set +# CONFIG_PKG_USING_PIXMAN is not set +# CONFIG_PKG_USING_LWEXT4 is not set +# CONFIG_PKG_USING_PARTITION is not set +# CONFIG_PKG_USING_FAL is not set +# CONFIG_PKG_USING_SQLITE is not set +# CONFIG_PKG_USING_RTI is not set +# CONFIG_PKG_USING_LITTLEVGL2RTT is not set +# CONFIG_PKG_USING_CMSIS is not set +# CONFIG_PKG_USING_DFS_YAFFS is not set + +# +# peripheral libraries and drivers +# +# CONFIG_PKG_USING_REALTEK_AMEBA is not set +# CONFIG_PKG_USING_SHT2X is not set +# CONFIG_PKG_USING_AHT10 is not set +# CONFIG_PKG_USING_AP3216C is not set +# CONFIG_PKG_USING_STM32_SDIO is not set +# CONFIG_PKG_USING_ICM20608 is not set +# CONFIG_PKG_USING_U8G2 is not set +# CONFIG_PKG_USING_BUTTON is not set +# CONFIG_PKG_USING_MPU6XXX is not set + +# +# miscellaneous packages +# +# CONFIG_PKG_USING_LIBCSV is not set +# CONFIG_PKG_USING_OPTPARSE is not set +# CONFIG_PKG_USING_FASTLZ is not set +# CONFIG_PKG_USING_MINILZO is not set +# CONFIG_PKG_USING_QUICKLZ is not set +# CONFIG_PKG_USING_MULTIBUTTON is not set +# CONFIG_PKG_USING_CANFESTIVAL is not set +# CONFIG_PKG_USING_ZLIB is not set +# CONFIG_PKG_USING_DSTR is not set +# CONFIG_PKG_USING_TINYFRAME is not set + +# +# sample package +# + +# +# samples: kernel and components samples +# +# CONFIG_PKG_USING_KERNEL_SAMPLES is not set +# CONFIG_PKG_USING_FILESYSTEM_SAMPLES is not set +# CONFIG_PKG_USING_NETWORK_SAMPLES is not set +# CONFIG_PKG_USING_PERIPHERAL_SAMPLES is not set + +# +# example package: hello +# +# CONFIG_PKG_USING_HELLO is not set +CONFIG_SOC_SWM320VET7=y + +# +# Hardware Drivers Config +# + +# +# On-chip Peripheral Drivers +# +CONFIG_BSP_USING_GPIO=y + +# +# UART Drivers +# +CONFIG_BSP_USING_UART0=y +# CONFIG_BSP_USING_UART1 is not set +# CONFIG_BSP_USING_UART2 is not set +# CONFIG_BSP_USING_UART3 is not set + +# +# SPI Drivers +# +# CONFIG_BSP_USING_SPI0 is not set +# CONFIG_BSP_USING_SPI1 is not set + +# +# I2C Drivers +# +# CONFIG_BSP_USING_I2C is not set + +# +# PWM module +# +# CONFIG_BSP_USING_PWM0 is not set +# CONFIG_BSP_USING_PWM1 is not set +# CONFIG_BSP_USING_PWM2 is not set +# CONFIG_BSP_USING_PWM3 is not set + +# +# RTC module +# + +# +# RTC SET +# +# CONFIG_BSP_USING_RTC is not set +# CONFIG_BSP_USING_WDT is not set + +# +# Onboard Peripheral Drivers +# +# CONFIG_BSP_USING_EXT_SRAM is not set +# CONFIG_BSP_USING_NOR_FLASH is not set + +# +# Offboard Peripheral Drivers +# diff --git a/bsp/swm320-lq100/Kconfig b/bsp/swm320-lq100/Kconfig new file mode 100644 index 0000000000..5c6ef90e9c --- /dev/null +++ b/bsp/swm320-lq100/Kconfig @@ -0,0 +1,25 @@ +mainmenu "RT-Thread Configuration" + +config $BSP_DIR + string + option env="BSP_ROOT" + default "." + +config $RTT_DIR + string + option env="RTT_ROOT" + default "../.." + +config $PKGS_DIR + string + option env="PKGS_ROOT" + default "packages" + +source "$RTT_DIR/Kconfig" +source "$PKGS_DIR/Kconfig" + +config SOC_SWM320VET7 + bool + default y + +source "drivers/Kconfig" diff --git a/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/arm_common_tables.h b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/arm_common_tables.h new file mode 100644 index 0000000000..76aadca490 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/arm_common_tables.h @@ -0,0 +1,136 @@ +/* ---------------------------------------------------------------------- +* Copyright (C) 2010-2014 ARM Limited. All rights reserved. +* +* $Date: 31. July 2014 +* $Revision: V1.4.4 +* +* Project: CMSIS DSP Library +* Title: arm_common_tables.h +* +* Description: This file has extern declaration for common tables like Bitreverse, reciprocal etc which are used across different functions +* +* Target Processor: Cortex-M4/Cortex-M3 +* +* Redistribution and use in source and binary forms, with or without +* modification, are permitted provided that the following conditions +* are met: +* - Redistributions of source code must retain the above copyright +* notice, this list of conditions and the following disclaimer. +* - Redistributions in binary form must reproduce the above copyright +* notice, this list of conditions and the following disclaimer in +* the documentation and/or other materials provided with the +* distribution. +* - Neither the name of ARM LIMITED nor the names of its contributors +* may be used to endorse or promote products derived from this +* software without specific prior written permission. +* +* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS +* "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT +* LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS +* FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE +* COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, +* INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, +* BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +* LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +* CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT +* LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN +* ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +* POSSIBILITY OF SUCH DAMAGE. +* -------------------------------------------------------------------- */ + +#ifndef _ARM_COMMON_TABLES_H +#define _ARM_COMMON_TABLES_H + +#include "arm_math.h" + +extern const uint16_t armBitRevTable[1024]; +extern const q15_t armRecipTableQ15[64]; +extern const q31_t armRecipTableQ31[64]; +//extern const q31_t realCoefAQ31[1024]; +//extern const q31_t realCoefBQ31[1024]; +extern const float32_t twiddleCoef_16[32]; +extern const float32_t twiddleCoef_32[64]; +extern const float32_t twiddleCoef_64[128]; +extern const float32_t twiddleCoef_128[256]; +extern const float32_t twiddleCoef_256[512]; +extern const float32_t twiddleCoef_512[1024]; +extern const float32_t twiddleCoef_1024[2048]; +extern const float32_t twiddleCoef_2048[4096]; +extern const float32_t twiddleCoef_4096[8192]; +#define twiddleCoef twiddleCoef_4096 +extern const q31_t twiddleCoef_16_q31[24]; +extern const q31_t twiddleCoef_32_q31[48]; +extern const q31_t twiddleCoef_64_q31[96]; +extern const q31_t twiddleCoef_128_q31[192]; +extern const q31_t twiddleCoef_256_q31[384]; +extern const q31_t twiddleCoef_512_q31[768]; +extern const q31_t twiddleCoef_1024_q31[1536]; +extern const q31_t twiddleCoef_2048_q31[3072]; +extern const q31_t twiddleCoef_4096_q31[6144]; +extern const q15_t twiddleCoef_16_q15[24]; +extern const q15_t twiddleCoef_32_q15[48]; +extern const q15_t twiddleCoef_64_q15[96]; +extern const q15_t twiddleCoef_128_q15[192]; +extern const q15_t twiddleCoef_256_q15[384]; +extern const q15_t twiddleCoef_512_q15[768]; +extern const q15_t twiddleCoef_1024_q15[1536]; +extern const q15_t twiddleCoef_2048_q15[3072]; +extern const q15_t twiddleCoef_4096_q15[6144]; +extern const float32_t twiddleCoef_rfft_32[32]; +extern const float32_t twiddleCoef_rfft_64[64]; +extern const float32_t twiddleCoef_rfft_128[128]; +extern const float32_t twiddleCoef_rfft_256[256]; +extern const float32_t twiddleCoef_rfft_512[512]; +extern const float32_t twiddleCoef_rfft_1024[1024]; +extern const float32_t twiddleCoef_rfft_2048[2048]; +extern const float32_t twiddleCoef_rfft_4096[4096]; + + +/* floating-point bit reversal tables */ +#define ARMBITREVINDEXTABLE__16_TABLE_LENGTH ((uint16_t)20 ) +#define ARMBITREVINDEXTABLE__32_TABLE_LENGTH ((uint16_t)48 ) +#define ARMBITREVINDEXTABLE__64_TABLE_LENGTH ((uint16_t)56 ) +#define ARMBITREVINDEXTABLE_128_TABLE_LENGTH ((uint16_t)208 ) +#define ARMBITREVINDEXTABLE_256_TABLE_LENGTH ((uint16_t)440 ) +#define ARMBITREVINDEXTABLE_512_TABLE_LENGTH ((uint16_t)448 ) +#define ARMBITREVINDEXTABLE1024_TABLE_LENGTH ((uint16_t)1800) +#define ARMBITREVINDEXTABLE2048_TABLE_LENGTH ((uint16_t)3808) +#define ARMBITREVINDEXTABLE4096_TABLE_LENGTH ((uint16_t)4032) + +extern const uint16_t armBitRevIndexTable16[ARMBITREVINDEXTABLE__16_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable32[ARMBITREVINDEXTABLE__32_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable64[ARMBITREVINDEXTABLE__64_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable128[ARMBITREVINDEXTABLE_128_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable256[ARMBITREVINDEXTABLE_256_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable512[ARMBITREVINDEXTABLE_512_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable1024[ARMBITREVINDEXTABLE1024_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable2048[ARMBITREVINDEXTABLE2048_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable4096[ARMBITREVINDEXTABLE4096_TABLE_LENGTH]; + +/* fixed-point bit reversal tables */ +#define ARMBITREVINDEXTABLE_FIXED___16_TABLE_LENGTH ((uint16_t)12 ) +#define ARMBITREVINDEXTABLE_FIXED___32_TABLE_LENGTH ((uint16_t)24 ) +#define ARMBITREVINDEXTABLE_FIXED___64_TABLE_LENGTH ((uint16_t)56 ) +#define ARMBITREVINDEXTABLE_FIXED__128_TABLE_LENGTH ((uint16_t)112 ) +#define ARMBITREVINDEXTABLE_FIXED__256_TABLE_LENGTH ((uint16_t)240 ) +#define ARMBITREVINDEXTABLE_FIXED__512_TABLE_LENGTH ((uint16_t)480 ) +#define ARMBITREVINDEXTABLE_FIXED_1024_TABLE_LENGTH ((uint16_t)992 ) +#define ARMBITREVINDEXTABLE_FIXED_2048_TABLE_LENGTH ((uint16_t)1984) +#define ARMBITREVINDEXTABLE_FIXED_4096_TABLE_LENGTH ((uint16_t)4032) + +extern const uint16_t armBitRevIndexTable_fixed_16[ARMBITREVINDEXTABLE_FIXED___16_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable_fixed_32[ARMBITREVINDEXTABLE_FIXED___32_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable_fixed_64[ARMBITREVINDEXTABLE_FIXED___64_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable_fixed_128[ARMBITREVINDEXTABLE_FIXED__128_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable_fixed_256[ARMBITREVINDEXTABLE_FIXED__256_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable_fixed_512[ARMBITREVINDEXTABLE_FIXED__512_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable_fixed_1024[ARMBITREVINDEXTABLE_FIXED_1024_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable_fixed_2048[ARMBITREVINDEXTABLE_FIXED_2048_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable_fixed_4096[ARMBITREVINDEXTABLE_FIXED_4096_TABLE_LENGTH]; + +/* Tables for Fast Math Sine and Cosine */ +extern const float32_t sinTable_f32[FAST_MATH_TABLE_SIZE + 1]; +extern const q31_t sinTable_q31[FAST_MATH_TABLE_SIZE + 1]; +extern const q15_t sinTable_q15[FAST_MATH_TABLE_SIZE + 1]; + +#endif /* ARM_COMMON_TABLES_H */ diff --git a/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/arm_const_structs.h b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/arm_const_structs.h new file mode 100644 index 0000000000..217f1d50e2 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/arm_const_structs.h @@ -0,0 +1,79 @@ +/* ---------------------------------------------------------------------- +* Copyright (C) 2010-2014 ARM Limited. All rights reserved. +* +* $Date: 31. July 2014 +* $Revision: V1.4.4 +* +* Project: CMSIS DSP Library +* Title: arm_const_structs.h +* +* Description: This file has constant structs that are initialized for +* user convenience. For example, some can be given as +* arguments to the arm_cfft_f32() function. +* +* Target Processor: Cortex-M4/Cortex-M3 +* +* Redistribution and use in source and binary forms, with or without +* modification, are permitted provided that the following conditions +* are met: +* - Redistributions of source code must retain the above copyright +* notice, this list of conditions and the following disclaimer. +* - Redistributions in binary form must reproduce the above copyright +* notice, this list of conditions and the following disclaimer in +* the documentation and/or other materials provided with the +* distribution. +* - Neither the name of ARM LIMITED nor the names of its contributors +* may be used to endorse or promote products derived from this +* software without specific prior written permission. +* +* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS +* "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT +* LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS +* FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE +* COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, +* INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, +* BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +* LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +* CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT +* LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN +* ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +* POSSIBILITY OF SUCH DAMAGE. +* -------------------------------------------------------------------- */ + +#ifndef _ARM_CONST_STRUCTS_H +#define _ARM_CONST_STRUCTS_H + +#include "arm_math.h" +#include "arm_common_tables.h" + + extern const arm_cfft_instance_f32 arm_cfft_sR_f32_len16; + extern const arm_cfft_instance_f32 arm_cfft_sR_f32_len32; + extern const arm_cfft_instance_f32 arm_cfft_sR_f32_len64; + extern const arm_cfft_instance_f32 arm_cfft_sR_f32_len128; + extern const arm_cfft_instance_f32 arm_cfft_sR_f32_len256; + extern const arm_cfft_instance_f32 arm_cfft_sR_f32_len512; + extern const arm_cfft_instance_f32 arm_cfft_sR_f32_len1024; + extern const arm_cfft_instance_f32 arm_cfft_sR_f32_len2048; + extern const arm_cfft_instance_f32 arm_cfft_sR_f32_len4096; + + extern const arm_cfft_instance_q31 arm_cfft_sR_q31_len16; + extern const arm_cfft_instance_q31 arm_cfft_sR_q31_len32; + extern const arm_cfft_instance_q31 arm_cfft_sR_q31_len64; + extern const arm_cfft_instance_q31 arm_cfft_sR_q31_len128; + extern const arm_cfft_instance_q31 arm_cfft_sR_q31_len256; + extern const arm_cfft_instance_q31 arm_cfft_sR_q31_len512; + extern const arm_cfft_instance_q31 arm_cfft_sR_q31_len1024; + extern const arm_cfft_instance_q31 arm_cfft_sR_q31_len2048; + extern const arm_cfft_instance_q31 arm_cfft_sR_q31_len4096; + + extern const arm_cfft_instance_q15 arm_cfft_sR_q15_len16; + extern const arm_cfft_instance_q15 arm_cfft_sR_q15_len32; + extern const arm_cfft_instance_q15 arm_cfft_sR_q15_len64; + extern const arm_cfft_instance_q15 arm_cfft_sR_q15_len128; + extern const arm_cfft_instance_q15 arm_cfft_sR_q15_len256; + extern const arm_cfft_instance_q15 arm_cfft_sR_q15_len512; + extern const arm_cfft_instance_q15 arm_cfft_sR_q15_len1024; + extern const arm_cfft_instance_q15 arm_cfft_sR_q15_len2048; + extern const arm_cfft_instance_q15 arm_cfft_sR_q15_len4096; + +#endif diff --git a/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/arm_math.h b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/arm_math.h new file mode 100644 index 0000000000..f06a0713eb --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/arm_math.h @@ -0,0 +1,7538 @@ +/* ---------------------------------------------------------------------- +* Copyright (C) 2010-2014 ARM Limited. All rights reserved. +* +* $Date: 12. March 2014 +* $Revision: V1.4.4 +* +* Project: CMSIS DSP Library +* Title: arm_math.h +* +* Description: Public header file for CMSIS DSP Library +* +* Target Processor: Cortex-M7/Cortex-M4/Cortex-M3/Cortex-M0 +* +* Redistribution and use in source and binary forms, with or without +* modification, are permitted provided that the following conditions +* are met: +* - Redistributions of source code must retain the above copyright +* notice, this list of conditions and the following disclaimer. +* - Redistributions in binary form must reproduce the above copyright +* notice, this list of conditions and the following disclaimer in +* the documentation and/or other materials provided with the +* distribution. +* - Neither the name of ARM LIMITED nor the names of its contributors +* may be used to endorse or promote products derived from this +* software without specific prior written permission. +* +* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS +* "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT +* LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS +* FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE +* COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, +* INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, +* BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +* LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +* CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT +* LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN +* ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +* POSSIBILITY OF SUCH DAMAGE. + * -------------------------------------------------------------------- */ + +/** + \mainpage CMSIS DSP Software Library + * + * Introduction + * ------------ + * + * This user manual describes the CMSIS DSP software library, + * a suite of common signal processing functions for use on Cortex-M processor based devices. + * + * The library is divided into a number of functions each covering a specific category: + * - Basic math functions + * - Fast math functions + * - Complex math functions + * - Filters + * - Matrix functions + * - Transforms + * - Motor control functions + * - Statistical functions + * - Support functions + * - Interpolation functions + * + * The library has separate functions for operating on 8-bit integers, 16-bit integers, + * 32-bit integer and 32-bit floating-point values. + * + * Using the Library + * ------------ + * + * The library installer contains prebuilt versions of the libraries in the Lib folder. + * - arm_cortexM4lf_math.lib (Little endian and Floating Point Unit on Cortex-M4) + * - arm_cortexM4bf_math.lib (Big endian and Floating Point Unit on Cortex-M4) + * - arm_cortexM4l_math.lib (Little endian on Cortex-M4) + * - arm_cortexM4b_math.lib (Big endian on Cortex-M4) + * - arm_cortexM3l_math.lib (Little endian on Cortex-M3) + * - arm_cortexM3b_math.lib (Big endian on Cortex-M3) + * - arm_cortexM0l_math.lib (Little endian on Cortex-M0) + * - arm_cortexM0b_math.lib (Big endian on Cortex-M3) + * + * The library functions are declared in the public file arm_math.h which is placed in the Include folder. + * Simply include this file and link the appropriate library in the application and begin calling the library functions. The Library supports single + * public header file arm_math.h for Cortex-M4/M3/M0 with little endian and big endian. Same header file will be used for floating point unit(FPU) variants. + * Define the appropriate pre processor MACRO ARM_MATH_CM4 or ARM_MATH_CM3 or + * ARM_MATH_CM0 or ARM_MATH_CM0PLUS depending on the target processor in the application. + * + * Examples + * -------- + * + * The library ships with a number of examples which demonstrate how to use the library functions. + * + * Toolchain Support + * ------------ + * + * The library has been developed and tested with MDK-ARM version 4.60. + * The library is being tested in GCC and IAR toolchains and updates on this activity will be made available shortly. + * + * Building the Library + * ------------ + * + * The library installer contains a project file to re build libraries on MDK-ARM Tool chain in the CMSIS\\DSP_Lib\\Source\\ARM folder. + * - arm_cortexM_math.uvproj + * + * + * The libraries can be built by opening the arm_cortexM_math.uvproj project in MDK-ARM, selecting a specific target, and defining the optional pre processor MACROs detailed above. + * + * Pre-processor Macros + * ------------ + * + * Each library project have differant pre-processor macros. + * + * - UNALIGNED_SUPPORT_DISABLE: + * + * Define macro UNALIGNED_SUPPORT_DISABLE, If the silicon does not support unaligned memory access + * + * - ARM_MATH_BIG_ENDIAN: + * + * Define macro ARM_MATH_BIG_ENDIAN to build the library for big endian targets. By default library builds for little endian targets. + * + * - ARM_MATH_MATRIX_CHECK: + * + * Define macro ARM_MATH_MATRIX_CHECK for checking on the input and output sizes of matrices + * + * - ARM_MATH_ROUNDING: + * + * Define macro ARM_MATH_ROUNDING for rounding on support functions + * + * - ARM_MATH_CMx: + * + * Define macro ARM_MATH_CM4 for building the library on Cortex-M4 target, ARM_MATH_CM3 for building library on Cortex-M3 target + * and ARM_MATH_CM0 for building library on cortex-M0 target, ARM_MATH_CM0PLUS for building library on cortex-M0+ target. + * + * - __FPU_PRESENT: + * + * Initialize macro __FPU_PRESENT = 1 when building on FPU supported Targets. Enable this macro for M4bf and M4lf libraries + * + *
+ * CMSIS-DSP in ARM::CMSIS Pack + * ----------------------------- + * + * The following files relevant to CMSIS-DSP are present in the ARM::CMSIS Pack directories: + * |File/Folder |Content | + * |------------------------------|------------------------------------------------------------------------| + * |\b CMSIS\\Documentation\\DSP | This documentation | + * |\b CMSIS\\DSP_Lib | Software license agreement (license.txt) | + * |\b CMSIS\\DSP_Lib\\Examples | Example projects demonstrating the usage of the library functions | + * |\b CMSIS\\DSP_Lib\\Source | Source files for rebuilding the library | + * + *
+ * Revision History of CMSIS-DSP + * ------------ + * Please refer to \ref ChangeLog_pg. + * + * Copyright Notice + * ------------ + * + * Copyright (C) 2010-2014 ARM Limited. All rights reserved. + */ + + +/** + * @defgroup groupMath Basic Math Functions + */ + +/** + * @defgroup groupFastMath Fast Math Functions + * This set of functions provides a fast approximation to sine, cosine, and square root. + * As compared to most of the other functions in the CMSIS math library, the fast math functions + * operate on individual values and not arrays. + * There are separate functions for Q15, Q31, and floating-point data. + * + */ + +/** + * @defgroup groupCmplxMath Complex Math Functions + * This set of functions operates on complex data vectors. + * The data in the complex arrays is stored in an interleaved fashion + * (real, imag, real, imag, ...). + * In the API functions, the number of samples in a complex array refers + * to the number of complex values; the array contains twice this number of + * real values. + */ + +/** + * @defgroup groupFilters Filtering Functions + */ + +/** + * @defgroup groupMatrix Matrix Functions + * + * This set of functions provides basic matrix math operations. + * The functions operate on matrix data structures. For example, + * the type + * definition for the floating-point matrix structure is shown + * below: + *
+ *     typedef struct
+ *     {
+ *       uint16_t numRows;     // number of rows of the matrix.
+ *       uint16_t numCols;     // number of columns of the matrix.
+ *       float32_t *pData;     // points to the data of the matrix.
+ *     } arm_matrix_instance_f32;
+ * 
+ * There are similar definitions for Q15 and Q31 data types. + * + * The structure specifies the size of the matrix and then points to + * an array of data. The array is of size numRows X numCols + * and the values are arranged in row order. That is, the + * matrix element (i, j) is stored at: + *
+ *     pData[i*numCols + j]
+ * 
+ * + * \par Init Functions + * There is an associated initialization function for each type of matrix + * data structure. + * The initialization function sets the values of the internal structure fields. + * Refer to the function arm_mat_init_f32(), arm_mat_init_q31() + * and arm_mat_init_q15() for floating-point, Q31 and Q15 types, respectively. + * + * \par + * Use of the initialization function is optional. However, if initialization function is used + * then the instance structure cannot be placed into a const data section. + * To place the instance structure in a const data + * section, manually initialize the data structure. For example: + *
+ * arm_matrix_instance_f32 S = {nRows, nColumns, pData};
+ * arm_matrix_instance_q31 S = {nRows, nColumns, pData};
+ * arm_matrix_instance_q15 S = {nRows, nColumns, pData};
+ * 
+ * where nRows specifies the number of rows, nColumns + * specifies the number of columns, and pData points to the + * data array. + * + * \par Size Checking + * By default all of the matrix functions perform size checking on the input and + * output matrices. For example, the matrix addition function verifies that the + * two input matrices and the output matrix all have the same number of rows and + * columns. If the size check fails the functions return: + *
+ *     ARM_MATH_SIZE_MISMATCH
+ * 
+ * Otherwise the functions return + *
+ *     ARM_MATH_SUCCESS
+ * 
+ * There is some overhead associated with this matrix size checking. + * The matrix size checking is enabled via the \#define + *
+ *     ARM_MATH_MATRIX_CHECK
+ * 
+ * within the library project settings. By default this macro is defined + * and size checking is enabled. By changing the project settings and + * undefining this macro size checking is eliminated and the functions + * run a bit faster. With size checking disabled the functions always + * return ARM_MATH_SUCCESS. + */ + +/** + * @defgroup groupTransforms Transform Functions + */ + +/** + * @defgroup groupController Controller Functions + */ + +/** + * @defgroup groupStats Statistics Functions + */ +/** + * @defgroup groupSupport Support Functions + */ + +/** + * @defgroup groupInterpolation Interpolation Functions + * These functions perform 1- and 2-dimensional interpolation of data. + * Linear interpolation is used for 1-dimensional data and + * bilinear interpolation is used for 2-dimensional data. + */ + +/** + * @defgroup groupExamples Examples + */ +#ifndef _ARM_MATH_H +#define _ARM_MATH_H + +#define __CMSIS_GENERIC /* disable NVIC and Systick functions */ + +#if defined(ARM_MATH_CM7) + #include "core_cm7.h" +#elif defined (ARM_MATH_CM4) + #include "core_cm4.h" +#elif defined (ARM_MATH_CM3) + #include "core_cm3.h" +#elif defined (ARM_MATH_CM0) + #include "core_cm0.h" +#define ARM_MATH_CM0_FAMILY + #elif defined (ARM_MATH_CM0PLUS) +#include "core_cm0plus.h" + #define ARM_MATH_CM0_FAMILY +#else + #error "Define according the used Cortex core ARM_MATH_CM7, ARM_MATH_CM4, ARM_MATH_CM3, ARM_MATH_CM0PLUS or ARM_MATH_CM0" +#endif + +#undef __CMSIS_GENERIC /* enable NVIC and Systick functions */ +#include "string.h" +#include "math.h" +#ifdef __cplusplus +extern "C" +{ +#endif + + + /** + * @brief Macros required for reciprocal calculation in Normalized LMS + */ + +#define DELTA_Q31 (0x100) +#define DELTA_Q15 0x5 +#define INDEX_MASK 0x0000003F +#ifndef PI +#define PI 3.14159265358979f +#endif + + /** + * @brief Macros required for SINE and COSINE Fast math approximations + */ + +#define FAST_MATH_TABLE_SIZE 512 +#define FAST_MATH_Q31_SHIFT (32 - 10) +#define FAST_MATH_Q15_SHIFT (16 - 10) +#define CONTROLLER_Q31_SHIFT (32 - 9) +#define TABLE_SIZE 256 +#define TABLE_SPACING_Q31 0x400000 +#define TABLE_SPACING_Q15 0x80 + + /** + * @brief Macros required for SINE and COSINE Controller functions + */ + /* 1.31(q31) Fixed value of 2/360 */ + /* -1 to +1 is divided into 360 values so total spacing is (2/360) */ +#define INPUT_SPACING 0xB60B61 + + /** + * @brief Macro for Unaligned Support + */ +#ifndef UNALIGNED_SUPPORT_DISABLE + #define ALIGN4 +#else + #if defined (__GNUC__) + #define ALIGN4 __attribute__((aligned(4))) + #else + #define ALIGN4 __align(4) + #endif +#endif /* #ifndef UNALIGNED_SUPPORT_DISABLE */ + + /** + * @brief Error status returned by some functions in the library. + */ + + typedef enum + { + ARM_MATH_SUCCESS = 0, /**< No error */ + ARM_MATH_ARGUMENT_ERROR = -1, /**< One or more arguments are incorrect */ + ARM_MATH_LENGTH_ERROR = -2, /**< Length of data buffer is incorrect */ + ARM_MATH_SIZE_MISMATCH = -3, /**< Size of matrices is not compatible with the operation. */ + ARM_MATH_NANINF = -4, /**< Not-a-number (NaN) or infinity is generated */ + ARM_MATH_SINGULAR = -5, /**< Generated by matrix inversion if the input matrix is singular and cannot be inverted. */ + ARM_MATH_TEST_FAILURE = -6 /**< Test Failed */ + } arm_status; + + /** + * @brief 8-bit fractional data type in 1.7 format. + */ + typedef int8_t q7_t; + + /** + * @brief 16-bit fractional data type in 1.15 format. + */ + typedef int16_t q15_t; + + /** + * @brief 32-bit fractional data type in 1.31 format. + */ + typedef int32_t q31_t; + + /** + * @brief 64-bit fractional data type in 1.63 format. + */ + typedef int64_t q63_t; + + /** + * @brief 32-bit floating-point type definition. + */ + typedef float float32_t; + + /** + * @brief 64-bit floating-point type definition. + */ + typedef double float64_t; + + /** + * @brief definition to read/write two 16 bit values. + */ +#if defined __CC_ARM +#define __SIMD32_TYPE int32_t __packed +#define CMSIS_UNUSED __attribute__((unused)) +#elif defined __ICCARM__ +#define CMSIS_UNUSED +#define __SIMD32_TYPE int32_t __packed +#elif defined __GNUC__ +#define __SIMD32_TYPE int32_t +#define CMSIS_UNUSED __attribute__((unused)) +#elif defined __CSMC__ /* Cosmic */ +#define CMSIS_UNUSED +#define __SIMD32_TYPE int32_t +#else +#error Unknown compiler +#endif + +#define __SIMD32(addr) (*(__SIMD32_TYPE **) & (addr)) +#define __SIMD32_CONST(addr) ((__SIMD32_TYPE *)(addr)) + +#define _SIMD32_OFFSET(addr) (*(__SIMD32_TYPE *) (addr)) + +#define __SIMD64(addr) (*(int64_t **) & (addr)) + +#if defined (ARM_MATH_CM3) || defined (ARM_MATH_CM0_FAMILY) + /** + * @brief definition to pack two 16 bit values. + */ +#define __PKHBT(ARG1, ARG2, ARG3) ( (((int32_t)(ARG1) << 0) & (int32_t)0x0000FFFF) | \ + (((int32_t)(ARG2) << ARG3) & (int32_t)0xFFFF0000) ) +#define __PKHTB(ARG1, ARG2, ARG3) ( (((int32_t)(ARG1) << 0) & (int32_t)0xFFFF0000) | \ + (((int32_t)(ARG2) >> ARG3) & (int32_t)0x0000FFFF) ) + +#endif + + + /** + * @brief definition to pack four 8 bit values. + */ +#ifndef ARM_MATH_BIG_ENDIAN + +#define __PACKq7(v0,v1,v2,v3) ( (((int32_t)(v0) << 0) & (int32_t)0x000000FF) | \ + (((int32_t)(v1) << 8) & (int32_t)0x0000FF00) | \ + (((int32_t)(v2) << 16) & (int32_t)0x00FF0000) | \ + (((int32_t)(v3) << 24) & (int32_t)0xFF000000) ) +#else + +#define __PACKq7(v0,v1,v2,v3) ( (((int32_t)(v3) << 0) & (int32_t)0x000000FF) | \ + (((int32_t)(v2) << 8) & (int32_t)0x0000FF00) | \ + (((int32_t)(v1) << 16) & (int32_t)0x00FF0000) | \ + (((int32_t)(v0) << 24) & (int32_t)0xFF000000) ) + +#endif + + + /** + * @brief Clips Q63 to Q31 values. + */ + static __INLINE q31_t clip_q63_to_q31( + q63_t x) + { + return ((q31_t) (x >> 32) != ((q31_t) x >> 31)) ? + ((0x7FFFFFFF ^ ((q31_t) (x >> 63)))) : (q31_t) x; + } + + /** + * @brief Clips Q63 to Q15 values. + */ + static __INLINE q15_t clip_q63_to_q15( + q63_t x) + { + return ((q31_t) (x >> 32) != ((q31_t) x >> 31)) ? + ((0x7FFF ^ ((q15_t) (x >> 63)))) : (q15_t) (x >> 15); + } + + /** + * @brief Clips Q31 to Q7 values. + */ + static __INLINE q7_t clip_q31_to_q7( + q31_t x) + { + return ((q31_t) (x >> 24) != ((q31_t) x >> 23)) ? + ((0x7F ^ ((q7_t) (x >> 31)))) : (q7_t) x; + } + + /** + * @brief Clips Q31 to Q15 values. + */ + static __INLINE q15_t clip_q31_to_q15( + q31_t x) + { + return ((q31_t) (x >> 16) != ((q31_t) x >> 15)) ? + ((0x7FFF ^ ((q15_t) (x >> 31)))) : (q15_t) x; + } + + /** + * @brief Multiplies 32 X 64 and returns 32 bit result in 2.30 format. + */ + + static __INLINE q63_t mult32x64( + q63_t x, + q31_t y) + { + return ((((q63_t) (x & 0x00000000FFFFFFFF) * y) >> 32) + + (((q63_t) (x >> 32) * y))); + } + + +#if defined (ARM_MATH_CM0_FAMILY) && defined ( __CC_ARM ) +#define __CLZ __clz +#endif + +#if defined (ARM_MATH_CM0_FAMILY) && ((defined (__ICCARM__)) ||(defined (__GNUC__)) || defined (__TASKING__) ) + + static __INLINE uint32_t __CLZ( + q31_t data); + + + static __INLINE uint32_t __CLZ( + q31_t data) + { + uint32_t count = 0; + uint32_t mask = 0x80000000; + + while((data & mask) == 0) + { + count += 1u; + mask = mask >> 1u; + } + + return (count); + + } + +#endif + + /** + * @brief Function to Calculates 1/in (reciprocal) value of Q31 Data type. + */ + + static __INLINE uint32_t arm_recip_q31( + q31_t in, + q31_t * dst, + q31_t * pRecipTable) + { + + uint32_t out, tempVal; + uint32_t index, i; + uint32_t signBits; + + if(in > 0) + { + signBits = __CLZ(in) - 1; + } + else + { + signBits = __CLZ(-in) - 1; + } + + /* Convert input sample to 1.31 format */ + in = in << signBits; + + /* calculation of index for initial approximated Val */ + index = (uint32_t) (in >> 24u); + index = (index & INDEX_MASK); + + /* 1.31 with exp 1 */ + out = pRecipTable[index]; + + /* calculation of reciprocal value */ + /* running approximation for two iterations */ + for (i = 0u; i < 2u; i++) + { + tempVal = (q31_t) (((q63_t) in * out) >> 31u); + tempVal = 0x7FFFFFFF - tempVal; + /* 1.31 with exp 1 */ + //out = (q31_t) (((q63_t) out * tempVal) >> 30u); + out = (q31_t) clip_q63_to_q31(((q63_t) out * tempVal) >> 30u); + } + + /* write output */ + *dst = out; + + /* return num of signbits of out = 1/in value */ + return (signBits + 1u); + + } + + /** + * @brief Function to Calculates 1/in (reciprocal) value of Q15 Data type. + */ + static __INLINE uint32_t arm_recip_q15( + q15_t in, + q15_t * dst, + q15_t * pRecipTable) + { + + uint32_t out = 0, tempVal = 0; + uint32_t index = 0, i = 0; + uint32_t signBits = 0; + + if(in > 0) + { + signBits = __CLZ(in) - 17; + } + else + { + signBits = __CLZ(-in) - 17; + } + + /* Convert input sample to 1.15 format */ + in = in << signBits; + + /* calculation of index for initial approximated Val */ + index = in >> 8; + index = (index & INDEX_MASK); + + /* 1.15 with exp 1 */ + out = pRecipTable[index]; + + /* calculation of reciprocal value */ + /* running approximation for two iterations */ + for (i = 0; i < 2; i++) + { + tempVal = (q15_t) (((q31_t) in * out) >> 15); + tempVal = 0x7FFF - tempVal; + /* 1.15 with exp 1 */ + out = (q15_t) (((q31_t) out * tempVal) >> 14); + } + + /* write output */ + *dst = out; + + /* return num of signbits of out = 1/in value */ + return (signBits + 1); + + } + + + /* + * @brief C custom defined intrinisic function for only M0 processors + */ +#if defined(ARM_MATH_CM0_FAMILY) + + static __INLINE q31_t __SSAT( + q31_t x, + uint32_t y) + { + int32_t posMax, negMin; + uint32_t i; + + posMax = 1; + for (i = 0; i < (y - 1); i++) + { + posMax = posMax * 2; + } + + if(x > 0) + { + posMax = (posMax - 1); + + if(x > posMax) + { + x = posMax; + } + } + else + { + negMin = -posMax; + + if(x < negMin) + { + x = negMin; + } + } + return (x); + + + } + +#endif /* end of ARM_MATH_CM0_FAMILY */ + + + + /* + * @brief C custom defined intrinsic function for M3 and M0 processors + */ +#if defined (ARM_MATH_CM3) || defined (ARM_MATH_CM0_FAMILY) + + /* + * @brief C custom defined QADD8 for M3 and M0 processors + */ + static __INLINE q31_t __QADD8( + q31_t x, + q31_t y) + { + + q31_t sum; + q7_t r, s, t, u; + + r = (q7_t) x; + s = (q7_t) y; + + r = __SSAT((q31_t) (r + s), 8); + s = __SSAT(((q31_t) (((x << 16) >> 24) + ((y << 16) >> 24))), 8); + t = __SSAT(((q31_t) (((x << 8) >> 24) + ((y << 8) >> 24))), 8); + u = __SSAT(((q31_t) ((x >> 24) + (y >> 24))), 8); + + sum = + (((q31_t) u << 24) & 0xFF000000) | (((q31_t) t << 16) & 0x00FF0000) | + (((q31_t) s << 8) & 0x0000FF00) | (r & 0x000000FF); + + return sum; + + } + + /* + * @brief C custom defined QSUB8 for M3 and M0 processors + */ + static __INLINE q31_t __QSUB8( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s, t, u; + + r = (q7_t) x; + s = (q7_t) y; + + r = __SSAT((r - s), 8); + s = __SSAT(((q31_t) (((x << 16) >> 24) - ((y << 16) >> 24))), 8) << 8; + t = __SSAT(((q31_t) (((x << 8) >> 24) - ((y << 8) >> 24))), 8) << 16; + u = __SSAT(((q31_t) ((x >> 24) - (y >> 24))), 8) << 24; + + sum = + (u & 0xFF000000) | (t & 0x00FF0000) | (s & 0x0000FF00) | (r & + 0x000000FF); + + return sum; + } + + /* + * @brief C custom defined QADD16 for M3 and M0 processors + */ + + /* + * @brief C custom defined QADD16 for M3 and M0 processors + */ + static __INLINE q31_t __QADD16( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (q15_t) x; + s = (q15_t) y; + + r = __SSAT(r + s, 16); + s = __SSAT(((q31_t) ((x >> 16) + (y >> 16))), 16) << 16; + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + + } + + /* + * @brief C custom defined SHADD16 for M3 and M0 processors + */ + static __INLINE q31_t __SHADD16( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (q15_t) x; + s = (q15_t) y; + + r = ((r >> 1) + (s >> 1)); + s = ((q31_t) ((x >> 17) + (y >> 17))) << 16; + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + + } + + /* + * @brief C custom defined QSUB16 for M3 and M0 processors + */ + static __INLINE q31_t __QSUB16( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (q15_t) x; + s = (q15_t) y; + + r = __SSAT(r - s, 16); + s = __SSAT(((q31_t) ((x >> 16) - (y >> 16))), 16) << 16; + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + } + + /* + * @brief C custom defined SHSUB16 for M3 and M0 processors + */ + static __INLINE q31_t __SHSUB16( + q31_t x, + q31_t y) + { + + q31_t diff; + q31_t r, s; + + r = (q15_t) x; + s = (q15_t) y; + + r = ((r >> 1) - (s >> 1)); + s = (((x >> 17) - (y >> 17)) << 16); + + diff = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return diff; + } + + /* + * @brief C custom defined QASX for M3 and M0 processors + */ + static __INLINE q31_t __QASX( + q31_t x, + q31_t y) + { + + q31_t sum = 0; + + sum = + ((sum + + clip_q31_to_q15((q31_t) ((q15_t) (x >> 16) + (q15_t) y))) << 16) + + clip_q31_to_q15((q31_t) ((q15_t) x - (q15_t) (y >> 16))); + + return sum; + } + + /* + * @brief C custom defined SHASX for M3 and M0 processors + */ + static __INLINE q31_t __SHASX( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (q15_t) x; + s = (q15_t) y; + + r = ((r >> 1) - (y >> 17)); + s = (((x >> 17) + (s >> 1)) << 16); + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + } + + + /* + * @brief C custom defined QSAX for M3 and M0 processors + */ + static __INLINE q31_t __QSAX( + q31_t x, + q31_t y) + { + + q31_t sum = 0; + + sum = + ((sum + + clip_q31_to_q15((q31_t) ((q15_t) (x >> 16) - (q15_t) y))) << 16) + + clip_q31_to_q15((q31_t) ((q15_t) x + (q15_t) (y >> 16))); + + return sum; + } + + /* + * @brief C custom defined SHSAX for M3 and M0 processors + */ + static __INLINE q31_t __SHSAX( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (q15_t) x; + s = (q15_t) y; + + r = ((r >> 1) + (y >> 17)); + s = (((x >> 17) - (s >> 1)) << 16); + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + } + + /* + * @brief C custom defined SMUSDX for M3 and M0 processors + */ + static __INLINE q31_t __SMUSDX( + q31_t x, + q31_t y) + { + + return ((q31_t) (((q15_t) x * (q15_t) (y >> 16)) - + ((q15_t) (x >> 16) * (q15_t) y))); + } + + /* + * @brief C custom defined SMUADX for M3 and M0 processors + */ + static __INLINE q31_t __SMUADX( + q31_t x, + q31_t y) + { + + return ((q31_t) (((q15_t) x * (q15_t) (y >> 16)) + + ((q15_t) (x >> 16) * (q15_t) y))); + } + + /* + * @brief C custom defined QADD for M3 and M0 processors + */ + static __INLINE q31_t __QADD( + q31_t x, + q31_t y) + { + return clip_q63_to_q31((q63_t) x + y); + } + + /* + * @brief C custom defined QSUB for M3 and M0 processors + */ + static __INLINE q31_t __QSUB( + q31_t x, + q31_t y) + { + return clip_q63_to_q31((q63_t) x - y); + } + + /* + * @brief C custom defined SMLAD for M3 and M0 processors + */ + static __INLINE q31_t __SMLAD( + q31_t x, + q31_t y, + q31_t sum) + { + + return (sum + ((q15_t) (x >> 16) * (q15_t) (y >> 16)) + + ((q15_t) x * (q15_t) y)); + } + + /* + * @brief C custom defined SMLADX for M3 and M0 processors + */ + static __INLINE q31_t __SMLADX( + q31_t x, + q31_t y, + q31_t sum) + { + + return (sum + ((q15_t) (x >> 16) * (q15_t) (y)) + + ((q15_t) x * (q15_t) (y >> 16))); + } + + /* + * @brief C custom defined SMLSDX for M3 and M0 processors + */ + static __INLINE q31_t __SMLSDX( + q31_t x, + q31_t y, + q31_t sum) + { + + return (sum - ((q15_t) (x >> 16) * (q15_t) (y)) + + ((q15_t) x * (q15_t) (y >> 16))); + } + + /* + * @brief C custom defined SMLALD for M3 and M0 processors + */ + static __INLINE q63_t __SMLALD( + q31_t x, + q31_t y, + q63_t sum) + { + + return (sum + ((q15_t) (x >> 16) * (q15_t) (y >> 16)) + + ((q15_t) x * (q15_t) y)); + } + + /* + * @brief C custom defined SMLALDX for M3 and M0 processors + */ + static __INLINE q63_t __SMLALDX( + q31_t x, + q31_t y, + q63_t sum) + { + + return (sum + ((q15_t) (x >> 16) * (q15_t) y)) + + ((q15_t) x * (q15_t) (y >> 16)); + } + + /* + * @brief C custom defined SMUAD for M3 and M0 processors + */ + static __INLINE q31_t __SMUAD( + q31_t x, + q31_t y) + { + + return (((x >> 16) * (y >> 16)) + + (((x << 16) >> 16) * ((y << 16) >> 16))); + } + + /* + * @brief C custom defined SMUSD for M3 and M0 processors + */ + static __INLINE q31_t __SMUSD( + q31_t x, + q31_t y) + { + + return (-((x >> 16) * (y >> 16)) + + (((x << 16) >> 16) * ((y << 16) >> 16))); + } + + + /* + * @brief C custom defined SXTB16 for M3 and M0 processors + */ + static __INLINE q31_t __SXTB16( + q31_t x) + { + + return ((((x << 24) >> 24) & 0x0000FFFF) | + (((x << 8) >> 8) & 0xFFFF0000)); + } + + +#endif /* defined (ARM_MATH_CM3) || defined (ARM_MATH_CM0_FAMILY) */ + + + /** + * @brief Instance structure for the Q7 FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + q7_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q7_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + } arm_fir_instance_q7; + + /** + * @brief Instance structure for the Q15 FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + } arm_fir_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + } arm_fir_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + } arm_fir_instance_f32; + + + /** + * @brief Processing function for the Q7 FIR filter. + * @param[in] *S points to an instance of the Q7 FIR filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_q7( + const arm_fir_instance_q7 * S, + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q7 FIR filter. + * @param[in,out] *S points to an instance of the Q7 FIR structure. + * @param[in] numTaps Number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of samples that are processed. + * @return none + */ + void arm_fir_init_q7( + arm_fir_instance_q7 * S, + uint16_t numTaps, + q7_t * pCoeffs, + q7_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q15 FIR filter. + * @param[in] *S points to an instance of the Q15 FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_q15( + const arm_fir_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Processing function for the fast Q15 FIR filter for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q15 FIR filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_fast_q15( + const arm_fir_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q15 FIR filter. + * @param[in,out] *S points to an instance of the Q15 FIR filter structure. + * @param[in] numTaps Number of filter coefficients in the filter. Must be even and greater than or equal to 4. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of samples that are processed at a time. + * @return The function returns ARM_MATH_SUCCESS if initialization was successful or ARM_MATH_ARGUMENT_ERROR if + * numTaps is not a supported value. + */ + + arm_status arm_fir_init_q15( + arm_fir_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 FIR filter. + * @param[in] *S points to an instance of the Q31 FIR filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_q31( + const arm_fir_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Processing function for the fast Q31 FIR filter for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q31 FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_fast_q31( + const arm_fir_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 FIR filter. + * @param[in,out] *S points to an instance of the Q31 FIR structure. + * @param[in] numTaps Number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of samples that are processed at a time. + * @return none. + */ + void arm_fir_init_q31( + arm_fir_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the floating-point FIR filter. + * @param[in] *S points to an instance of the floating-point FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_f32( + const arm_fir_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point FIR filter. + * @param[in,out] *S points to an instance of the floating-point FIR filter structure. + * @param[in] numTaps Number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of samples that are processed at a time. + * @return none. + */ + void arm_fir_init_f32( + arm_fir_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q15 Biquad cascade filter. + */ + typedef struct + { + int8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + q15_t *pState; /**< Points to the array of state coefficients. The array is of length 4*numStages. */ + q15_t *pCoeffs; /**< Points to the array of coefficients. The array is of length 5*numStages. */ + int8_t postShift; /**< Additional shift, in bits, applied to each output sample. */ + + } arm_biquad_casd_df1_inst_q15; + + + /** + * @brief Instance structure for the Q31 Biquad cascade filter. + */ + typedef struct + { + uint32_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + q31_t *pState; /**< Points to the array of state coefficients. The array is of length 4*numStages. */ + q31_t *pCoeffs; /**< Points to the array of coefficients. The array is of length 5*numStages. */ + uint8_t postShift; /**< Additional shift, in bits, applied to each output sample. */ + + } arm_biquad_casd_df1_inst_q31; + + /** + * @brief Instance structure for the floating-point Biquad cascade filter. + */ + typedef struct + { + uint32_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + float32_t *pState; /**< Points to the array of state coefficients. The array is of length 4*numStages. */ + float32_t *pCoeffs; /**< Points to the array of coefficients. The array is of length 5*numStages. */ + + + } arm_biquad_casd_df1_inst_f32; + + + + /** + * @brief Processing function for the Q15 Biquad cascade filter. + * @param[in] *S points to an instance of the Q15 Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_q15( + const arm_biquad_casd_df1_inst_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q15 Biquad cascade filter. + * @param[in,out] *S points to an instance of the Q15 Biquad cascade structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] postShift Shift to be applied to the output. Varies according to the coefficients format + * @return none + */ + + void arm_biquad_cascade_df1_init_q15( + arm_biquad_casd_df1_inst_q15 * S, + uint8_t numStages, + q15_t * pCoeffs, + q15_t * pState, + int8_t postShift); + + + /** + * @brief Fast but less precise processing function for the Q15 Biquad cascade filter for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q15 Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_fast_q15( + const arm_biquad_casd_df1_inst_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q31 Biquad cascade filter + * @param[in] *S points to an instance of the Q31 Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_q31( + const arm_biquad_casd_df1_inst_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Fast but less precise processing function for the Q31 Biquad cascade filter for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q31 Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_fast_q31( + const arm_biquad_casd_df1_inst_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 Biquad cascade filter. + * @param[in,out] *S points to an instance of the Q31 Biquad cascade structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] postShift Shift to be applied to the output. Varies according to the coefficients format + * @return none + */ + + void arm_biquad_cascade_df1_init_q31( + arm_biquad_casd_df1_inst_q31 * S, + uint8_t numStages, + q31_t * pCoeffs, + q31_t * pState, + int8_t postShift); + + /** + * @brief Processing function for the floating-point Biquad cascade filter. + * @param[in] *S points to an instance of the floating-point Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_f32( + const arm_biquad_casd_df1_inst_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point Biquad cascade filter. + * @param[in,out] *S points to an instance of the floating-point Biquad cascade structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @return none + */ + + void arm_biquad_cascade_df1_init_f32( + arm_biquad_casd_df1_inst_f32 * S, + uint8_t numStages, + float32_t * pCoeffs, + float32_t * pState); + + + /** + * @brief Instance structure for the floating-point matrix structure. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows of the matrix. */ + uint16_t numCols; /**< number of columns of the matrix. */ + float32_t *pData; /**< points to the data of the matrix. */ + } arm_matrix_instance_f32; + + + /** + * @brief Instance structure for the floating-point matrix structure. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows of the matrix. */ + uint16_t numCols; /**< number of columns of the matrix. */ + float64_t *pData; /**< points to the data of the matrix. */ + } arm_matrix_instance_f64; + + /** + * @brief Instance structure for the Q15 matrix structure. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows of the matrix. */ + uint16_t numCols; /**< number of columns of the matrix. */ + q15_t *pData; /**< points to the data of the matrix. */ + + } arm_matrix_instance_q15; + + /** + * @brief Instance structure for the Q31 matrix structure. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows of the matrix. */ + uint16_t numCols; /**< number of columns of the matrix. */ + q31_t *pData; /**< points to the data of the matrix. */ + + } arm_matrix_instance_q31; + + + + /** + * @brief Floating-point matrix addition. + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_add_f32( + const arm_matrix_instance_f32 * pSrcA, + const arm_matrix_instance_f32 * pSrcB, + arm_matrix_instance_f32 * pDst); + + /** + * @brief Q15 matrix addition. + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_add_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst); + + /** + * @brief Q31 matrix addition. + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_add_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + /** + * @brief Floating-point, complex, matrix multiplication. + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_cmplx_mult_f32( + const arm_matrix_instance_f32 * pSrcA, + const arm_matrix_instance_f32 * pSrcB, + arm_matrix_instance_f32 * pDst); + + /** + * @brief Q15, complex, matrix multiplication. + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_cmplx_mult_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst, + q15_t * pScratch); + + /** + * @brief Q31, complex, matrix multiplication. + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_cmplx_mult_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Floating-point matrix transpose. + * @param[in] *pSrc points to the input matrix + * @param[out] *pDst points to the output matrix + * @return The function returns either ARM_MATH_SIZE_MISMATCH + * or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_trans_f32( + const arm_matrix_instance_f32 * pSrc, + arm_matrix_instance_f32 * pDst); + + + /** + * @brief Q15 matrix transpose. + * @param[in] *pSrc points to the input matrix + * @param[out] *pDst points to the output matrix + * @return The function returns either ARM_MATH_SIZE_MISMATCH + * or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_trans_q15( + const arm_matrix_instance_q15 * pSrc, + arm_matrix_instance_q15 * pDst); + + /** + * @brief Q31 matrix transpose. + * @param[in] *pSrc points to the input matrix + * @param[out] *pDst points to the output matrix + * @return The function returns either ARM_MATH_SIZE_MISMATCH + * or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_trans_q31( + const arm_matrix_instance_q31 * pSrc, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Floating-point matrix multiplication + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_f32( + const arm_matrix_instance_f32 * pSrcA, + const arm_matrix_instance_f32 * pSrcB, + arm_matrix_instance_f32 * pDst); + + /** + * @brief Q15 matrix multiplication + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @param[in] *pState points to the array for storing intermediate results + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst, + q15_t * pState); + + /** + * @brief Q15 matrix multiplication (fast variant) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @param[in] *pState points to the array for storing intermediate results + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_fast_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst, + q15_t * pState); + + /** + * @brief Q31 matrix multiplication + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + /** + * @brief Q31 matrix multiplication (fast variant) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_fast_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Floating-point matrix subtraction + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_sub_f32( + const arm_matrix_instance_f32 * pSrcA, + const arm_matrix_instance_f32 * pSrcB, + arm_matrix_instance_f32 * pDst); + + /** + * @brief Q15 matrix subtraction + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_sub_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst); + + /** + * @brief Q31 matrix subtraction + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_sub_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + /** + * @brief Floating-point matrix scaling. + * @param[in] *pSrc points to the input matrix + * @param[in] scale scale factor + * @param[out] *pDst points to the output matrix + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_scale_f32( + const arm_matrix_instance_f32 * pSrc, + float32_t scale, + arm_matrix_instance_f32 * pDst); + + /** + * @brief Q15 matrix scaling. + * @param[in] *pSrc points to input matrix + * @param[in] scaleFract fractional portion of the scale factor + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to output matrix + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_scale_q15( + const arm_matrix_instance_q15 * pSrc, + q15_t scaleFract, + int32_t shift, + arm_matrix_instance_q15 * pDst); + + /** + * @brief Q31 matrix scaling. + * @param[in] *pSrc points to input matrix + * @param[in] scaleFract fractional portion of the scale factor + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_scale_q31( + const arm_matrix_instance_q31 * pSrc, + q31_t scaleFract, + int32_t shift, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Q31 matrix initialization. + * @param[in,out] *S points to an instance of the floating-point matrix structure. + * @param[in] nRows number of rows in the matrix. + * @param[in] nColumns number of columns in the matrix. + * @param[in] *pData points to the matrix data array. + * @return none + */ + + void arm_mat_init_q31( + arm_matrix_instance_q31 * S, + uint16_t nRows, + uint16_t nColumns, + q31_t * pData); + + /** + * @brief Q15 matrix initialization. + * @param[in,out] *S points to an instance of the floating-point matrix structure. + * @param[in] nRows number of rows in the matrix. + * @param[in] nColumns number of columns in the matrix. + * @param[in] *pData points to the matrix data array. + * @return none + */ + + void arm_mat_init_q15( + arm_matrix_instance_q15 * S, + uint16_t nRows, + uint16_t nColumns, + q15_t * pData); + + /** + * @brief Floating-point matrix initialization. + * @param[in,out] *S points to an instance of the floating-point matrix structure. + * @param[in] nRows number of rows in the matrix. + * @param[in] nColumns number of columns in the matrix. + * @param[in] *pData points to the matrix data array. + * @return none + */ + + void arm_mat_init_f32( + arm_matrix_instance_f32 * S, + uint16_t nRows, + uint16_t nColumns, + float32_t * pData); + + + + /** + * @brief Instance structure for the Q15 PID Control. + */ + typedef struct + { + q15_t A0; /**< The derived gain, A0 = Kp + Ki + Kd . */ +#ifdef ARM_MATH_CM0_FAMILY + q15_t A1; + q15_t A2; +#else + q31_t A1; /**< The derived gain A1 = -Kp - 2Kd | Kd.*/ +#endif + q15_t state[3]; /**< The state array of length 3. */ + q15_t Kp; /**< The proportional gain. */ + q15_t Ki; /**< The integral gain. */ + q15_t Kd; /**< The derivative gain. */ + } arm_pid_instance_q15; + + /** + * @brief Instance structure for the Q31 PID Control. + */ + typedef struct + { + q31_t A0; /**< The derived gain, A0 = Kp + Ki + Kd . */ + q31_t A1; /**< The derived gain, A1 = -Kp - 2Kd. */ + q31_t A2; /**< The derived gain, A2 = Kd . */ + q31_t state[3]; /**< The state array of length 3. */ + q31_t Kp; /**< The proportional gain. */ + q31_t Ki; /**< The integral gain. */ + q31_t Kd; /**< The derivative gain. */ + + } arm_pid_instance_q31; + + /** + * @brief Instance structure for the floating-point PID Control. + */ + typedef struct + { + float32_t A0; /**< The derived gain, A0 = Kp + Ki + Kd . */ + float32_t A1; /**< The derived gain, A1 = -Kp - 2Kd. */ + float32_t A2; /**< The derived gain, A2 = Kd . */ + float32_t state[3]; /**< The state array of length 3. */ + float32_t Kp; /**< The proportional gain. */ + float32_t Ki; /**< The integral gain. */ + float32_t Kd; /**< The derivative gain. */ + } arm_pid_instance_f32; + + + + /** + * @brief Initialization function for the floating-point PID Control. + * @param[in,out] *S points to an instance of the PID structure. + * @param[in] resetStateFlag flag to reset the state. 0 = no change in state 1 = reset the state. + * @return none. + */ + void arm_pid_init_f32( + arm_pid_instance_f32 * S, + int32_t resetStateFlag); + + /** + * @brief Reset function for the floating-point PID Control. + * @param[in,out] *S is an instance of the floating-point PID Control structure + * @return none + */ + void arm_pid_reset_f32( + arm_pid_instance_f32 * S); + + + /** + * @brief Initialization function for the Q31 PID Control. + * @param[in,out] *S points to an instance of the Q15 PID structure. + * @param[in] resetStateFlag flag to reset the state. 0 = no change in state 1 = reset the state. + * @return none. + */ + void arm_pid_init_q31( + arm_pid_instance_q31 * S, + int32_t resetStateFlag); + + + /** + * @brief Reset function for the Q31 PID Control. + * @param[in,out] *S points to an instance of the Q31 PID Control structure + * @return none + */ + + void arm_pid_reset_q31( + arm_pid_instance_q31 * S); + + /** + * @brief Initialization function for the Q15 PID Control. + * @param[in,out] *S points to an instance of the Q15 PID structure. + * @param[in] resetStateFlag flag to reset the state. 0 = no change in state 1 = reset the state. + * @return none. + */ + void arm_pid_init_q15( + arm_pid_instance_q15 * S, + int32_t resetStateFlag); + + /** + * @brief Reset function for the Q15 PID Control. + * @param[in,out] *S points to an instance of the q15 PID Control structure + * @return none + */ + void arm_pid_reset_q15( + arm_pid_instance_q15 * S); + + + /** + * @brief Instance structure for the floating-point Linear Interpolate function. + */ + typedef struct + { + uint32_t nValues; /**< nValues */ + float32_t x1; /**< x1 */ + float32_t xSpacing; /**< xSpacing */ + float32_t *pYData; /**< pointer to the table of Y values */ + } arm_linear_interp_instance_f32; + + /** + * @brief Instance structure for the floating-point bilinear interpolation function. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + float32_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_f32; + + /** + * @brief Instance structure for the Q31 bilinear interpolation function. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + q31_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_q31; + + /** + * @brief Instance structure for the Q15 bilinear interpolation function. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + q15_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_q15; + + /** + * @brief Instance structure for the Q15 bilinear interpolation function. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + q7_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_q7; + + + /** + * @brief Q7 vector multiplication. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_mult_q7( + q7_t * pSrcA, + q7_t * pSrcB, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Q15 vector multiplication. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_mult_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Q31 vector multiplication. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_mult_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Floating-point vector multiplication. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_mult_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t blockSize); + + + + + + + /** + * @brief Instance structure for the Q15 CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q15_t *pTwiddle; /**< points to the Sin twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix2_instance_q15; + +/* Deprecated */ + arm_status arm_cfft_radix2_init_q15( + arm_cfft_radix2_instance_q15 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + +/* Deprecated */ + void arm_cfft_radix2_q15( + const arm_cfft_radix2_instance_q15 * S, + q15_t * pSrc); + + + + /** + * @brief Instance structure for the Q15 CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q15_t *pTwiddle; /**< points to the twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix4_instance_q15; + +/* Deprecated */ + arm_status arm_cfft_radix4_init_q15( + arm_cfft_radix4_instance_q15 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + +/* Deprecated */ + void arm_cfft_radix4_q15( + const arm_cfft_radix4_instance_q15 * S, + q15_t * pSrc); + + /** + * @brief Instance structure for the Radix-2 Q31 CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q31_t *pTwiddle; /**< points to the Twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix2_instance_q31; + +/* Deprecated */ + arm_status arm_cfft_radix2_init_q31( + arm_cfft_radix2_instance_q31 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + +/* Deprecated */ + void arm_cfft_radix2_q31( + const arm_cfft_radix2_instance_q31 * S, + q31_t * pSrc); + + /** + * @brief Instance structure for the Q31 CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q31_t *pTwiddle; /**< points to the twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix4_instance_q31; + +/* Deprecated */ + void arm_cfft_radix4_q31( + const arm_cfft_radix4_instance_q31 * S, + q31_t * pSrc); + +/* Deprecated */ + arm_status arm_cfft_radix4_init_q31( + arm_cfft_radix4_instance_q31 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + /** + * @brief Instance structure for the floating-point CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + float32_t *pTwiddle; /**< points to the Twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + float32_t onebyfftLen; /**< value of 1/fftLen. */ + } arm_cfft_radix2_instance_f32; + +/* Deprecated */ + arm_status arm_cfft_radix2_init_f32( + arm_cfft_radix2_instance_f32 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + +/* Deprecated */ + void arm_cfft_radix2_f32( + const arm_cfft_radix2_instance_f32 * S, + float32_t * pSrc); + + /** + * @brief Instance structure for the floating-point CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + float32_t *pTwiddle; /**< points to the Twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + float32_t onebyfftLen; /**< value of 1/fftLen. */ + } arm_cfft_radix4_instance_f32; + +/* Deprecated */ + arm_status arm_cfft_radix4_init_f32( + arm_cfft_radix4_instance_f32 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + +/* Deprecated */ + void arm_cfft_radix4_f32( + const arm_cfft_radix4_instance_f32 * S, + float32_t * pSrc); + + /** + * @brief Instance structure for the fixed-point CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + const q15_t *pTwiddle; /**< points to the Twiddle factor table. */ + const uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t bitRevLength; /**< bit reversal table length. */ + } arm_cfft_instance_q15; + +void arm_cfft_q15( + const arm_cfft_instance_q15 * S, + q15_t * p1, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + /** + * @brief Instance structure for the fixed-point CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + const q31_t *pTwiddle; /**< points to the Twiddle factor table. */ + const uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t bitRevLength; /**< bit reversal table length. */ + } arm_cfft_instance_q31; + +void arm_cfft_q31( + const arm_cfft_instance_q31 * S, + q31_t * p1, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + /** + * @brief Instance structure for the floating-point CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + const float32_t *pTwiddle; /**< points to the Twiddle factor table. */ + const uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t bitRevLength; /**< bit reversal table length. */ + } arm_cfft_instance_f32; + + void arm_cfft_f32( + const arm_cfft_instance_f32 * S, + float32_t * p1, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + /** + * @brief Instance structure for the Q15 RFFT/RIFFT function. + */ + + typedef struct + { + uint32_t fftLenReal; /**< length of the real FFT. */ + uint8_t ifftFlagR; /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */ + uint8_t bitReverseFlagR; /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */ + uint32_t twidCoefRModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + q15_t *pTwiddleAReal; /**< points to the real twiddle factor table. */ + q15_t *pTwiddleBReal; /**< points to the imag twiddle factor table. */ + const arm_cfft_instance_q15 *pCfft; /**< points to the complex FFT instance. */ + } arm_rfft_instance_q15; + + arm_status arm_rfft_init_q15( + arm_rfft_instance_q15 * S, + uint32_t fftLenReal, + uint32_t ifftFlagR, + uint32_t bitReverseFlag); + + void arm_rfft_q15( + const arm_rfft_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst); + + /** + * @brief Instance structure for the Q31 RFFT/RIFFT function. + */ + + typedef struct + { + uint32_t fftLenReal; /**< length of the real FFT. */ + uint8_t ifftFlagR; /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */ + uint8_t bitReverseFlagR; /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */ + uint32_t twidCoefRModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + q31_t *pTwiddleAReal; /**< points to the real twiddle factor table. */ + q31_t *pTwiddleBReal; /**< points to the imag twiddle factor table. */ + const arm_cfft_instance_q31 *pCfft; /**< points to the complex FFT instance. */ + } arm_rfft_instance_q31; + + arm_status arm_rfft_init_q31( + arm_rfft_instance_q31 * S, + uint32_t fftLenReal, + uint32_t ifftFlagR, + uint32_t bitReverseFlag); + + void arm_rfft_q31( + const arm_rfft_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst); + + /** + * @brief Instance structure for the floating-point RFFT/RIFFT function. + */ + + typedef struct + { + uint32_t fftLenReal; /**< length of the real FFT. */ + uint16_t fftLenBy2; /**< length of the complex FFT. */ + uint8_t ifftFlagR; /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */ + uint8_t bitReverseFlagR; /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */ + uint32_t twidCoefRModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + float32_t *pTwiddleAReal; /**< points to the real twiddle factor table. */ + float32_t *pTwiddleBReal; /**< points to the imag twiddle factor table. */ + arm_cfft_radix4_instance_f32 *pCfft; /**< points to the complex FFT instance. */ + } arm_rfft_instance_f32; + + arm_status arm_rfft_init_f32( + arm_rfft_instance_f32 * S, + arm_cfft_radix4_instance_f32 * S_CFFT, + uint32_t fftLenReal, + uint32_t ifftFlagR, + uint32_t bitReverseFlag); + + void arm_rfft_f32( + const arm_rfft_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst); + + /** + * @brief Instance structure for the floating-point RFFT/RIFFT function. + */ + +typedef struct + { + arm_cfft_instance_f32 Sint; /**< Internal CFFT structure. */ + uint16_t fftLenRFFT; /**< length of the real sequence */ + float32_t * pTwiddleRFFT; /**< Twiddle factors real stage */ + } arm_rfft_fast_instance_f32 ; + +arm_status arm_rfft_fast_init_f32 ( + arm_rfft_fast_instance_f32 * S, + uint16_t fftLen); + +void arm_rfft_fast_f32( + arm_rfft_fast_instance_f32 * S, + float32_t * p, float32_t * pOut, + uint8_t ifftFlag); + + /** + * @brief Instance structure for the floating-point DCT4/IDCT4 function. + */ + + typedef struct + { + uint16_t N; /**< length of the DCT4. */ + uint16_t Nby2; /**< half of the length of the DCT4. */ + float32_t normalize; /**< normalizing factor. */ + float32_t *pTwiddle; /**< points to the twiddle factor table. */ + float32_t *pCosFactor; /**< points to the cosFactor table. */ + arm_rfft_instance_f32 *pRfft; /**< points to the real FFT instance. */ + arm_cfft_radix4_instance_f32 *pCfft; /**< points to the complex FFT instance. */ + } arm_dct4_instance_f32; + + /** + * @brief Initialization function for the floating-point DCT4/IDCT4. + * @param[in,out] *S points to an instance of floating-point DCT4/IDCT4 structure. + * @param[in] *S_RFFT points to an instance of floating-point RFFT/RIFFT structure. + * @param[in] *S_CFFT points to an instance of floating-point CFFT/CIFFT structure. + * @param[in] N length of the DCT4. + * @param[in] Nby2 half of the length of the DCT4. + * @param[in] normalize normalizing factor. + * @return arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if fftLenReal is not a supported transform length. + */ + + arm_status arm_dct4_init_f32( + arm_dct4_instance_f32 * S, + arm_rfft_instance_f32 * S_RFFT, + arm_cfft_radix4_instance_f32 * S_CFFT, + uint16_t N, + uint16_t Nby2, + float32_t normalize); + + /** + * @brief Processing function for the floating-point DCT4/IDCT4. + * @param[in] *S points to an instance of the floating-point DCT4/IDCT4 structure. + * @param[in] *pState points to state buffer. + * @param[in,out] *pInlineBuffer points to the in-place input and output buffer. + * @return none. + */ + + void arm_dct4_f32( + const arm_dct4_instance_f32 * S, + float32_t * pState, + float32_t * pInlineBuffer); + + /** + * @brief Instance structure for the Q31 DCT4/IDCT4 function. + */ + + typedef struct + { + uint16_t N; /**< length of the DCT4. */ + uint16_t Nby2; /**< half of the length of the DCT4. */ + q31_t normalize; /**< normalizing factor. */ + q31_t *pTwiddle; /**< points to the twiddle factor table. */ + q31_t *pCosFactor; /**< points to the cosFactor table. */ + arm_rfft_instance_q31 *pRfft; /**< points to the real FFT instance. */ + arm_cfft_radix4_instance_q31 *pCfft; /**< points to the complex FFT instance. */ + } arm_dct4_instance_q31; + + /** + * @brief Initialization function for the Q31 DCT4/IDCT4. + * @param[in,out] *S points to an instance of Q31 DCT4/IDCT4 structure. + * @param[in] *S_RFFT points to an instance of Q31 RFFT/RIFFT structure + * @param[in] *S_CFFT points to an instance of Q31 CFFT/CIFFT structure + * @param[in] N length of the DCT4. + * @param[in] Nby2 half of the length of the DCT4. + * @param[in] normalize normalizing factor. + * @return arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if N is not a supported transform length. + */ + + arm_status arm_dct4_init_q31( + arm_dct4_instance_q31 * S, + arm_rfft_instance_q31 * S_RFFT, + arm_cfft_radix4_instance_q31 * S_CFFT, + uint16_t N, + uint16_t Nby2, + q31_t normalize); + + /** + * @brief Processing function for the Q31 DCT4/IDCT4. + * @param[in] *S points to an instance of the Q31 DCT4 structure. + * @param[in] *pState points to state buffer. + * @param[in,out] *pInlineBuffer points to the in-place input and output buffer. + * @return none. + */ + + void arm_dct4_q31( + const arm_dct4_instance_q31 * S, + q31_t * pState, + q31_t * pInlineBuffer); + + /** + * @brief Instance structure for the Q15 DCT4/IDCT4 function. + */ + + typedef struct + { + uint16_t N; /**< length of the DCT4. */ + uint16_t Nby2; /**< half of the length of the DCT4. */ + q15_t normalize; /**< normalizing factor. */ + q15_t *pTwiddle; /**< points to the twiddle factor table. */ + q15_t *pCosFactor; /**< points to the cosFactor table. */ + arm_rfft_instance_q15 *pRfft; /**< points to the real FFT instance. */ + arm_cfft_radix4_instance_q15 *pCfft; /**< points to the complex FFT instance. */ + } arm_dct4_instance_q15; + + /** + * @brief Initialization function for the Q15 DCT4/IDCT4. + * @param[in,out] *S points to an instance of Q15 DCT4/IDCT4 structure. + * @param[in] *S_RFFT points to an instance of Q15 RFFT/RIFFT structure. + * @param[in] *S_CFFT points to an instance of Q15 CFFT/CIFFT structure. + * @param[in] N length of the DCT4. + * @param[in] Nby2 half of the length of the DCT4. + * @param[in] normalize normalizing factor. + * @return arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if N is not a supported transform length. + */ + + arm_status arm_dct4_init_q15( + arm_dct4_instance_q15 * S, + arm_rfft_instance_q15 * S_RFFT, + arm_cfft_radix4_instance_q15 * S_CFFT, + uint16_t N, + uint16_t Nby2, + q15_t normalize); + + /** + * @brief Processing function for the Q15 DCT4/IDCT4. + * @param[in] *S points to an instance of the Q15 DCT4 structure. + * @param[in] *pState points to state buffer. + * @param[in,out] *pInlineBuffer points to the in-place input and output buffer. + * @return none. + */ + + void arm_dct4_q15( + const arm_dct4_instance_q15 * S, + q15_t * pState, + q15_t * pInlineBuffer); + + /** + * @brief Floating-point vector addition. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_add_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Q7 vector addition. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_add_q7( + q7_t * pSrcA, + q7_t * pSrcB, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Q15 vector addition. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_add_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Q31 vector addition. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_add_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Floating-point vector subtraction. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_sub_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Q7 vector subtraction. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_sub_q7( + q7_t * pSrcA, + q7_t * pSrcB, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Q15 vector subtraction. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_sub_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Q31 vector subtraction. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_sub_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Multiplies a floating-point vector by a scalar. + * @param[in] *pSrc points to the input vector + * @param[in] scale scale factor to be applied + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_scale_f32( + float32_t * pSrc, + float32_t scale, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Multiplies a Q7 vector by a scalar. + * @param[in] *pSrc points to the input vector + * @param[in] scaleFract fractional portion of the scale value + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_scale_q7( + q7_t * pSrc, + q7_t scaleFract, + int8_t shift, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Multiplies a Q15 vector by a scalar. + * @param[in] *pSrc points to the input vector + * @param[in] scaleFract fractional portion of the scale value + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_scale_q15( + q15_t * pSrc, + q15_t scaleFract, + int8_t shift, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Multiplies a Q31 vector by a scalar. + * @param[in] *pSrc points to the input vector + * @param[in] scaleFract fractional portion of the scale value + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_scale_q31( + q31_t * pSrc, + q31_t scaleFract, + int8_t shift, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Q7 vector absolute value. + * @param[in] *pSrc points to the input buffer + * @param[out] *pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_abs_q7( + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Floating-point vector absolute value. + * @param[in] *pSrc points to the input buffer + * @param[out] *pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_abs_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Q15 vector absolute value. + * @param[in] *pSrc points to the input buffer + * @param[out] *pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_abs_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Q31 vector absolute value. + * @param[in] *pSrc points to the input buffer + * @param[out] *pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_abs_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Dot product of floating-point vectors. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] *result output result returned here + * @return none. + */ + + void arm_dot_prod_f32( + float32_t * pSrcA, + float32_t * pSrcB, + uint32_t blockSize, + float32_t * result); + + /** + * @brief Dot product of Q7 vectors. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] *result output result returned here + * @return none. + */ + + void arm_dot_prod_q7( + q7_t * pSrcA, + q7_t * pSrcB, + uint32_t blockSize, + q31_t * result); + + /** + * @brief Dot product of Q15 vectors. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] *result output result returned here + * @return none. + */ + + void arm_dot_prod_q15( + q15_t * pSrcA, + q15_t * pSrcB, + uint32_t blockSize, + q63_t * result); + + /** + * @brief Dot product of Q31 vectors. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] *result output result returned here + * @return none. + */ + + void arm_dot_prod_q31( + q31_t * pSrcA, + q31_t * pSrcB, + uint32_t blockSize, + q63_t * result); + + /** + * @brief Shifts the elements of a Q7 vector a specified number of bits. + * @param[in] *pSrc points to the input vector + * @param[in] shiftBits number of bits to shift. A positive value shifts left; a negative value shifts right. + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_shift_q7( + q7_t * pSrc, + int8_t shiftBits, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Shifts the elements of a Q15 vector a specified number of bits. + * @param[in] *pSrc points to the input vector + * @param[in] shiftBits number of bits to shift. A positive value shifts left; a negative value shifts right. + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_shift_q15( + q15_t * pSrc, + int8_t shiftBits, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Shifts the elements of a Q31 vector a specified number of bits. + * @param[in] *pSrc points to the input vector + * @param[in] shiftBits number of bits to shift. A positive value shifts left; a negative value shifts right. + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_shift_q31( + q31_t * pSrc, + int8_t shiftBits, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Adds a constant offset to a floating-point vector. + * @param[in] *pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_offset_f32( + float32_t * pSrc, + float32_t offset, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Adds a constant offset to a Q7 vector. + * @param[in] *pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_offset_q7( + q7_t * pSrc, + q7_t offset, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Adds a constant offset to a Q15 vector. + * @param[in] *pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_offset_q15( + q15_t * pSrc, + q15_t offset, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Adds a constant offset to a Q31 vector. + * @param[in] *pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_offset_q31( + q31_t * pSrc, + q31_t offset, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Negates the elements of a floating-point vector. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_negate_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Negates the elements of a Q7 vector. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_negate_q7( + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Negates the elements of a Q15 vector. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_negate_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Negates the elements of a Q31 vector. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_negate_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + /** + * @brief Copies the elements of a floating-point vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_copy_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Copies the elements of a Q7 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_copy_q7( + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Copies the elements of a Q15 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_copy_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Copies the elements of a Q31 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_copy_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + /** + * @brief Fills a constant value into a floating-point vector. + * @param[in] value input value to be filled + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_fill_f32( + float32_t value, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Fills a constant value into a Q7 vector. + * @param[in] value input value to be filled + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_fill_q7( + q7_t value, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Fills a constant value into a Q15 vector. + * @param[in] value input value to be filled + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_fill_q15( + q15_t value, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Fills a constant value into a Q31 vector. + * @param[in] value input value to be filled + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_fill_q31( + q31_t value, + q31_t * pDst, + uint32_t blockSize); + +/** + * @brief Convolution of floating-point sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the location where the output result is written. Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_f32( + float32_t * pSrcA, + uint32_t srcALen, + float32_t * pSrcB, + uint32_t srcBLen, + float32_t * pDst); + + + /** + * @brief Convolution of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @param[in] *pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + * @return none. + */ + + + void arm_conv_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + +/** + * @brief Convolution of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the location where the output result is written. Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + /** + * @brief Convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_fast_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + /** + * @brief Convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @param[in] *pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + * @return none. + */ + + void arm_conv_fast_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + + + /** + * @brief Convolution of Q31 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + /** + * @brief Convolution of Q31 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_fast_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + + /** + * @brief Convolution of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @param[in] *pScratch1 points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen). + * @return none. + */ + + void arm_conv_opt_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + + + /** + * @brief Convolution of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst); + + + /** + * @brief Partial convolution of floating-point sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_f32( + float32_t * pSrcA, + uint32_t srcALen, + float32_t * pSrcB, + uint32_t srcBLen, + float32_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + /** + * @brief Partial convolution of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @param[in] * pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] * pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints, + q15_t * pScratch1, + q15_t * pScratch2); + + +/** + * @brief Partial convolution of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + /** + * @brief Partial convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_fast_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Partial convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @param[in] * pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] * pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_fast_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints, + q15_t * pScratch1, + q15_t * pScratch2); + + + /** + * @brief Partial convolution of Q31 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Partial convolution of Q31 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_fast_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Partial convolution of Q7 sequences + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @param[in] *pScratch1 points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen). + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_opt_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + uint32_t firstIndex, + uint32_t numPoints, + q15_t * pScratch1, + q15_t * pScratch2); + + +/** + * @brief Partial convolution of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + + /** + * @brief Instance structure for the Q15 FIR decimator. + */ + + typedef struct + { + uint8_t M; /**< decimation factor. */ + uint16_t numTaps; /**< number of coefficients in the filter. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + } arm_fir_decimate_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR decimator. + */ + + typedef struct + { + uint8_t M; /**< decimation factor. */ + uint16_t numTaps; /**< number of coefficients in the filter. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + + } arm_fir_decimate_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR decimator. + */ + + typedef struct + { + uint8_t M; /**< decimation factor. */ + uint16_t numTaps; /**< number of coefficients in the filter. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + + } arm_fir_decimate_instance_f32; + + + + /** + * @brief Processing function for the floating-point FIR decimator. + * @param[in] *S points to an instance of the floating-point FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_f32( + const arm_fir_decimate_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the floating-point FIR decimator. + * @param[in,out] *S points to an instance of the floating-point FIR decimator structure. + * @param[in] numTaps number of coefficients in the filter. + * @param[in] M decimation factor. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * blockSize is not a multiple of M. + */ + + arm_status arm_fir_decimate_init_f32( + arm_fir_decimate_instance_f32 * S, + uint16_t numTaps, + uint8_t M, + float32_t * pCoeffs, + float32_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the Q15 FIR decimator. + * @param[in] *S points to an instance of the Q15 FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_q15( + const arm_fir_decimate_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Processing function for the Q15 FIR decimator (fast variant) for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q15 FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_fast_q15( + const arm_fir_decimate_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + + /** + * @brief Initialization function for the Q15 FIR decimator. + * @param[in,out] *S points to an instance of the Q15 FIR decimator structure. + * @param[in] numTaps number of coefficients in the filter. + * @param[in] M decimation factor. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * blockSize is not a multiple of M. + */ + + arm_status arm_fir_decimate_init_q15( + arm_fir_decimate_instance_q15 * S, + uint16_t numTaps, + uint8_t M, + q15_t * pCoeffs, + q15_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 FIR decimator. + * @param[in] *S points to an instance of the Q31 FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_q31( + const arm_fir_decimate_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 FIR decimator (fast variant) for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q31 FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_fast_q31( + arm_fir_decimate_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q31 FIR decimator. + * @param[in,out] *S points to an instance of the Q31 FIR decimator structure. + * @param[in] numTaps number of coefficients in the filter. + * @param[in] M decimation factor. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * blockSize is not a multiple of M. + */ + + arm_status arm_fir_decimate_init_q31( + arm_fir_decimate_instance_q31 * S, + uint16_t numTaps, + uint8_t M, + q31_t * pCoeffs, + q31_t * pState, + uint32_t blockSize); + + + + /** + * @brief Instance structure for the Q15 FIR interpolator. + */ + + typedef struct + { + uint8_t L; /**< upsample factor. */ + uint16_t phaseLength; /**< length of each polyphase filter component. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length L*phaseLength. */ + q15_t *pState; /**< points to the state variable array. The array is of length blockSize+phaseLength-1. */ + } arm_fir_interpolate_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR interpolator. + */ + + typedef struct + { + uint8_t L; /**< upsample factor. */ + uint16_t phaseLength; /**< length of each polyphase filter component. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length L*phaseLength. */ + q31_t *pState; /**< points to the state variable array. The array is of length blockSize+phaseLength-1. */ + } arm_fir_interpolate_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR interpolator. + */ + + typedef struct + { + uint8_t L; /**< upsample factor. */ + uint16_t phaseLength; /**< length of each polyphase filter component. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length L*phaseLength. */ + float32_t *pState; /**< points to the state variable array. The array is of length phaseLength+numTaps-1. */ + } arm_fir_interpolate_instance_f32; + + + /** + * @brief Processing function for the Q15 FIR interpolator. + * @param[in] *S points to an instance of the Q15 FIR interpolator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_interpolate_q15( + const arm_fir_interpolate_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q15 FIR interpolator. + * @param[in,out] *S points to an instance of the Q15 FIR interpolator structure. + * @param[in] L upsample factor. + * @param[in] numTaps number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficient buffer. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * the filter length numTaps is not a multiple of the interpolation factor L. + */ + + arm_status arm_fir_interpolate_init_q15( + arm_fir_interpolate_instance_q15 * S, + uint8_t L, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 FIR interpolator. + * @param[in] *S points to an instance of the Q15 FIR interpolator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_interpolate_q31( + const arm_fir_interpolate_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 FIR interpolator. + * @param[in,out] *S points to an instance of the Q31 FIR interpolator structure. + * @param[in] L upsample factor. + * @param[in] numTaps number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficient buffer. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * the filter length numTaps is not a multiple of the interpolation factor L. + */ + + arm_status arm_fir_interpolate_init_q31( + arm_fir_interpolate_instance_q31 * S, + uint8_t L, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the floating-point FIR interpolator. + * @param[in] *S points to an instance of the floating-point FIR interpolator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_interpolate_f32( + const arm_fir_interpolate_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point FIR interpolator. + * @param[in,out] *S points to an instance of the floating-point FIR interpolator structure. + * @param[in] L upsample factor. + * @param[in] numTaps number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficient buffer. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * the filter length numTaps is not a multiple of the interpolation factor L. + */ + + arm_status arm_fir_interpolate_init_f32( + arm_fir_interpolate_instance_f32 * S, + uint8_t L, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + uint32_t blockSize); + + /** + * @brief Instance structure for the high precision Q31 Biquad cascade filter. + */ + + typedef struct + { + uint8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + q63_t *pState; /**< points to the array of state coefficients. The array is of length 4*numStages. */ + q31_t *pCoeffs; /**< points to the array of coefficients. The array is of length 5*numStages. */ + uint8_t postShift; /**< additional shift, in bits, applied to each output sample. */ + + } arm_biquad_cas_df1_32x64_ins_q31; + + + /** + * @param[in] *S points to an instance of the high precision Q31 Biquad cascade filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cas_df1_32x64_q31( + const arm_biquad_cas_df1_32x64_ins_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @param[in,out] *S points to an instance of the high precision Q31 Biquad cascade filter structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] postShift shift to be applied to the output. Varies according to the coefficients format + * @return none + */ + + void arm_biquad_cas_df1_32x64_init_q31( + arm_biquad_cas_df1_32x64_ins_q31 * S, + uint8_t numStages, + q31_t * pCoeffs, + q63_t * pState, + uint8_t postShift); + + + + /** + * @brief Instance structure for the floating-point transposed direct form II Biquad cascade filter. + */ + + typedef struct + { + uint8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + float32_t *pState; /**< points to the array of state coefficients. The array is of length 2*numStages. */ + float32_t *pCoeffs; /**< points to the array of coefficients. The array is of length 5*numStages. */ + } arm_biquad_cascade_df2T_instance_f32; + + + + /** + * @brief Instance structure for the floating-point transposed direct form II Biquad cascade filter. + */ + + typedef struct + { + uint8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + float32_t *pState; /**< points to the array of state coefficients. The array is of length 4*numStages. */ + float32_t *pCoeffs; /**< points to the array of coefficients. The array is of length 5*numStages. */ + } arm_biquad_cascade_stereo_df2T_instance_f32; + + + + /** + * @brief Instance structure for the floating-point transposed direct form II Biquad cascade filter. + */ + + typedef struct + { + uint8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + float64_t *pState; /**< points to the array of state coefficients. The array is of length 2*numStages. */ + float64_t *pCoeffs; /**< points to the array of coefficients. The array is of length 5*numStages. */ + } arm_biquad_cascade_df2T_instance_f64; + + + /** + * @brief Processing function for the floating-point transposed direct form II Biquad cascade filter. + * @param[in] *S points to an instance of the filter data structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df2T_f32( + const arm_biquad_cascade_df2T_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Processing function for the floating-point transposed direct form II Biquad cascade filter. 2 channels + * @param[in] *S points to an instance of the filter data structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_stereo_df2T_f32( + const arm_biquad_cascade_stereo_df2T_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Processing function for the floating-point transposed direct form II Biquad cascade filter. + * @param[in] *S points to an instance of the filter data structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df2T_f64( + const arm_biquad_cascade_df2T_instance_f64 * S, + float64_t * pSrc, + float64_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the floating-point transposed direct form II Biquad cascade filter. + * @param[in,out] *S points to an instance of the filter data structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @return none + */ + + void arm_biquad_cascade_df2T_init_f32( + arm_biquad_cascade_df2T_instance_f32 * S, + uint8_t numStages, + float32_t * pCoeffs, + float32_t * pState); + + + /** + * @brief Initialization function for the floating-point transposed direct form II Biquad cascade filter. + * @param[in,out] *S points to an instance of the filter data structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @return none + */ + + void arm_biquad_cascade_stereo_df2T_init_f32( + arm_biquad_cascade_stereo_df2T_instance_f32 * S, + uint8_t numStages, + float32_t * pCoeffs, + float32_t * pState); + + + /** + * @brief Initialization function for the floating-point transposed direct form II Biquad cascade filter. + * @param[in,out] *S points to an instance of the filter data structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @return none + */ + + void arm_biquad_cascade_df2T_init_f64( + arm_biquad_cascade_df2T_instance_f64 * S, + uint8_t numStages, + float64_t * pCoeffs, + float64_t * pState); + + + + /** + * @brief Instance structure for the Q15 FIR lattice filter. + */ + + typedef struct + { + uint16_t numStages; /**< number of filter stages. */ + q15_t *pState; /**< points to the state variable array. The array is of length numStages. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numStages. */ + } arm_fir_lattice_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR lattice filter. + */ + + typedef struct + { + uint16_t numStages; /**< number of filter stages. */ + q31_t *pState; /**< points to the state variable array. The array is of length numStages. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numStages. */ + } arm_fir_lattice_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR lattice filter. + */ + + typedef struct + { + uint16_t numStages; /**< number of filter stages. */ + float32_t *pState; /**< points to the state variable array. The array is of length numStages. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numStages. */ + } arm_fir_lattice_instance_f32; + + /** + * @brief Initialization function for the Q15 FIR lattice filter. + * @param[in] *S points to an instance of the Q15 FIR lattice structure. + * @param[in] numStages number of filter stages. + * @param[in] *pCoeffs points to the coefficient buffer. The array is of length numStages. + * @param[in] *pState points to the state buffer. The array is of length numStages. + * @return none. + */ + + void arm_fir_lattice_init_q15( + arm_fir_lattice_instance_q15 * S, + uint16_t numStages, + q15_t * pCoeffs, + q15_t * pState); + + + /** + * @brief Processing function for the Q15 FIR lattice filter. + * @param[in] *S points to an instance of the Q15 FIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_lattice_q15( + const arm_fir_lattice_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 FIR lattice filter. + * @param[in] *S points to an instance of the Q31 FIR lattice structure. + * @param[in] numStages number of filter stages. + * @param[in] *pCoeffs points to the coefficient buffer. The array is of length numStages. + * @param[in] *pState points to the state buffer. The array is of length numStages. + * @return none. + */ + + void arm_fir_lattice_init_q31( + arm_fir_lattice_instance_q31 * S, + uint16_t numStages, + q31_t * pCoeffs, + q31_t * pState); + + + /** + * @brief Processing function for the Q31 FIR lattice filter. + * @param[in] *S points to an instance of the Q31 FIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_fir_lattice_q31( + const arm_fir_lattice_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + +/** + * @brief Initialization function for the floating-point FIR lattice filter. + * @param[in] *S points to an instance of the floating-point FIR lattice structure. + * @param[in] numStages number of filter stages. + * @param[in] *pCoeffs points to the coefficient buffer. The array is of length numStages. + * @param[in] *pState points to the state buffer. The array is of length numStages. + * @return none. + */ + + void arm_fir_lattice_init_f32( + arm_fir_lattice_instance_f32 * S, + uint16_t numStages, + float32_t * pCoeffs, + float32_t * pState); + + /** + * @brief Processing function for the floating-point FIR lattice filter. + * @param[in] *S points to an instance of the floating-point FIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_fir_lattice_f32( + const arm_fir_lattice_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Instance structure for the Q15 IIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of stages in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numStages+blockSize. */ + q15_t *pkCoeffs; /**< points to the reflection coefficient array. The array is of length numStages. */ + q15_t *pvCoeffs; /**< points to the ladder coefficient array. The array is of length numStages+1. */ + } arm_iir_lattice_instance_q15; + + /** + * @brief Instance structure for the Q31 IIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of stages in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numStages+blockSize. */ + q31_t *pkCoeffs; /**< points to the reflection coefficient array. The array is of length numStages. */ + q31_t *pvCoeffs; /**< points to the ladder coefficient array. The array is of length numStages+1. */ + } arm_iir_lattice_instance_q31; + + /** + * @brief Instance structure for the floating-point IIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of stages in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numStages+blockSize. */ + float32_t *pkCoeffs; /**< points to the reflection coefficient array. The array is of length numStages. */ + float32_t *pvCoeffs; /**< points to the ladder coefficient array. The array is of length numStages+1. */ + } arm_iir_lattice_instance_f32; + + /** + * @brief Processing function for the floating-point IIR lattice filter. + * @param[in] *S points to an instance of the floating-point IIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_f32( + const arm_iir_lattice_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point IIR lattice filter. + * @param[in] *S points to an instance of the floating-point IIR lattice structure. + * @param[in] numStages number of stages in the filter. + * @param[in] *pkCoeffs points to the reflection coefficient buffer. The array is of length numStages. + * @param[in] *pvCoeffs points to the ladder coefficient buffer. The array is of length numStages+1. + * @param[in] *pState points to the state buffer. The array is of length numStages+blockSize-1. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_init_f32( + arm_iir_lattice_instance_f32 * S, + uint16_t numStages, + float32_t * pkCoeffs, + float32_t * pvCoeffs, + float32_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q31 IIR lattice filter. + * @param[in] *S points to an instance of the Q31 IIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_q31( + const arm_iir_lattice_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q31 IIR lattice filter. + * @param[in] *S points to an instance of the Q31 IIR lattice structure. + * @param[in] numStages number of stages in the filter. + * @param[in] *pkCoeffs points to the reflection coefficient buffer. The array is of length numStages. + * @param[in] *pvCoeffs points to the ladder coefficient buffer. The array is of length numStages+1. + * @param[in] *pState points to the state buffer. The array is of length numStages+blockSize. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_init_q31( + arm_iir_lattice_instance_q31 * S, + uint16_t numStages, + q31_t * pkCoeffs, + q31_t * pvCoeffs, + q31_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q15 IIR lattice filter. + * @param[in] *S points to an instance of the Q15 IIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_q15( + const arm_iir_lattice_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + +/** + * @brief Initialization function for the Q15 IIR lattice filter. + * @param[in] *S points to an instance of the fixed-point Q15 IIR lattice structure. + * @param[in] numStages number of stages in the filter. + * @param[in] *pkCoeffs points to reflection coefficient buffer. The array is of length numStages. + * @param[in] *pvCoeffs points to ladder coefficient buffer. The array is of length numStages+1. + * @param[in] *pState points to state buffer. The array is of length numStages+blockSize. + * @param[in] blockSize number of samples to process per call. + * @return none. + */ + + void arm_iir_lattice_init_q15( + arm_iir_lattice_instance_q15 * S, + uint16_t numStages, + q15_t * pkCoeffs, + q15_t * pvCoeffs, + q15_t * pState, + uint32_t blockSize); + + /** + * @brief Instance structure for the floating-point LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + float32_t mu; /**< step size that controls filter coefficient updates. */ + } arm_lms_instance_f32; + + /** + * @brief Processing function for floating-point LMS filter. + * @param[in] *S points to an instance of the floating-point LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_f32( + const arm_lms_instance_f32 * S, + float32_t * pSrc, + float32_t * pRef, + float32_t * pOut, + float32_t * pErr, + uint32_t blockSize); + + /** + * @brief Initialization function for floating-point LMS filter. + * @param[in] *S points to an instance of the floating-point LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to the coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_init_f32( + arm_lms_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + float32_t mu, + uint32_t blockSize); + + /** + * @brief Instance structure for the Q15 LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q15_t mu; /**< step size that controls filter coefficient updates. */ + uint32_t postShift; /**< bit shift applied to coefficients. */ + } arm_lms_instance_q15; + + + /** + * @brief Initialization function for the Q15 LMS filter. + * @param[in] *S points to an instance of the Q15 LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to the coefficient buffer. + * @param[in] *pState points to the state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + * @return none. + */ + + void arm_lms_init_q15( + arm_lms_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + q15_t mu, + uint32_t blockSize, + uint32_t postShift); + + /** + * @brief Processing function for Q15 LMS filter. + * @param[in] *S points to an instance of the Q15 LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_q15( + const arm_lms_instance_q15 * S, + q15_t * pSrc, + q15_t * pRef, + q15_t * pOut, + q15_t * pErr, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q31 LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q31_t mu; /**< step size that controls filter coefficient updates. */ + uint32_t postShift; /**< bit shift applied to coefficients. */ + + } arm_lms_instance_q31; + + /** + * @brief Processing function for Q31 LMS filter. + * @param[in] *S points to an instance of the Q15 LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_q31( + const arm_lms_instance_q31 * S, + q31_t * pSrc, + q31_t * pRef, + q31_t * pOut, + q31_t * pErr, + uint32_t blockSize); + + /** + * @brief Initialization function for Q31 LMS filter. + * @param[in] *S points to an instance of the Q31 LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + * @return none. + */ + + void arm_lms_init_q31( + arm_lms_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + q31_t mu, + uint32_t blockSize, + uint32_t postShift); + + /** + * @brief Instance structure for the floating-point normalized LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + float32_t mu; /**< step size that control filter coefficient updates. */ + float32_t energy; /**< saves previous frame energy. */ + float32_t x0; /**< saves previous input sample. */ + } arm_lms_norm_instance_f32; + + /** + * @brief Processing function for floating-point normalized LMS filter. + * @param[in] *S points to an instance of the floating-point normalized LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_norm_f32( + arm_lms_norm_instance_f32 * S, + float32_t * pSrc, + float32_t * pRef, + float32_t * pOut, + float32_t * pErr, + uint32_t blockSize); + + /** + * @brief Initialization function for floating-point normalized LMS filter. + * @param[in] *S points to an instance of the floating-point LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_norm_init_f32( + arm_lms_norm_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + float32_t mu, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q31 normalized LMS filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q31_t mu; /**< step size that controls filter coefficient updates. */ + uint8_t postShift; /**< bit shift applied to coefficients. */ + q31_t *recipTable; /**< points to the reciprocal initial value table. */ + q31_t energy; /**< saves previous frame energy. */ + q31_t x0; /**< saves previous input sample. */ + } arm_lms_norm_instance_q31; + + /** + * @brief Processing function for Q31 normalized LMS filter. + * @param[in] *S points to an instance of the Q31 normalized LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_norm_q31( + arm_lms_norm_instance_q31 * S, + q31_t * pSrc, + q31_t * pRef, + q31_t * pOut, + q31_t * pErr, + uint32_t blockSize); + + /** + * @brief Initialization function for Q31 normalized LMS filter. + * @param[in] *S points to an instance of the Q31 normalized LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + * @return none. + */ + + void arm_lms_norm_init_q31( + arm_lms_norm_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + q31_t mu, + uint32_t blockSize, + uint8_t postShift); + + /** + * @brief Instance structure for the Q15 normalized LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< Number of coefficients in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q15_t mu; /**< step size that controls filter coefficient updates. */ + uint8_t postShift; /**< bit shift applied to coefficients. */ + q15_t *recipTable; /**< Points to the reciprocal initial value table. */ + q15_t energy; /**< saves previous frame energy. */ + q15_t x0; /**< saves previous input sample. */ + } arm_lms_norm_instance_q15; + + /** + * @brief Processing function for Q15 normalized LMS filter. + * @param[in] *S points to an instance of the Q15 normalized LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_norm_q15( + arm_lms_norm_instance_q15 * S, + q15_t * pSrc, + q15_t * pRef, + q15_t * pOut, + q15_t * pErr, + uint32_t blockSize); + + + /** + * @brief Initialization function for Q15 normalized LMS filter. + * @param[in] *S points to an instance of the Q15 normalized LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + * @return none. + */ + + void arm_lms_norm_init_q15( + arm_lms_norm_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + q15_t mu, + uint32_t blockSize, + uint8_t postShift); + + /** + * @brief Correlation of floating-point sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_f32( + float32_t * pSrcA, + uint32_t srcALen, + float32_t * pSrcB, + uint32_t srcBLen, + float32_t * pDst); + + + /** + * @brief Correlation of Q15 sequences + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @param[in] *pScratch points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @return none. + */ + void arm_correlate_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch); + + + /** + * @brief Correlation of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + /** + * @brief Correlation of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_fast_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + + + /** + * @brief Correlation of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @param[in] *pScratch points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @return none. + */ + + void arm_correlate_fast_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch); + + /** + * @brief Correlation of Q31 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + /** + * @brief Correlation of Q31 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_fast_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + + + /** + * @brief Correlation of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @param[in] *pScratch1 points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen). + * @return none. + */ + + void arm_correlate_opt_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + + /** + * @brief Correlation of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst); + + + /** + * @brief Instance structure for the floating-point sparse FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + float32_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_f32; + + /** + * @brief Instance structure for the Q31 sparse FIR filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + q31_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_q31; + + /** + * @brief Instance structure for the Q15 sparse FIR filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + q15_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_q15; + + /** + * @brief Instance structure for the Q7 sparse FIR filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + q7_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + q7_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_q7; + + /** + * @brief Processing function for the floating-point sparse FIR filter. + * @param[in] *S points to an instance of the floating-point sparse FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] *pScratchIn points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_sparse_f32( + arm_fir_sparse_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + float32_t * pScratchIn, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point sparse FIR filter. + * @param[in,out] *S points to an instance of the floating-point sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] *pCoeffs points to the array of filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] *pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + * @return none + */ + + void arm_fir_sparse_init_f32( + arm_fir_sparse_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 sparse FIR filter. + * @param[in] *S points to an instance of the Q31 sparse FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] *pScratchIn points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_sparse_q31( + arm_fir_sparse_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + q31_t * pScratchIn, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 sparse FIR filter. + * @param[in,out] *S points to an instance of the Q31 sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] *pCoeffs points to the array of filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] *pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + * @return none + */ + + void arm_fir_sparse_init_q31( + arm_fir_sparse_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + /** + * @brief Processing function for the Q15 sparse FIR filter. + * @param[in] *S points to an instance of the Q15 sparse FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] *pScratchIn points to a temporary buffer of size blockSize. + * @param[in] *pScratchOut points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_sparse_q15( + arm_fir_sparse_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + q15_t * pScratchIn, + q31_t * pScratchOut, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q15 sparse FIR filter. + * @param[in,out] *S points to an instance of the Q15 sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] *pCoeffs points to the array of filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] *pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + * @return none + */ + + void arm_fir_sparse_init_q15( + arm_fir_sparse_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + /** + * @brief Processing function for the Q7 sparse FIR filter. + * @param[in] *S points to an instance of the Q7 sparse FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] *pScratchIn points to a temporary buffer of size blockSize. + * @param[in] *pScratchOut points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_sparse_q7( + arm_fir_sparse_instance_q7 * S, + q7_t * pSrc, + q7_t * pDst, + q7_t * pScratchIn, + q31_t * pScratchOut, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q7 sparse FIR filter. + * @param[in,out] *S points to an instance of the Q7 sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] *pCoeffs points to the array of filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] *pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + * @return none + */ + + void arm_fir_sparse_init_q7( + arm_fir_sparse_instance_q7 * S, + uint16_t numTaps, + q7_t * pCoeffs, + q7_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + + /* + * @brief Floating-point sin_cos function. + * @param[in] theta input value in degrees + * @param[out] *pSinVal points to the processed sine output. + * @param[out] *pCosVal points to the processed cos output. + * @return none. + */ + + void arm_sin_cos_f32( + float32_t theta, + float32_t * pSinVal, + float32_t * pCcosVal); + + /* + * @brief Q31 sin_cos function. + * @param[in] theta scaled input value in degrees + * @param[out] *pSinVal points to the processed sine output. + * @param[out] *pCosVal points to the processed cosine output. + * @return none. + */ + + void arm_sin_cos_q31( + q31_t theta, + q31_t * pSinVal, + q31_t * pCosVal); + + + /** + * @brief Floating-point complex conjugate. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_conj_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t numSamples); + + /** + * @brief Q31 complex conjugate. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_conj_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t numSamples); + + /** + * @brief Q15 complex conjugate. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_conj_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t numSamples); + + + + /** + * @brief Floating-point complex magnitude squared + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_squared_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t numSamples); + + /** + * @brief Q31 complex magnitude squared + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_squared_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t numSamples); + + /** + * @brief Q15 complex magnitude squared + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_squared_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t numSamples); + + + /** + * @ingroup groupController + */ + + /** + * @defgroup PID PID Motor Control + * + * A Proportional Integral Derivative (PID) controller is a generic feedback control + * loop mechanism widely used in industrial control systems. + * A PID controller is the most commonly used type of feedback controller. + * + * This set of functions implements (PID) controllers + * for Q15, Q31, and floating-point data types. The functions operate on a single sample + * of data and each call to the function returns a single processed value. + * S points to an instance of the PID control data structure. in + * is the input sample value. The functions return the output value. + * + * \par Algorithm: + *
+   *    y[n] = y[n-1] + A0 * x[n] + A1 * x[n-1] + A2 * x[n-2]
+   *    A0 = Kp + Ki + Kd
+   *    A1 = (-Kp ) - (2 * Kd )
+   *    A2 = Kd  
+ * + * \par + * where \c Kp is proportional constant, \c Ki is Integral constant and \c Kd is Derivative constant + * + * \par + * \image html PID.gif "Proportional Integral Derivative Controller" + * + * \par + * The PID controller calculates an "error" value as the difference between + * the measured output and the reference input. + * The controller attempts to minimize the error by adjusting the process control inputs. + * The proportional value determines the reaction to the current error, + * the integral value determines the reaction based on the sum of recent errors, + * and the derivative value determines the reaction based on the rate at which the error has been changing. + * + * \par Instance Structure + * The Gains A0, A1, A2 and state variables for a PID controller are stored together in an instance data structure. + * A separate instance structure must be defined for each PID Controller. + * There are separate instance structure declarations for each of the 3 supported data types. + * + * \par Reset Functions + * There is also an associated reset function for each data type which clears the state array. + * + * \par Initialization Functions + * There is also an associated initialization function for each data type. + * The initialization function performs the following operations: + * - Initializes the Gains A0, A1, A2 from Kp,Ki, Kd gains. + * - Zeros out the values in the state buffer. + * + * \par + * Instance structure cannot be placed into a const data section and it is recommended to use the initialization function. + * + * \par Fixed-Point Behavior + * Care must be taken when using the fixed-point versions of the PID Controller functions. + * In particular, the overflow and saturation behavior of the accumulator used in each function must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup PID + * @{ + */ + + /** + * @brief Process function for the floating-point PID Control. + * @param[in,out] *S is an instance of the floating-point PID Control structure + * @param[in] in input sample to process + * @return out processed output sample. + */ + + + static __INLINE float32_t arm_pid_f32( + arm_pid_instance_f32 * S, + float32_t in) + { + float32_t out; + + /* y[n] = y[n-1] + A0 * x[n] + A1 * x[n-1] + A2 * x[n-2] */ + out = (S->A0 * in) + + (S->A1 * S->state[0]) + (S->A2 * S->state[1]) + (S->state[2]); + + /* Update state */ + S->state[1] = S->state[0]; + S->state[0] = in; + S->state[2] = out; + + /* return to application */ + return (out); + + } + + /** + * @brief Process function for the Q31 PID Control. + * @param[in,out] *S points to an instance of the Q31 PID Control structure + * @param[in] in input sample to process + * @return out processed output sample. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 64-bit accumulator. + * The accumulator has a 2.62 format and maintains full precision of the intermediate multiplication results but provides only a single guard bit. + * Thus, if the accumulator result overflows it wraps around rather than clip. + * In order to avoid overflows completely the input signal must be scaled down by 2 bits as there are four additions. + * After all multiply-accumulates are performed, the 2.62 accumulator is truncated to 1.32 format and then saturated to 1.31 format. + */ + + static __INLINE q31_t arm_pid_q31( + arm_pid_instance_q31 * S, + q31_t in) + { + q63_t acc; + q31_t out; + + /* acc = A0 * x[n] */ + acc = (q63_t) S->A0 * in; + + /* acc += A1 * x[n-1] */ + acc += (q63_t) S->A1 * S->state[0]; + + /* acc += A2 * x[n-2] */ + acc += (q63_t) S->A2 * S->state[1]; + + /* convert output to 1.31 format to add y[n-1] */ + out = (q31_t) (acc >> 31u); + + /* out += y[n-1] */ + out += S->state[2]; + + /* Update state */ + S->state[1] = S->state[0]; + S->state[0] = in; + S->state[2] = out; + + /* return to application */ + return (out); + + } + + /** + * @brief Process function for the Q15 PID Control. + * @param[in,out] *S points to an instance of the Q15 PID Control structure + * @param[in] in input sample to process + * @return out processed output sample. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using a 64-bit internal accumulator. + * Both Gains and state variables are represented in 1.15 format and multiplications yield a 2.30 result. + * The 2.30 intermediate results are accumulated in a 64-bit accumulator in 34.30 format. + * There is no risk of internal overflow with this approach and the full precision of intermediate multiplications is preserved. + * After all additions have been performed, the accumulator is truncated to 34.15 format by discarding low 15 bits. + * Lastly, the accumulator is saturated to yield a result in 1.15 format. + */ + + static __INLINE q15_t arm_pid_q15( + arm_pid_instance_q15 * S, + q15_t in) + { + q63_t acc; + q15_t out; + +#ifndef ARM_MATH_CM0_FAMILY + __SIMD32_TYPE *vstate; + + /* Implementation of PID controller */ + + /* acc = A0 * x[n] */ + acc = (q31_t) __SMUAD(S->A0, in); + + /* acc += A1 * x[n-1] + A2 * x[n-2] */ + vstate = __SIMD32_CONST(S->state); + acc = __SMLALD(S->A1, (q31_t) *vstate, acc); + +#else + /* acc = A0 * x[n] */ + acc = ((q31_t) S->A0) * in; + + /* acc += A1 * x[n-1] + A2 * x[n-2] */ + acc += (q31_t) S->A1 * S->state[0]; + acc += (q31_t) S->A2 * S->state[1]; + +#endif + + /* acc += y[n-1] */ + acc += (q31_t) S->state[2] << 15; + + /* saturate the output */ + out = (q15_t) (__SSAT((acc >> 15), 16)); + + /* Update state */ + S->state[1] = S->state[0]; + S->state[0] = in; + S->state[2] = out; + + /* return to application */ + return (out); + + } + + /** + * @} end of PID group + */ + + + /** + * @brief Floating-point matrix inverse. + * @param[in] *src points to the instance of the input floating-point matrix structure. + * @param[out] *dst points to the instance of the output floating-point matrix structure. + * @return The function returns ARM_MATH_SIZE_MISMATCH, if the dimensions do not match. + * If the input matrix is singular (does not have an inverse), then the algorithm terminates and returns error status ARM_MATH_SINGULAR. + */ + + arm_status arm_mat_inverse_f32( + const arm_matrix_instance_f32 * src, + arm_matrix_instance_f32 * dst); + + + /** + * @brief Floating-point matrix inverse. + * @param[in] *src points to the instance of the input floating-point matrix structure. + * @param[out] *dst points to the instance of the output floating-point matrix structure. + * @return The function returns ARM_MATH_SIZE_MISMATCH, if the dimensions do not match. + * If the input matrix is singular (does not have an inverse), then the algorithm terminates and returns error status ARM_MATH_SINGULAR. + */ + + arm_status arm_mat_inverse_f64( + const arm_matrix_instance_f64 * src, + arm_matrix_instance_f64 * dst); + + + + /** + * @ingroup groupController + */ + + + /** + * @defgroup clarke Vector Clarke Transform + * Forward Clarke transform converts the instantaneous stator phases into a two-coordinate time invariant vector. + * Generally the Clarke transform uses three-phase currents Ia, Ib and Ic to calculate currents + * in the two-phase orthogonal stator axis Ialpha and Ibeta. + * When Ialpha is superposed with Ia as shown in the figure below + * \image html clarke.gif Stator current space vector and its components in (a,b). + * and Ia + Ib + Ic = 0, in this condition Ialpha and Ibeta + * can be calculated using only Ia and Ib. + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html clarkeFormula.gif + * where Ia and Ib are the instantaneous stator phases and + * pIalpha and pIbeta are the two coordinates of time invariant vector. + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Clarke transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup clarke + * @{ + */ + + /** + * + * @brief Floating-point Clarke transform + * @param[in] Ia input three-phase coordinate a + * @param[in] Ib input three-phase coordinate b + * @param[out] *pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] *pIbeta points to output two-phase orthogonal vector axis beta + * @return none. + */ + + static __INLINE void arm_clarke_f32( + float32_t Ia, + float32_t Ib, + float32_t * pIalpha, + float32_t * pIbeta) + { + /* Calculate pIalpha using the equation, pIalpha = Ia */ + *pIalpha = Ia; + + /* Calculate pIbeta using the equation, pIbeta = (1/sqrt(3)) * Ia + (2/sqrt(3)) * Ib */ + *pIbeta = + ((float32_t) 0.57735026919 * Ia + (float32_t) 1.15470053838 * Ib); + + } + + /** + * @brief Clarke transform for Q31 version + * @param[in] Ia input three-phase coordinate a + * @param[in] Ib input three-phase coordinate b + * @param[out] *pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] *pIbeta points to output two-phase orthogonal vector axis beta + * @return none. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the addition, hence there is no risk of overflow. + */ + + static __INLINE void arm_clarke_q31( + q31_t Ia, + q31_t Ib, + q31_t * pIalpha, + q31_t * pIbeta) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + + /* Calculating pIalpha from Ia by equation pIalpha = Ia */ + *pIalpha = Ia; + + /* Intermediate product is calculated by (1/(sqrt(3)) * Ia) */ + product1 = (q31_t) (((q63_t) Ia * 0x24F34E8B) >> 30); + + /* Intermediate product is calculated by (2/sqrt(3) * Ib) */ + product2 = (q31_t) (((q63_t) Ib * 0x49E69D16) >> 30); + + /* pIbeta is calculated by adding the intermediate products */ + *pIbeta = __QADD(product1, product2); + } + + /** + * @} end of clarke group + */ + + /** + * @brief Converts the elements of the Q7 vector to Q31 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_q7_to_q31( + q7_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + + + /** + * @ingroup groupController + */ + + /** + * @defgroup inv_clarke Vector Inverse Clarke Transform + * Inverse Clarke transform converts the two-coordinate time invariant vector into instantaneous stator phases. + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html clarkeInvFormula.gif + * where pIa and pIb are the instantaneous stator phases and + * Ialpha and Ibeta are the two coordinates of time invariant vector. + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Clarke transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup inv_clarke + * @{ + */ + + /** + * @brief Floating-point Inverse Clarke transform + * @param[in] Ialpha input two-phase orthogonal vector axis alpha + * @param[in] Ibeta input two-phase orthogonal vector axis beta + * @param[out] *pIa points to output three-phase coordinate a + * @param[out] *pIb points to output three-phase coordinate b + * @return none. + */ + + + static __INLINE void arm_inv_clarke_f32( + float32_t Ialpha, + float32_t Ibeta, + float32_t * pIa, + float32_t * pIb) + { + /* Calculating pIa from Ialpha by equation pIa = Ialpha */ + *pIa = Ialpha; + + /* Calculating pIb from Ialpha and Ibeta by equation pIb = -(1/2) * Ialpha + (sqrt(3)/2) * Ibeta */ + *pIb = -0.5 * Ialpha + (float32_t) 0.8660254039 *Ibeta; + + } + + /** + * @brief Inverse Clarke transform for Q31 version + * @param[in] Ialpha input two-phase orthogonal vector axis alpha + * @param[in] Ibeta input two-phase orthogonal vector axis beta + * @param[out] *pIa points to output three-phase coordinate a + * @param[out] *pIb points to output three-phase coordinate b + * @return none. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the subtraction, hence there is no risk of overflow. + */ + + static __INLINE void arm_inv_clarke_q31( + q31_t Ialpha, + q31_t Ibeta, + q31_t * pIa, + q31_t * pIb) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + + /* Calculating pIa from Ialpha by equation pIa = Ialpha */ + *pIa = Ialpha; + + /* Intermediate product is calculated by (1/(2*sqrt(3)) * Ia) */ + product1 = (q31_t) (((q63_t) (Ialpha) * (0x40000000)) >> 31); + + /* Intermediate product is calculated by (1/sqrt(3) * pIb) */ + product2 = (q31_t) (((q63_t) (Ibeta) * (0x6ED9EBA1)) >> 31); + + /* pIb is calculated by subtracting the products */ + *pIb = __QSUB(product2, product1); + + } + + /** + * @} end of inv_clarke group + */ + + /** + * @brief Converts the elements of the Q7 vector to Q15 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_q7_to_q15( + q7_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + + /** + * @ingroup groupController + */ + + /** + * @defgroup park Vector Park Transform + * + * Forward Park transform converts the input two-coordinate vector to flux and torque components. + * The Park transform can be used to realize the transformation of the Ialpha and the Ibeta currents + * from the stationary to the moving reference frame and control the spatial relationship between + * the stator vector current and rotor flux vector. + * If we consider the d axis aligned with the rotor flux, the diagram below shows the + * current vector and the relationship from the two reference frames: + * \image html park.gif "Stator current space vector and its component in (a,b) and in the d,q rotating reference frame" + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html parkFormula.gif + * where Ialpha and Ibeta are the stator vector components, + * pId and pIq are rotor vector components and cosVal and sinVal are the + * cosine and sine values of theta (rotor flux position). + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Park transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup park + * @{ + */ + + /** + * @brief Floating-point Park transform + * @param[in] Ialpha input two-phase vector coordinate alpha + * @param[in] Ibeta input two-phase vector coordinate beta + * @param[out] *pId points to output rotor reference frame d + * @param[out] *pIq points to output rotor reference frame q + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * @return none. + * + * The function implements the forward Park transform. + * + */ + + static __INLINE void arm_park_f32( + float32_t Ialpha, + float32_t Ibeta, + float32_t * pId, + float32_t * pIq, + float32_t sinVal, + float32_t cosVal) + { + /* Calculate pId using the equation, pId = Ialpha * cosVal + Ibeta * sinVal */ + *pId = Ialpha * cosVal + Ibeta * sinVal; + + /* Calculate pIq using the equation, pIq = - Ialpha * sinVal + Ibeta * cosVal */ + *pIq = -Ialpha * sinVal + Ibeta * cosVal; + + } + + /** + * @brief Park transform for Q31 version + * @param[in] Ialpha input two-phase vector coordinate alpha + * @param[in] Ibeta input two-phase vector coordinate beta + * @param[out] *pId points to output rotor reference frame d + * @param[out] *pIq points to output rotor reference frame q + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * @return none. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the addition and subtraction, hence there is no risk of overflow. + */ + + + static __INLINE void arm_park_q31( + q31_t Ialpha, + q31_t Ibeta, + q31_t * pId, + q31_t * pIq, + q31_t sinVal, + q31_t cosVal) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + q31_t product3, product4; /* Temporary variables used to store intermediate results */ + + /* Intermediate product is calculated by (Ialpha * cosVal) */ + product1 = (q31_t) (((q63_t) (Ialpha) * (cosVal)) >> 31); + + /* Intermediate product is calculated by (Ibeta * sinVal) */ + product2 = (q31_t) (((q63_t) (Ibeta) * (sinVal)) >> 31); + + + /* Intermediate product is calculated by (Ialpha * sinVal) */ + product3 = (q31_t) (((q63_t) (Ialpha) * (sinVal)) >> 31); + + /* Intermediate product is calculated by (Ibeta * cosVal) */ + product4 = (q31_t) (((q63_t) (Ibeta) * (cosVal)) >> 31); + + /* Calculate pId by adding the two intermediate products 1 and 2 */ + *pId = __QADD(product1, product2); + + /* Calculate pIq by subtracting the two intermediate products 3 from 4 */ + *pIq = __QSUB(product4, product3); + } + + /** + * @} end of park group + */ + + /** + * @brief Converts the elements of the Q7 vector to floating-point vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q7_to_float( + q7_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @ingroup groupController + */ + + /** + * @defgroup inv_park Vector Inverse Park transform + * Inverse Park transform converts the input flux and torque components to two-coordinate vector. + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html parkInvFormula.gif + * where pIalpha and pIbeta are the stator vector components, + * Id and Iq are rotor vector components and cosVal and sinVal are the + * cosine and sine values of theta (rotor flux position). + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Park transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup inv_park + * @{ + */ + + /** + * @brief Floating-point Inverse Park transform + * @param[in] Id input coordinate of rotor reference frame d + * @param[in] Iq input coordinate of rotor reference frame q + * @param[out] *pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] *pIbeta points to output two-phase orthogonal vector axis beta + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * @return none. + */ + + static __INLINE void arm_inv_park_f32( + float32_t Id, + float32_t Iq, + float32_t * pIalpha, + float32_t * pIbeta, + float32_t sinVal, + float32_t cosVal) + { + /* Calculate pIalpha using the equation, pIalpha = Id * cosVal - Iq * sinVal */ + *pIalpha = Id * cosVal - Iq * sinVal; + + /* Calculate pIbeta using the equation, pIbeta = Id * sinVal + Iq * cosVal */ + *pIbeta = Id * sinVal + Iq * cosVal; + + } + + + /** + * @brief Inverse Park transform for Q31 version + * @param[in] Id input coordinate of rotor reference frame d + * @param[in] Iq input coordinate of rotor reference frame q + * @param[out] *pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] *pIbeta points to output two-phase orthogonal vector axis beta + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * @return none. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the addition, hence there is no risk of overflow. + */ + + + static __INLINE void arm_inv_park_q31( + q31_t Id, + q31_t Iq, + q31_t * pIalpha, + q31_t * pIbeta, + q31_t sinVal, + q31_t cosVal) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + q31_t product3, product4; /* Temporary variables used to store intermediate results */ + + /* Intermediate product is calculated by (Id * cosVal) */ + product1 = (q31_t) (((q63_t) (Id) * (cosVal)) >> 31); + + /* Intermediate product is calculated by (Iq * sinVal) */ + product2 = (q31_t) (((q63_t) (Iq) * (sinVal)) >> 31); + + + /* Intermediate product is calculated by (Id * sinVal) */ + product3 = (q31_t) (((q63_t) (Id) * (sinVal)) >> 31); + + /* Intermediate product is calculated by (Iq * cosVal) */ + product4 = (q31_t) (((q63_t) (Iq) * (cosVal)) >> 31); + + /* Calculate pIalpha by using the two intermediate products 1 and 2 */ + *pIalpha = __QSUB(product1, product2); + + /* Calculate pIbeta by using the two intermediate products 3 and 4 */ + *pIbeta = __QADD(product4, product3); + + } + + /** + * @} end of Inverse park group + */ + + + /** + * @brief Converts the elements of the Q31 vector to floating-point vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q31_to_float( + q31_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @ingroup groupInterpolation + */ + + /** + * @defgroup LinearInterpolate Linear Interpolation + * + * Linear interpolation is a method of curve fitting using linear polynomials. + * Linear interpolation works by effectively drawing a straight line between two neighboring samples and returning the appropriate point along that line + * + * \par + * \image html LinearInterp.gif "Linear interpolation" + * + * \par + * A Linear Interpolate function calculates an output value(y), for the input(x) + * using linear interpolation of the input values x0, x1( nearest input values) and the output values y0 and y1(nearest output values) + * + * \par Algorithm: + *
+   *       y = y0 + (x - x0) * ((y1 - y0)/(x1-x0))
+   *       where x0, x1 are nearest values of input x
+   *             y0, y1 are nearest values to output y
+   * 
+ * + * \par + * This set of functions implements Linear interpolation process + * for Q7, Q15, Q31, and floating-point data types. The functions operate on a single + * sample of data and each call to the function returns a single processed value. + * S points to an instance of the Linear Interpolate function data structure. + * x is the input sample value. The functions returns the output value. + * + * \par + * if x is outside of the table boundary, Linear interpolation returns first value of the table + * if x is below input range and returns last value of table if x is above range. + */ + + /** + * @addtogroup LinearInterpolate + * @{ + */ + + /** + * @brief Process function for the floating-point Linear Interpolation Function. + * @param[in,out] *S is an instance of the floating-point Linear Interpolation structure + * @param[in] x input sample to process + * @return y processed output sample. + * + */ + + static __INLINE float32_t arm_linear_interp_f32( + arm_linear_interp_instance_f32 * S, + float32_t x) + { + + float32_t y; + float32_t x0, x1; /* Nearest input values */ + float32_t y0, y1; /* Nearest output values */ + float32_t xSpacing = S->xSpacing; /* spacing between input values */ + int32_t i; /* Index variable */ + float32_t *pYData = S->pYData; /* pointer to output table */ + + /* Calculation of index */ + i = (int32_t) ((x - S->x1) / xSpacing); + + if(i < 0) + { + /* Iniatilize output for below specified range as least output value of table */ + y = pYData[0]; + } + else if((uint32_t)i >= S->nValues) + { + /* Iniatilize output for above specified range as last output value of table */ + y = pYData[S->nValues - 1]; + } + else + { + /* Calculation of nearest input values */ + x0 = S->x1 + i * xSpacing; + x1 = S->x1 + (i + 1) * xSpacing; + + /* Read of nearest output values */ + y0 = pYData[i]; + y1 = pYData[i + 1]; + + /* Calculation of output */ + y = y0 + (x - x0) * ((y1 - y0) / (x1 - x0)); + + } + + /* returns output value */ + return (y); + } + + /** + * + * @brief Process function for the Q31 Linear Interpolation Function. + * @param[in] *pYData pointer to Q31 Linear Interpolation table + * @param[in] x input sample to process + * @param[in] nValues number of table values + * @return y processed output sample. + * + * \par + * Input sample x is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part. + * This function can support maximum of table size 2^12. + * + */ + + + static __INLINE q31_t arm_linear_interp_q31( + q31_t * pYData, + q31_t x, + uint32_t nValues) + { + q31_t y; /* output */ + q31_t y0, y1; /* Nearest output values */ + q31_t fract; /* fractional part */ + int32_t index; /* Index to read nearest output values */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + index = ((x & 0xFFF00000) >> 20); + + if(index >= (int32_t)(nValues - 1)) + { + return (pYData[nValues - 1]); + } + else if(index < 0) + { + return (pYData[0]); + } + else + { + + /* 20 bits for the fractional part */ + /* shift left by 11 to keep fract in 1.31 format */ + fract = (x & 0x000FFFFF) << 11; + + /* Read two nearest output values from the index in 1.31(q31) format */ + y0 = pYData[index]; + y1 = pYData[index + 1u]; + + /* Calculation of y0 * (1-fract) and y is in 2.30 format */ + y = ((q31_t) ((q63_t) y0 * (0x7FFFFFFF - fract) >> 32)); + + /* Calculation of y0 * (1-fract) + y1 *fract and y is in 2.30 format */ + y += ((q31_t) (((q63_t) y1 * fract) >> 32)); + + /* Convert y to 1.31 format */ + return (y << 1u); + + } + + } + + /** + * + * @brief Process function for the Q15 Linear Interpolation Function. + * @param[in] *pYData pointer to Q15 Linear Interpolation table + * @param[in] x input sample to process + * @param[in] nValues number of table values + * @return y processed output sample. + * + * \par + * Input sample x is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part. + * This function can support maximum of table size 2^12. + * + */ + + + static __INLINE q15_t arm_linear_interp_q15( + q15_t * pYData, + q31_t x, + uint32_t nValues) + { + q63_t y; /* output */ + q15_t y0, y1; /* Nearest output values */ + q31_t fract; /* fractional part */ + int32_t index; /* Index to read nearest output values */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + index = ((x & 0xFFF00000) >> 20u); + + if(index >= (int32_t)(nValues - 1)) + { + return (pYData[nValues - 1]); + } + else if(index < 0) + { + return (pYData[0]); + } + else + { + /* 20 bits for the fractional part */ + /* fract is in 12.20 format */ + fract = (x & 0x000FFFFF); + + /* Read two nearest output values from the index */ + y0 = pYData[index]; + y1 = pYData[index + 1u]; + + /* Calculation of y0 * (1-fract) and y is in 13.35 format */ + y = ((q63_t) y0 * (0xFFFFF - fract)); + + /* Calculation of (y0 * (1-fract) + y1 * fract) and y is in 13.35 format */ + y += ((q63_t) y1 * (fract)); + + /* convert y to 1.15 format */ + return (y >> 20); + } + + + } + + /** + * + * @brief Process function for the Q7 Linear Interpolation Function. + * @param[in] *pYData pointer to Q7 Linear Interpolation table + * @param[in] x input sample to process + * @param[in] nValues number of table values + * @return y processed output sample. + * + * \par + * Input sample x is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part. + * This function can support maximum of table size 2^12. + */ + + + static __INLINE q7_t arm_linear_interp_q7( + q7_t * pYData, + q31_t x, + uint32_t nValues) + { + q31_t y; /* output */ + q7_t y0, y1; /* Nearest output values */ + q31_t fract; /* fractional part */ + uint32_t index; /* Index to read nearest output values */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + if (x < 0) + { + return (pYData[0]); + } + index = (x >> 20) & 0xfff; + + + if(index >= (nValues - 1)) + { + return (pYData[nValues - 1]); + } + else + { + + /* 20 bits for the fractional part */ + /* fract is in 12.20 format */ + fract = (x & 0x000FFFFF); + + /* Read two nearest output values from the index and are in 1.7(q7) format */ + y0 = pYData[index]; + y1 = pYData[index + 1u]; + + /* Calculation of y0 * (1-fract ) and y is in 13.27(q27) format */ + y = ((y0 * (0xFFFFF - fract))); + + /* Calculation of y1 * fract + y0 * (1-fract) and y is in 13.27(q27) format */ + y += (y1 * fract); + + /* convert y to 1.7(q7) format */ + return (y >> 20u); + + } + + } + /** + * @} end of LinearInterpolate group + */ + + /** + * @brief Fast approximation to the trigonometric sine function for floating-point data. + * @param[in] x input value in radians. + * @return sin(x). + */ + + float32_t arm_sin_f32( + float32_t x); + + /** + * @brief Fast approximation to the trigonometric sine function for Q31 data. + * @param[in] x Scaled input value in radians. + * @return sin(x). + */ + + q31_t arm_sin_q31( + q31_t x); + + /** + * @brief Fast approximation to the trigonometric sine function for Q15 data. + * @param[in] x Scaled input value in radians. + * @return sin(x). + */ + + q15_t arm_sin_q15( + q15_t x); + + /** + * @brief Fast approximation to the trigonometric cosine function for floating-point data. + * @param[in] x input value in radians. + * @return cos(x). + */ + + float32_t arm_cos_f32( + float32_t x); + + /** + * @brief Fast approximation to the trigonometric cosine function for Q31 data. + * @param[in] x Scaled input value in radians. + * @return cos(x). + */ + + q31_t arm_cos_q31( + q31_t x); + + /** + * @brief Fast approximation to the trigonometric cosine function for Q15 data. + * @param[in] x Scaled input value in radians. + * @return cos(x). + */ + + q15_t arm_cos_q15( + q15_t x); + + + /** + * @ingroup groupFastMath + */ + + + /** + * @defgroup SQRT Square Root + * + * Computes the square root of a number. + * There are separate functions for Q15, Q31, and floating-point data types. + * The square root function is computed using the Newton-Raphson algorithm. + * This is an iterative algorithm of the form: + *
+   *      x1 = x0 - f(x0)/f'(x0)
+   * 
+ * where x1 is the current estimate, + * x0 is the previous estimate, and + * f'(x0) is the derivative of f() evaluated at x0. + * For the square root function, the algorithm reduces to: + *
+   *     x0 = in/2                         [initial guess]
+   *     x1 = 1/2 * ( x0 + in / x0)        [each iteration]
+   * 
+ */ + + + /** + * @addtogroup SQRT + * @{ + */ + + /** + * @brief Floating-point square root function. + * @param[in] in input value. + * @param[out] *pOut square root of input value. + * @return The function returns ARM_MATH_SUCCESS if input value is positive value or ARM_MATH_ARGUMENT_ERROR if + * in is negative value and returns zero output for negative values. + */ + + static __INLINE arm_status arm_sqrt_f32( + float32_t in, + float32_t * pOut) + { + if(in > 0) + { + +// #if __FPU_USED +#if (__FPU_USED == 1) && defined ( __CC_ARM ) + *pOut = __sqrtf(in); +#else + *pOut = sqrtf(in); +#endif + + return (ARM_MATH_SUCCESS); + } + else + { + *pOut = 0.0f; + return (ARM_MATH_ARGUMENT_ERROR); + } + + } + + + /** + * @brief Q31 square root function. + * @param[in] in input value. The range of the input value is [0 +1) or 0x00000000 to 0x7FFFFFFF. + * @param[out] *pOut square root of input value. + * @return The function returns ARM_MATH_SUCCESS if input value is positive value or ARM_MATH_ARGUMENT_ERROR if + * in is negative value and returns zero output for negative values. + */ + arm_status arm_sqrt_q31( + q31_t in, + q31_t * pOut); + + /** + * @brief Q15 square root function. + * @param[in] in input value. The range of the input value is [0 +1) or 0x0000 to 0x7FFF. + * @param[out] *pOut square root of input value. + * @return The function returns ARM_MATH_SUCCESS if input value is positive value or ARM_MATH_ARGUMENT_ERROR if + * in is negative value and returns zero output for negative values. + */ + arm_status arm_sqrt_q15( + q15_t in, + q15_t * pOut); + + /** + * @} end of SQRT group + */ + + + + + + + /** + * @brief floating-point Circular write function. + */ + + static __INLINE void arm_circularWrite_f32( + int32_t * circBuffer, + int32_t L, + uint16_t * writeOffset, + int32_t bufferInc, + const int32_t * src, + int32_t srcInc, + uint32_t blockSize) + { + uint32_t i = 0u; + int32_t wOffset; + + /* Copy the value of Index pointer that points + * to the current location where the input samples to be copied */ + wOffset = *writeOffset; + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the input sample to the circular buffer */ + circBuffer[wOffset] = *src; + + /* Update the input pointer */ + src += srcInc; + + /* Circularly update wOffset. Watch out for positive and negative value */ + wOffset += bufferInc; + if(wOffset >= L) + wOffset -= L; + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *writeOffset = wOffset; + } + + + + /** + * @brief floating-point Circular Read function. + */ + static __INLINE void arm_circularRead_f32( + int32_t * circBuffer, + int32_t L, + int32_t * readOffset, + int32_t bufferInc, + int32_t * dst, + int32_t * dst_base, + int32_t dst_length, + int32_t dstInc, + uint32_t blockSize) + { + uint32_t i = 0u; + int32_t rOffset, dst_end; + + /* Copy the value of Index pointer that points + * to the current location from where the input samples to be read */ + rOffset = *readOffset; + dst_end = (int32_t) (dst_base + dst_length); + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the sample from the circular buffer to the destination buffer */ + *dst = circBuffer[rOffset]; + + /* Update the input pointer */ + dst += dstInc; + + if(dst == (int32_t *) dst_end) + { + dst = dst_base; + } + + /* Circularly update rOffset. Watch out for positive and negative value */ + rOffset += bufferInc; + + if(rOffset >= L) + { + rOffset -= L; + } + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *readOffset = rOffset; + } + + /** + * @brief Q15 Circular write function. + */ + + static __INLINE void arm_circularWrite_q15( + q15_t * circBuffer, + int32_t L, + uint16_t * writeOffset, + int32_t bufferInc, + const q15_t * src, + int32_t srcInc, + uint32_t blockSize) + { + uint32_t i = 0u; + int32_t wOffset; + + /* Copy the value of Index pointer that points + * to the current location where the input samples to be copied */ + wOffset = *writeOffset; + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the input sample to the circular buffer */ + circBuffer[wOffset] = *src; + + /* Update the input pointer */ + src += srcInc; + + /* Circularly update wOffset. Watch out for positive and negative value */ + wOffset += bufferInc; + if(wOffset >= L) + wOffset -= L; + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *writeOffset = wOffset; + } + + + + /** + * @brief Q15 Circular Read function. + */ + static __INLINE void arm_circularRead_q15( + q15_t * circBuffer, + int32_t L, + int32_t * readOffset, + int32_t bufferInc, + q15_t * dst, + q15_t * dst_base, + int32_t dst_length, + int32_t dstInc, + uint32_t blockSize) + { + uint32_t i = 0; + int32_t rOffset, dst_end; + + /* Copy the value of Index pointer that points + * to the current location from where the input samples to be read */ + rOffset = *readOffset; + + dst_end = (int32_t) (dst_base + dst_length); + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the sample from the circular buffer to the destination buffer */ + *dst = circBuffer[rOffset]; + + /* Update the input pointer */ + dst += dstInc; + + if(dst == (q15_t *) dst_end) + { + dst = dst_base; + } + + /* Circularly update wOffset. Watch out for positive and negative value */ + rOffset += bufferInc; + + if(rOffset >= L) + { + rOffset -= L; + } + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *readOffset = rOffset; + } + + + /** + * @brief Q7 Circular write function. + */ + + static __INLINE void arm_circularWrite_q7( + q7_t * circBuffer, + int32_t L, + uint16_t * writeOffset, + int32_t bufferInc, + const q7_t * src, + int32_t srcInc, + uint32_t blockSize) + { + uint32_t i = 0u; + int32_t wOffset; + + /* Copy the value of Index pointer that points + * to the current location where the input samples to be copied */ + wOffset = *writeOffset; + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the input sample to the circular buffer */ + circBuffer[wOffset] = *src; + + /* Update the input pointer */ + src += srcInc; + + /* Circularly update wOffset. Watch out for positive and negative value */ + wOffset += bufferInc; + if(wOffset >= L) + wOffset -= L; + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *writeOffset = wOffset; + } + + + + /** + * @brief Q7 Circular Read function. + */ + static __INLINE void arm_circularRead_q7( + q7_t * circBuffer, + int32_t L, + int32_t * readOffset, + int32_t bufferInc, + q7_t * dst, + q7_t * dst_base, + int32_t dst_length, + int32_t dstInc, + uint32_t blockSize) + { + uint32_t i = 0; + int32_t rOffset, dst_end; + + /* Copy the value of Index pointer that points + * to the current location from where the input samples to be read */ + rOffset = *readOffset; + + dst_end = (int32_t) (dst_base + dst_length); + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the sample from the circular buffer to the destination buffer */ + *dst = circBuffer[rOffset]; + + /* Update the input pointer */ + dst += dstInc; + + if(dst == (q7_t *) dst_end) + { + dst = dst_base; + } + + /* Circularly update rOffset. Watch out for positive and negative value */ + rOffset += bufferInc; + + if(rOffset >= L) + { + rOffset -= L; + } + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *readOffset = rOffset; + } + + + /** + * @brief Sum of the squares of the elements of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_power_q31( + q31_t * pSrc, + uint32_t blockSize, + q63_t * pResult); + + /** + * @brief Sum of the squares of the elements of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_power_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Sum of the squares of the elements of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_power_q15( + q15_t * pSrc, + uint32_t blockSize, + q63_t * pResult); + + /** + * @brief Sum of the squares of the elements of a Q7 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_power_q7( + q7_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Mean value of a Q7 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_mean_q7( + q7_t * pSrc, + uint32_t blockSize, + q7_t * pResult); + + /** + * @brief Mean value of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + void arm_mean_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult); + + /** + * @brief Mean value of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + void arm_mean_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Mean value of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + void arm_mean_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Variance of the elements of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_var_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Variance of the elements of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_var_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Variance of the elements of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_var_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult); + + /** + * @brief Root Mean Square of the elements of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_rms_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Root Mean Square of the elements of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_rms_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Root Mean Square of the elements of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_rms_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult); + + /** + * @brief Standard deviation of the elements of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_std_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Standard deviation of the elements of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_std_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Standard deviation of the elements of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_std_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult); + + /** + * @brief Floating-point complex magnitude + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t numSamples); + + /** + * @brief Q31 complex magnitude + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t numSamples); + + /** + * @brief Q15 complex magnitude + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t numSamples); + + /** + * @brief Q15 complex dot product + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] numSamples number of complex samples in each vector + * @param[out] *realResult real part of the result returned here + * @param[out] *imagResult imaginary part of the result returned here + * @return none. + */ + + void arm_cmplx_dot_prod_q15( + q15_t * pSrcA, + q15_t * pSrcB, + uint32_t numSamples, + q31_t * realResult, + q31_t * imagResult); + + /** + * @brief Q31 complex dot product + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] numSamples number of complex samples in each vector + * @param[out] *realResult real part of the result returned here + * @param[out] *imagResult imaginary part of the result returned here + * @return none. + */ + + void arm_cmplx_dot_prod_q31( + q31_t * pSrcA, + q31_t * pSrcB, + uint32_t numSamples, + q63_t * realResult, + q63_t * imagResult); + + /** + * @brief Floating-point complex dot product + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] numSamples number of complex samples in each vector + * @param[out] *realResult real part of the result returned here + * @param[out] *imagResult imaginary part of the result returned here + * @return none. + */ + + void arm_cmplx_dot_prod_f32( + float32_t * pSrcA, + float32_t * pSrcB, + uint32_t numSamples, + float32_t * realResult, + float32_t * imagResult); + + /** + * @brief Q15 complex-by-real multiplication + * @param[in] *pSrcCmplx points to the complex input vector + * @param[in] *pSrcReal points to the real input vector + * @param[out] *pCmplxDst points to the complex output vector + * @param[in] numSamples number of samples in each vector + * @return none. + */ + + void arm_cmplx_mult_real_q15( + q15_t * pSrcCmplx, + q15_t * pSrcReal, + q15_t * pCmplxDst, + uint32_t numSamples); + + /** + * @brief Q31 complex-by-real multiplication + * @param[in] *pSrcCmplx points to the complex input vector + * @param[in] *pSrcReal points to the real input vector + * @param[out] *pCmplxDst points to the complex output vector + * @param[in] numSamples number of samples in each vector + * @return none. + */ + + void arm_cmplx_mult_real_q31( + q31_t * pSrcCmplx, + q31_t * pSrcReal, + q31_t * pCmplxDst, + uint32_t numSamples); + + /** + * @brief Floating-point complex-by-real multiplication + * @param[in] *pSrcCmplx points to the complex input vector + * @param[in] *pSrcReal points to the real input vector + * @param[out] *pCmplxDst points to the complex output vector + * @param[in] numSamples number of samples in each vector + * @return none. + */ + + void arm_cmplx_mult_real_f32( + float32_t * pSrcCmplx, + float32_t * pSrcReal, + float32_t * pCmplxDst, + uint32_t numSamples); + + /** + * @brief Minimum value of a Q7 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *result is output pointer + * @param[in] index is the array index of the minimum value in the input buffer. + * @return none. + */ + + void arm_min_q7( + q7_t * pSrc, + uint32_t blockSize, + q7_t * result, + uint32_t * index); + + /** + * @brief Minimum value of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output pointer + * @param[in] *pIndex is the array index of the minimum value in the input buffer. + * @return none. + */ + + void arm_min_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult, + uint32_t * pIndex); + + /** + * @brief Minimum value of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output pointer + * @param[out] *pIndex is the array index of the minimum value in the input buffer. + * @return none. + */ + void arm_min_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult, + uint32_t * pIndex); + + /** + * @brief Minimum value of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output pointer + * @param[out] *pIndex is the array index of the minimum value in the input buffer. + * @return none. + */ + + void arm_min_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult, + uint32_t * pIndex); + +/** + * @brief Maximum value of a Q7 vector. + * @param[in] *pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] *pResult maximum value returned here + * @param[out] *pIndex index of maximum value returned here + * @return none. + */ + + void arm_max_q7( + q7_t * pSrc, + uint32_t blockSize, + q7_t * pResult, + uint32_t * pIndex); + +/** + * @brief Maximum value of a Q15 vector. + * @param[in] *pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] *pResult maximum value returned here + * @param[out] *pIndex index of maximum value returned here + * @return none. + */ + + void arm_max_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult, + uint32_t * pIndex); + +/** + * @brief Maximum value of a Q31 vector. + * @param[in] *pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] *pResult maximum value returned here + * @param[out] *pIndex index of maximum value returned here + * @return none. + */ + + void arm_max_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult, + uint32_t * pIndex); + +/** + * @brief Maximum value of a floating-point vector. + * @param[in] *pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] *pResult maximum value returned here + * @param[out] *pIndex index of maximum value returned here + * @return none. + */ + + void arm_max_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult, + uint32_t * pIndex); + + /** + * @brief Q15 complex-by-complex multiplication + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_mult_cmplx_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t numSamples); + + /** + * @brief Q31 complex-by-complex multiplication + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_mult_cmplx_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t numSamples); + + /** + * @brief Floating-point complex-by-complex multiplication + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_mult_cmplx_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t numSamples); + + /** + * @brief Converts the elements of the floating-point vector to Q31 vector. + * @param[in] *pSrc points to the floating-point input vector + * @param[out] *pDst points to the Q31 output vector + * @param[in] blockSize length of the input vector + * @return none. + */ + void arm_float_to_q31( + float32_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Converts the elements of the floating-point vector to Q15 vector. + * @param[in] *pSrc points to the floating-point input vector + * @param[out] *pDst points to the Q15 output vector + * @param[in] blockSize length of the input vector + * @return none + */ + void arm_float_to_q15( + float32_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Converts the elements of the floating-point vector to Q7 vector. + * @param[in] *pSrc points to the floating-point input vector + * @param[out] *pDst points to the Q7 output vector + * @param[in] blockSize length of the input vector + * @return none + */ + void arm_float_to_q7( + float32_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the Q31 vector to Q15 vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q31_to_q15( + q31_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Converts the elements of the Q31 vector to Q7 vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q31_to_q7( + q31_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Converts the elements of the Q15 vector to floating-point vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q15_to_float( + q15_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the Q15 vector to Q31 vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q15_to_q31( + q15_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the Q15 vector to Q7 vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q15_to_q7( + q15_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @ingroup groupInterpolation + */ + + /** + * @defgroup BilinearInterpolate Bilinear Interpolation + * + * Bilinear interpolation is an extension of linear interpolation applied to a two dimensional grid. + * The underlying function f(x, y) is sampled on a regular grid and the interpolation process + * determines values between the grid points. + * Bilinear interpolation is equivalent to two step linear interpolation, first in the x-dimension and then in the y-dimension. + * Bilinear interpolation is often used in image processing to rescale images. + * The CMSIS DSP library provides bilinear interpolation functions for Q7, Q15, Q31, and floating-point data types. + * + * Algorithm + * \par + * The instance structure used by the bilinear interpolation functions describes a two dimensional data table. + * For floating-point, the instance structure is defined as: + *
+   *   typedef struct
+   *   {
+   *     uint16_t numRows;
+   *     uint16_t numCols;
+   *     float32_t *pData;
+   * } arm_bilinear_interp_instance_f32;
+   * 
+ * + * \par + * where numRows specifies the number of rows in the table; + * numCols specifies the number of columns in the table; + * and pData points to an array of size numRows*numCols values. + * The data table pTable is organized in row order and the supplied data values fall on integer indexes. + * That is, table element (x,y) is located at pTable[x + y*numCols] where x and y are integers. + * + * \par + * Let (x, y) specify the desired interpolation point. Then define: + *
+   *     XF = floor(x)
+   *     YF = floor(y)
+   * 
+ * \par + * The interpolated output point is computed as: + *
+   *  f(x, y) = f(XF, YF) * (1-(x-XF)) * (1-(y-YF))
+   *           + f(XF+1, YF) * (x-XF)*(1-(y-YF))
+   *           + f(XF, YF+1) * (1-(x-XF))*(y-YF)
+   *           + f(XF+1, YF+1) * (x-XF)*(y-YF)
+   * 
+ * Note that the coordinates (x, y) contain integer and fractional components. + * The integer components specify which portion of the table to use while the + * fractional components control the interpolation processor. + * + * \par + * if (x,y) are outside of the table boundary, Bilinear interpolation returns zero output. + */ + + /** + * @addtogroup BilinearInterpolate + * @{ + */ + + /** + * + * @brief Floating-point bilinear interpolation. + * @param[in,out] *S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate. + * @param[in] Y interpolation coordinate. + * @return out interpolated value. + */ + + + static __INLINE float32_t arm_bilinear_interp_f32( + const arm_bilinear_interp_instance_f32 * S, + float32_t X, + float32_t Y) + { + float32_t out; + float32_t f00, f01, f10, f11; + float32_t *pData = S->pData; + int32_t xIndex, yIndex, index; + float32_t xdiff, ydiff; + float32_t b1, b2, b3, b4; + + xIndex = (int32_t) X; + yIndex = (int32_t) Y; + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if(xIndex < 0 || xIndex > (S->numRows - 1) || yIndex < 0 + || yIndex > (S->numCols - 1)) + { + return (0); + } + + /* Calculation of index for two nearest points in X-direction */ + index = (xIndex - 1) + (yIndex - 1) * S->numCols; + + + /* Read two nearest points in X-direction */ + f00 = pData[index]; + f01 = pData[index + 1]; + + /* Calculation of index for two nearest points in Y-direction */ + index = (xIndex - 1) + (yIndex) * S->numCols; + + + /* Read two nearest points in Y-direction */ + f10 = pData[index]; + f11 = pData[index + 1]; + + /* Calculation of intermediate values */ + b1 = f00; + b2 = f01 - f00; + b3 = f10 - f00; + b4 = f00 - f01 - f10 + f11; + + /* Calculation of fractional part in X */ + xdiff = X - xIndex; + + /* Calculation of fractional part in Y */ + ydiff = Y - yIndex; + + /* Calculation of bi-linear interpolated output */ + out = b1 + b2 * xdiff + b3 * ydiff + b4 * xdiff * ydiff; + + /* return to application */ + return (out); + + } + + /** + * + * @brief Q31 bilinear interpolation. + * @param[in,out] *S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate in 12.20 format. + * @param[in] Y interpolation coordinate in 12.20 format. + * @return out interpolated value. + */ + + static __INLINE q31_t arm_bilinear_interp_q31( + arm_bilinear_interp_instance_q31 * S, + q31_t X, + q31_t Y) + { + q31_t out; /* Temporary output */ + q31_t acc = 0; /* output */ + q31_t xfract, yfract; /* X, Y fractional parts */ + q31_t x1, x2, y1, y2; /* Nearest output values */ + int32_t rI, cI; /* Row and column indices */ + q31_t *pYData = S->pData; /* pointer to output table values */ + uint32_t nCols = S->numCols; /* num of rows */ + + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + rI = ((X & 0xFFF00000) >> 20u); + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + cI = ((Y & 0xFFF00000) >> 20u); + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if(rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1)) + { + return (0); + } + + /* 20 bits for the fractional part */ + /* shift left xfract by 11 to keep 1.31 format */ + xfract = (X & 0x000FFFFF) << 11u; + + /* Read two nearest output values from the index */ + x1 = pYData[(rI) + nCols * (cI)]; + x2 = pYData[(rI) + nCols * (cI) + 1u]; + + /* 20 bits for the fractional part */ + /* shift left yfract by 11 to keep 1.31 format */ + yfract = (Y & 0x000FFFFF) << 11u; + + /* Read two nearest output values from the index */ + y1 = pYData[(rI) + nCols * (cI + 1)]; + y2 = pYData[(rI) + nCols * (cI + 1) + 1u]; + + /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 3.29(q29) format */ + out = ((q31_t) (((q63_t) x1 * (0x7FFFFFFF - xfract)) >> 32)); + acc = ((q31_t) (((q63_t) out * (0x7FFFFFFF - yfract)) >> 32)); + + /* x2 * (xfract) * (1-yfract) in 3.29(q29) and adding to acc */ + out = ((q31_t) ((q63_t) x2 * (0x7FFFFFFF - yfract) >> 32)); + acc += ((q31_t) ((q63_t) out * (xfract) >> 32)); + + /* y1 * (1 - xfract) * (yfract) in 3.29(q29) and adding to acc */ + out = ((q31_t) ((q63_t) y1 * (0x7FFFFFFF - xfract) >> 32)); + acc += ((q31_t) ((q63_t) out * (yfract) >> 32)); + + /* y2 * (xfract) * (yfract) in 3.29(q29) and adding to acc */ + out = ((q31_t) ((q63_t) y2 * (xfract) >> 32)); + acc += ((q31_t) ((q63_t) out * (yfract) >> 32)); + + /* Convert acc to 1.31(q31) format */ + return (acc << 2u); + + } + + /** + * @brief Q15 bilinear interpolation. + * @param[in,out] *S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate in 12.20 format. + * @param[in] Y interpolation coordinate in 12.20 format. + * @return out interpolated value. + */ + + static __INLINE q15_t arm_bilinear_interp_q15( + arm_bilinear_interp_instance_q15 * S, + q31_t X, + q31_t Y) + { + q63_t acc = 0; /* output */ + q31_t out; /* Temporary output */ + q15_t x1, x2, y1, y2; /* Nearest output values */ + q31_t xfract, yfract; /* X, Y fractional parts */ + int32_t rI, cI; /* Row and column indices */ + q15_t *pYData = S->pData; /* pointer to output table values */ + uint32_t nCols = S->numCols; /* num of rows */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + rI = ((X & 0xFFF00000) >> 20); + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + cI = ((Y & 0xFFF00000) >> 20); + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if(rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1)) + { + return (0); + } + + /* 20 bits for the fractional part */ + /* xfract should be in 12.20 format */ + xfract = (X & 0x000FFFFF); + + /* Read two nearest output values from the index */ + x1 = pYData[(rI) + nCols * (cI)]; + x2 = pYData[(rI) + nCols * (cI) + 1u]; + + + /* 20 bits for the fractional part */ + /* yfract should be in 12.20 format */ + yfract = (Y & 0x000FFFFF); + + /* Read two nearest output values from the index */ + y1 = pYData[(rI) + nCols * (cI + 1)]; + y2 = pYData[(rI) + nCols * (cI + 1) + 1u]; + + /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 13.51 format */ + + /* x1 is in 1.15(q15), xfract in 12.20 format and out is in 13.35 format */ + /* convert 13.35 to 13.31 by right shifting and out is in 1.31 */ + out = (q31_t) (((q63_t) x1 * (0xFFFFF - xfract)) >> 4u); + acc = ((q63_t) out * (0xFFFFF - yfract)); + + /* x2 * (xfract) * (1-yfract) in 1.51 and adding to acc */ + out = (q31_t) (((q63_t) x2 * (0xFFFFF - yfract)) >> 4u); + acc += ((q63_t) out * (xfract)); + + /* y1 * (1 - xfract) * (yfract) in 1.51 and adding to acc */ + out = (q31_t) (((q63_t) y1 * (0xFFFFF - xfract)) >> 4u); + acc += ((q63_t) out * (yfract)); + + /* y2 * (xfract) * (yfract) in 1.51 and adding to acc */ + out = (q31_t) (((q63_t) y2 * (xfract)) >> 4u); + acc += ((q63_t) out * (yfract)); + + /* acc is in 13.51 format and down shift acc by 36 times */ + /* Convert out to 1.15 format */ + return (acc >> 36); + + } + + /** + * @brief Q7 bilinear interpolation. + * @param[in,out] *S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate in 12.20 format. + * @param[in] Y interpolation coordinate in 12.20 format. + * @return out interpolated value. + */ + + static __INLINE q7_t arm_bilinear_interp_q7( + arm_bilinear_interp_instance_q7 * S, + q31_t X, + q31_t Y) + { + q63_t acc = 0; /* output */ + q31_t out; /* Temporary output */ + q31_t xfract, yfract; /* X, Y fractional parts */ + q7_t x1, x2, y1, y2; /* Nearest output values */ + int32_t rI, cI; /* Row and column indices */ + q7_t *pYData = S->pData; /* pointer to output table values */ + uint32_t nCols = S->numCols; /* num of rows */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + rI = ((X & 0xFFF00000) >> 20); + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + cI = ((Y & 0xFFF00000) >> 20); + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if(rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1)) + { + return (0); + } + + /* 20 bits for the fractional part */ + /* xfract should be in 12.20 format */ + xfract = (X & 0x000FFFFF); + + /* Read two nearest output values from the index */ + x1 = pYData[(rI) + nCols * (cI)]; + x2 = pYData[(rI) + nCols * (cI) + 1u]; + + + /* 20 bits for the fractional part */ + /* yfract should be in 12.20 format */ + yfract = (Y & 0x000FFFFF); + + /* Read two nearest output values from the index */ + y1 = pYData[(rI) + nCols * (cI + 1)]; + y2 = pYData[(rI) + nCols * (cI + 1) + 1u]; + + /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 16.47 format */ + out = ((x1 * (0xFFFFF - xfract))); + acc = (((q63_t) out * (0xFFFFF - yfract))); + + /* x2 * (xfract) * (1-yfract) in 2.22 and adding to acc */ + out = ((x2 * (0xFFFFF - yfract))); + acc += (((q63_t) out * (xfract))); + + /* y1 * (1 - xfract) * (yfract) in 2.22 and adding to acc */ + out = ((y1 * (0xFFFFF - xfract))); + acc += (((q63_t) out * (yfract))); + + /* y2 * (xfract) * (yfract) in 2.22 and adding to acc */ + out = ((y2 * (yfract))); + acc += (((q63_t) out * (xfract))); + + /* acc in 16.47 format and down shift by 40 to convert to 1.7 format */ + return (acc >> 40); + + } + + /** + * @} end of BilinearInterpolate group + */ + + +//SMMLAR +#define multAcc_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((((q63_t) a) << 32) + ((q63_t) x * y) + 0x80000000LL ) >> 32) + +//SMMLSR +#define multSub_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((((q63_t) a) << 32) - ((q63_t) x * y) + 0x80000000LL ) >> 32) + +//SMMULR +#define mult_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((q63_t) x * y + 0x80000000LL ) >> 32) + +//SMMLA +#define multAcc_32x32_keep32(a, x, y) \ + a += (q31_t) (((q63_t) x * y) >> 32) + +//SMMLS +#define multSub_32x32_keep32(a, x, y) \ + a -= (q31_t) (((q63_t) x * y) >> 32) + +//SMMUL +#define mult_32x32_keep32(a, x, y) \ + a = (q31_t) (((q63_t) x * y ) >> 32) + + +#if defined ( __CC_ARM ) //Keil + +//Enter low optimization region - place directly above function definition + #ifdef ARM_MATH_CM4 + #define LOW_OPTIMIZATION_ENTER \ + _Pragma ("push") \ + _Pragma ("O1") + #else + #define LOW_OPTIMIZATION_ENTER + #endif + +//Exit low optimization region - place directly after end of function definition + #ifdef ARM_MATH_CM4 + #define LOW_OPTIMIZATION_EXIT \ + _Pragma ("pop") + #else + #define LOW_OPTIMIZATION_EXIT + #endif + +//Enter low optimization region - place directly above function definition + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER + +//Exit low optimization region - place directly after end of function definition + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#elif defined(__ICCARM__) //IAR + +//Enter low optimization region - place directly above function definition + #ifdef ARM_MATH_CM4 + #define LOW_OPTIMIZATION_ENTER \ + _Pragma ("optimize=low") + #else + #define LOW_OPTIMIZATION_ENTER + #endif + +//Exit low optimization region - place directly after end of function definition + #define LOW_OPTIMIZATION_EXIT + +//Enter low optimization region - place directly above function definition + #ifdef ARM_MATH_CM4 + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER \ + _Pragma ("optimize=low") + #else + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER + #endif + +//Exit low optimization region - place directly after end of function definition + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#elif defined(__GNUC__) + + #define LOW_OPTIMIZATION_ENTER __attribute__(( optimize("-O1") )) + + #define LOW_OPTIMIZATION_EXIT + + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER + + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#elif defined(__CSMC__) // Cosmic + +#define LOW_OPTIMIZATION_ENTER +#define LOW_OPTIMIZATION_EXIT +#define IAR_ONLY_LOW_OPTIMIZATION_ENTER +#define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#endif + + +#ifdef __cplusplus +} +#endif + + +#endif /* _ARM_MATH_H */ + +/** + * + * End of file. + */ diff --git a/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm0.h b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm0.h new file mode 100644 index 0000000000..5186cb4838 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm0.h @@ -0,0 +1,711 @@ +/**************************************************************************//** + * @file core_cm0.h + * @brief CMSIS Cortex-M0 Core Peripheral Access Layer Header File + * @version V4.00 + * @date 22. August 2014 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2014 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#endif + +#ifndef __CORE_CM0_H_GENERIC +#define __CORE_CM0_H_GENERIC + +#ifdef __cplusplus + extern "C" { +#endif + +/** \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
+ Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
+ Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
+ Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** \ingroup Cortex_M0 + @{ + */ + +/* CMSIS CM0 definitions */ +#define __CM0_CMSIS_VERSION_MAIN (0x04) /*!< [31:16] CMSIS HAL main version */ +#define __CM0_CMSIS_VERSION_SUB (0x00) /*!< [15:0] CMSIS HAL sub version */ +#define __CM0_CMSIS_VERSION ((__CM0_CMSIS_VERSION_MAIN << 16) | \ + __CM0_CMSIS_VERSION_SUB ) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x00) /*!< Cortex-M Core */ + + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */ + #define __STATIC_INLINE static inline + +#elif defined ( __TMS470__ ) + #define __ASM __asm /*!< asm keyword for TI CCS Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __CSMC__ ) + #define __packed + #define __ASM _asm /*!< asm keyword for COSMIC Compiler */ + #define __INLINE inline /*use -pc99 on compile line !< inline keyword for COSMIC Compiler */ + #define __STATIC_INLINE static inline + +#endif + +/** __FPU_USED indicates whether an FPU is used or not. + This core does not support an FPU at all +*/ +#define __FPU_USED 0 + +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TMS470__ ) + #if defined __TI__VFP_SUPPORT____ + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __CSMC__ ) /* Cosmic */ + #if ( __CSMC__ & 0x400) // FPU present for parser + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif +#endif + +#include /* standard types definitions */ +#include /* Core Instruction Access */ +#include /* Core Function Access */ + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM0_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM0_H_DEPENDANT +#define __CORE_CM0_H_DEPENDANT + +#ifdef __cplusplus + extern "C" { +#endif + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM0_REV + #define __CM0_REV 0x0000 + #warning "__CM0_REV not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 2 + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0 + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/*@} end of group Cortex_M0 */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + ******************************************************************************/ +/** \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:27; /*!< bit: 0..26 Reserved */ +#else + uint32_t _reserved0:16; /*!< bit: 0..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:7; /*!< bit: 20..26 Reserved */ +#endif + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + + +/** \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + + +/** \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:15; /*!< bit: 9..23 Reserved */ +#else + uint32_t _reserved0:7; /*!< bit: 9..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:4; /*!< bit: 20..23 Reserved */ +#endif + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t IT:2; /*!< bit: 25..26 saved IT state (read 0) */ + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + + +/** \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t FPCA:1; /*!< bit: 2 FP extension active flag */ + uint32_t _reserved0:29; /*!< bit: 3..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/*@} end of group CMSIS_CORE */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IO uint32_t ISER[1]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[31]; + __IO uint32_t ICER[1]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[31]; + __IO uint32_t ISPR[1]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[31]; + __IO uint32_t ICPR[1]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[31]; + uint32_t RESERVED4[64]; + __IO uint32_t IP[8]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register */ +} NVIC_Type; + +/*@} end of group CMSIS_NVIC */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __I uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IO uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ + uint32_t RESERVED0; + __IO uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IO uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IO uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + uint32_t RESERVED1; + __IO uint32_t SHP[2]; /*!< Offset: 0x01C (R/W) System Handlers Priority Registers. [0] is RESERVED */ + __IO uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24 /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20 /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16 /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4 /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0 /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL << SCB_CPUID_REVISION_Pos) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31 /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28 /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27 /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26 /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25 /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23 /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22 /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12 /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0 /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL << SCB_ICSR_VECTACTIVE_Pos) /*!< SCB ICSR: VECTACTIVE Mask */ + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16 /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16 /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15 /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2 /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1 /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4 /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2 /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1 /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9 /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3 /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_SVCALLPENDED_Pos 15 /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IO uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IO uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __I uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16 /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2 /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1 /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0 /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL << SysTick_CTRL_ENABLE_Pos) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0 /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL << SysTick_LOAD_RELOAD_Pos) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0 /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31 /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30 /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0 /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL << SysTick_CALIB_TENMS_Pos) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Cortex-M0 Core Debug Registers (DCB registers, SHCSR, and DFSR) + are only accessible over DAP and not via processor. Therefore + they are not covered by the Cortex-M0 header file. + @{ + */ +/*@} end of group CMSIS_CoreDebug */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Cortex-M0 Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ + + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Register Access Functions + ******************************************************************************/ +/** \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +/* Interrupt Priorities are WORD accessible only under ARMv6M */ +/* The following MACROS handle generation of the register offset and byte masks */ +#define _BIT_SHIFT(IRQn) ( (((uint32_t)(IRQn) ) & 0x03) * 8 ) +#define _SHP_IDX(IRQn) ( ((((uint32_t)(IRQn) & 0x0F)-8) >> 2) ) +#define _IP_IDX(IRQn) ( ((uint32_t)(IRQn) >> 2) ) + + +/** \brief Enable External Interrupt + + The function enables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ + NVIC->ISER[0] = (1 << ((uint32_t)(IRQn) & 0x1F)); +} + + +/** \brief Disable External Interrupt + + The function disables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[0] = (1 << ((uint32_t)(IRQn) & 0x1F)); +} + + +/** \brief Get Pending Interrupt + + The function reads the pending register in the NVIC and returns the pending bit + for the specified interrupt. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + */ +__STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t) ((NVIC->ISPR[0] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); +} + + +/** \brief Set Pending Interrupt + + The function sets the pending bit of an external interrupt. + + \param [in] IRQn Interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[0] = (1 << ((uint32_t)(IRQn) & 0x1F)); +} + + +/** \brief Clear Pending Interrupt + + The function clears the pending bit of an external interrupt. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[0] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* Clear pending interrupt */ +} + + +/** \brief Set Interrupt Priority + + The function sets the priority of an interrupt. + + \note The priority cannot be set for every core interrupt. + + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + */ +__STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if(IRQn < 0) { + SCB->SHP[_SHP_IDX(IRQn)] = (SCB->SHP[_SHP_IDX(IRQn)] & ~(0xFF << _BIT_SHIFT(IRQn))) | + (((priority << (8 - __NVIC_PRIO_BITS)) & 0xFF) << _BIT_SHIFT(IRQn)); } + else { + NVIC->IP[_IP_IDX(IRQn)] = (NVIC->IP[_IP_IDX(IRQn)] & ~(0xFF << _BIT_SHIFT(IRQn))) | + (((priority << (8 - __NVIC_PRIO_BITS)) & 0xFF) << _BIT_SHIFT(IRQn)); } +} + + +/** \brief Get Interrupt Priority + + The function reads the priority of an interrupt. The interrupt + number can be positive to specify an external (device specific) + interrupt, or negative to specify an internal (core) interrupt. + + + \param [in] IRQn Interrupt number. + \return Interrupt Priority. Value is aligned automatically to the implemented + priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if(IRQn < 0) { + return((uint32_t)(((SCB->SHP[_SHP_IDX(IRQn)] >> _BIT_SHIFT(IRQn) ) & 0xFF) >> (8 - __NVIC_PRIO_BITS))); } /* get priority for Cortex-M0 system interrupts */ + else { + return((uint32_t)(((NVIC->IP[ _IP_IDX(IRQn)] >> _BIT_SHIFT(IRQn) ) & 0xFF) >> (8 - __NVIC_PRIO_BITS))); } /* get priority for device specific interrupts */ +} + + +/** \brief System Reset + + The function initiates a system reset request to reset the MCU. + */ +__STATIC_INLINE void NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = ((0x5FA << SCB_AIRCR_VECTKEY_Pos) | + SCB_AIRCR_SYSRESETREQ_Msk); + __DSB(); /* Ensure completion of memory access */ + while(1); /* wait until reset */ +} + +/*@} end of CMSIS_Core_NVICFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if (__Vendor_SysTickConfig == 0) + +/** \brief System Tick Configuration + + The function initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + + \param [in] ticks Number of ticks between two interrupts. + + \return 0 Function succeeded. + \return 1 Function failed. + + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if ((ticks - 1) > SysTick_LOAD_RELOAD_Msk) return (1); /* Reload value impossible */ + + SysTick->LOAD = ticks - 1; /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1<<__NVIC_PRIO_BITS) - 1); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM0_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ diff --git a/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm0plus.h b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm0plus.h new file mode 100644 index 0000000000..17e43984fc --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm0plus.h @@ -0,0 +1,822 @@ +/**************************************************************************//** + * @file core_cm0plus.h + * @brief CMSIS Cortex-M0+ Core Peripheral Access Layer Header File + * @version V4.00 + * @date 22. August 2014 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2014 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#endif + +#ifndef __CORE_CM0PLUS_H_GENERIC +#define __CORE_CM0PLUS_H_GENERIC + +#ifdef __cplusplus + extern "C" { +#endif + +/** \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
+ Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
+ Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
+ Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** \ingroup Cortex-M0+ + @{ + */ + +/* CMSIS CM0P definitions */ +#define __CM0PLUS_CMSIS_VERSION_MAIN (0x04) /*!< [31:16] CMSIS HAL main version */ +#define __CM0PLUS_CMSIS_VERSION_SUB (0x00) /*!< [15:0] CMSIS HAL sub version */ +#define __CM0PLUS_CMSIS_VERSION ((__CM0PLUS_CMSIS_VERSION_MAIN << 16) | \ + __CM0PLUS_CMSIS_VERSION_SUB) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x00) /*!< Cortex-M Core */ + + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */ + #define __STATIC_INLINE static inline + +#elif defined ( __TMS470__ ) + #define __ASM __asm /*!< asm keyword for TI CCS Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __CSMC__ ) + #define __packed + #define __ASM _asm /*!< asm keyword for COSMIC Compiler */ + #define __INLINE inline /*use -pc99 on compile line !< inline keyword for COSMIC Compiler */ + #define __STATIC_INLINE static inline + +#endif + +/** __FPU_USED indicates whether an FPU is used or not. + This core does not support an FPU at all +*/ +#define __FPU_USED 0 + +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TMS470__ ) + #if defined __TI__VFP_SUPPORT____ + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __CSMC__ ) /* Cosmic */ + #if ( __CSMC__ & 0x400) // FPU present for parser + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif +#endif + +#include /* standard types definitions */ +#include /* Core Instruction Access */ +#include /* Core Function Access */ + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM0PLUS_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM0PLUS_H_DEPENDANT +#define __CORE_CM0PLUS_H_DEPENDANT + +#ifdef __cplusplus + extern "C" { +#endif + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM0PLUS_REV + #define __CM0PLUS_REV 0x0000 + #warning "__CM0PLUS_REV not defined in device header file; using default!" + #endif + + #ifndef __MPU_PRESENT + #define __MPU_PRESENT 0 + #warning "__MPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __VTOR_PRESENT + #define __VTOR_PRESENT 0 + #warning "__VTOR_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 2 + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0 + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/*@} end of group Cortex-M0+ */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + - Core MPU Register + ******************************************************************************/ +/** \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:27; /*!< bit: 0..26 Reserved */ +#else + uint32_t _reserved0:16; /*!< bit: 0..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:7; /*!< bit: 20..26 Reserved */ +#endif + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + + +/** \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + + +/** \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:15; /*!< bit: 9..23 Reserved */ +#else + uint32_t _reserved0:7; /*!< bit: 9..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:4; /*!< bit: 20..23 Reserved */ +#endif + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t IT:2; /*!< bit: 25..26 saved IT state (read 0) */ + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + + +/** \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t FPCA:1; /*!< bit: 2 FP extension active flag */ + uint32_t _reserved0:29; /*!< bit: 3..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/*@} end of group CMSIS_CORE */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IO uint32_t ISER[1]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[31]; + __IO uint32_t ICER[1]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[31]; + __IO uint32_t ISPR[1]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[31]; + __IO uint32_t ICPR[1]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[31]; + uint32_t RESERVED4[64]; + __IO uint32_t IP[8]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register */ +} NVIC_Type; + +/*@} end of group CMSIS_NVIC */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __I uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IO uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ +#if (__VTOR_PRESENT == 1) + __IO uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */ +#else + uint32_t RESERVED0; +#endif + __IO uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IO uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IO uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + uint32_t RESERVED1; + __IO uint32_t SHP[2]; /*!< Offset: 0x01C (R/W) System Handlers Priority Registers. [0] is RESERVED */ + __IO uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24 /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20 /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16 /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4 /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0 /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL << SCB_CPUID_REVISION_Pos) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31 /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28 /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27 /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26 /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25 /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23 /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22 /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12 /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0 /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL << SCB_ICSR_VECTACTIVE_Pos) /*!< SCB ICSR: VECTACTIVE Mask */ + +#if (__VTOR_PRESENT == 1) +/* SCB Interrupt Control State Register Definitions */ +#define SCB_VTOR_TBLOFF_Pos 8 /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0xFFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ +#endif + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16 /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16 /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15 /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2 /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1 /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4 /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2 /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1 /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9 /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3 /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_SVCALLPENDED_Pos 15 /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IO uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IO uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __I uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16 /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2 /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1 /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0 /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL << SysTick_CTRL_ENABLE_Pos) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0 /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL << SysTick_LOAD_RELOAD_Pos) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0 /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31 /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30 /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0 /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL << SysTick_CALIB_TENMS_Pos) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + +#if (__MPU_PRESENT == 1) +/** \ingroup CMSIS_core_register + \defgroup CMSIS_MPU Memory Protection Unit (MPU) + \brief Type definitions for the Memory Protection Unit (MPU) + @{ + */ + +/** \brief Structure type to access the Memory Protection Unit (MPU). + */ +typedef struct +{ + __I uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */ + __IO uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */ + __IO uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */ + __IO uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */ + __IO uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */ +} MPU_Type; + +/* MPU Type Register */ +#define MPU_TYPE_IREGION_Pos 16 /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8 /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0 /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1UL << MPU_TYPE_SEPARATE_Pos) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register */ +#define MPU_CTRL_PRIVDEFENA_Pos 2 /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1 /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0 /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1UL << MPU_CTRL_ENABLE_Pos) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register */ +#define MPU_RNR_REGION_Pos 0 /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFUL << MPU_RNR_REGION_Pos) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register */ +#define MPU_RBAR_ADDR_Pos 8 /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0xFFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4 /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0 /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFUL << MPU_RBAR_REGION_Pos) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register */ +#define MPU_RASR_ATTRS_Pos 16 /*!< MPU RASR: MPU Region Attribute field Position */ +#define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */ + +#define MPU_RASR_XN_Pos 28 /*!< MPU RASR: ATTRS.XN Position */ +#define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */ + +#define MPU_RASR_AP_Pos 24 /*!< MPU RASR: ATTRS.AP Position */ +#define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */ + +#define MPU_RASR_TEX_Pos 19 /*!< MPU RASR: ATTRS.TEX Position */ +#define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */ + +#define MPU_RASR_S_Pos 18 /*!< MPU RASR: ATTRS.S Position */ +#define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */ + +#define MPU_RASR_C_Pos 17 /*!< MPU RASR: ATTRS.C Position */ +#define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */ + +#define MPU_RASR_B_Pos 16 /*!< MPU RASR: ATTRS.B Position */ +#define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */ + +#define MPU_RASR_SRD_Pos 8 /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1 /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENABLE_Pos 0 /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENABLE_Msk (1UL << MPU_RASR_ENABLE_Pos) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@} end of group CMSIS_MPU */ +#endif + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Cortex-M0+ Core Debug Registers (DCB registers, SHCSR, and DFSR) + are only accessible over DAP and not via processor. Therefore + they are not covered by the Cortex-M0 header file. + @{ + */ +/*@} end of group CMSIS_CoreDebug */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Cortex-M0+ Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ + +#if (__MPU_PRESENT == 1) + #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */ +#endif + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Register Access Functions + ******************************************************************************/ +/** \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +/* Interrupt Priorities are WORD accessible only under ARMv6M */ +/* The following MACROS handle generation of the register offset and byte masks */ +#define _BIT_SHIFT(IRQn) ( (((uint32_t)(IRQn) ) & 0x03) * 8 ) +#define _SHP_IDX(IRQn) ( ((((uint32_t)(IRQn) & 0x0F)-8) >> 2) ) +#define _IP_IDX(IRQn) ( ((uint32_t)(IRQn) >> 2) ) + + +/** \brief Enable External Interrupt + + The function enables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ + NVIC->ISER[0] = (1 << ((uint32_t)(IRQn) & 0x1F)); +} + + +/** \brief Disable External Interrupt + + The function disables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[0] = (1 << ((uint32_t)(IRQn) & 0x1F)); +} + + +/** \brief Get Pending Interrupt + + The function reads the pending register in the NVIC and returns the pending bit + for the specified interrupt. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + */ +__STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t) ((NVIC->ISPR[0] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); +} + + +/** \brief Set Pending Interrupt + + The function sets the pending bit of an external interrupt. + + \param [in] IRQn Interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[0] = (1 << ((uint32_t)(IRQn) & 0x1F)); +} + + +/** \brief Clear Pending Interrupt + + The function clears the pending bit of an external interrupt. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[0] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* Clear pending interrupt */ +} + + +/** \brief Set Interrupt Priority + + The function sets the priority of an interrupt. + + \note The priority cannot be set for every core interrupt. + + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + */ +__STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if(IRQn < 0) { + SCB->SHP[_SHP_IDX(IRQn)] = (SCB->SHP[_SHP_IDX(IRQn)] & ~(0xFF << _BIT_SHIFT(IRQn))) | + (((priority << (8 - __NVIC_PRIO_BITS)) & 0xFF) << _BIT_SHIFT(IRQn)); } + else { + NVIC->IP[_IP_IDX(IRQn)] = (NVIC->IP[_IP_IDX(IRQn)] & ~(0xFF << _BIT_SHIFT(IRQn))) | + (((priority << (8 - __NVIC_PRIO_BITS)) & 0xFF) << _BIT_SHIFT(IRQn)); } +} + + +/** \brief Get Interrupt Priority + + The function reads the priority of an interrupt. The interrupt + number can be positive to specify an external (device specific) + interrupt, or negative to specify an internal (core) interrupt. + + + \param [in] IRQn Interrupt number. + \return Interrupt Priority. Value is aligned automatically to the implemented + priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if(IRQn < 0) { + return((uint32_t)(((SCB->SHP[_SHP_IDX(IRQn)] >> _BIT_SHIFT(IRQn) ) & 0xFF) >> (8 - __NVIC_PRIO_BITS))); } /* get priority for Cortex-M0 system interrupts */ + else { + return((uint32_t)(((NVIC->IP[ _IP_IDX(IRQn)] >> _BIT_SHIFT(IRQn) ) & 0xFF) >> (8 - __NVIC_PRIO_BITS))); } /* get priority for device specific interrupts */ +} + + +/** \brief System Reset + + The function initiates a system reset request to reset the MCU. + */ +__STATIC_INLINE void NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = ((0x5FA << SCB_AIRCR_VECTKEY_Pos) | + SCB_AIRCR_SYSRESETREQ_Msk); + __DSB(); /* Ensure completion of memory access */ + while(1); /* wait until reset */ +} + +/*@} end of CMSIS_Core_NVICFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if (__Vendor_SysTickConfig == 0) + +/** \brief System Tick Configuration + + The function initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + + \param [in] ticks Number of ticks between two interrupts. + + \return 0 Function succeeded. + \return 1 Function failed. + + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if ((ticks - 1) > SysTick_LOAD_RELOAD_Msk) return (1); /* Reload value impossible */ + + SysTick->LOAD = ticks - 1; /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1<<__NVIC_PRIO_BITS) - 1); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM0PLUS_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ diff --git a/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm3.h b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm3.h new file mode 100644 index 0000000000..e1357c6735 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm3.h @@ -0,0 +1,1650 @@ +/**************************************************************************//** + * @file core_cm3.h + * @brief CMSIS Cortex-M3 Core Peripheral Access Layer Header File + * @version V4.00 + * @date 22. August 2014 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2014 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#endif + +#ifndef __CORE_CM3_H_GENERIC +#define __CORE_CM3_H_GENERIC + +#ifdef __cplusplus + extern "C" { +#endif + +/** \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
+ Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
+ Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
+ Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** \ingroup Cortex_M3 + @{ + */ + +/* CMSIS CM3 definitions */ +#define __CM3_CMSIS_VERSION_MAIN (0x04) /*!< [31:16] CMSIS HAL main version */ +#define __CM3_CMSIS_VERSION_SUB (0x00) /*!< [15:0] CMSIS HAL sub version */ +#define __CM3_CMSIS_VERSION ((__CM3_CMSIS_VERSION_MAIN << 16) | \ + __CM3_CMSIS_VERSION_SUB ) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x03) /*!< Cortex-M Core */ + + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */ + #define __STATIC_INLINE static inline + +#elif defined ( __TMS470__ ) + #define __ASM __asm /*!< asm keyword for TI CCS Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __CSMC__ ) + #define __packed + #define __ASM _asm /*!< asm keyword for COSMIC Compiler */ + #define __INLINE inline /*use -pc99 on compile line !< inline keyword for COSMIC Compiler */ + #define __STATIC_INLINE static inline + +#endif + +/** __FPU_USED indicates whether an FPU is used or not. + This core does not support an FPU at all +*/ +#define __FPU_USED 0 + +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TMS470__ ) + #if defined __TI__VFP_SUPPORT____ + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __CSMC__ ) /* Cosmic */ + #if ( __CSMC__ & 0x400) // FPU present for parser + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif +#endif + +#include /* standard types definitions */ +#include /* Core Instruction Access */ +#include /* Core Function Access */ + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM3_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM3_H_DEPENDANT +#define __CORE_CM3_H_DEPENDANT + +#ifdef __cplusplus + extern "C" { +#endif + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM3_REV + #define __CM3_REV 0x0200 + #warning "__CM3_REV not defined in device header file; using default!" + #endif + + #ifndef __MPU_PRESENT + #define __MPU_PRESENT 0 + #warning "__MPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 4 + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0 + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/*@} end of group Cortex_M3 */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + - Core Debug Register + - Core MPU Register + ******************************************************************************/ +/** \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:27; /*!< bit: 0..26 Reserved */ +#else + uint32_t _reserved0:16; /*!< bit: 0..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:7; /*!< bit: 20..26 Reserved */ +#endif + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + + +/** \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + + +/** \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:15; /*!< bit: 9..23 Reserved */ +#else + uint32_t _reserved0:7; /*!< bit: 9..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:4; /*!< bit: 20..23 Reserved */ +#endif + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t IT:2; /*!< bit: 25..26 saved IT state (read 0) */ + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + + +/** \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t FPCA:1; /*!< bit: 2 FP extension active flag */ + uint32_t _reserved0:29; /*!< bit: 3..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/*@} end of group CMSIS_CORE */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IO uint32_t ISER[8]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[24]; + __IO uint32_t ICER[8]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[24]; + __IO uint32_t ISPR[8]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[24]; + __IO uint32_t ICPR[8]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[24]; + __IO uint32_t IABR[8]; /*!< Offset: 0x200 (R/W) Interrupt Active bit Register */ + uint32_t RESERVED4[56]; + __IO uint8_t IP[240]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register (8Bit wide) */ + uint32_t RESERVED5[644]; + __O uint32_t STIR; /*!< Offset: 0xE00 ( /W) Software Trigger Interrupt Register */ +} NVIC_Type; + +/* Software Triggered Interrupt Register Definitions */ +#define NVIC_STIR_INTID_Pos 0 /*!< STIR: INTLINESNUM Position */ +#define NVIC_STIR_INTID_Msk (0x1FFUL << NVIC_STIR_INTID_Pos) /*!< STIR: INTLINESNUM Mask */ + +/*@} end of group CMSIS_NVIC */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __I uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IO uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ + __IO uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */ + __IO uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IO uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IO uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + __IO uint8_t SHP[12]; /*!< Offset: 0x018 (R/W) System Handlers Priority Registers (4-7, 8-11, 12-15) */ + __IO uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ + __IO uint32_t CFSR; /*!< Offset: 0x028 (R/W) Configurable Fault Status Register */ + __IO uint32_t HFSR; /*!< Offset: 0x02C (R/W) HardFault Status Register */ + __IO uint32_t DFSR; /*!< Offset: 0x030 (R/W) Debug Fault Status Register */ + __IO uint32_t MMFAR; /*!< Offset: 0x034 (R/W) MemManage Fault Address Register */ + __IO uint32_t BFAR; /*!< Offset: 0x038 (R/W) BusFault Address Register */ + __IO uint32_t AFSR; /*!< Offset: 0x03C (R/W) Auxiliary Fault Status Register */ + __I uint32_t PFR[2]; /*!< Offset: 0x040 (R/ ) Processor Feature Register */ + __I uint32_t DFR; /*!< Offset: 0x048 (R/ ) Debug Feature Register */ + __I uint32_t ADR; /*!< Offset: 0x04C (R/ ) Auxiliary Feature Register */ + __I uint32_t MMFR[4]; /*!< Offset: 0x050 (R/ ) Memory Model Feature Register */ + __I uint32_t ISAR[5]; /*!< Offset: 0x060 (R/ ) Instruction Set Attributes Register */ + uint32_t RESERVED0[5]; + __IO uint32_t CPACR; /*!< Offset: 0x088 (R/W) Coprocessor Access Control Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24 /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20 /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16 /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4 /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0 /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL << SCB_CPUID_REVISION_Pos) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31 /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28 /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27 /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26 /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25 /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23 /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22 /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12 /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_RETTOBASE_Pos 11 /*!< SCB ICSR: RETTOBASE Position */ +#define SCB_ICSR_RETTOBASE_Msk (1UL << SCB_ICSR_RETTOBASE_Pos) /*!< SCB ICSR: RETTOBASE Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0 /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL << SCB_ICSR_VECTACTIVE_Pos) /*!< SCB ICSR: VECTACTIVE Mask */ + +/* SCB Vector Table Offset Register Definitions */ +#if (__CM3_REV < 0x0201) /* core r2p1 */ +#define SCB_VTOR_TBLBASE_Pos 29 /*!< SCB VTOR: TBLBASE Position */ +#define SCB_VTOR_TBLBASE_Msk (1UL << SCB_VTOR_TBLBASE_Pos) /*!< SCB VTOR: TBLBASE Mask */ + +#define SCB_VTOR_TBLOFF_Pos 7 /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x3FFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ +#else +#define SCB_VTOR_TBLOFF_Pos 7 /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x1FFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ +#endif + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16 /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16 /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15 /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_PRIGROUP_Pos 8 /*!< SCB AIRCR: PRIGROUP Position */ +#define SCB_AIRCR_PRIGROUP_Msk (7UL << SCB_AIRCR_PRIGROUP_Pos) /*!< SCB AIRCR: PRIGROUP Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2 /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1 /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +#define SCB_AIRCR_VECTRESET_Pos 0 /*!< SCB AIRCR: VECTRESET Position */ +#define SCB_AIRCR_VECTRESET_Msk (1UL << SCB_AIRCR_VECTRESET_Pos) /*!< SCB AIRCR: VECTRESET Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4 /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2 /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1 /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9 /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_BFHFNMIGN_Pos 8 /*!< SCB CCR: BFHFNMIGN Position */ +#define SCB_CCR_BFHFNMIGN_Msk (1UL << SCB_CCR_BFHFNMIGN_Pos) /*!< SCB CCR: BFHFNMIGN Mask */ + +#define SCB_CCR_DIV_0_TRP_Pos 4 /*!< SCB CCR: DIV_0_TRP Position */ +#define SCB_CCR_DIV_0_TRP_Msk (1UL << SCB_CCR_DIV_0_TRP_Pos) /*!< SCB CCR: DIV_0_TRP Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3 /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +#define SCB_CCR_USERSETMPEND_Pos 1 /*!< SCB CCR: USERSETMPEND Position */ +#define SCB_CCR_USERSETMPEND_Msk (1UL << SCB_CCR_USERSETMPEND_Pos) /*!< SCB CCR: USERSETMPEND Mask */ + +#define SCB_CCR_NONBASETHRDENA_Pos 0 /*!< SCB CCR: NONBASETHRDENA Position */ +#define SCB_CCR_NONBASETHRDENA_Msk (1UL << SCB_CCR_NONBASETHRDENA_Pos) /*!< SCB CCR: NONBASETHRDENA Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_USGFAULTENA_Pos 18 /*!< SCB SHCSR: USGFAULTENA Position */ +#define SCB_SHCSR_USGFAULTENA_Msk (1UL << SCB_SHCSR_USGFAULTENA_Pos) /*!< SCB SHCSR: USGFAULTENA Mask */ + +#define SCB_SHCSR_BUSFAULTENA_Pos 17 /*!< SCB SHCSR: BUSFAULTENA Position */ +#define SCB_SHCSR_BUSFAULTENA_Msk (1UL << SCB_SHCSR_BUSFAULTENA_Pos) /*!< SCB SHCSR: BUSFAULTENA Mask */ + +#define SCB_SHCSR_MEMFAULTENA_Pos 16 /*!< SCB SHCSR: MEMFAULTENA Position */ +#define SCB_SHCSR_MEMFAULTENA_Msk (1UL << SCB_SHCSR_MEMFAULTENA_Pos) /*!< SCB SHCSR: MEMFAULTENA Mask */ + +#define SCB_SHCSR_SVCALLPENDED_Pos 15 /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +#define SCB_SHCSR_BUSFAULTPENDED_Pos 14 /*!< SCB SHCSR: BUSFAULTPENDED Position */ +#define SCB_SHCSR_BUSFAULTPENDED_Msk (1UL << SCB_SHCSR_BUSFAULTPENDED_Pos) /*!< SCB SHCSR: BUSFAULTPENDED Mask */ + +#define SCB_SHCSR_MEMFAULTPENDED_Pos 13 /*!< SCB SHCSR: MEMFAULTPENDED Position */ +#define SCB_SHCSR_MEMFAULTPENDED_Msk (1UL << SCB_SHCSR_MEMFAULTPENDED_Pos) /*!< SCB SHCSR: MEMFAULTPENDED Mask */ + +#define SCB_SHCSR_USGFAULTPENDED_Pos 12 /*!< SCB SHCSR: USGFAULTPENDED Position */ +#define SCB_SHCSR_USGFAULTPENDED_Msk (1UL << SCB_SHCSR_USGFAULTPENDED_Pos) /*!< SCB SHCSR: USGFAULTPENDED Mask */ + +#define SCB_SHCSR_SYSTICKACT_Pos 11 /*!< SCB SHCSR: SYSTICKACT Position */ +#define SCB_SHCSR_SYSTICKACT_Msk (1UL << SCB_SHCSR_SYSTICKACT_Pos) /*!< SCB SHCSR: SYSTICKACT Mask */ + +#define SCB_SHCSR_PENDSVACT_Pos 10 /*!< SCB SHCSR: PENDSVACT Position */ +#define SCB_SHCSR_PENDSVACT_Msk (1UL << SCB_SHCSR_PENDSVACT_Pos) /*!< SCB SHCSR: PENDSVACT Mask */ + +#define SCB_SHCSR_MONITORACT_Pos 8 /*!< SCB SHCSR: MONITORACT Position */ +#define SCB_SHCSR_MONITORACT_Msk (1UL << SCB_SHCSR_MONITORACT_Pos) /*!< SCB SHCSR: MONITORACT Mask */ + +#define SCB_SHCSR_SVCALLACT_Pos 7 /*!< SCB SHCSR: SVCALLACT Position */ +#define SCB_SHCSR_SVCALLACT_Msk (1UL << SCB_SHCSR_SVCALLACT_Pos) /*!< SCB SHCSR: SVCALLACT Mask */ + +#define SCB_SHCSR_USGFAULTACT_Pos 3 /*!< SCB SHCSR: USGFAULTACT Position */ +#define SCB_SHCSR_USGFAULTACT_Msk (1UL << SCB_SHCSR_USGFAULTACT_Pos) /*!< SCB SHCSR: USGFAULTACT Mask */ + +#define SCB_SHCSR_BUSFAULTACT_Pos 1 /*!< SCB SHCSR: BUSFAULTACT Position */ +#define SCB_SHCSR_BUSFAULTACT_Msk (1UL << SCB_SHCSR_BUSFAULTACT_Pos) /*!< SCB SHCSR: BUSFAULTACT Mask */ + +#define SCB_SHCSR_MEMFAULTACT_Pos 0 /*!< SCB SHCSR: MEMFAULTACT Position */ +#define SCB_SHCSR_MEMFAULTACT_Msk (1UL << SCB_SHCSR_MEMFAULTACT_Pos) /*!< SCB SHCSR: MEMFAULTACT Mask */ + +/* SCB Configurable Fault Status Registers Definitions */ +#define SCB_CFSR_USGFAULTSR_Pos 16 /*!< SCB CFSR: Usage Fault Status Register Position */ +#define SCB_CFSR_USGFAULTSR_Msk (0xFFFFUL << SCB_CFSR_USGFAULTSR_Pos) /*!< SCB CFSR: Usage Fault Status Register Mask */ + +#define SCB_CFSR_BUSFAULTSR_Pos 8 /*!< SCB CFSR: Bus Fault Status Register Position */ +#define SCB_CFSR_BUSFAULTSR_Msk (0xFFUL << SCB_CFSR_BUSFAULTSR_Pos) /*!< SCB CFSR: Bus Fault Status Register Mask */ + +#define SCB_CFSR_MEMFAULTSR_Pos 0 /*!< SCB CFSR: Memory Manage Fault Status Register Position */ +#define SCB_CFSR_MEMFAULTSR_Msk (0xFFUL << SCB_CFSR_MEMFAULTSR_Pos) /*!< SCB CFSR: Memory Manage Fault Status Register Mask */ + +/* SCB Hard Fault Status Registers Definitions */ +#define SCB_HFSR_DEBUGEVT_Pos 31 /*!< SCB HFSR: DEBUGEVT Position */ +#define SCB_HFSR_DEBUGEVT_Msk (1UL << SCB_HFSR_DEBUGEVT_Pos) /*!< SCB HFSR: DEBUGEVT Mask */ + +#define SCB_HFSR_FORCED_Pos 30 /*!< SCB HFSR: FORCED Position */ +#define SCB_HFSR_FORCED_Msk (1UL << SCB_HFSR_FORCED_Pos) /*!< SCB HFSR: FORCED Mask */ + +#define SCB_HFSR_VECTTBL_Pos 1 /*!< SCB HFSR: VECTTBL Position */ +#define SCB_HFSR_VECTTBL_Msk (1UL << SCB_HFSR_VECTTBL_Pos) /*!< SCB HFSR: VECTTBL Mask */ + +/* SCB Debug Fault Status Register Definitions */ +#define SCB_DFSR_EXTERNAL_Pos 4 /*!< SCB DFSR: EXTERNAL Position */ +#define SCB_DFSR_EXTERNAL_Msk (1UL << SCB_DFSR_EXTERNAL_Pos) /*!< SCB DFSR: EXTERNAL Mask */ + +#define SCB_DFSR_VCATCH_Pos 3 /*!< SCB DFSR: VCATCH Position */ +#define SCB_DFSR_VCATCH_Msk (1UL << SCB_DFSR_VCATCH_Pos) /*!< SCB DFSR: VCATCH Mask */ + +#define SCB_DFSR_DWTTRAP_Pos 2 /*!< SCB DFSR: DWTTRAP Position */ +#define SCB_DFSR_DWTTRAP_Msk (1UL << SCB_DFSR_DWTTRAP_Pos) /*!< SCB DFSR: DWTTRAP Mask */ + +#define SCB_DFSR_BKPT_Pos 1 /*!< SCB DFSR: BKPT Position */ +#define SCB_DFSR_BKPT_Msk (1UL << SCB_DFSR_BKPT_Pos) /*!< SCB DFSR: BKPT Mask */ + +#define SCB_DFSR_HALTED_Pos 0 /*!< SCB DFSR: HALTED Position */ +#define SCB_DFSR_HALTED_Msk (1UL << SCB_DFSR_HALTED_Pos) /*!< SCB DFSR: HALTED Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCnSCB System Controls not in SCB (SCnSCB) + \brief Type definitions for the System Control and ID Register not in the SCB + @{ + */ + +/** \brief Structure type to access the System Control and ID Register not in the SCB. + */ +typedef struct +{ + uint32_t RESERVED0[1]; + __I uint32_t ICTR; /*!< Offset: 0x004 (R/ ) Interrupt Controller Type Register */ +#if ((defined __CM3_REV) && (__CM3_REV >= 0x200)) + __IO uint32_t ACTLR; /*!< Offset: 0x008 (R/W) Auxiliary Control Register */ +#else + uint32_t RESERVED1[1]; +#endif +} SCnSCB_Type; + +/* Interrupt Controller Type Register Definitions */ +#define SCnSCB_ICTR_INTLINESNUM_Pos 0 /*!< ICTR: INTLINESNUM Position */ +#define SCnSCB_ICTR_INTLINESNUM_Msk (0xFUL << SCnSCB_ICTR_INTLINESNUM_Pos) /*!< ICTR: INTLINESNUM Mask */ + +/* Auxiliary Control Register Definitions */ + +#define SCnSCB_ACTLR_DISFOLD_Pos 2 /*!< ACTLR: DISFOLD Position */ +#define SCnSCB_ACTLR_DISFOLD_Msk (1UL << SCnSCB_ACTLR_DISFOLD_Pos) /*!< ACTLR: DISFOLD Mask */ + +#define SCnSCB_ACTLR_DISDEFWBUF_Pos 1 /*!< ACTLR: DISDEFWBUF Position */ +#define SCnSCB_ACTLR_DISDEFWBUF_Msk (1UL << SCnSCB_ACTLR_DISDEFWBUF_Pos) /*!< ACTLR: DISDEFWBUF Mask */ + +#define SCnSCB_ACTLR_DISMCYCINT_Pos 0 /*!< ACTLR: DISMCYCINT Position */ +#define SCnSCB_ACTLR_DISMCYCINT_Msk (1UL << SCnSCB_ACTLR_DISMCYCINT_Pos) /*!< ACTLR: DISMCYCINT Mask */ + +/*@} end of group CMSIS_SCnotSCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IO uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IO uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __I uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16 /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2 /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1 /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0 /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL << SysTick_CTRL_ENABLE_Pos) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0 /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL << SysTick_LOAD_RELOAD_Pos) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0 /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31 /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30 /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0 /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL << SysTick_CALIB_TENMS_Pos) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_ITM Instrumentation Trace Macrocell (ITM) + \brief Type definitions for the Instrumentation Trace Macrocell (ITM) + @{ + */ + +/** \brief Structure type to access the Instrumentation Trace Macrocell Register (ITM). + */ +typedef struct +{ + __O union + { + __O uint8_t u8; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 8-bit */ + __O uint16_t u16; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 16-bit */ + __O uint32_t u32; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 32-bit */ + } PORT [32]; /*!< Offset: 0x000 ( /W) ITM Stimulus Port Registers */ + uint32_t RESERVED0[864]; + __IO uint32_t TER; /*!< Offset: 0xE00 (R/W) ITM Trace Enable Register */ + uint32_t RESERVED1[15]; + __IO uint32_t TPR; /*!< Offset: 0xE40 (R/W) ITM Trace Privilege Register */ + uint32_t RESERVED2[15]; + __IO uint32_t TCR; /*!< Offset: 0xE80 (R/W) ITM Trace Control Register */ + uint32_t RESERVED3[29]; + __O uint32_t IWR; /*!< Offset: 0xEF8 ( /W) ITM Integration Write Register */ + __I uint32_t IRR; /*!< Offset: 0xEFC (R/ ) ITM Integration Read Register */ + __IO uint32_t IMCR; /*!< Offset: 0xF00 (R/W) ITM Integration Mode Control Register */ + uint32_t RESERVED4[43]; + __O uint32_t LAR; /*!< Offset: 0xFB0 ( /W) ITM Lock Access Register */ + __I uint32_t LSR; /*!< Offset: 0xFB4 (R/ ) ITM Lock Status Register */ + uint32_t RESERVED5[6]; + __I uint32_t PID4; /*!< Offset: 0xFD0 (R/ ) ITM Peripheral Identification Register #4 */ + __I uint32_t PID5; /*!< Offset: 0xFD4 (R/ ) ITM Peripheral Identification Register #5 */ + __I uint32_t PID6; /*!< Offset: 0xFD8 (R/ ) ITM Peripheral Identification Register #6 */ + __I uint32_t PID7; /*!< Offset: 0xFDC (R/ ) ITM Peripheral Identification Register #7 */ + __I uint32_t PID0; /*!< Offset: 0xFE0 (R/ ) ITM Peripheral Identification Register #0 */ + __I uint32_t PID1; /*!< Offset: 0xFE4 (R/ ) ITM Peripheral Identification Register #1 */ + __I uint32_t PID2; /*!< Offset: 0xFE8 (R/ ) ITM Peripheral Identification Register #2 */ + __I uint32_t PID3; /*!< Offset: 0xFEC (R/ ) ITM Peripheral Identification Register #3 */ + __I uint32_t CID0; /*!< Offset: 0xFF0 (R/ ) ITM Component Identification Register #0 */ + __I uint32_t CID1; /*!< Offset: 0xFF4 (R/ ) ITM Component Identification Register #1 */ + __I uint32_t CID2; /*!< Offset: 0xFF8 (R/ ) ITM Component Identification Register #2 */ + __I uint32_t CID3; /*!< Offset: 0xFFC (R/ ) ITM Component Identification Register #3 */ +} ITM_Type; + +/* ITM Trace Privilege Register Definitions */ +#define ITM_TPR_PRIVMASK_Pos 0 /*!< ITM TPR: PRIVMASK Position */ +#define ITM_TPR_PRIVMASK_Msk (0xFUL << ITM_TPR_PRIVMASK_Pos) /*!< ITM TPR: PRIVMASK Mask */ + +/* ITM Trace Control Register Definitions */ +#define ITM_TCR_BUSY_Pos 23 /*!< ITM TCR: BUSY Position */ +#define ITM_TCR_BUSY_Msk (1UL << ITM_TCR_BUSY_Pos) /*!< ITM TCR: BUSY Mask */ + +#define ITM_TCR_TraceBusID_Pos 16 /*!< ITM TCR: ATBID Position */ +#define ITM_TCR_TraceBusID_Msk (0x7FUL << ITM_TCR_TraceBusID_Pos) /*!< ITM TCR: ATBID Mask */ + +#define ITM_TCR_GTSFREQ_Pos 10 /*!< ITM TCR: Global timestamp frequency Position */ +#define ITM_TCR_GTSFREQ_Msk (3UL << ITM_TCR_GTSFREQ_Pos) /*!< ITM TCR: Global timestamp frequency Mask */ + +#define ITM_TCR_TSPrescale_Pos 8 /*!< ITM TCR: TSPrescale Position */ +#define ITM_TCR_TSPrescale_Msk (3UL << ITM_TCR_TSPrescale_Pos) /*!< ITM TCR: TSPrescale Mask */ + +#define ITM_TCR_SWOENA_Pos 4 /*!< ITM TCR: SWOENA Position */ +#define ITM_TCR_SWOENA_Msk (1UL << ITM_TCR_SWOENA_Pos) /*!< ITM TCR: SWOENA Mask */ + +#define ITM_TCR_DWTENA_Pos 3 /*!< ITM TCR: DWTENA Position */ +#define ITM_TCR_DWTENA_Msk (1UL << ITM_TCR_DWTENA_Pos) /*!< ITM TCR: DWTENA Mask */ + +#define ITM_TCR_SYNCENA_Pos 2 /*!< ITM TCR: SYNCENA Position */ +#define ITM_TCR_SYNCENA_Msk (1UL << ITM_TCR_SYNCENA_Pos) /*!< ITM TCR: SYNCENA Mask */ + +#define ITM_TCR_TSENA_Pos 1 /*!< ITM TCR: TSENA Position */ +#define ITM_TCR_TSENA_Msk (1UL << ITM_TCR_TSENA_Pos) /*!< ITM TCR: TSENA Mask */ + +#define ITM_TCR_ITMENA_Pos 0 /*!< ITM TCR: ITM Enable bit Position */ +#define ITM_TCR_ITMENA_Msk (1UL << ITM_TCR_ITMENA_Pos) /*!< ITM TCR: ITM Enable bit Mask */ + +/* ITM Integration Write Register Definitions */ +#define ITM_IWR_ATVALIDM_Pos 0 /*!< ITM IWR: ATVALIDM Position */ +#define ITM_IWR_ATVALIDM_Msk (1UL << ITM_IWR_ATVALIDM_Pos) /*!< ITM IWR: ATVALIDM Mask */ + +/* ITM Integration Read Register Definitions */ +#define ITM_IRR_ATREADYM_Pos 0 /*!< ITM IRR: ATREADYM Position */ +#define ITM_IRR_ATREADYM_Msk (1UL << ITM_IRR_ATREADYM_Pos) /*!< ITM IRR: ATREADYM Mask */ + +/* ITM Integration Mode Control Register Definitions */ +#define ITM_IMCR_INTEGRATION_Pos 0 /*!< ITM IMCR: INTEGRATION Position */ +#define ITM_IMCR_INTEGRATION_Msk (1UL << ITM_IMCR_INTEGRATION_Pos) /*!< ITM IMCR: INTEGRATION Mask */ + +/* ITM Lock Status Register Definitions */ +#define ITM_LSR_ByteAcc_Pos 2 /*!< ITM LSR: ByteAcc Position */ +#define ITM_LSR_ByteAcc_Msk (1UL << ITM_LSR_ByteAcc_Pos) /*!< ITM LSR: ByteAcc Mask */ + +#define ITM_LSR_Access_Pos 1 /*!< ITM LSR: Access Position */ +#define ITM_LSR_Access_Msk (1UL << ITM_LSR_Access_Pos) /*!< ITM LSR: Access Mask */ + +#define ITM_LSR_Present_Pos 0 /*!< ITM LSR: Present Position */ +#define ITM_LSR_Present_Msk (1UL << ITM_LSR_Present_Pos) /*!< ITM LSR: Present Mask */ + +/*@}*/ /* end of group CMSIS_ITM */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_DWT Data Watchpoint and Trace (DWT) + \brief Type definitions for the Data Watchpoint and Trace (DWT) + @{ + */ + +/** \brief Structure type to access the Data Watchpoint and Trace Register (DWT). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) Control Register */ + __IO uint32_t CYCCNT; /*!< Offset: 0x004 (R/W) Cycle Count Register */ + __IO uint32_t CPICNT; /*!< Offset: 0x008 (R/W) CPI Count Register */ + __IO uint32_t EXCCNT; /*!< Offset: 0x00C (R/W) Exception Overhead Count Register */ + __IO uint32_t SLEEPCNT; /*!< Offset: 0x010 (R/W) Sleep Count Register */ + __IO uint32_t LSUCNT; /*!< Offset: 0x014 (R/W) LSU Count Register */ + __IO uint32_t FOLDCNT; /*!< Offset: 0x018 (R/W) Folded-instruction Count Register */ + __I uint32_t PCSR; /*!< Offset: 0x01C (R/ ) Program Counter Sample Register */ + __IO uint32_t COMP0; /*!< Offset: 0x020 (R/W) Comparator Register 0 */ + __IO uint32_t MASK0; /*!< Offset: 0x024 (R/W) Mask Register 0 */ + __IO uint32_t FUNCTION0; /*!< Offset: 0x028 (R/W) Function Register 0 */ + uint32_t RESERVED0[1]; + __IO uint32_t COMP1; /*!< Offset: 0x030 (R/W) Comparator Register 1 */ + __IO uint32_t MASK1; /*!< Offset: 0x034 (R/W) Mask Register 1 */ + __IO uint32_t FUNCTION1; /*!< Offset: 0x038 (R/W) Function Register 1 */ + uint32_t RESERVED1[1]; + __IO uint32_t COMP2; /*!< Offset: 0x040 (R/W) Comparator Register 2 */ + __IO uint32_t MASK2; /*!< Offset: 0x044 (R/W) Mask Register 2 */ + __IO uint32_t FUNCTION2; /*!< Offset: 0x048 (R/W) Function Register 2 */ + uint32_t RESERVED2[1]; + __IO uint32_t COMP3; /*!< Offset: 0x050 (R/W) Comparator Register 3 */ + __IO uint32_t MASK3; /*!< Offset: 0x054 (R/W) Mask Register 3 */ + __IO uint32_t FUNCTION3; /*!< Offset: 0x058 (R/W) Function Register 3 */ +} DWT_Type; + +/* DWT Control Register Definitions */ +#define DWT_CTRL_NUMCOMP_Pos 28 /*!< DWT CTRL: NUMCOMP Position */ +#define DWT_CTRL_NUMCOMP_Msk (0xFUL << DWT_CTRL_NUMCOMP_Pos) /*!< DWT CTRL: NUMCOMP Mask */ + +#define DWT_CTRL_NOTRCPKT_Pos 27 /*!< DWT CTRL: NOTRCPKT Position */ +#define DWT_CTRL_NOTRCPKT_Msk (0x1UL << DWT_CTRL_NOTRCPKT_Pos) /*!< DWT CTRL: NOTRCPKT Mask */ + +#define DWT_CTRL_NOEXTTRIG_Pos 26 /*!< DWT CTRL: NOEXTTRIG Position */ +#define DWT_CTRL_NOEXTTRIG_Msk (0x1UL << DWT_CTRL_NOEXTTRIG_Pos) /*!< DWT CTRL: NOEXTTRIG Mask */ + +#define DWT_CTRL_NOCYCCNT_Pos 25 /*!< DWT CTRL: NOCYCCNT Position */ +#define DWT_CTRL_NOCYCCNT_Msk (0x1UL << DWT_CTRL_NOCYCCNT_Pos) /*!< DWT CTRL: NOCYCCNT Mask */ + +#define DWT_CTRL_NOPRFCNT_Pos 24 /*!< DWT CTRL: NOPRFCNT Position */ +#define DWT_CTRL_NOPRFCNT_Msk (0x1UL << DWT_CTRL_NOPRFCNT_Pos) /*!< DWT CTRL: NOPRFCNT Mask */ + +#define DWT_CTRL_CYCEVTENA_Pos 22 /*!< DWT CTRL: CYCEVTENA Position */ +#define DWT_CTRL_CYCEVTENA_Msk (0x1UL << DWT_CTRL_CYCEVTENA_Pos) /*!< DWT CTRL: CYCEVTENA Mask */ + +#define DWT_CTRL_FOLDEVTENA_Pos 21 /*!< DWT CTRL: FOLDEVTENA Position */ +#define DWT_CTRL_FOLDEVTENA_Msk (0x1UL << DWT_CTRL_FOLDEVTENA_Pos) /*!< DWT CTRL: FOLDEVTENA Mask */ + +#define DWT_CTRL_LSUEVTENA_Pos 20 /*!< DWT CTRL: LSUEVTENA Position */ +#define DWT_CTRL_LSUEVTENA_Msk (0x1UL << DWT_CTRL_LSUEVTENA_Pos) /*!< DWT CTRL: LSUEVTENA Mask */ + +#define DWT_CTRL_SLEEPEVTENA_Pos 19 /*!< DWT CTRL: SLEEPEVTENA Position */ +#define DWT_CTRL_SLEEPEVTENA_Msk (0x1UL << DWT_CTRL_SLEEPEVTENA_Pos) /*!< DWT CTRL: SLEEPEVTENA Mask */ + +#define DWT_CTRL_EXCEVTENA_Pos 18 /*!< DWT CTRL: EXCEVTENA Position */ +#define DWT_CTRL_EXCEVTENA_Msk (0x1UL << DWT_CTRL_EXCEVTENA_Pos) /*!< DWT CTRL: EXCEVTENA Mask */ + +#define DWT_CTRL_CPIEVTENA_Pos 17 /*!< DWT CTRL: CPIEVTENA Position */ +#define DWT_CTRL_CPIEVTENA_Msk (0x1UL << DWT_CTRL_CPIEVTENA_Pos) /*!< DWT CTRL: CPIEVTENA Mask */ + +#define DWT_CTRL_EXCTRCENA_Pos 16 /*!< DWT CTRL: EXCTRCENA Position */ +#define DWT_CTRL_EXCTRCENA_Msk (0x1UL << DWT_CTRL_EXCTRCENA_Pos) /*!< DWT CTRL: EXCTRCENA Mask */ + +#define DWT_CTRL_PCSAMPLENA_Pos 12 /*!< DWT CTRL: PCSAMPLENA Position */ +#define DWT_CTRL_PCSAMPLENA_Msk (0x1UL << DWT_CTRL_PCSAMPLENA_Pos) /*!< DWT CTRL: PCSAMPLENA Mask */ + +#define DWT_CTRL_SYNCTAP_Pos 10 /*!< DWT CTRL: SYNCTAP Position */ +#define DWT_CTRL_SYNCTAP_Msk (0x3UL << DWT_CTRL_SYNCTAP_Pos) /*!< DWT CTRL: SYNCTAP Mask */ + +#define DWT_CTRL_CYCTAP_Pos 9 /*!< DWT CTRL: CYCTAP Position */ +#define DWT_CTRL_CYCTAP_Msk (0x1UL << DWT_CTRL_CYCTAP_Pos) /*!< DWT CTRL: CYCTAP Mask */ + +#define DWT_CTRL_POSTINIT_Pos 5 /*!< DWT CTRL: POSTINIT Position */ +#define DWT_CTRL_POSTINIT_Msk (0xFUL << DWT_CTRL_POSTINIT_Pos) /*!< DWT CTRL: POSTINIT Mask */ + +#define DWT_CTRL_POSTPRESET_Pos 1 /*!< DWT CTRL: POSTPRESET Position */ +#define DWT_CTRL_POSTPRESET_Msk (0xFUL << DWT_CTRL_POSTPRESET_Pos) /*!< DWT CTRL: POSTPRESET Mask */ + +#define DWT_CTRL_CYCCNTENA_Pos 0 /*!< DWT CTRL: CYCCNTENA Position */ +#define DWT_CTRL_CYCCNTENA_Msk (0x1UL << DWT_CTRL_CYCCNTENA_Pos) /*!< DWT CTRL: CYCCNTENA Mask */ + +/* DWT CPI Count Register Definitions */ +#define DWT_CPICNT_CPICNT_Pos 0 /*!< DWT CPICNT: CPICNT Position */ +#define DWT_CPICNT_CPICNT_Msk (0xFFUL << DWT_CPICNT_CPICNT_Pos) /*!< DWT CPICNT: CPICNT Mask */ + +/* DWT Exception Overhead Count Register Definitions */ +#define DWT_EXCCNT_EXCCNT_Pos 0 /*!< DWT EXCCNT: EXCCNT Position */ +#define DWT_EXCCNT_EXCCNT_Msk (0xFFUL << DWT_EXCCNT_EXCCNT_Pos) /*!< DWT EXCCNT: EXCCNT Mask */ + +/* DWT Sleep Count Register Definitions */ +#define DWT_SLEEPCNT_SLEEPCNT_Pos 0 /*!< DWT SLEEPCNT: SLEEPCNT Position */ +#define DWT_SLEEPCNT_SLEEPCNT_Msk (0xFFUL << DWT_SLEEPCNT_SLEEPCNT_Pos) /*!< DWT SLEEPCNT: SLEEPCNT Mask */ + +/* DWT LSU Count Register Definitions */ +#define DWT_LSUCNT_LSUCNT_Pos 0 /*!< DWT LSUCNT: LSUCNT Position */ +#define DWT_LSUCNT_LSUCNT_Msk (0xFFUL << DWT_LSUCNT_LSUCNT_Pos) /*!< DWT LSUCNT: LSUCNT Mask */ + +/* DWT Folded-instruction Count Register Definitions */ +#define DWT_FOLDCNT_FOLDCNT_Pos 0 /*!< DWT FOLDCNT: FOLDCNT Position */ +#define DWT_FOLDCNT_FOLDCNT_Msk (0xFFUL << DWT_FOLDCNT_FOLDCNT_Pos) /*!< DWT FOLDCNT: FOLDCNT Mask */ + +/* DWT Comparator Mask Register Definitions */ +#define DWT_MASK_MASK_Pos 0 /*!< DWT MASK: MASK Position */ +#define DWT_MASK_MASK_Msk (0x1FUL << DWT_MASK_MASK_Pos) /*!< DWT MASK: MASK Mask */ + +/* DWT Comparator Function Register Definitions */ +#define DWT_FUNCTION_MATCHED_Pos 24 /*!< DWT FUNCTION: MATCHED Position */ +#define DWT_FUNCTION_MATCHED_Msk (0x1UL << DWT_FUNCTION_MATCHED_Pos) /*!< DWT FUNCTION: MATCHED Mask */ + +#define DWT_FUNCTION_DATAVADDR1_Pos 16 /*!< DWT FUNCTION: DATAVADDR1 Position */ +#define DWT_FUNCTION_DATAVADDR1_Msk (0xFUL << DWT_FUNCTION_DATAVADDR1_Pos) /*!< DWT FUNCTION: DATAVADDR1 Mask */ + +#define DWT_FUNCTION_DATAVADDR0_Pos 12 /*!< DWT FUNCTION: DATAVADDR0 Position */ +#define DWT_FUNCTION_DATAVADDR0_Msk (0xFUL << DWT_FUNCTION_DATAVADDR0_Pos) /*!< DWT FUNCTION: DATAVADDR0 Mask */ + +#define DWT_FUNCTION_DATAVSIZE_Pos 10 /*!< DWT FUNCTION: DATAVSIZE Position */ +#define DWT_FUNCTION_DATAVSIZE_Msk (0x3UL << DWT_FUNCTION_DATAVSIZE_Pos) /*!< DWT FUNCTION: DATAVSIZE Mask */ + +#define DWT_FUNCTION_LNK1ENA_Pos 9 /*!< DWT FUNCTION: LNK1ENA Position */ +#define DWT_FUNCTION_LNK1ENA_Msk (0x1UL << DWT_FUNCTION_LNK1ENA_Pos) /*!< DWT FUNCTION: LNK1ENA Mask */ + +#define DWT_FUNCTION_DATAVMATCH_Pos 8 /*!< DWT FUNCTION: DATAVMATCH Position */ +#define DWT_FUNCTION_DATAVMATCH_Msk (0x1UL << DWT_FUNCTION_DATAVMATCH_Pos) /*!< DWT FUNCTION: DATAVMATCH Mask */ + +#define DWT_FUNCTION_CYCMATCH_Pos 7 /*!< DWT FUNCTION: CYCMATCH Position */ +#define DWT_FUNCTION_CYCMATCH_Msk (0x1UL << DWT_FUNCTION_CYCMATCH_Pos) /*!< DWT FUNCTION: CYCMATCH Mask */ + +#define DWT_FUNCTION_EMITRANGE_Pos 5 /*!< DWT FUNCTION: EMITRANGE Position */ +#define DWT_FUNCTION_EMITRANGE_Msk (0x1UL << DWT_FUNCTION_EMITRANGE_Pos) /*!< DWT FUNCTION: EMITRANGE Mask */ + +#define DWT_FUNCTION_FUNCTION_Pos 0 /*!< DWT FUNCTION: FUNCTION Position */ +#define DWT_FUNCTION_FUNCTION_Msk (0xFUL << DWT_FUNCTION_FUNCTION_Pos) /*!< DWT FUNCTION: FUNCTION Mask */ + +/*@}*/ /* end of group CMSIS_DWT */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_TPI Trace Port Interface (TPI) + \brief Type definitions for the Trace Port Interface (TPI) + @{ + */ + +/** \brief Structure type to access the Trace Port Interface Register (TPI). + */ +typedef struct +{ + __IO uint32_t SSPSR; /*!< Offset: 0x000 (R/ ) Supported Parallel Port Size Register */ + __IO uint32_t CSPSR; /*!< Offset: 0x004 (R/W) Current Parallel Port Size Register */ + uint32_t RESERVED0[2]; + __IO uint32_t ACPR; /*!< Offset: 0x010 (R/W) Asynchronous Clock Prescaler Register */ + uint32_t RESERVED1[55]; + __IO uint32_t SPPR; /*!< Offset: 0x0F0 (R/W) Selected Pin Protocol Register */ + uint32_t RESERVED2[131]; + __I uint32_t FFSR; /*!< Offset: 0x300 (R/ ) Formatter and Flush Status Register */ + __IO uint32_t FFCR; /*!< Offset: 0x304 (R/W) Formatter and Flush Control Register */ + __I uint32_t FSCR; /*!< Offset: 0x308 (R/ ) Formatter Synchronization Counter Register */ + uint32_t RESERVED3[759]; + __I uint32_t TRIGGER; /*!< Offset: 0xEE8 (R/ ) TRIGGER */ + __I uint32_t FIFO0; /*!< Offset: 0xEEC (R/ ) Integration ETM Data */ + __I uint32_t ITATBCTR2; /*!< Offset: 0xEF0 (R/ ) ITATBCTR2 */ + uint32_t RESERVED4[1]; + __I uint32_t ITATBCTR0; /*!< Offset: 0xEF8 (R/ ) ITATBCTR0 */ + __I uint32_t FIFO1; /*!< Offset: 0xEFC (R/ ) Integration ITM Data */ + __IO uint32_t ITCTRL; /*!< Offset: 0xF00 (R/W) Integration Mode Control */ + uint32_t RESERVED5[39]; + __IO uint32_t CLAIMSET; /*!< Offset: 0xFA0 (R/W) Claim tag set */ + __IO uint32_t CLAIMCLR; /*!< Offset: 0xFA4 (R/W) Claim tag clear */ + uint32_t RESERVED7[8]; + __I uint32_t DEVID; /*!< Offset: 0xFC8 (R/ ) TPIU_DEVID */ + __I uint32_t DEVTYPE; /*!< Offset: 0xFCC (R/ ) TPIU_DEVTYPE */ +} TPI_Type; + +/* TPI Asynchronous Clock Prescaler Register Definitions */ +#define TPI_ACPR_PRESCALER_Pos 0 /*!< TPI ACPR: PRESCALER Position */ +#define TPI_ACPR_PRESCALER_Msk (0x1FFFUL << TPI_ACPR_PRESCALER_Pos) /*!< TPI ACPR: PRESCALER Mask */ + +/* TPI Selected Pin Protocol Register Definitions */ +#define TPI_SPPR_TXMODE_Pos 0 /*!< TPI SPPR: TXMODE Position */ +#define TPI_SPPR_TXMODE_Msk (0x3UL << TPI_SPPR_TXMODE_Pos) /*!< TPI SPPR: TXMODE Mask */ + +/* TPI Formatter and Flush Status Register Definitions */ +#define TPI_FFSR_FtNonStop_Pos 3 /*!< TPI FFSR: FtNonStop Position */ +#define TPI_FFSR_FtNonStop_Msk (0x1UL << TPI_FFSR_FtNonStop_Pos) /*!< TPI FFSR: FtNonStop Mask */ + +#define TPI_FFSR_TCPresent_Pos 2 /*!< TPI FFSR: TCPresent Position */ +#define TPI_FFSR_TCPresent_Msk (0x1UL << TPI_FFSR_TCPresent_Pos) /*!< TPI FFSR: TCPresent Mask */ + +#define TPI_FFSR_FtStopped_Pos 1 /*!< TPI FFSR: FtStopped Position */ +#define TPI_FFSR_FtStopped_Msk (0x1UL << TPI_FFSR_FtStopped_Pos) /*!< TPI FFSR: FtStopped Mask */ + +#define TPI_FFSR_FlInProg_Pos 0 /*!< TPI FFSR: FlInProg Position */ +#define TPI_FFSR_FlInProg_Msk (0x1UL << TPI_FFSR_FlInProg_Pos) /*!< TPI FFSR: FlInProg Mask */ + +/* TPI Formatter and Flush Control Register Definitions */ +#define TPI_FFCR_TrigIn_Pos 8 /*!< TPI FFCR: TrigIn Position */ +#define TPI_FFCR_TrigIn_Msk (0x1UL << TPI_FFCR_TrigIn_Pos) /*!< TPI FFCR: TrigIn Mask */ + +#define TPI_FFCR_EnFCont_Pos 1 /*!< TPI FFCR: EnFCont Position */ +#define TPI_FFCR_EnFCont_Msk (0x1UL << TPI_FFCR_EnFCont_Pos) /*!< TPI FFCR: EnFCont Mask */ + +/* TPI TRIGGER Register Definitions */ +#define TPI_TRIGGER_TRIGGER_Pos 0 /*!< TPI TRIGGER: TRIGGER Position */ +#define TPI_TRIGGER_TRIGGER_Msk (0x1UL << TPI_TRIGGER_TRIGGER_Pos) /*!< TPI TRIGGER: TRIGGER Mask */ + +/* TPI Integration ETM Data Register Definitions (FIFO0) */ +#define TPI_FIFO0_ITM_ATVALID_Pos 29 /*!< TPI FIFO0: ITM_ATVALID Position */ +#define TPI_FIFO0_ITM_ATVALID_Msk (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos) /*!< TPI FIFO0: ITM_ATVALID Mask */ + +#define TPI_FIFO0_ITM_bytecount_Pos 27 /*!< TPI FIFO0: ITM_bytecount Position */ +#define TPI_FIFO0_ITM_bytecount_Msk (0x3UL << TPI_FIFO0_ITM_bytecount_Pos) /*!< TPI FIFO0: ITM_bytecount Mask */ + +#define TPI_FIFO0_ETM_ATVALID_Pos 26 /*!< TPI FIFO0: ETM_ATVALID Position */ +#define TPI_FIFO0_ETM_ATVALID_Msk (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos) /*!< TPI FIFO0: ETM_ATVALID Mask */ + +#define TPI_FIFO0_ETM_bytecount_Pos 24 /*!< TPI FIFO0: ETM_bytecount Position */ +#define TPI_FIFO0_ETM_bytecount_Msk (0x3UL << TPI_FIFO0_ETM_bytecount_Pos) /*!< TPI FIFO0: ETM_bytecount Mask */ + +#define TPI_FIFO0_ETM2_Pos 16 /*!< TPI FIFO0: ETM2 Position */ +#define TPI_FIFO0_ETM2_Msk (0xFFUL << TPI_FIFO0_ETM2_Pos) /*!< TPI FIFO0: ETM2 Mask */ + +#define TPI_FIFO0_ETM1_Pos 8 /*!< TPI FIFO0: ETM1 Position */ +#define TPI_FIFO0_ETM1_Msk (0xFFUL << TPI_FIFO0_ETM1_Pos) /*!< TPI FIFO0: ETM1 Mask */ + +#define TPI_FIFO0_ETM0_Pos 0 /*!< TPI FIFO0: ETM0 Position */ +#define TPI_FIFO0_ETM0_Msk (0xFFUL << TPI_FIFO0_ETM0_Pos) /*!< TPI FIFO0: ETM0 Mask */ + +/* TPI ITATBCTR2 Register Definitions */ +#define TPI_ITATBCTR2_ATREADY_Pos 0 /*!< TPI ITATBCTR2: ATREADY Position */ +#define TPI_ITATBCTR2_ATREADY_Msk (0x1UL << TPI_ITATBCTR2_ATREADY_Pos) /*!< TPI ITATBCTR2: ATREADY Mask */ + +/* TPI Integration ITM Data Register Definitions (FIFO1) */ +#define TPI_FIFO1_ITM_ATVALID_Pos 29 /*!< TPI FIFO1: ITM_ATVALID Position */ +#define TPI_FIFO1_ITM_ATVALID_Msk (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos) /*!< TPI FIFO1: ITM_ATVALID Mask */ + +#define TPI_FIFO1_ITM_bytecount_Pos 27 /*!< TPI FIFO1: ITM_bytecount Position */ +#define TPI_FIFO1_ITM_bytecount_Msk (0x3UL << TPI_FIFO1_ITM_bytecount_Pos) /*!< TPI FIFO1: ITM_bytecount Mask */ + +#define TPI_FIFO1_ETM_ATVALID_Pos 26 /*!< TPI FIFO1: ETM_ATVALID Position */ +#define TPI_FIFO1_ETM_ATVALID_Msk (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos) /*!< TPI FIFO1: ETM_ATVALID Mask */ + +#define TPI_FIFO1_ETM_bytecount_Pos 24 /*!< TPI FIFO1: ETM_bytecount Position */ +#define TPI_FIFO1_ETM_bytecount_Msk (0x3UL << TPI_FIFO1_ETM_bytecount_Pos) /*!< TPI FIFO1: ETM_bytecount Mask */ + +#define TPI_FIFO1_ITM2_Pos 16 /*!< TPI FIFO1: ITM2 Position */ +#define TPI_FIFO1_ITM2_Msk (0xFFUL << TPI_FIFO1_ITM2_Pos) /*!< TPI FIFO1: ITM2 Mask */ + +#define TPI_FIFO1_ITM1_Pos 8 /*!< TPI FIFO1: ITM1 Position */ +#define TPI_FIFO1_ITM1_Msk (0xFFUL << TPI_FIFO1_ITM1_Pos) /*!< TPI FIFO1: ITM1 Mask */ + +#define TPI_FIFO1_ITM0_Pos 0 /*!< TPI FIFO1: ITM0 Position */ +#define TPI_FIFO1_ITM0_Msk (0xFFUL << TPI_FIFO1_ITM0_Pos) /*!< TPI FIFO1: ITM0 Mask */ + +/* TPI ITATBCTR0 Register Definitions */ +#define TPI_ITATBCTR0_ATREADY_Pos 0 /*!< TPI ITATBCTR0: ATREADY Position */ +#define TPI_ITATBCTR0_ATREADY_Msk (0x1UL << TPI_ITATBCTR0_ATREADY_Pos) /*!< TPI ITATBCTR0: ATREADY Mask */ + +/* TPI Integration Mode Control Register Definitions */ +#define TPI_ITCTRL_Mode_Pos 0 /*!< TPI ITCTRL: Mode Position */ +#define TPI_ITCTRL_Mode_Msk (0x1UL << TPI_ITCTRL_Mode_Pos) /*!< TPI ITCTRL: Mode Mask */ + +/* TPI DEVID Register Definitions */ +#define TPI_DEVID_NRZVALID_Pos 11 /*!< TPI DEVID: NRZVALID Position */ +#define TPI_DEVID_NRZVALID_Msk (0x1UL << TPI_DEVID_NRZVALID_Pos) /*!< TPI DEVID: NRZVALID Mask */ + +#define TPI_DEVID_MANCVALID_Pos 10 /*!< TPI DEVID: MANCVALID Position */ +#define TPI_DEVID_MANCVALID_Msk (0x1UL << TPI_DEVID_MANCVALID_Pos) /*!< TPI DEVID: MANCVALID Mask */ + +#define TPI_DEVID_PTINVALID_Pos 9 /*!< TPI DEVID: PTINVALID Position */ +#define TPI_DEVID_PTINVALID_Msk (0x1UL << TPI_DEVID_PTINVALID_Pos) /*!< TPI DEVID: PTINVALID Mask */ + +#define TPI_DEVID_MinBufSz_Pos 6 /*!< TPI DEVID: MinBufSz Position */ +#define TPI_DEVID_MinBufSz_Msk (0x7UL << TPI_DEVID_MinBufSz_Pos) /*!< TPI DEVID: MinBufSz Mask */ + +#define TPI_DEVID_AsynClkIn_Pos 5 /*!< TPI DEVID: AsynClkIn Position */ +#define TPI_DEVID_AsynClkIn_Msk (0x1UL << TPI_DEVID_AsynClkIn_Pos) /*!< TPI DEVID: AsynClkIn Mask */ + +#define TPI_DEVID_NrTraceInput_Pos 0 /*!< TPI DEVID: NrTraceInput Position */ +#define TPI_DEVID_NrTraceInput_Msk (0x1FUL << TPI_DEVID_NrTraceInput_Pos) /*!< TPI DEVID: NrTraceInput Mask */ + +/* TPI DEVTYPE Register Definitions */ +#define TPI_DEVTYPE_SubType_Pos 0 /*!< TPI DEVTYPE: SubType Position */ +#define TPI_DEVTYPE_SubType_Msk (0xFUL << TPI_DEVTYPE_SubType_Pos) /*!< TPI DEVTYPE: SubType Mask */ + +#define TPI_DEVTYPE_MajorType_Pos 4 /*!< TPI DEVTYPE: MajorType Position */ +#define TPI_DEVTYPE_MajorType_Msk (0xFUL << TPI_DEVTYPE_MajorType_Pos) /*!< TPI DEVTYPE: MajorType Mask */ + +/*@}*/ /* end of group CMSIS_TPI */ + + +#if (__MPU_PRESENT == 1) +/** \ingroup CMSIS_core_register + \defgroup CMSIS_MPU Memory Protection Unit (MPU) + \brief Type definitions for the Memory Protection Unit (MPU) + @{ + */ + +/** \brief Structure type to access the Memory Protection Unit (MPU). + */ +typedef struct +{ + __I uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */ + __IO uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */ + __IO uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */ + __IO uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */ + __IO uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */ + __IO uint32_t RBAR_A1; /*!< Offset: 0x014 (R/W) MPU Alias 1 Region Base Address Register */ + __IO uint32_t RASR_A1; /*!< Offset: 0x018 (R/W) MPU Alias 1 Region Attribute and Size Register */ + __IO uint32_t RBAR_A2; /*!< Offset: 0x01C (R/W) MPU Alias 2 Region Base Address Register */ + __IO uint32_t RASR_A2; /*!< Offset: 0x020 (R/W) MPU Alias 2 Region Attribute and Size Register */ + __IO uint32_t RBAR_A3; /*!< Offset: 0x024 (R/W) MPU Alias 3 Region Base Address Register */ + __IO uint32_t RASR_A3; /*!< Offset: 0x028 (R/W) MPU Alias 3 Region Attribute and Size Register */ +} MPU_Type; + +/* MPU Type Register */ +#define MPU_TYPE_IREGION_Pos 16 /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8 /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0 /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1UL << MPU_TYPE_SEPARATE_Pos) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register */ +#define MPU_CTRL_PRIVDEFENA_Pos 2 /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1 /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0 /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1UL << MPU_CTRL_ENABLE_Pos) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register */ +#define MPU_RNR_REGION_Pos 0 /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFUL << MPU_RNR_REGION_Pos) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register */ +#define MPU_RBAR_ADDR_Pos 5 /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0x7FFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4 /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0 /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFUL << MPU_RBAR_REGION_Pos) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register */ +#define MPU_RASR_ATTRS_Pos 16 /*!< MPU RASR: MPU Region Attribute field Position */ +#define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */ + +#define MPU_RASR_XN_Pos 28 /*!< MPU RASR: ATTRS.XN Position */ +#define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */ + +#define MPU_RASR_AP_Pos 24 /*!< MPU RASR: ATTRS.AP Position */ +#define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */ + +#define MPU_RASR_TEX_Pos 19 /*!< MPU RASR: ATTRS.TEX Position */ +#define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */ + +#define MPU_RASR_S_Pos 18 /*!< MPU RASR: ATTRS.S Position */ +#define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */ + +#define MPU_RASR_C_Pos 17 /*!< MPU RASR: ATTRS.C Position */ +#define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */ + +#define MPU_RASR_B_Pos 16 /*!< MPU RASR: ATTRS.B Position */ +#define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */ + +#define MPU_RASR_SRD_Pos 8 /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1 /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENABLE_Pos 0 /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENABLE_Msk (1UL << MPU_RASR_ENABLE_Pos) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@} end of group CMSIS_MPU */ +#endif + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Type definitions for the Core Debug Registers + @{ + */ + +/** \brief Structure type to access the Core Debug Register (CoreDebug). + */ +typedef struct +{ + __IO uint32_t DHCSR; /*!< Offset: 0x000 (R/W) Debug Halting Control and Status Register */ + __O uint32_t DCRSR; /*!< Offset: 0x004 ( /W) Debug Core Register Selector Register */ + __IO uint32_t DCRDR; /*!< Offset: 0x008 (R/W) Debug Core Register Data Register */ + __IO uint32_t DEMCR; /*!< Offset: 0x00C (R/W) Debug Exception and Monitor Control Register */ +} CoreDebug_Type; + +/* Debug Halting Control and Status Register */ +#define CoreDebug_DHCSR_DBGKEY_Pos 16 /*!< CoreDebug DHCSR: DBGKEY Position */ +#define CoreDebug_DHCSR_DBGKEY_Msk (0xFFFFUL << CoreDebug_DHCSR_DBGKEY_Pos) /*!< CoreDebug DHCSR: DBGKEY Mask */ + +#define CoreDebug_DHCSR_S_RESET_ST_Pos 25 /*!< CoreDebug DHCSR: S_RESET_ST Position */ +#define CoreDebug_DHCSR_S_RESET_ST_Msk (1UL << CoreDebug_DHCSR_S_RESET_ST_Pos) /*!< CoreDebug DHCSR: S_RESET_ST Mask */ + +#define CoreDebug_DHCSR_S_RETIRE_ST_Pos 24 /*!< CoreDebug DHCSR: S_RETIRE_ST Position */ +#define CoreDebug_DHCSR_S_RETIRE_ST_Msk (1UL << CoreDebug_DHCSR_S_RETIRE_ST_Pos) /*!< CoreDebug DHCSR: S_RETIRE_ST Mask */ + +#define CoreDebug_DHCSR_S_LOCKUP_Pos 19 /*!< CoreDebug DHCSR: S_LOCKUP Position */ +#define CoreDebug_DHCSR_S_LOCKUP_Msk (1UL << CoreDebug_DHCSR_S_LOCKUP_Pos) /*!< CoreDebug DHCSR: S_LOCKUP Mask */ + +#define CoreDebug_DHCSR_S_SLEEP_Pos 18 /*!< CoreDebug DHCSR: S_SLEEP Position */ +#define CoreDebug_DHCSR_S_SLEEP_Msk (1UL << CoreDebug_DHCSR_S_SLEEP_Pos) /*!< CoreDebug DHCSR: S_SLEEP Mask */ + +#define CoreDebug_DHCSR_S_HALT_Pos 17 /*!< CoreDebug DHCSR: S_HALT Position */ +#define CoreDebug_DHCSR_S_HALT_Msk (1UL << CoreDebug_DHCSR_S_HALT_Pos) /*!< CoreDebug DHCSR: S_HALT Mask */ + +#define CoreDebug_DHCSR_S_REGRDY_Pos 16 /*!< CoreDebug DHCSR: S_REGRDY Position */ +#define CoreDebug_DHCSR_S_REGRDY_Msk (1UL << CoreDebug_DHCSR_S_REGRDY_Pos) /*!< CoreDebug DHCSR: S_REGRDY Mask */ + +#define CoreDebug_DHCSR_C_SNAPSTALL_Pos 5 /*!< CoreDebug DHCSR: C_SNAPSTALL Position */ +#define CoreDebug_DHCSR_C_SNAPSTALL_Msk (1UL << CoreDebug_DHCSR_C_SNAPSTALL_Pos) /*!< CoreDebug DHCSR: C_SNAPSTALL Mask */ + +#define CoreDebug_DHCSR_C_MASKINTS_Pos 3 /*!< CoreDebug DHCSR: C_MASKINTS Position */ +#define CoreDebug_DHCSR_C_MASKINTS_Msk (1UL << CoreDebug_DHCSR_C_MASKINTS_Pos) /*!< CoreDebug DHCSR: C_MASKINTS Mask */ + +#define CoreDebug_DHCSR_C_STEP_Pos 2 /*!< CoreDebug DHCSR: C_STEP Position */ +#define CoreDebug_DHCSR_C_STEP_Msk (1UL << CoreDebug_DHCSR_C_STEP_Pos) /*!< CoreDebug DHCSR: C_STEP Mask */ + +#define CoreDebug_DHCSR_C_HALT_Pos 1 /*!< CoreDebug DHCSR: C_HALT Position */ +#define CoreDebug_DHCSR_C_HALT_Msk (1UL << CoreDebug_DHCSR_C_HALT_Pos) /*!< CoreDebug DHCSR: C_HALT Mask */ + +#define CoreDebug_DHCSR_C_DEBUGEN_Pos 0 /*!< CoreDebug DHCSR: C_DEBUGEN Position */ +#define CoreDebug_DHCSR_C_DEBUGEN_Msk (1UL << CoreDebug_DHCSR_C_DEBUGEN_Pos) /*!< CoreDebug DHCSR: C_DEBUGEN Mask */ + +/* Debug Core Register Selector Register */ +#define CoreDebug_DCRSR_REGWnR_Pos 16 /*!< CoreDebug DCRSR: REGWnR Position */ +#define CoreDebug_DCRSR_REGWnR_Msk (1UL << CoreDebug_DCRSR_REGWnR_Pos) /*!< CoreDebug DCRSR: REGWnR Mask */ + +#define CoreDebug_DCRSR_REGSEL_Pos 0 /*!< CoreDebug DCRSR: REGSEL Position */ +#define CoreDebug_DCRSR_REGSEL_Msk (0x1FUL << CoreDebug_DCRSR_REGSEL_Pos) /*!< CoreDebug DCRSR: REGSEL Mask */ + +/* Debug Exception and Monitor Control Register */ +#define CoreDebug_DEMCR_TRCENA_Pos 24 /*!< CoreDebug DEMCR: TRCENA Position */ +#define CoreDebug_DEMCR_TRCENA_Msk (1UL << CoreDebug_DEMCR_TRCENA_Pos) /*!< CoreDebug DEMCR: TRCENA Mask */ + +#define CoreDebug_DEMCR_MON_REQ_Pos 19 /*!< CoreDebug DEMCR: MON_REQ Position */ +#define CoreDebug_DEMCR_MON_REQ_Msk (1UL << CoreDebug_DEMCR_MON_REQ_Pos) /*!< CoreDebug DEMCR: MON_REQ Mask */ + +#define CoreDebug_DEMCR_MON_STEP_Pos 18 /*!< CoreDebug DEMCR: MON_STEP Position */ +#define CoreDebug_DEMCR_MON_STEP_Msk (1UL << CoreDebug_DEMCR_MON_STEP_Pos) /*!< CoreDebug DEMCR: MON_STEP Mask */ + +#define CoreDebug_DEMCR_MON_PEND_Pos 17 /*!< CoreDebug DEMCR: MON_PEND Position */ +#define CoreDebug_DEMCR_MON_PEND_Msk (1UL << CoreDebug_DEMCR_MON_PEND_Pos) /*!< CoreDebug DEMCR: MON_PEND Mask */ + +#define CoreDebug_DEMCR_MON_EN_Pos 16 /*!< CoreDebug DEMCR: MON_EN Position */ +#define CoreDebug_DEMCR_MON_EN_Msk (1UL << CoreDebug_DEMCR_MON_EN_Pos) /*!< CoreDebug DEMCR: MON_EN Mask */ + +#define CoreDebug_DEMCR_VC_HARDERR_Pos 10 /*!< CoreDebug DEMCR: VC_HARDERR Position */ +#define CoreDebug_DEMCR_VC_HARDERR_Msk (1UL << CoreDebug_DEMCR_VC_HARDERR_Pos) /*!< CoreDebug DEMCR: VC_HARDERR Mask */ + +#define CoreDebug_DEMCR_VC_INTERR_Pos 9 /*!< CoreDebug DEMCR: VC_INTERR Position */ +#define CoreDebug_DEMCR_VC_INTERR_Msk (1UL << CoreDebug_DEMCR_VC_INTERR_Pos) /*!< CoreDebug DEMCR: VC_INTERR Mask */ + +#define CoreDebug_DEMCR_VC_BUSERR_Pos 8 /*!< CoreDebug DEMCR: VC_BUSERR Position */ +#define CoreDebug_DEMCR_VC_BUSERR_Msk (1UL << CoreDebug_DEMCR_VC_BUSERR_Pos) /*!< CoreDebug DEMCR: VC_BUSERR Mask */ + +#define CoreDebug_DEMCR_VC_STATERR_Pos 7 /*!< CoreDebug DEMCR: VC_STATERR Position */ +#define CoreDebug_DEMCR_VC_STATERR_Msk (1UL << CoreDebug_DEMCR_VC_STATERR_Pos) /*!< CoreDebug DEMCR: VC_STATERR Mask */ + +#define CoreDebug_DEMCR_VC_CHKERR_Pos 6 /*!< CoreDebug DEMCR: VC_CHKERR Position */ +#define CoreDebug_DEMCR_VC_CHKERR_Msk (1UL << CoreDebug_DEMCR_VC_CHKERR_Pos) /*!< CoreDebug DEMCR: VC_CHKERR Mask */ + +#define CoreDebug_DEMCR_VC_NOCPERR_Pos 5 /*!< CoreDebug DEMCR: VC_NOCPERR Position */ +#define CoreDebug_DEMCR_VC_NOCPERR_Msk (1UL << CoreDebug_DEMCR_VC_NOCPERR_Pos) /*!< CoreDebug DEMCR: VC_NOCPERR Mask */ + +#define CoreDebug_DEMCR_VC_MMERR_Pos 4 /*!< CoreDebug DEMCR: VC_MMERR Position */ +#define CoreDebug_DEMCR_VC_MMERR_Msk (1UL << CoreDebug_DEMCR_VC_MMERR_Pos) /*!< CoreDebug DEMCR: VC_MMERR Mask */ + +#define CoreDebug_DEMCR_VC_CORERESET_Pos 0 /*!< CoreDebug DEMCR: VC_CORERESET Position */ +#define CoreDebug_DEMCR_VC_CORERESET_Msk (1UL << CoreDebug_DEMCR_VC_CORERESET_Pos) /*!< CoreDebug DEMCR: VC_CORERESET Mask */ + +/*@} end of group CMSIS_CoreDebug */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Cortex-M3 Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define ITM_BASE (0xE0000000UL) /*!< ITM Base Address */ +#define DWT_BASE (0xE0001000UL) /*!< DWT Base Address */ +#define TPI_BASE (0xE0040000UL) /*!< TPI Base Address */ +#define CoreDebug_BASE (0xE000EDF0UL) /*!< Core Debug Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCnSCB ((SCnSCB_Type *) SCS_BASE ) /*!< System control Register not in SCB */ +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ +#define ITM ((ITM_Type *) ITM_BASE ) /*!< ITM configuration struct */ +#define DWT ((DWT_Type *) DWT_BASE ) /*!< DWT configuration struct */ +#define TPI ((TPI_Type *) TPI_BASE ) /*!< TPI configuration struct */ +#define CoreDebug ((CoreDebug_Type *) CoreDebug_BASE) /*!< Core Debug configuration struct */ + +#if (__MPU_PRESENT == 1) + #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */ +#endif + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Debug Functions + - Core Register Access Functions + ******************************************************************************/ +/** \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +/** \brief Set Priority Grouping + + The function sets the priority grouping field using the required unlock sequence. + The parameter PriorityGroup is assigned to the field SCB->AIRCR [10:8] PRIGROUP field. + Only values from 0..7 are used. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + + \param [in] PriorityGroup Priority grouping field. + */ +__STATIC_INLINE void NVIC_SetPriorityGrouping(uint32_t PriorityGroup) +{ + uint32_t reg_value; + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07); /* only values 0..7 are used */ + + reg_value = SCB->AIRCR; /* read old register configuration */ + reg_value &= ~(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk); /* clear bits to change */ + reg_value = (reg_value | + ((uint32_t)0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (PriorityGroupTmp << 8)); /* Insert write key and priorty group */ + SCB->AIRCR = reg_value; +} + + +/** \brief Get Priority Grouping + + The function reads the priority grouping field from the NVIC Interrupt Controller. + + \return Priority grouping field (SCB->AIRCR [10:8] PRIGROUP field). + */ +__STATIC_INLINE uint32_t NVIC_GetPriorityGrouping(void) +{ + return ((SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) >> SCB_AIRCR_PRIGROUP_Pos); /* read priority grouping field */ +} + + +/** \brief Enable External Interrupt + + The function enables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ + NVIC->ISER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* enable interrupt */ +} + + +/** \brief Disable External Interrupt + + The function disables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* disable interrupt */ +} + + +/** \brief Get Pending Interrupt + + The function reads the pending register in the NVIC and returns the pending bit + for the specified interrupt. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + */ +__STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t) ((NVIC->ISPR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if pending else 0 */ +} + + +/** \brief Set Pending Interrupt + + The function sets the pending bit of an external interrupt. + + \param [in] IRQn Interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* set interrupt pending */ +} + + +/** \brief Clear Pending Interrupt + + The function clears the pending bit of an external interrupt. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* Clear pending interrupt */ +} + + +/** \brief Get Active Interrupt + + The function reads the active register in NVIC and returns the active bit. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not active. + \return 1 Interrupt status is active. + */ +__STATIC_INLINE uint32_t NVIC_GetActive(IRQn_Type IRQn) +{ + return((uint32_t)((NVIC->IABR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if active else 0 */ +} + + +/** \brief Set Interrupt Priority + + The function sets the priority of an interrupt. + + \note The priority cannot be set for every core interrupt. + + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + */ +__STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if(IRQn < 0) { + SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for Cortex-M System Interrupts */ + else { + NVIC->IP[(uint32_t)(IRQn)] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for device specific Interrupts */ +} + + +/** \brief Get Interrupt Priority + + The function reads the priority of an interrupt. The interrupt + number can be positive to specify an external (device specific) + interrupt, or negative to specify an internal (core) interrupt. + + + \param [in] IRQn Interrupt number. + \return Interrupt Priority. Value is aligned automatically to the implemented + priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if(IRQn < 0) { + return((uint32_t)(SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for Cortex-M system interrupts */ + else { + return((uint32_t)(NVIC->IP[(uint32_t)(IRQn)] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for device specific interrupts */ +} + + +/** \brief Encode Priority + + The function encodes the priority for an interrupt with the given priority group, + preemptive priority value, and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + + \param [in] PriorityGroup Used priority group. + \param [in] PreemptPriority Preemptive priority value (starting from 0). + \param [in] SubPriority Subpriority value (starting from 0). + \return Encoded priority. Value can be used in the function \ref NVIC_SetPriority(). + */ +__STATIC_INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + return ( + ((PreemptPriority & ((1 << (PreemptPriorityBits)) - 1)) << SubPriorityBits) | + ((SubPriority & ((1 << (SubPriorityBits )) - 1))) + ); +} + + +/** \brief Decode Priority + + The function decodes an interrupt priority value with a given priority group to + preemptive priority value and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS) the smallest possible priority group is set. + + \param [in] Priority Priority value, which can be retrieved with the function \ref NVIC_GetPriority(). + \param [in] PriorityGroup Used priority group. + \param [out] pPreemptPriority Preemptive priority value (starting from 0). + \param [out] pSubPriority Subpriority value (starting from 0). + */ +__STATIC_INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* pPreemptPriority, uint32_t* pSubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + *pPreemptPriority = (Priority >> SubPriorityBits) & ((1 << (PreemptPriorityBits)) - 1); + *pSubPriority = (Priority ) & ((1 << (SubPriorityBits )) - 1); +} + + +/** \brief System Reset + + The function initiates a system reset request to reset the MCU. + */ +__STATIC_INLINE void NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = ((0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) | + SCB_AIRCR_SYSRESETREQ_Msk); /* Keep priority group unchanged */ + __DSB(); /* Ensure completion of memory access */ + while(1); /* wait until reset */ +} + +/*@} end of CMSIS_Core_NVICFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if (__Vendor_SysTickConfig == 0) + +/** \brief System Tick Configuration + + The function initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + + \param [in] ticks Number of ticks between two interrupts. + + \return 0 Function succeeded. + \return 1 Function failed. + + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if ((ticks - 1) > SysTick_LOAD_RELOAD_Msk) return (1); /* Reload value impossible */ + + SysTick->LOAD = ticks - 1; /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1<<__NVIC_PRIO_BITS) - 1); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + +/* ##################################### Debug In/Output function ########################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_core_DebugFunctions ITM Functions + \brief Functions that access the ITM debug interface. + @{ + */ + +extern volatile int32_t ITM_RxBuffer; /*!< External variable to receive characters. */ +#define ITM_RXBUFFER_EMPTY 0x5AA55AA5 /*!< Value identifying \ref ITM_RxBuffer is ready for next character. */ + + +/** \brief ITM Send Character + + The function transmits a character via the ITM channel 0, and + \li Just returns when no debugger is connected that has booked the output. + \li Is blocking when a debugger is connected, but the previous character sent has not been transmitted. + + \param [in] ch Character to transmit. + + \returns Character to transmit. + */ +__STATIC_INLINE uint32_t ITM_SendChar (uint32_t ch) +{ + if ((ITM->TCR & ITM_TCR_ITMENA_Msk) && /* ITM enabled */ + (ITM->TER & (1UL << 0) ) ) /* ITM Port #0 enabled */ + { + while (ITM->PORT[0].u32 == 0); + ITM->PORT[0].u8 = (uint8_t) ch; + } + return (ch); +} + + +/** \brief ITM Receive Character + + The function inputs a character via the external variable \ref ITM_RxBuffer. + + \return Received character. + \return -1 No character pending. + */ +__STATIC_INLINE int32_t ITM_ReceiveChar (void) { + int32_t ch = -1; /* no character available */ + + if (ITM_RxBuffer != ITM_RXBUFFER_EMPTY) { + ch = ITM_RxBuffer; + ITM_RxBuffer = ITM_RXBUFFER_EMPTY; /* ready for next character */ + } + + return (ch); +} + + +/** \brief ITM Check Character + + The function checks whether a character is pending for reading in the variable \ref ITM_RxBuffer. + + \return 0 No character available. + \return 1 Character available. + */ +__STATIC_INLINE int32_t ITM_CheckChar (void) { + + if (ITM_RxBuffer == ITM_RXBUFFER_EMPTY) { + return (0); /* no character available */ + } else { + return (1); /* character available */ + } +} + +/*@} end of CMSIS_core_DebugFunctions */ + + + + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM3_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ diff --git a/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm4.h b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm4.h new file mode 100644 index 0000000000..bb6be1305d --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm4.h @@ -0,0 +1,1802 @@ +/**************************************************************************//** + * @file core_cm4.h + * @brief CMSIS Cortex-M4 Core Peripheral Access Layer Header File + * @version V4.00 + * @date 22. August 2014 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2014 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#endif + +#ifndef __CORE_CM4_H_GENERIC +#define __CORE_CM4_H_GENERIC + +#ifdef __cplusplus + extern "C" { +#endif + +/** \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
+ Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
+ Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
+ Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** \ingroup Cortex_M4 + @{ + */ + +/* CMSIS CM4 definitions */ +#define __CM4_CMSIS_VERSION_MAIN (0x04) /*!< [31:16] CMSIS HAL main version */ +#define __CM4_CMSIS_VERSION_SUB (0x00) /*!< [15:0] CMSIS HAL sub version */ +#define __CM4_CMSIS_VERSION ((__CM4_CMSIS_VERSION_MAIN << 16) | \ + __CM4_CMSIS_VERSION_SUB ) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x04) /*!< Cortex-M Core */ + + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */ + #define __STATIC_INLINE static inline + +#elif defined ( __TMS470__ ) + #define __ASM __asm /*!< asm keyword for TI CCS Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __CSMC__ ) + #define __packed + #define __ASM _asm /*!< asm keyword for COSMIC Compiler */ + #define __INLINE inline /*use -pc99 on compile line !< inline keyword for COSMIC Compiler */ + #define __STATIC_INLINE static inline + +#endif + +/** __FPU_USED indicates whether an FPU is used or not. + For this, __FPU_PRESENT has to be checked prior to making use of FPU specific registers and functions. +*/ +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __TMS470__ ) + #if defined __TI_VFP_SUPPORT__ + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __CSMC__ ) /* Cosmic */ + #if ( __CSMC__ & 0x400) // FPU present for parser + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif +#endif + +#include /* standard types definitions */ +#include /* Core Instruction Access */ +#include /* Core Function Access */ +#include /* Compiler specific SIMD Intrinsics */ + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM4_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM4_H_DEPENDANT +#define __CORE_CM4_H_DEPENDANT + +#ifdef __cplusplus + extern "C" { +#endif + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM4_REV + #define __CM4_REV 0x0000 + #warning "__CM4_REV not defined in device header file; using default!" + #endif + + #ifndef __FPU_PRESENT + #define __FPU_PRESENT 0 + #warning "__FPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __MPU_PRESENT + #define __MPU_PRESENT 0 + #warning "__MPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 4 + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0 + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/*@} end of group Cortex_M4 */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + - Core Debug Register + - Core MPU Register + - Core FPU Register + ******************************************************************************/ +/** \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:27; /*!< bit: 0..26 Reserved */ +#else + uint32_t _reserved0:16; /*!< bit: 0..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:7; /*!< bit: 20..26 Reserved */ +#endif + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + + +/** \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + + +/** \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:15; /*!< bit: 9..23 Reserved */ +#else + uint32_t _reserved0:7; /*!< bit: 9..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:4; /*!< bit: 20..23 Reserved */ +#endif + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t IT:2; /*!< bit: 25..26 saved IT state (read 0) */ + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + + +/** \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t FPCA:1; /*!< bit: 2 FP extension active flag */ + uint32_t _reserved0:29; /*!< bit: 3..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/*@} end of group CMSIS_CORE */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IO uint32_t ISER[8]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[24]; + __IO uint32_t ICER[8]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[24]; + __IO uint32_t ISPR[8]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[24]; + __IO uint32_t ICPR[8]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[24]; + __IO uint32_t IABR[8]; /*!< Offset: 0x200 (R/W) Interrupt Active bit Register */ + uint32_t RESERVED4[56]; + __IO uint8_t IP[240]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register (8Bit wide) */ + uint32_t RESERVED5[644]; + __O uint32_t STIR; /*!< Offset: 0xE00 ( /W) Software Trigger Interrupt Register */ +} NVIC_Type; + +/* Software Triggered Interrupt Register Definitions */ +#define NVIC_STIR_INTID_Pos 0 /*!< STIR: INTLINESNUM Position */ +#define NVIC_STIR_INTID_Msk (0x1FFUL << NVIC_STIR_INTID_Pos) /*!< STIR: INTLINESNUM Mask */ + +/*@} end of group CMSIS_NVIC */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __I uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IO uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ + __IO uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */ + __IO uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IO uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IO uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + __IO uint8_t SHP[12]; /*!< Offset: 0x018 (R/W) System Handlers Priority Registers (4-7, 8-11, 12-15) */ + __IO uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ + __IO uint32_t CFSR; /*!< Offset: 0x028 (R/W) Configurable Fault Status Register */ + __IO uint32_t HFSR; /*!< Offset: 0x02C (R/W) HardFault Status Register */ + __IO uint32_t DFSR; /*!< Offset: 0x030 (R/W) Debug Fault Status Register */ + __IO uint32_t MMFAR; /*!< Offset: 0x034 (R/W) MemManage Fault Address Register */ + __IO uint32_t BFAR; /*!< Offset: 0x038 (R/W) BusFault Address Register */ + __IO uint32_t AFSR; /*!< Offset: 0x03C (R/W) Auxiliary Fault Status Register */ + __I uint32_t PFR[2]; /*!< Offset: 0x040 (R/ ) Processor Feature Register */ + __I uint32_t DFR; /*!< Offset: 0x048 (R/ ) Debug Feature Register */ + __I uint32_t ADR; /*!< Offset: 0x04C (R/ ) Auxiliary Feature Register */ + __I uint32_t MMFR[4]; /*!< Offset: 0x050 (R/ ) Memory Model Feature Register */ + __I uint32_t ISAR[5]; /*!< Offset: 0x060 (R/ ) Instruction Set Attributes Register */ + uint32_t RESERVED0[5]; + __IO uint32_t CPACR; /*!< Offset: 0x088 (R/W) Coprocessor Access Control Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24 /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20 /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16 /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4 /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0 /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL << SCB_CPUID_REVISION_Pos) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31 /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28 /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27 /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26 /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25 /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23 /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22 /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12 /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_RETTOBASE_Pos 11 /*!< SCB ICSR: RETTOBASE Position */ +#define SCB_ICSR_RETTOBASE_Msk (1UL << SCB_ICSR_RETTOBASE_Pos) /*!< SCB ICSR: RETTOBASE Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0 /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL << SCB_ICSR_VECTACTIVE_Pos) /*!< SCB ICSR: VECTACTIVE Mask */ + +/* SCB Vector Table Offset Register Definitions */ +#define SCB_VTOR_TBLOFF_Pos 7 /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x1FFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16 /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16 /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15 /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_PRIGROUP_Pos 8 /*!< SCB AIRCR: PRIGROUP Position */ +#define SCB_AIRCR_PRIGROUP_Msk (7UL << SCB_AIRCR_PRIGROUP_Pos) /*!< SCB AIRCR: PRIGROUP Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2 /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1 /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +#define SCB_AIRCR_VECTRESET_Pos 0 /*!< SCB AIRCR: VECTRESET Position */ +#define SCB_AIRCR_VECTRESET_Msk (1UL << SCB_AIRCR_VECTRESET_Pos) /*!< SCB AIRCR: VECTRESET Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4 /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2 /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1 /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9 /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_BFHFNMIGN_Pos 8 /*!< SCB CCR: BFHFNMIGN Position */ +#define SCB_CCR_BFHFNMIGN_Msk (1UL << SCB_CCR_BFHFNMIGN_Pos) /*!< SCB CCR: BFHFNMIGN Mask */ + +#define SCB_CCR_DIV_0_TRP_Pos 4 /*!< SCB CCR: DIV_0_TRP Position */ +#define SCB_CCR_DIV_0_TRP_Msk (1UL << SCB_CCR_DIV_0_TRP_Pos) /*!< SCB CCR: DIV_0_TRP Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3 /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +#define SCB_CCR_USERSETMPEND_Pos 1 /*!< SCB CCR: USERSETMPEND Position */ +#define SCB_CCR_USERSETMPEND_Msk (1UL << SCB_CCR_USERSETMPEND_Pos) /*!< SCB CCR: USERSETMPEND Mask */ + +#define SCB_CCR_NONBASETHRDENA_Pos 0 /*!< SCB CCR: NONBASETHRDENA Position */ +#define SCB_CCR_NONBASETHRDENA_Msk (1UL << SCB_CCR_NONBASETHRDENA_Pos) /*!< SCB CCR: NONBASETHRDENA Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_USGFAULTENA_Pos 18 /*!< SCB SHCSR: USGFAULTENA Position */ +#define SCB_SHCSR_USGFAULTENA_Msk (1UL << SCB_SHCSR_USGFAULTENA_Pos) /*!< SCB SHCSR: USGFAULTENA Mask */ + +#define SCB_SHCSR_BUSFAULTENA_Pos 17 /*!< SCB SHCSR: BUSFAULTENA Position */ +#define SCB_SHCSR_BUSFAULTENA_Msk (1UL << SCB_SHCSR_BUSFAULTENA_Pos) /*!< SCB SHCSR: BUSFAULTENA Mask */ + +#define SCB_SHCSR_MEMFAULTENA_Pos 16 /*!< SCB SHCSR: MEMFAULTENA Position */ +#define SCB_SHCSR_MEMFAULTENA_Msk (1UL << SCB_SHCSR_MEMFAULTENA_Pos) /*!< SCB SHCSR: MEMFAULTENA Mask */ + +#define SCB_SHCSR_SVCALLPENDED_Pos 15 /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +#define SCB_SHCSR_BUSFAULTPENDED_Pos 14 /*!< SCB SHCSR: BUSFAULTPENDED Position */ +#define SCB_SHCSR_BUSFAULTPENDED_Msk (1UL << SCB_SHCSR_BUSFAULTPENDED_Pos) /*!< SCB SHCSR: BUSFAULTPENDED Mask */ + +#define SCB_SHCSR_MEMFAULTPENDED_Pos 13 /*!< SCB SHCSR: MEMFAULTPENDED Position */ +#define SCB_SHCSR_MEMFAULTPENDED_Msk (1UL << SCB_SHCSR_MEMFAULTPENDED_Pos) /*!< SCB SHCSR: MEMFAULTPENDED Mask */ + +#define SCB_SHCSR_USGFAULTPENDED_Pos 12 /*!< SCB SHCSR: USGFAULTPENDED Position */ +#define SCB_SHCSR_USGFAULTPENDED_Msk (1UL << SCB_SHCSR_USGFAULTPENDED_Pos) /*!< SCB SHCSR: USGFAULTPENDED Mask */ + +#define SCB_SHCSR_SYSTICKACT_Pos 11 /*!< SCB SHCSR: SYSTICKACT Position */ +#define SCB_SHCSR_SYSTICKACT_Msk (1UL << SCB_SHCSR_SYSTICKACT_Pos) /*!< SCB SHCSR: SYSTICKACT Mask */ + +#define SCB_SHCSR_PENDSVACT_Pos 10 /*!< SCB SHCSR: PENDSVACT Position */ +#define SCB_SHCSR_PENDSVACT_Msk (1UL << SCB_SHCSR_PENDSVACT_Pos) /*!< SCB SHCSR: PENDSVACT Mask */ + +#define SCB_SHCSR_MONITORACT_Pos 8 /*!< SCB SHCSR: MONITORACT Position */ +#define SCB_SHCSR_MONITORACT_Msk (1UL << SCB_SHCSR_MONITORACT_Pos) /*!< SCB SHCSR: MONITORACT Mask */ + +#define SCB_SHCSR_SVCALLACT_Pos 7 /*!< SCB SHCSR: SVCALLACT Position */ +#define SCB_SHCSR_SVCALLACT_Msk (1UL << SCB_SHCSR_SVCALLACT_Pos) /*!< SCB SHCSR: SVCALLACT Mask */ + +#define SCB_SHCSR_USGFAULTACT_Pos 3 /*!< SCB SHCSR: USGFAULTACT Position */ +#define SCB_SHCSR_USGFAULTACT_Msk (1UL << SCB_SHCSR_USGFAULTACT_Pos) /*!< SCB SHCSR: USGFAULTACT Mask */ + +#define SCB_SHCSR_BUSFAULTACT_Pos 1 /*!< SCB SHCSR: BUSFAULTACT Position */ +#define SCB_SHCSR_BUSFAULTACT_Msk (1UL << SCB_SHCSR_BUSFAULTACT_Pos) /*!< SCB SHCSR: BUSFAULTACT Mask */ + +#define SCB_SHCSR_MEMFAULTACT_Pos 0 /*!< SCB SHCSR: MEMFAULTACT Position */ +#define SCB_SHCSR_MEMFAULTACT_Msk (1UL << SCB_SHCSR_MEMFAULTACT_Pos) /*!< SCB SHCSR: MEMFAULTACT Mask */ + +/* SCB Configurable Fault Status Registers Definitions */ +#define SCB_CFSR_USGFAULTSR_Pos 16 /*!< SCB CFSR: Usage Fault Status Register Position */ +#define SCB_CFSR_USGFAULTSR_Msk (0xFFFFUL << SCB_CFSR_USGFAULTSR_Pos) /*!< SCB CFSR: Usage Fault Status Register Mask */ + +#define SCB_CFSR_BUSFAULTSR_Pos 8 /*!< SCB CFSR: Bus Fault Status Register Position */ +#define SCB_CFSR_BUSFAULTSR_Msk (0xFFUL << SCB_CFSR_BUSFAULTSR_Pos) /*!< SCB CFSR: Bus Fault Status Register Mask */ + +#define SCB_CFSR_MEMFAULTSR_Pos 0 /*!< SCB CFSR: Memory Manage Fault Status Register Position */ +#define SCB_CFSR_MEMFAULTSR_Msk (0xFFUL << SCB_CFSR_MEMFAULTSR_Pos) /*!< SCB CFSR: Memory Manage Fault Status Register Mask */ + +/* SCB Hard Fault Status Registers Definitions */ +#define SCB_HFSR_DEBUGEVT_Pos 31 /*!< SCB HFSR: DEBUGEVT Position */ +#define SCB_HFSR_DEBUGEVT_Msk (1UL << SCB_HFSR_DEBUGEVT_Pos) /*!< SCB HFSR: DEBUGEVT Mask */ + +#define SCB_HFSR_FORCED_Pos 30 /*!< SCB HFSR: FORCED Position */ +#define SCB_HFSR_FORCED_Msk (1UL << SCB_HFSR_FORCED_Pos) /*!< SCB HFSR: FORCED Mask */ + +#define SCB_HFSR_VECTTBL_Pos 1 /*!< SCB HFSR: VECTTBL Position */ +#define SCB_HFSR_VECTTBL_Msk (1UL << SCB_HFSR_VECTTBL_Pos) /*!< SCB HFSR: VECTTBL Mask */ + +/* SCB Debug Fault Status Register Definitions */ +#define SCB_DFSR_EXTERNAL_Pos 4 /*!< SCB DFSR: EXTERNAL Position */ +#define SCB_DFSR_EXTERNAL_Msk (1UL << SCB_DFSR_EXTERNAL_Pos) /*!< SCB DFSR: EXTERNAL Mask */ + +#define SCB_DFSR_VCATCH_Pos 3 /*!< SCB DFSR: VCATCH Position */ +#define SCB_DFSR_VCATCH_Msk (1UL << SCB_DFSR_VCATCH_Pos) /*!< SCB DFSR: VCATCH Mask */ + +#define SCB_DFSR_DWTTRAP_Pos 2 /*!< SCB DFSR: DWTTRAP Position */ +#define SCB_DFSR_DWTTRAP_Msk (1UL << SCB_DFSR_DWTTRAP_Pos) /*!< SCB DFSR: DWTTRAP Mask */ + +#define SCB_DFSR_BKPT_Pos 1 /*!< SCB DFSR: BKPT Position */ +#define SCB_DFSR_BKPT_Msk (1UL << SCB_DFSR_BKPT_Pos) /*!< SCB DFSR: BKPT Mask */ + +#define SCB_DFSR_HALTED_Pos 0 /*!< SCB DFSR: HALTED Position */ +#define SCB_DFSR_HALTED_Msk (1UL << SCB_DFSR_HALTED_Pos) /*!< SCB DFSR: HALTED Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCnSCB System Controls not in SCB (SCnSCB) + \brief Type definitions for the System Control and ID Register not in the SCB + @{ + */ + +/** \brief Structure type to access the System Control and ID Register not in the SCB. + */ +typedef struct +{ + uint32_t RESERVED0[1]; + __I uint32_t ICTR; /*!< Offset: 0x004 (R/ ) Interrupt Controller Type Register */ + __IO uint32_t ACTLR; /*!< Offset: 0x008 (R/W) Auxiliary Control Register */ +} SCnSCB_Type; + +/* Interrupt Controller Type Register Definitions */ +#define SCnSCB_ICTR_INTLINESNUM_Pos 0 /*!< ICTR: INTLINESNUM Position */ +#define SCnSCB_ICTR_INTLINESNUM_Msk (0xFUL << SCnSCB_ICTR_INTLINESNUM_Pos) /*!< ICTR: INTLINESNUM Mask */ + +/* Auxiliary Control Register Definitions */ +#define SCnSCB_ACTLR_DISOOFP_Pos 9 /*!< ACTLR: DISOOFP Position */ +#define SCnSCB_ACTLR_DISOOFP_Msk (1UL << SCnSCB_ACTLR_DISOOFP_Pos) /*!< ACTLR: DISOOFP Mask */ + +#define SCnSCB_ACTLR_DISFPCA_Pos 8 /*!< ACTLR: DISFPCA Position */ +#define SCnSCB_ACTLR_DISFPCA_Msk (1UL << SCnSCB_ACTLR_DISFPCA_Pos) /*!< ACTLR: DISFPCA Mask */ + +#define SCnSCB_ACTLR_DISFOLD_Pos 2 /*!< ACTLR: DISFOLD Position */ +#define SCnSCB_ACTLR_DISFOLD_Msk (1UL << SCnSCB_ACTLR_DISFOLD_Pos) /*!< ACTLR: DISFOLD Mask */ + +#define SCnSCB_ACTLR_DISDEFWBUF_Pos 1 /*!< ACTLR: DISDEFWBUF Position */ +#define SCnSCB_ACTLR_DISDEFWBUF_Msk (1UL << SCnSCB_ACTLR_DISDEFWBUF_Pos) /*!< ACTLR: DISDEFWBUF Mask */ + +#define SCnSCB_ACTLR_DISMCYCINT_Pos 0 /*!< ACTLR: DISMCYCINT Position */ +#define SCnSCB_ACTLR_DISMCYCINT_Msk (1UL << SCnSCB_ACTLR_DISMCYCINT_Pos) /*!< ACTLR: DISMCYCINT Mask */ + +/*@} end of group CMSIS_SCnotSCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IO uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IO uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __I uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16 /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2 /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1 /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0 /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL << SysTick_CTRL_ENABLE_Pos) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0 /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL << SysTick_LOAD_RELOAD_Pos) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0 /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31 /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30 /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0 /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL << SysTick_CALIB_TENMS_Pos) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_ITM Instrumentation Trace Macrocell (ITM) + \brief Type definitions for the Instrumentation Trace Macrocell (ITM) + @{ + */ + +/** \brief Structure type to access the Instrumentation Trace Macrocell Register (ITM). + */ +typedef struct +{ + __O union + { + __O uint8_t u8; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 8-bit */ + __O uint16_t u16; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 16-bit */ + __O uint32_t u32; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 32-bit */ + } PORT [32]; /*!< Offset: 0x000 ( /W) ITM Stimulus Port Registers */ + uint32_t RESERVED0[864]; + __IO uint32_t TER; /*!< Offset: 0xE00 (R/W) ITM Trace Enable Register */ + uint32_t RESERVED1[15]; + __IO uint32_t TPR; /*!< Offset: 0xE40 (R/W) ITM Trace Privilege Register */ + uint32_t RESERVED2[15]; + __IO uint32_t TCR; /*!< Offset: 0xE80 (R/W) ITM Trace Control Register */ + uint32_t RESERVED3[29]; + __O uint32_t IWR; /*!< Offset: 0xEF8 ( /W) ITM Integration Write Register */ + __I uint32_t IRR; /*!< Offset: 0xEFC (R/ ) ITM Integration Read Register */ + __IO uint32_t IMCR; /*!< Offset: 0xF00 (R/W) ITM Integration Mode Control Register */ + uint32_t RESERVED4[43]; + __O uint32_t LAR; /*!< Offset: 0xFB0 ( /W) ITM Lock Access Register */ + __I uint32_t LSR; /*!< Offset: 0xFB4 (R/ ) ITM Lock Status Register */ + uint32_t RESERVED5[6]; + __I uint32_t PID4; /*!< Offset: 0xFD0 (R/ ) ITM Peripheral Identification Register #4 */ + __I uint32_t PID5; /*!< Offset: 0xFD4 (R/ ) ITM Peripheral Identification Register #5 */ + __I uint32_t PID6; /*!< Offset: 0xFD8 (R/ ) ITM Peripheral Identification Register #6 */ + __I uint32_t PID7; /*!< Offset: 0xFDC (R/ ) ITM Peripheral Identification Register #7 */ + __I uint32_t PID0; /*!< Offset: 0xFE0 (R/ ) ITM Peripheral Identification Register #0 */ + __I uint32_t PID1; /*!< Offset: 0xFE4 (R/ ) ITM Peripheral Identification Register #1 */ + __I uint32_t PID2; /*!< Offset: 0xFE8 (R/ ) ITM Peripheral Identification Register #2 */ + __I uint32_t PID3; /*!< Offset: 0xFEC (R/ ) ITM Peripheral Identification Register #3 */ + __I uint32_t CID0; /*!< Offset: 0xFF0 (R/ ) ITM Component Identification Register #0 */ + __I uint32_t CID1; /*!< Offset: 0xFF4 (R/ ) ITM Component Identification Register #1 */ + __I uint32_t CID2; /*!< Offset: 0xFF8 (R/ ) ITM Component Identification Register #2 */ + __I uint32_t CID3; /*!< Offset: 0xFFC (R/ ) ITM Component Identification Register #3 */ +} ITM_Type; + +/* ITM Trace Privilege Register Definitions */ +#define ITM_TPR_PRIVMASK_Pos 0 /*!< ITM TPR: PRIVMASK Position */ +#define ITM_TPR_PRIVMASK_Msk (0xFUL << ITM_TPR_PRIVMASK_Pos) /*!< ITM TPR: PRIVMASK Mask */ + +/* ITM Trace Control Register Definitions */ +#define ITM_TCR_BUSY_Pos 23 /*!< ITM TCR: BUSY Position */ +#define ITM_TCR_BUSY_Msk (1UL << ITM_TCR_BUSY_Pos) /*!< ITM TCR: BUSY Mask */ + +#define ITM_TCR_TraceBusID_Pos 16 /*!< ITM TCR: ATBID Position */ +#define ITM_TCR_TraceBusID_Msk (0x7FUL << ITM_TCR_TraceBusID_Pos) /*!< ITM TCR: ATBID Mask */ + +#define ITM_TCR_GTSFREQ_Pos 10 /*!< ITM TCR: Global timestamp frequency Position */ +#define ITM_TCR_GTSFREQ_Msk (3UL << ITM_TCR_GTSFREQ_Pos) /*!< ITM TCR: Global timestamp frequency Mask */ + +#define ITM_TCR_TSPrescale_Pos 8 /*!< ITM TCR: TSPrescale Position */ +#define ITM_TCR_TSPrescale_Msk (3UL << ITM_TCR_TSPrescale_Pos) /*!< ITM TCR: TSPrescale Mask */ + +#define ITM_TCR_SWOENA_Pos 4 /*!< ITM TCR: SWOENA Position */ +#define ITM_TCR_SWOENA_Msk (1UL << ITM_TCR_SWOENA_Pos) /*!< ITM TCR: SWOENA Mask */ + +#define ITM_TCR_DWTENA_Pos 3 /*!< ITM TCR: DWTENA Position */ +#define ITM_TCR_DWTENA_Msk (1UL << ITM_TCR_DWTENA_Pos) /*!< ITM TCR: DWTENA Mask */ + +#define ITM_TCR_SYNCENA_Pos 2 /*!< ITM TCR: SYNCENA Position */ +#define ITM_TCR_SYNCENA_Msk (1UL << ITM_TCR_SYNCENA_Pos) /*!< ITM TCR: SYNCENA Mask */ + +#define ITM_TCR_TSENA_Pos 1 /*!< ITM TCR: TSENA Position */ +#define ITM_TCR_TSENA_Msk (1UL << ITM_TCR_TSENA_Pos) /*!< ITM TCR: TSENA Mask */ + +#define ITM_TCR_ITMENA_Pos 0 /*!< ITM TCR: ITM Enable bit Position */ +#define ITM_TCR_ITMENA_Msk (1UL << ITM_TCR_ITMENA_Pos) /*!< ITM TCR: ITM Enable bit Mask */ + +/* ITM Integration Write Register Definitions */ +#define ITM_IWR_ATVALIDM_Pos 0 /*!< ITM IWR: ATVALIDM Position */ +#define ITM_IWR_ATVALIDM_Msk (1UL << ITM_IWR_ATVALIDM_Pos) /*!< ITM IWR: ATVALIDM Mask */ + +/* ITM Integration Read Register Definitions */ +#define ITM_IRR_ATREADYM_Pos 0 /*!< ITM IRR: ATREADYM Position */ +#define ITM_IRR_ATREADYM_Msk (1UL << ITM_IRR_ATREADYM_Pos) /*!< ITM IRR: ATREADYM Mask */ + +/* ITM Integration Mode Control Register Definitions */ +#define ITM_IMCR_INTEGRATION_Pos 0 /*!< ITM IMCR: INTEGRATION Position */ +#define ITM_IMCR_INTEGRATION_Msk (1UL << ITM_IMCR_INTEGRATION_Pos) /*!< ITM IMCR: INTEGRATION Mask */ + +/* ITM Lock Status Register Definitions */ +#define ITM_LSR_ByteAcc_Pos 2 /*!< ITM LSR: ByteAcc Position */ +#define ITM_LSR_ByteAcc_Msk (1UL << ITM_LSR_ByteAcc_Pos) /*!< ITM LSR: ByteAcc Mask */ + +#define ITM_LSR_Access_Pos 1 /*!< ITM LSR: Access Position */ +#define ITM_LSR_Access_Msk (1UL << ITM_LSR_Access_Pos) /*!< ITM LSR: Access Mask */ + +#define ITM_LSR_Present_Pos 0 /*!< ITM LSR: Present Position */ +#define ITM_LSR_Present_Msk (1UL << ITM_LSR_Present_Pos) /*!< ITM LSR: Present Mask */ + +/*@}*/ /* end of group CMSIS_ITM */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_DWT Data Watchpoint and Trace (DWT) + \brief Type definitions for the Data Watchpoint and Trace (DWT) + @{ + */ + +/** \brief Structure type to access the Data Watchpoint and Trace Register (DWT). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) Control Register */ + __IO uint32_t CYCCNT; /*!< Offset: 0x004 (R/W) Cycle Count Register */ + __IO uint32_t CPICNT; /*!< Offset: 0x008 (R/W) CPI Count Register */ + __IO uint32_t EXCCNT; /*!< Offset: 0x00C (R/W) Exception Overhead Count Register */ + __IO uint32_t SLEEPCNT; /*!< Offset: 0x010 (R/W) Sleep Count Register */ + __IO uint32_t LSUCNT; /*!< Offset: 0x014 (R/W) LSU Count Register */ + __IO uint32_t FOLDCNT; /*!< Offset: 0x018 (R/W) Folded-instruction Count Register */ + __I uint32_t PCSR; /*!< Offset: 0x01C (R/ ) Program Counter Sample Register */ + __IO uint32_t COMP0; /*!< Offset: 0x020 (R/W) Comparator Register 0 */ + __IO uint32_t MASK0; /*!< Offset: 0x024 (R/W) Mask Register 0 */ + __IO uint32_t FUNCTION0; /*!< Offset: 0x028 (R/W) Function Register 0 */ + uint32_t RESERVED0[1]; + __IO uint32_t COMP1; /*!< Offset: 0x030 (R/W) Comparator Register 1 */ + __IO uint32_t MASK1; /*!< Offset: 0x034 (R/W) Mask Register 1 */ + __IO uint32_t FUNCTION1; /*!< Offset: 0x038 (R/W) Function Register 1 */ + uint32_t RESERVED1[1]; + __IO uint32_t COMP2; /*!< Offset: 0x040 (R/W) Comparator Register 2 */ + __IO uint32_t MASK2; /*!< Offset: 0x044 (R/W) Mask Register 2 */ + __IO uint32_t FUNCTION2; /*!< Offset: 0x048 (R/W) Function Register 2 */ + uint32_t RESERVED2[1]; + __IO uint32_t COMP3; /*!< Offset: 0x050 (R/W) Comparator Register 3 */ + __IO uint32_t MASK3; /*!< Offset: 0x054 (R/W) Mask Register 3 */ + __IO uint32_t FUNCTION3; /*!< Offset: 0x058 (R/W) Function Register 3 */ +} DWT_Type; + +/* DWT Control Register Definitions */ +#define DWT_CTRL_NUMCOMP_Pos 28 /*!< DWT CTRL: NUMCOMP Position */ +#define DWT_CTRL_NUMCOMP_Msk (0xFUL << DWT_CTRL_NUMCOMP_Pos) /*!< DWT CTRL: NUMCOMP Mask */ + +#define DWT_CTRL_NOTRCPKT_Pos 27 /*!< DWT CTRL: NOTRCPKT Position */ +#define DWT_CTRL_NOTRCPKT_Msk (0x1UL << DWT_CTRL_NOTRCPKT_Pos) /*!< DWT CTRL: NOTRCPKT Mask */ + +#define DWT_CTRL_NOEXTTRIG_Pos 26 /*!< DWT CTRL: NOEXTTRIG Position */ +#define DWT_CTRL_NOEXTTRIG_Msk (0x1UL << DWT_CTRL_NOEXTTRIG_Pos) /*!< DWT CTRL: NOEXTTRIG Mask */ + +#define DWT_CTRL_NOCYCCNT_Pos 25 /*!< DWT CTRL: NOCYCCNT Position */ +#define DWT_CTRL_NOCYCCNT_Msk (0x1UL << DWT_CTRL_NOCYCCNT_Pos) /*!< DWT CTRL: NOCYCCNT Mask */ + +#define DWT_CTRL_NOPRFCNT_Pos 24 /*!< DWT CTRL: NOPRFCNT Position */ +#define DWT_CTRL_NOPRFCNT_Msk (0x1UL << DWT_CTRL_NOPRFCNT_Pos) /*!< DWT CTRL: NOPRFCNT Mask */ + +#define DWT_CTRL_CYCEVTENA_Pos 22 /*!< DWT CTRL: CYCEVTENA Position */ +#define DWT_CTRL_CYCEVTENA_Msk (0x1UL << DWT_CTRL_CYCEVTENA_Pos) /*!< DWT CTRL: CYCEVTENA Mask */ + +#define DWT_CTRL_FOLDEVTENA_Pos 21 /*!< DWT CTRL: FOLDEVTENA Position */ +#define DWT_CTRL_FOLDEVTENA_Msk (0x1UL << DWT_CTRL_FOLDEVTENA_Pos) /*!< DWT CTRL: FOLDEVTENA Mask */ + +#define DWT_CTRL_LSUEVTENA_Pos 20 /*!< DWT CTRL: LSUEVTENA Position */ +#define DWT_CTRL_LSUEVTENA_Msk (0x1UL << DWT_CTRL_LSUEVTENA_Pos) /*!< DWT CTRL: LSUEVTENA Mask */ + +#define DWT_CTRL_SLEEPEVTENA_Pos 19 /*!< DWT CTRL: SLEEPEVTENA Position */ +#define DWT_CTRL_SLEEPEVTENA_Msk (0x1UL << DWT_CTRL_SLEEPEVTENA_Pos) /*!< DWT CTRL: SLEEPEVTENA Mask */ + +#define DWT_CTRL_EXCEVTENA_Pos 18 /*!< DWT CTRL: EXCEVTENA Position */ +#define DWT_CTRL_EXCEVTENA_Msk (0x1UL << DWT_CTRL_EXCEVTENA_Pos) /*!< DWT CTRL: EXCEVTENA Mask */ + +#define DWT_CTRL_CPIEVTENA_Pos 17 /*!< DWT CTRL: CPIEVTENA Position */ +#define DWT_CTRL_CPIEVTENA_Msk (0x1UL << DWT_CTRL_CPIEVTENA_Pos) /*!< DWT CTRL: CPIEVTENA Mask */ + +#define DWT_CTRL_EXCTRCENA_Pos 16 /*!< DWT CTRL: EXCTRCENA Position */ +#define DWT_CTRL_EXCTRCENA_Msk (0x1UL << DWT_CTRL_EXCTRCENA_Pos) /*!< DWT CTRL: EXCTRCENA Mask */ + +#define DWT_CTRL_PCSAMPLENA_Pos 12 /*!< DWT CTRL: PCSAMPLENA Position */ +#define DWT_CTRL_PCSAMPLENA_Msk (0x1UL << DWT_CTRL_PCSAMPLENA_Pos) /*!< DWT CTRL: PCSAMPLENA Mask */ + +#define DWT_CTRL_SYNCTAP_Pos 10 /*!< DWT CTRL: SYNCTAP Position */ +#define DWT_CTRL_SYNCTAP_Msk (0x3UL << DWT_CTRL_SYNCTAP_Pos) /*!< DWT CTRL: SYNCTAP Mask */ + +#define DWT_CTRL_CYCTAP_Pos 9 /*!< DWT CTRL: CYCTAP Position */ +#define DWT_CTRL_CYCTAP_Msk (0x1UL << DWT_CTRL_CYCTAP_Pos) /*!< DWT CTRL: CYCTAP Mask */ + +#define DWT_CTRL_POSTINIT_Pos 5 /*!< DWT CTRL: POSTINIT Position */ +#define DWT_CTRL_POSTINIT_Msk (0xFUL << DWT_CTRL_POSTINIT_Pos) /*!< DWT CTRL: POSTINIT Mask */ + +#define DWT_CTRL_POSTPRESET_Pos 1 /*!< DWT CTRL: POSTPRESET Position */ +#define DWT_CTRL_POSTPRESET_Msk (0xFUL << DWT_CTRL_POSTPRESET_Pos) /*!< DWT CTRL: POSTPRESET Mask */ + +#define DWT_CTRL_CYCCNTENA_Pos 0 /*!< DWT CTRL: CYCCNTENA Position */ +#define DWT_CTRL_CYCCNTENA_Msk (0x1UL << DWT_CTRL_CYCCNTENA_Pos) /*!< DWT CTRL: CYCCNTENA Mask */ + +/* DWT CPI Count Register Definitions */ +#define DWT_CPICNT_CPICNT_Pos 0 /*!< DWT CPICNT: CPICNT Position */ +#define DWT_CPICNT_CPICNT_Msk (0xFFUL << DWT_CPICNT_CPICNT_Pos) /*!< DWT CPICNT: CPICNT Mask */ + +/* DWT Exception Overhead Count Register Definitions */ +#define DWT_EXCCNT_EXCCNT_Pos 0 /*!< DWT EXCCNT: EXCCNT Position */ +#define DWT_EXCCNT_EXCCNT_Msk (0xFFUL << DWT_EXCCNT_EXCCNT_Pos) /*!< DWT EXCCNT: EXCCNT Mask */ + +/* DWT Sleep Count Register Definitions */ +#define DWT_SLEEPCNT_SLEEPCNT_Pos 0 /*!< DWT SLEEPCNT: SLEEPCNT Position */ +#define DWT_SLEEPCNT_SLEEPCNT_Msk (0xFFUL << DWT_SLEEPCNT_SLEEPCNT_Pos) /*!< DWT SLEEPCNT: SLEEPCNT Mask */ + +/* DWT LSU Count Register Definitions */ +#define DWT_LSUCNT_LSUCNT_Pos 0 /*!< DWT LSUCNT: LSUCNT Position */ +#define DWT_LSUCNT_LSUCNT_Msk (0xFFUL << DWT_LSUCNT_LSUCNT_Pos) /*!< DWT LSUCNT: LSUCNT Mask */ + +/* DWT Folded-instruction Count Register Definitions */ +#define DWT_FOLDCNT_FOLDCNT_Pos 0 /*!< DWT FOLDCNT: FOLDCNT Position */ +#define DWT_FOLDCNT_FOLDCNT_Msk (0xFFUL << DWT_FOLDCNT_FOLDCNT_Pos) /*!< DWT FOLDCNT: FOLDCNT Mask */ + +/* DWT Comparator Mask Register Definitions */ +#define DWT_MASK_MASK_Pos 0 /*!< DWT MASK: MASK Position */ +#define DWT_MASK_MASK_Msk (0x1FUL << DWT_MASK_MASK_Pos) /*!< DWT MASK: MASK Mask */ + +/* DWT Comparator Function Register Definitions */ +#define DWT_FUNCTION_MATCHED_Pos 24 /*!< DWT FUNCTION: MATCHED Position */ +#define DWT_FUNCTION_MATCHED_Msk (0x1UL << DWT_FUNCTION_MATCHED_Pos) /*!< DWT FUNCTION: MATCHED Mask */ + +#define DWT_FUNCTION_DATAVADDR1_Pos 16 /*!< DWT FUNCTION: DATAVADDR1 Position */ +#define DWT_FUNCTION_DATAVADDR1_Msk (0xFUL << DWT_FUNCTION_DATAVADDR1_Pos) /*!< DWT FUNCTION: DATAVADDR1 Mask */ + +#define DWT_FUNCTION_DATAVADDR0_Pos 12 /*!< DWT FUNCTION: DATAVADDR0 Position */ +#define DWT_FUNCTION_DATAVADDR0_Msk (0xFUL << DWT_FUNCTION_DATAVADDR0_Pos) /*!< DWT FUNCTION: DATAVADDR0 Mask */ + +#define DWT_FUNCTION_DATAVSIZE_Pos 10 /*!< DWT FUNCTION: DATAVSIZE Position */ +#define DWT_FUNCTION_DATAVSIZE_Msk (0x3UL << DWT_FUNCTION_DATAVSIZE_Pos) /*!< DWT FUNCTION: DATAVSIZE Mask */ + +#define DWT_FUNCTION_LNK1ENA_Pos 9 /*!< DWT FUNCTION: LNK1ENA Position */ +#define DWT_FUNCTION_LNK1ENA_Msk (0x1UL << DWT_FUNCTION_LNK1ENA_Pos) /*!< DWT FUNCTION: LNK1ENA Mask */ + +#define DWT_FUNCTION_DATAVMATCH_Pos 8 /*!< DWT FUNCTION: DATAVMATCH Position */ +#define DWT_FUNCTION_DATAVMATCH_Msk (0x1UL << DWT_FUNCTION_DATAVMATCH_Pos) /*!< DWT FUNCTION: DATAVMATCH Mask */ + +#define DWT_FUNCTION_CYCMATCH_Pos 7 /*!< DWT FUNCTION: CYCMATCH Position */ +#define DWT_FUNCTION_CYCMATCH_Msk (0x1UL << DWT_FUNCTION_CYCMATCH_Pos) /*!< DWT FUNCTION: CYCMATCH Mask */ + +#define DWT_FUNCTION_EMITRANGE_Pos 5 /*!< DWT FUNCTION: EMITRANGE Position */ +#define DWT_FUNCTION_EMITRANGE_Msk (0x1UL << DWT_FUNCTION_EMITRANGE_Pos) /*!< DWT FUNCTION: EMITRANGE Mask */ + +#define DWT_FUNCTION_FUNCTION_Pos 0 /*!< DWT FUNCTION: FUNCTION Position */ +#define DWT_FUNCTION_FUNCTION_Msk (0xFUL << DWT_FUNCTION_FUNCTION_Pos) /*!< DWT FUNCTION: FUNCTION Mask */ + +/*@}*/ /* end of group CMSIS_DWT */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_TPI Trace Port Interface (TPI) + \brief Type definitions for the Trace Port Interface (TPI) + @{ + */ + +/** \brief Structure type to access the Trace Port Interface Register (TPI). + */ +typedef struct +{ + __IO uint32_t SSPSR; /*!< Offset: 0x000 (R/ ) Supported Parallel Port Size Register */ + __IO uint32_t CSPSR; /*!< Offset: 0x004 (R/W) Current Parallel Port Size Register */ + uint32_t RESERVED0[2]; + __IO uint32_t ACPR; /*!< Offset: 0x010 (R/W) Asynchronous Clock Prescaler Register */ + uint32_t RESERVED1[55]; + __IO uint32_t SPPR; /*!< Offset: 0x0F0 (R/W) Selected Pin Protocol Register */ + uint32_t RESERVED2[131]; + __I uint32_t FFSR; /*!< Offset: 0x300 (R/ ) Formatter and Flush Status Register */ + __IO uint32_t FFCR; /*!< Offset: 0x304 (R/W) Formatter and Flush Control Register */ + __I uint32_t FSCR; /*!< Offset: 0x308 (R/ ) Formatter Synchronization Counter Register */ + uint32_t RESERVED3[759]; + __I uint32_t TRIGGER; /*!< Offset: 0xEE8 (R/ ) TRIGGER */ + __I uint32_t FIFO0; /*!< Offset: 0xEEC (R/ ) Integration ETM Data */ + __I uint32_t ITATBCTR2; /*!< Offset: 0xEF0 (R/ ) ITATBCTR2 */ + uint32_t RESERVED4[1]; + __I uint32_t ITATBCTR0; /*!< Offset: 0xEF8 (R/ ) ITATBCTR0 */ + __I uint32_t FIFO1; /*!< Offset: 0xEFC (R/ ) Integration ITM Data */ + __IO uint32_t ITCTRL; /*!< Offset: 0xF00 (R/W) Integration Mode Control */ + uint32_t RESERVED5[39]; + __IO uint32_t CLAIMSET; /*!< Offset: 0xFA0 (R/W) Claim tag set */ + __IO uint32_t CLAIMCLR; /*!< Offset: 0xFA4 (R/W) Claim tag clear */ + uint32_t RESERVED7[8]; + __I uint32_t DEVID; /*!< Offset: 0xFC8 (R/ ) TPIU_DEVID */ + __I uint32_t DEVTYPE; /*!< Offset: 0xFCC (R/ ) TPIU_DEVTYPE */ +} TPI_Type; + +/* TPI Asynchronous Clock Prescaler Register Definitions */ +#define TPI_ACPR_PRESCALER_Pos 0 /*!< TPI ACPR: PRESCALER Position */ +#define TPI_ACPR_PRESCALER_Msk (0x1FFFUL << TPI_ACPR_PRESCALER_Pos) /*!< TPI ACPR: PRESCALER Mask */ + +/* TPI Selected Pin Protocol Register Definitions */ +#define TPI_SPPR_TXMODE_Pos 0 /*!< TPI SPPR: TXMODE Position */ +#define TPI_SPPR_TXMODE_Msk (0x3UL << TPI_SPPR_TXMODE_Pos) /*!< TPI SPPR: TXMODE Mask */ + +/* TPI Formatter and Flush Status Register Definitions */ +#define TPI_FFSR_FtNonStop_Pos 3 /*!< TPI FFSR: FtNonStop Position */ +#define TPI_FFSR_FtNonStop_Msk (0x1UL << TPI_FFSR_FtNonStop_Pos) /*!< TPI FFSR: FtNonStop Mask */ + +#define TPI_FFSR_TCPresent_Pos 2 /*!< TPI FFSR: TCPresent Position */ +#define TPI_FFSR_TCPresent_Msk (0x1UL << TPI_FFSR_TCPresent_Pos) /*!< TPI FFSR: TCPresent Mask */ + +#define TPI_FFSR_FtStopped_Pos 1 /*!< TPI FFSR: FtStopped Position */ +#define TPI_FFSR_FtStopped_Msk (0x1UL << TPI_FFSR_FtStopped_Pos) /*!< TPI FFSR: FtStopped Mask */ + +#define TPI_FFSR_FlInProg_Pos 0 /*!< TPI FFSR: FlInProg Position */ +#define TPI_FFSR_FlInProg_Msk (0x1UL << TPI_FFSR_FlInProg_Pos) /*!< TPI FFSR: FlInProg Mask */ + +/* TPI Formatter and Flush Control Register Definitions */ +#define TPI_FFCR_TrigIn_Pos 8 /*!< TPI FFCR: TrigIn Position */ +#define TPI_FFCR_TrigIn_Msk (0x1UL << TPI_FFCR_TrigIn_Pos) /*!< TPI FFCR: TrigIn Mask */ + +#define TPI_FFCR_EnFCont_Pos 1 /*!< TPI FFCR: EnFCont Position */ +#define TPI_FFCR_EnFCont_Msk (0x1UL << TPI_FFCR_EnFCont_Pos) /*!< TPI FFCR: EnFCont Mask */ + +/* TPI TRIGGER Register Definitions */ +#define TPI_TRIGGER_TRIGGER_Pos 0 /*!< TPI TRIGGER: TRIGGER Position */ +#define TPI_TRIGGER_TRIGGER_Msk (0x1UL << TPI_TRIGGER_TRIGGER_Pos) /*!< TPI TRIGGER: TRIGGER Mask */ + +/* TPI Integration ETM Data Register Definitions (FIFO0) */ +#define TPI_FIFO0_ITM_ATVALID_Pos 29 /*!< TPI FIFO0: ITM_ATVALID Position */ +#define TPI_FIFO0_ITM_ATVALID_Msk (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos) /*!< TPI FIFO0: ITM_ATVALID Mask */ + +#define TPI_FIFO0_ITM_bytecount_Pos 27 /*!< TPI FIFO0: ITM_bytecount Position */ +#define TPI_FIFO0_ITM_bytecount_Msk (0x3UL << TPI_FIFO0_ITM_bytecount_Pos) /*!< TPI FIFO0: ITM_bytecount Mask */ + +#define TPI_FIFO0_ETM_ATVALID_Pos 26 /*!< TPI FIFO0: ETM_ATVALID Position */ +#define TPI_FIFO0_ETM_ATVALID_Msk (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos) /*!< TPI FIFO0: ETM_ATVALID Mask */ + +#define TPI_FIFO0_ETM_bytecount_Pos 24 /*!< TPI FIFO0: ETM_bytecount Position */ +#define TPI_FIFO0_ETM_bytecount_Msk (0x3UL << TPI_FIFO0_ETM_bytecount_Pos) /*!< TPI FIFO0: ETM_bytecount Mask */ + +#define TPI_FIFO0_ETM2_Pos 16 /*!< TPI FIFO0: ETM2 Position */ +#define TPI_FIFO0_ETM2_Msk (0xFFUL << TPI_FIFO0_ETM2_Pos) /*!< TPI FIFO0: ETM2 Mask */ + +#define TPI_FIFO0_ETM1_Pos 8 /*!< TPI FIFO0: ETM1 Position */ +#define TPI_FIFO0_ETM1_Msk (0xFFUL << TPI_FIFO0_ETM1_Pos) /*!< TPI FIFO0: ETM1 Mask */ + +#define TPI_FIFO0_ETM0_Pos 0 /*!< TPI FIFO0: ETM0 Position */ +#define TPI_FIFO0_ETM0_Msk (0xFFUL << TPI_FIFO0_ETM0_Pos) /*!< TPI FIFO0: ETM0 Mask */ + +/* TPI ITATBCTR2 Register Definitions */ +#define TPI_ITATBCTR2_ATREADY_Pos 0 /*!< TPI ITATBCTR2: ATREADY Position */ +#define TPI_ITATBCTR2_ATREADY_Msk (0x1UL << TPI_ITATBCTR2_ATREADY_Pos) /*!< TPI ITATBCTR2: ATREADY Mask */ + +/* TPI Integration ITM Data Register Definitions (FIFO1) */ +#define TPI_FIFO1_ITM_ATVALID_Pos 29 /*!< TPI FIFO1: ITM_ATVALID Position */ +#define TPI_FIFO1_ITM_ATVALID_Msk (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos) /*!< TPI FIFO1: ITM_ATVALID Mask */ + +#define TPI_FIFO1_ITM_bytecount_Pos 27 /*!< TPI FIFO1: ITM_bytecount Position */ +#define TPI_FIFO1_ITM_bytecount_Msk (0x3UL << TPI_FIFO1_ITM_bytecount_Pos) /*!< TPI FIFO1: ITM_bytecount Mask */ + +#define TPI_FIFO1_ETM_ATVALID_Pos 26 /*!< TPI FIFO1: ETM_ATVALID Position */ +#define TPI_FIFO1_ETM_ATVALID_Msk (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos) /*!< TPI FIFO1: ETM_ATVALID Mask */ + +#define TPI_FIFO1_ETM_bytecount_Pos 24 /*!< TPI FIFO1: ETM_bytecount Position */ +#define TPI_FIFO1_ETM_bytecount_Msk (0x3UL << TPI_FIFO1_ETM_bytecount_Pos) /*!< TPI FIFO1: ETM_bytecount Mask */ + +#define TPI_FIFO1_ITM2_Pos 16 /*!< TPI FIFO1: ITM2 Position */ +#define TPI_FIFO1_ITM2_Msk (0xFFUL << TPI_FIFO1_ITM2_Pos) /*!< TPI FIFO1: ITM2 Mask */ + +#define TPI_FIFO1_ITM1_Pos 8 /*!< TPI FIFO1: ITM1 Position */ +#define TPI_FIFO1_ITM1_Msk (0xFFUL << TPI_FIFO1_ITM1_Pos) /*!< TPI FIFO1: ITM1 Mask */ + +#define TPI_FIFO1_ITM0_Pos 0 /*!< TPI FIFO1: ITM0 Position */ +#define TPI_FIFO1_ITM0_Msk (0xFFUL << TPI_FIFO1_ITM0_Pos) /*!< TPI FIFO1: ITM0 Mask */ + +/* TPI ITATBCTR0 Register Definitions */ +#define TPI_ITATBCTR0_ATREADY_Pos 0 /*!< TPI ITATBCTR0: ATREADY Position */ +#define TPI_ITATBCTR0_ATREADY_Msk (0x1UL << TPI_ITATBCTR0_ATREADY_Pos) /*!< TPI ITATBCTR0: ATREADY Mask */ + +/* TPI Integration Mode Control Register Definitions */ +#define TPI_ITCTRL_Mode_Pos 0 /*!< TPI ITCTRL: Mode Position */ +#define TPI_ITCTRL_Mode_Msk (0x1UL << TPI_ITCTRL_Mode_Pos) /*!< TPI ITCTRL: Mode Mask */ + +/* TPI DEVID Register Definitions */ +#define TPI_DEVID_NRZVALID_Pos 11 /*!< TPI DEVID: NRZVALID Position */ +#define TPI_DEVID_NRZVALID_Msk (0x1UL << TPI_DEVID_NRZVALID_Pos) /*!< TPI DEVID: NRZVALID Mask */ + +#define TPI_DEVID_MANCVALID_Pos 10 /*!< TPI DEVID: MANCVALID Position */ +#define TPI_DEVID_MANCVALID_Msk (0x1UL << TPI_DEVID_MANCVALID_Pos) /*!< TPI DEVID: MANCVALID Mask */ + +#define TPI_DEVID_PTINVALID_Pos 9 /*!< TPI DEVID: PTINVALID Position */ +#define TPI_DEVID_PTINVALID_Msk (0x1UL << TPI_DEVID_PTINVALID_Pos) /*!< TPI DEVID: PTINVALID Mask */ + +#define TPI_DEVID_MinBufSz_Pos 6 /*!< TPI DEVID: MinBufSz Position */ +#define TPI_DEVID_MinBufSz_Msk (0x7UL << TPI_DEVID_MinBufSz_Pos) /*!< TPI DEVID: MinBufSz Mask */ + +#define TPI_DEVID_AsynClkIn_Pos 5 /*!< TPI DEVID: AsynClkIn Position */ +#define TPI_DEVID_AsynClkIn_Msk (0x1UL << TPI_DEVID_AsynClkIn_Pos) /*!< TPI DEVID: AsynClkIn Mask */ + +#define TPI_DEVID_NrTraceInput_Pos 0 /*!< TPI DEVID: NrTraceInput Position */ +#define TPI_DEVID_NrTraceInput_Msk (0x1FUL << TPI_DEVID_NrTraceInput_Pos) /*!< TPI DEVID: NrTraceInput Mask */ + +/* TPI DEVTYPE Register Definitions */ +#define TPI_DEVTYPE_SubType_Pos 0 /*!< TPI DEVTYPE: SubType Position */ +#define TPI_DEVTYPE_SubType_Msk (0xFUL << TPI_DEVTYPE_SubType_Pos) /*!< TPI DEVTYPE: SubType Mask */ + +#define TPI_DEVTYPE_MajorType_Pos 4 /*!< TPI DEVTYPE: MajorType Position */ +#define TPI_DEVTYPE_MajorType_Msk (0xFUL << TPI_DEVTYPE_MajorType_Pos) /*!< TPI DEVTYPE: MajorType Mask */ + +/*@}*/ /* end of group CMSIS_TPI */ + + +#if (__MPU_PRESENT == 1) +/** \ingroup CMSIS_core_register + \defgroup CMSIS_MPU Memory Protection Unit (MPU) + \brief Type definitions for the Memory Protection Unit (MPU) + @{ + */ + +/** \brief Structure type to access the Memory Protection Unit (MPU). + */ +typedef struct +{ + __I uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */ + __IO uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */ + __IO uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */ + __IO uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */ + __IO uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */ + __IO uint32_t RBAR_A1; /*!< Offset: 0x014 (R/W) MPU Alias 1 Region Base Address Register */ + __IO uint32_t RASR_A1; /*!< Offset: 0x018 (R/W) MPU Alias 1 Region Attribute and Size Register */ + __IO uint32_t RBAR_A2; /*!< Offset: 0x01C (R/W) MPU Alias 2 Region Base Address Register */ + __IO uint32_t RASR_A2; /*!< Offset: 0x020 (R/W) MPU Alias 2 Region Attribute and Size Register */ + __IO uint32_t RBAR_A3; /*!< Offset: 0x024 (R/W) MPU Alias 3 Region Base Address Register */ + __IO uint32_t RASR_A3; /*!< Offset: 0x028 (R/W) MPU Alias 3 Region Attribute and Size Register */ +} MPU_Type; + +/* MPU Type Register */ +#define MPU_TYPE_IREGION_Pos 16 /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8 /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0 /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1UL << MPU_TYPE_SEPARATE_Pos) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register */ +#define MPU_CTRL_PRIVDEFENA_Pos 2 /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1 /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0 /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1UL << MPU_CTRL_ENABLE_Pos) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register */ +#define MPU_RNR_REGION_Pos 0 /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFUL << MPU_RNR_REGION_Pos) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register */ +#define MPU_RBAR_ADDR_Pos 5 /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0x7FFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4 /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0 /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFUL << MPU_RBAR_REGION_Pos) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register */ +#define MPU_RASR_ATTRS_Pos 16 /*!< MPU RASR: MPU Region Attribute field Position */ +#define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */ + +#define MPU_RASR_XN_Pos 28 /*!< MPU RASR: ATTRS.XN Position */ +#define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */ + +#define MPU_RASR_AP_Pos 24 /*!< MPU RASR: ATTRS.AP Position */ +#define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */ + +#define MPU_RASR_TEX_Pos 19 /*!< MPU RASR: ATTRS.TEX Position */ +#define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */ + +#define MPU_RASR_S_Pos 18 /*!< MPU RASR: ATTRS.S Position */ +#define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */ + +#define MPU_RASR_C_Pos 17 /*!< MPU RASR: ATTRS.C Position */ +#define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */ + +#define MPU_RASR_B_Pos 16 /*!< MPU RASR: ATTRS.B Position */ +#define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */ + +#define MPU_RASR_SRD_Pos 8 /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1 /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENABLE_Pos 0 /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENABLE_Msk (1UL << MPU_RASR_ENABLE_Pos) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@} end of group CMSIS_MPU */ +#endif + + +#if (__FPU_PRESENT == 1) +/** \ingroup CMSIS_core_register + \defgroup CMSIS_FPU Floating Point Unit (FPU) + \brief Type definitions for the Floating Point Unit (FPU) + @{ + */ + +/** \brief Structure type to access the Floating Point Unit (FPU). + */ +typedef struct +{ + uint32_t RESERVED0[1]; + __IO uint32_t FPCCR; /*!< Offset: 0x004 (R/W) Floating-Point Context Control Register */ + __IO uint32_t FPCAR; /*!< Offset: 0x008 (R/W) Floating-Point Context Address Register */ + __IO uint32_t FPDSCR; /*!< Offset: 0x00C (R/W) Floating-Point Default Status Control Register */ + __I uint32_t MVFR0; /*!< Offset: 0x010 (R/ ) Media and FP Feature Register 0 */ + __I uint32_t MVFR1; /*!< Offset: 0x014 (R/ ) Media and FP Feature Register 1 */ +} FPU_Type; + +/* Floating-Point Context Control Register */ +#define FPU_FPCCR_ASPEN_Pos 31 /*!< FPCCR: ASPEN bit Position */ +#define FPU_FPCCR_ASPEN_Msk (1UL << FPU_FPCCR_ASPEN_Pos) /*!< FPCCR: ASPEN bit Mask */ + +#define FPU_FPCCR_LSPEN_Pos 30 /*!< FPCCR: LSPEN Position */ +#define FPU_FPCCR_LSPEN_Msk (1UL << FPU_FPCCR_LSPEN_Pos) /*!< FPCCR: LSPEN bit Mask */ + +#define FPU_FPCCR_MONRDY_Pos 8 /*!< FPCCR: MONRDY Position */ +#define FPU_FPCCR_MONRDY_Msk (1UL << FPU_FPCCR_MONRDY_Pos) /*!< FPCCR: MONRDY bit Mask */ + +#define FPU_FPCCR_BFRDY_Pos 6 /*!< FPCCR: BFRDY Position */ +#define FPU_FPCCR_BFRDY_Msk (1UL << FPU_FPCCR_BFRDY_Pos) /*!< FPCCR: BFRDY bit Mask */ + +#define FPU_FPCCR_MMRDY_Pos 5 /*!< FPCCR: MMRDY Position */ +#define FPU_FPCCR_MMRDY_Msk (1UL << FPU_FPCCR_MMRDY_Pos) /*!< FPCCR: MMRDY bit Mask */ + +#define FPU_FPCCR_HFRDY_Pos 4 /*!< FPCCR: HFRDY Position */ +#define FPU_FPCCR_HFRDY_Msk (1UL << FPU_FPCCR_HFRDY_Pos) /*!< FPCCR: HFRDY bit Mask */ + +#define FPU_FPCCR_THREAD_Pos 3 /*!< FPCCR: processor mode bit Position */ +#define FPU_FPCCR_THREAD_Msk (1UL << FPU_FPCCR_THREAD_Pos) /*!< FPCCR: processor mode active bit Mask */ + +#define FPU_FPCCR_USER_Pos 1 /*!< FPCCR: privilege level bit Position */ +#define FPU_FPCCR_USER_Msk (1UL << FPU_FPCCR_USER_Pos) /*!< FPCCR: privilege level bit Mask */ + +#define FPU_FPCCR_LSPACT_Pos 0 /*!< FPCCR: Lazy state preservation active bit Position */ +#define FPU_FPCCR_LSPACT_Msk (1UL << FPU_FPCCR_LSPACT_Pos) /*!< FPCCR: Lazy state preservation active bit Mask */ + +/* Floating-Point Context Address Register */ +#define FPU_FPCAR_ADDRESS_Pos 3 /*!< FPCAR: ADDRESS bit Position */ +#define FPU_FPCAR_ADDRESS_Msk (0x1FFFFFFFUL << FPU_FPCAR_ADDRESS_Pos) /*!< FPCAR: ADDRESS bit Mask */ + +/* Floating-Point Default Status Control Register */ +#define FPU_FPDSCR_AHP_Pos 26 /*!< FPDSCR: AHP bit Position */ +#define FPU_FPDSCR_AHP_Msk (1UL << FPU_FPDSCR_AHP_Pos) /*!< FPDSCR: AHP bit Mask */ + +#define FPU_FPDSCR_DN_Pos 25 /*!< FPDSCR: DN bit Position */ +#define FPU_FPDSCR_DN_Msk (1UL << FPU_FPDSCR_DN_Pos) /*!< FPDSCR: DN bit Mask */ + +#define FPU_FPDSCR_FZ_Pos 24 /*!< FPDSCR: FZ bit Position */ +#define FPU_FPDSCR_FZ_Msk (1UL << FPU_FPDSCR_FZ_Pos) /*!< FPDSCR: FZ bit Mask */ + +#define FPU_FPDSCR_RMode_Pos 22 /*!< FPDSCR: RMode bit Position */ +#define FPU_FPDSCR_RMode_Msk (3UL << FPU_FPDSCR_RMode_Pos) /*!< FPDSCR: RMode bit Mask */ + +/* Media and FP Feature Register 0 */ +#define FPU_MVFR0_FP_rounding_modes_Pos 28 /*!< MVFR0: FP rounding modes bits Position */ +#define FPU_MVFR0_FP_rounding_modes_Msk (0xFUL << FPU_MVFR0_FP_rounding_modes_Pos) /*!< MVFR0: FP rounding modes bits Mask */ + +#define FPU_MVFR0_Short_vectors_Pos 24 /*!< MVFR0: Short vectors bits Position */ +#define FPU_MVFR0_Short_vectors_Msk (0xFUL << FPU_MVFR0_Short_vectors_Pos) /*!< MVFR0: Short vectors bits Mask */ + +#define FPU_MVFR0_Square_root_Pos 20 /*!< MVFR0: Square root bits Position */ +#define FPU_MVFR0_Square_root_Msk (0xFUL << FPU_MVFR0_Square_root_Pos) /*!< MVFR0: Square root bits Mask */ + +#define FPU_MVFR0_Divide_Pos 16 /*!< MVFR0: Divide bits Position */ +#define FPU_MVFR0_Divide_Msk (0xFUL << FPU_MVFR0_Divide_Pos) /*!< MVFR0: Divide bits Mask */ + +#define FPU_MVFR0_FP_excep_trapping_Pos 12 /*!< MVFR0: FP exception trapping bits Position */ +#define FPU_MVFR0_FP_excep_trapping_Msk (0xFUL << FPU_MVFR0_FP_excep_trapping_Pos) /*!< MVFR0: FP exception trapping bits Mask */ + +#define FPU_MVFR0_Double_precision_Pos 8 /*!< MVFR0: Double-precision bits Position */ +#define FPU_MVFR0_Double_precision_Msk (0xFUL << FPU_MVFR0_Double_precision_Pos) /*!< MVFR0: Double-precision bits Mask */ + +#define FPU_MVFR0_Single_precision_Pos 4 /*!< MVFR0: Single-precision bits Position */ +#define FPU_MVFR0_Single_precision_Msk (0xFUL << FPU_MVFR0_Single_precision_Pos) /*!< MVFR0: Single-precision bits Mask */ + +#define FPU_MVFR0_A_SIMD_registers_Pos 0 /*!< MVFR0: A_SIMD registers bits Position */ +#define FPU_MVFR0_A_SIMD_registers_Msk (0xFUL << FPU_MVFR0_A_SIMD_registers_Pos) /*!< MVFR0: A_SIMD registers bits Mask */ + +/* Media and FP Feature Register 1 */ +#define FPU_MVFR1_FP_fused_MAC_Pos 28 /*!< MVFR1: FP fused MAC bits Position */ +#define FPU_MVFR1_FP_fused_MAC_Msk (0xFUL << FPU_MVFR1_FP_fused_MAC_Pos) /*!< MVFR1: FP fused MAC bits Mask */ + +#define FPU_MVFR1_FP_HPFP_Pos 24 /*!< MVFR1: FP HPFP bits Position */ +#define FPU_MVFR1_FP_HPFP_Msk (0xFUL << FPU_MVFR1_FP_HPFP_Pos) /*!< MVFR1: FP HPFP bits Mask */ + +#define FPU_MVFR1_D_NaN_mode_Pos 4 /*!< MVFR1: D_NaN mode bits Position */ +#define FPU_MVFR1_D_NaN_mode_Msk (0xFUL << FPU_MVFR1_D_NaN_mode_Pos) /*!< MVFR1: D_NaN mode bits Mask */ + +#define FPU_MVFR1_FtZ_mode_Pos 0 /*!< MVFR1: FtZ mode bits Position */ +#define FPU_MVFR1_FtZ_mode_Msk (0xFUL << FPU_MVFR1_FtZ_mode_Pos) /*!< MVFR1: FtZ mode bits Mask */ + +/*@} end of group CMSIS_FPU */ +#endif + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Type definitions for the Core Debug Registers + @{ + */ + +/** \brief Structure type to access the Core Debug Register (CoreDebug). + */ +typedef struct +{ + __IO uint32_t DHCSR; /*!< Offset: 0x000 (R/W) Debug Halting Control and Status Register */ + __O uint32_t DCRSR; /*!< Offset: 0x004 ( /W) Debug Core Register Selector Register */ + __IO uint32_t DCRDR; /*!< Offset: 0x008 (R/W) Debug Core Register Data Register */ + __IO uint32_t DEMCR; /*!< Offset: 0x00C (R/W) Debug Exception and Monitor Control Register */ +} CoreDebug_Type; + +/* Debug Halting Control and Status Register */ +#define CoreDebug_DHCSR_DBGKEY_Pos 16 /*!< CoreDebug DHCSR: DBGKEY Position */ +#define CoreDebug_DHCSR_DBGKEY_Msk (0xFFFFUL << CoreDebug_DHCSR_DBGKEY_Pos) /*!< CoreDebug DHCSR: DBGKEY Mask */ + +#define CoreDebug_DHCSR_S_RESET_ST_Pos 25 /*!< CoreDebug DHCSR: S_RESET_ST Position */ +#define CoreDebug_DHCSR_S_RESET_ST_Msk (1UL << CoreDebug_DHCSR_S_RESET_ST_Pos) /*!< CoreDebug DHCSR: S_RESET_ST Mask */ + +#define CoreDebug_DHCSR_S_RETIRE_ST_Pos 24 /*!< CoreDebug DHCSR: S_RETIRE_ST Position */ +#define CoreDebug_DHCSR_S_RETIRE_ST_Msk (1UL << CoreDebug_DHCSR_S_RETIRE_ST_Pos) /*!< CoreDebug DHCSR: S_RETIRE_ST Mask */ + +#define CoreDebug_DHCSR_S_LOCKUP_Pos 19 /*!< CoreDebug DHCSR: S_LOCKUP Position */ +#define CoreDebug_DHCSR_S_LOCKUP_Msk (1UL << CoreDebug_DHCSR_S_LOCKUP_Pos) /*!< CoreDebug DHCSR: S_LOCKUP Mask */ + +#define CoreDebug_DHCSR_S_SLEEP_Pos 18 /*!< CoreDebug DHCSR: S_SLEEP Position */ +#define CoreDebug_DHCSR_S_SLEEP_Msk (1UL << CoreDebug_DHCSR_S_SLEEP_Pos) /*!< CoreDebug DHCSR: S_SLEEP Mask */ + +#define CoreDebug_DHCSR_S_HALT_Pos 17 /*!< CoreDebug DHCSR: S_HALT Position */ +#define CoreDebug_DHCSR_S_HALT_Msk (1UL << CoreDebug_DHCSR_S_HALT_Pos) /*!< CoreDebug DHCSR: S_HALT Mask */ + +#define CoreDebug_DHCSR_S_REGRDY_Pos 16 /*!< CoreDebug DHCSR: S_REGRDY Position */ +#define CoreDebug_DHCSR_S_REGRDY_Msk (1UL << CoreDebug_DHCSR_S_REGRDY_Pos) /*!< CoreDebug DHCSR: S_REGRDY Mask */ + +#define CoreDebug_DHCSR_C_SNAPSTALL_Pos 5 /*!< CoreDebug DHCSR: C_SNAPSTALL Position */ +#define CoreDebug_DHCSR_C_SNAPSTALL_Msk (1UL << CoreDebug_DHCSR_C_SNAPSTALL_Pos) /*!< CoreDebug DHCSR: C_SNAPSTALL Mask */ + +#define CoreDebug_DHCSR_C_MASKINTS_Pos 3 /*!< CoreDebug DHCSR: C_MASKINTS Position */ +#define CoreDebug_DHCSR_C_MASKINTS_Msk (1UL << CoreDebug_DHCSR_C_MASKINTS_Pos) /*!< CoreDebug DHCSR: C_MASKINTS Mask */ + +#define CoreDebug_DHCSR_C_STEP_Pos 2 /*!< CoreDebug DHCSR: C_STEP Position */ +#define CoreDebug_DHCSR_C_STEP_Msk (1UL << CoreDebug_DHCSR_C_STEP_Pos) /*!< CoreDebug DHCSR: C_STEP Mask */ + +#define CoreDebug_DHCSR_C_HALT_Pos 1 /*!< CoreDebug DHCSR: C_HALT Position */ +#define CoreDebug_DHCSR_C_HALT_Msk (1UL << CoreDebug_DHCSR_C_HALT_Pos) /*!< CoreDebug DHCSR: C_HALT Mask */ + +#define CoreDebug_DHCSR_C_DEBUGEN_Pos 0 /*!< CoreDebug DHCSR: C_DEBUGEN Position */ +#define CoreDebug_DHCSR_C_DEBUGEN_Msk (1UL << CoreDebug_DHCSR_C_DEBUGEN_Pos) /*!< CoreDebug DHCSR: C_DEBUGEN Mask */ + +/* Debug Core Register Selector Register */ +#define CoreDebug_DCRSR_REGWnR_Pos 16 /*!< CoreDebug DCRSR: REGWnR Position */ +#define CoreDebug_DCRSR_REGWnR_Msk (1UL << CoreDebug_DCRSR_REGWnR_Pos) /*!< CoreDebug DCRSR: REGWnR Mask */ + +#define CoreDebug_DCRSR_REGSEL_Pos 0 /*!< CoreDebug DCRSR: REGSEL Position */ +#define CoreDebug_DCRSR_REGSEL_Msk (0x1FUL << CoreDebug_DCRSR_REGSEL_Pos) /*!< CoreDebug DCRSR: REGSEL Mask */ + +/* Debug Exception and Monitor Control Register */ +#define CoreDebug_DEMCR_TRCENA_Pos 24 /*!< CoreDebug DEMCR: TRCENA Position */ +#define CoreDebug_DEMCR_TRCENA_Msk (1UL << CoreDebug_DEMCR_TRCENA_Pos) /*!< CoreDebug DEMCR: TRCENA Mask */ + +#define CoreDebug_DEMCR_MON_REQ_Pos 19 /*!< CoreDebug DEMCR: MON_REQ Position */ +#define CoreDebug_DEMCR_MON_REQ_Msk (1UL << CoreDebug_DEMCR_MON_REQ_Pos) /*!< CoreDebug DEMCR: MON_REQ Mask */ + +#define CoreDebug_DEMCR_MON_STEP_Pos 18 /*!< CoreDebug DEMCR: MON_STEP Position */ +#define CoreDebug_DEMCR_MON_STEP_Msk (1UL << CoreDebug_DEMCR_MON_STEP_Pos) /*!< CoreDebug DEMCR: MON_STEP Mask */ + +#define CoreDebug_DEMCR_MON_PEND_Pos 17 /*!< CoreDebug DEMCR: MON_PEND Position */ +#define CoreDebug_DEMCR_MON_PEND_Msk (1UL << CoreDebug_DEMCR_MON_PEND_Pos) /*!< CoreDebug DEMCR: MON_PEND Mask */ + +#define CoreDebug_DEMCR_MON_EN_Pos 16 /*!< CoreDebug DEMCR: MON_EN Position */ +#define CoreDebug_DEMCR_MON_EN_Msk (1UL << CoreDebug_DEMCR_MON_EN_Pos) /*!< CoreDebug DEMCR: MON_EN Mask */ + +#define CoreDebug_DEMCR_VC_HARDERR_Pos 10 /*!< CoreDebug DEMCR: VC_HARDERR Position */ +#define CoreDebug_DEMCR_VC_HARDERR_Msk (1UL << CoreDebug_DEMCR_VC_HARDERR_Pos) /*!< CoreDebug DEMCR: VC_HARDERR Mask */ + +#define CoreDebug_DEMCR_VC_INTERR_Pos 9 /*!< CoreDebug DEMCR: VC_INTERR Position */ +#define CoreDebug_DEMCR_VC_INTERR_Msk (1UL << CoreDebug_DEMCR_VC_INTERR_Pos) /*!< CoreDebug DEMCR: VC_INTERR Mask */ + +#define CoreDebug_DEMCR_VC_BUSERR_Pos 8 /*!< CoreDebug DEMCR: VC_BUSERR Position */ +#define CoreDebug_DEMCR_VC_BUSERR_Msk (1UL << CoreDebug_DEMCR_VC_BUSERR_Pos) /*!< CoreDebug DEMCR: VC_BUSERR Mask */ + +#define CoreDebug_DEMCR_VC_STATERR_Pos 7 /*!< CoreDebug DEMCR: VC_STATERR Position */ +#define CoreDebug_DEMCR_VC_STATERR_Msk (1UL << CoreDebug_DEMCR_VC_STATERR_Pos) /*!< CoreDebug DEMCR: VC_STATERR Mask */ + +#define CoreDebug_DEMCR_VC_CHKERR_Pos 6 /*!< CoreDebug DEMCR: VC_CHKERR Position */ +#define CoreDebug_DEMCR_VC_CHKERR_Msk (1UL << CoreDebug_DEMCR_VC_CHKERR_Pos) /*!< CoreDebug DEMCR: VC_CHKERR Mask */ + +#define CoreDebug_DEMCR_VC_NOCPERR_Pos 5 /*!< CoreDebug DEMCR: VC_NOCPERR Position */ +#define CoreDebug_DEMCR_VC_NOCPERR_Msk (1UL << CoreDebug_DEMCR_VC_NOCPERR_Pos) /*!< CoreDebug DEMCR: VC_NOCPERR Mask */ + +#define CoreDebug_DEMCR_VC_MMERR_Pos 4 /*!< CoreDebug DEMCR: VC_MMERR Position */ +#define CoreDebug_DEMCR_VC_MMERR_Msk (1UL << CoreDebug_DEMCR_VC_MMERR_Pos) /*!< CoreDebug DEMCR: VC_MMERR Mask */ + +#define CoreDebug_DEMCR_VC_CORERESET_Pos 0 /*!< CoreDebug DEMCR: VC_CORERESET Position */ +#define CoreDebug_DEMCR_VC_CORERESET_Msk (1UL << CoreDebug_DEMCR_VC_CORERESET_Pos) /*!< CoreDebug DEMCR: VC_CORERESET Mask */ + +/*@} end of group CMSIS_CoreDebug */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Cortex-M4 Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define ITM_BASE (0xE0000000UL) /*!< ITM Base Address */ +#define DWT_BASE (0xE0001000UL) /*!< DWT Base Address */ +#define TPI_BASE (0xE0040000UL) /*!< TPI Base Address */ +#define CoreDebug_BASE (0xE000EDF0UL) /*!< Core Debug Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCnSCB ((SCnSCB_Type *) SCS_BASE ) /*!< System control Register not in SCB */ +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ +#define ITM ((ITM_Type *) ITM_BASE ) /*!< ITM configuration struct */ +#define DWT ((DWT_Type *) DWT_BASE ) /*!< DWT configuration struct */ +#define TPI ((TPI_Type *) TPI_BASE ) /*!< TPI configuration struct */ +#define CoreDebug ((CoreDebug_Type *) CoreDebug_BASE) /*!< Core Debug configuration struct */ + +#if (__MPU_PRESENT == 1) + #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */ +#endif + +#if (__FPU_PRESENT == 1) + #define FPU_BASE (SCS_BASE + 0x0F30UL) /*!< Floating Point Unit */ + #define FPU ((FPU_Type *) FPU_BASE ) /*!< Floating Point Unit */ +#endif + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Debug Functions + - Core Register Access Functions + ******************************************************************************/ +/** \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +/** \brief Set Priority Grouping + + The function sets the priority grouping field using the required unlock sequence. + The parameter PriorityGroup is assigned to the field SCB->AIRCR [10:8] PRIGROUP field. + Only values from 0..7 are used. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + + \param [in] PriorityGroup Priority grouping field. + */ +__STATIC_INLINE void NVIC_SetPriorityGrouping(uint32_t PriorityGroup) +{ + uint32_t reg_value; + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07); /* only values 0..7 are used */ + + reg_value = SCB->AIRCR; /* read old register configuration */ + reg_value &= ~(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk); /* clear bits to change */ + reg_value = (reg_value | + ((uint32_t)0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (PriorityGroupTmp << 8)); /* Insert write key and priorty group */ + SCB->AIRCR = reg_value; +} + + +/** \brief Get Priority Grouping + + The function reads the priority grouping field from the NVIC Interrupt Controller. + + \return Priority grouping field (SCB->AIRCR [10:8] PRIGROUP field). + */ +__STATIC_INLINE uint32_t NVIC_GetPriorityGrouping(void) +{ + return ((SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) >> SCB_AIRCR_PRIGROUP_Pos); /* read priority grouping field */ +} + + +/** \brief Enable External Interrupt + + The function enables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ +/* NVIC->ISER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); enable interrupt */ + NVIC->ISER[(uint32_t)((int32_t)IRQn) >> 5] = (uint32_t)(1 << ((uint32_t)((int32_t)IRQn) & (uint32_t)0x1F)); /* enable interrupt */ +} + + +/** \brief Disable External Interrupt + + The function disables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* disable interrupt */ +} + + +/** \brief Get Pending Interrupt + + The function reads the pending register in the NVIC and returns the pending bit + for the specified interrupt. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + */ +__STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t) ((NVIC->ISPR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if pending else 0 */ +} + + +/** \brief Set Pending Interrupt + + The function sets the pending bit of an external interrupt. + + \param [in] IRQn Interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* set interrupt pending */ +} + + +/** \brief Clear Pending Interrupt + + The function clears the pending bit of an external interrupt. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* Clear pending interrupt */ +} + + +/** \brief Get Active Interrupt + + The function reads the active register in NVIC and returns the active bit. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not active. + \return 1 Interrupt status is active. + */ +__STATIC_INLINE uint32_t NVIC_GetActive(IRQn_Type IRQn) +{ + return((uint32_t)((NVIC->IABR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if active else 0 */ +} + + +/** \brief Set Interrupt Priority + + The function sets the priority of an interrupt. + + \note The priority cannot be set for every core interrupt. + + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + */ +__STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if(IRQn < 0) { + SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for Cortex-M System Interrupts */ + else { + NVIC->IP[(uint32_t)(IRQn)] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for device specific Interrupts */ +} + + +/** \brief Get Interrupt Priority + + The function reads the priority of an interrupt. The interrupt + number can be positive to specify an external (device specific) + interrupt, or negative to specify an internal (core) interrupt. + + + \param [in] IRQn Interrupt number. + \return Interrupt Priority. Value is aligned automatically to the implemented + priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if(IRQn < 0) { + return((uint32_t)(SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for Cortex-M system interrupts */ + else { + return((uint32_t)(NVIC->IP[(uint32_t)(IRQn)] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for device specific interrupts */ +} + + +/** \brief Encode Priority + + The function encodes the priority for an interrupt with the given priority group, + preemptive priority value, and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + + \param [in] PriorityGroup Used priority group. + \param [in] PreemptPriority Preemptive priority value (starting from 0). + \param [in] SubPriority Subpriority value (starting from 0). + \return Encoded priority. Value can be used in the function \ref NVIC_SetPriority(). + */ +__STATIC_INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + return ( + ((PreemptPriority & ((1 << (PreemptPriorityBits)) - 1)) << SubPriorityBits) | + ((SubPriority & ((1 << (SubPriorityBits )) - 1))) + ); +} + + +/** \brief Decode Priority + + The function decodes an interrupt priority value with a given priority group to + preemptive priority value and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS) the smallest possible priority group is set. + + \param [in] Priority Priority value, which can be retrieved with the function \ref NVIC_GetPriority(). + \param [in] PriorityGroup Used priority group. + \param [out] pPreemptPriority Preemptive priority value (starting from 0). + \param [out] pSubPriority Subpriority value (starting from 0). + */ +__STATIC_INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* pPreemptPriority, uint32_t* pSubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + *pPreemptPriority = (Priority >> SubPriorityBits) & ((1 << (PreemptPriorityBits)) - 1); + *pSubPriority = (Priority ) & ((1 << (SubPriorityBits )) - 1); +} + + +/** \brief System Reset + + The function initiates a system reset request to reset the MCU. + */ +__STATIC_INLINE void NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = ((0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) | + SCB_AIRCR_SYSRESETREQ_Msk); /* Keep priority group unchanged */ + __DSB(); /* Ensure completion of memory access */ + while(1); /* wait until reset */ +} + +/*@} end of CMSIS_Core_NVICFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if (__Vendor_SysTickConfig == 0) + +/** \brief System Tick Configuration + + The function initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + + \param [in] ticks Number of ticks between two interrupts. + + \return 0 Function succeeded. + \return 1 Function failed. + + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if ((ticks - 1) > SysTick_LOAD_RELOAD_Msk) return (1); /* Reload value impossible */ + + SysTick->LOAD = ticks - 1; /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1<<__NVIC_PRIO_BITS) - 1); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + +/* ##################################### Debug In/Output function ########################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_core_DebugFunctions ITM Functions + \brief Functions that access the ITM debug interface. + @{ + */ + +extern volatile int32_t ITM_RxBuffer; /*!< External variable to receive characters. */ +#define ITM_RXBUFFER_EMPTY 0x5AA55AA5 /*!< Value identifying \ref ITM_RxBuffer is ready for next character. */ + + +/** \brief ITM Send Character + + The function transmits a character via the ITM channel 0, and + \li Just returns when no debugger is connected that has booked the output. + \li Is blocking when a debugger is connected, but the previous character sent has not been transmitted. + + \param [in] ch Character to transmit. + + \returns Character to transmit. + */ +__STATIC_INLINE uint32_t ITM_SendChar (uint32_t ch) +{ + if ((ITM->TCR & ITM_TCR_ITMENA_Msk) && /* ITM enabled */ + (ITM->TER & (1UL << 0) ) ) /* ITM Port #0 enabled */ + { + while (ITM->PORT[0].u32 == 0); + ITM->PORT[0].u8 = (uint8_t) ch; + } + return (ch); +} + + +/** \brief ITM Receive Character + + The function inputs a character via the external variable \ref ITM_RxBuffer. + + \return Received character. + \return -1 No character pending. + */ +__STATIC_INLINE int32_t ITM_ReceiveChar (void) { + int32_t ch = -1; /* no character available */ + + if (ITM_RxBuffer != ITM_RXBUFFER_EMPTY) { + ch = ITM_RxBuffer; + ITM_RxBuffer = ITM_RXBUFFER_EMPTY; /* ready for next character */ + } + + return (ch); +} + + +/** \brief ITM Check Character + + The function checks whether a character is pending for reading in the variable \ref ITM_RxBuffer. + + \return 0 No character available. + \return 1 Character available. + */ +__STATIC_INLINE int32_t ITM_CheckChar (void) { + + if (ITM_RxBuffer == ITM_RXBUFFER_EMPTY) { + return (0); /* no character available */ + } else { + return (1); /* character available */ + } +} + +/*@} end of CMSIS_core_DebugFunctions */ + + + + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM4_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ diff --git a/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm7.h b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm7.h new file mode 100644 index 0000000000..242540f8b1 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cm7.h @@ -0,0 +1,2221 @@ +/**************************************************************************//** + * @file core_cm7.h + * @brief CMSIS Cortex-M7 Core Peripheral Access Layer Header File + * @version V4.00 + * @date 01. September 2014 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2014 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#endif + +#ifndef __CORE_CM7_H_GENERIC +#define __CORE_CM7_H_GENERIC + +#ifdef __cplusplus + extern "C" { +#endif + +/** \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
+ Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
+ Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
+ Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** \ingroup Cortex_M7 + @{ + */ + +/* CMSIS CM7 definitions */ +#define __CM7_CMSIS_VERSION_MAIN (0x04) /*!< [31:16] CMSIS HAL main version */ +#define __CM7_CMSIS_VERSION_SUB (0x00) /*!< [15:0] CMSIS HAL sub version */ +#define __CM7_CMSIS_VERSION ((__CM7_CMSIS_VERSION_MAIN << 16) | \ + __CM7_CMSIS_VERSION_SUB ) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x07) /*!< Cortex-M Core */ + + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */ + #define __STATIC_INLINE static inline + +#elif defined ( __TMS470__ ) + #define __ASM __asm /*!< asm keyword for TI CCS Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __CSMC__ ) + #define __packed + #define __ASM _asm /*!< asm keyword for COSMIC Compiler */ + #define __INLINE inline /*use -pc99 on compile line !< inline keyword for COSMIC Compiler */ + #define __STATIC_INLINE static inline + +#endif + +/** __FPU_USED indicates whether an FPU is used or not. + For this, __FPU_PRESENT has to be checked prior to making use of FPU specific registers and functions. +*/ +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __TMS470__ ) + #if defined __TI_VFP_SUPPORT__ + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __CSMC__ ) /* Cosmic */ + #if ( __CSMC__ & 0x400) // FPU present for parser + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif +#endif + +#include /* standard types definitions */ +#include /* Core Instruction Access */ +#include /* Core Function Access */ +#include /* Compiler specific SIMD Intrinsics */ + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM7_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM7_H_DEPENDANT +#define __CORE_CM7_H_DEPENDANT + +#ifdef __cplusplus + extern "C" { +#endif + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM7_REV + #define __CM7_REV 0x0000 + #warning "__CM7_REV not defined in device header file; using default!" + #endif + + #ifndef __FPU_PRESENT + #define __FPU_PRESENT 0 + #warning "__FPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __MPU_PRESENT + #define __MPU_PRESENT 0 + #warning "__MPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __ICACHE_PRESENT + #define __ICACHE_PRESENT 0 + #warning "__ICACHE_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __DCACHE_PRESENT + #define __DCACHE_PRESENT 0 + #warning "__DCACHE_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __DTCM_PRESENT + #define __DTCM_PRESENT 0 + #warning "__DTCM_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 3 + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0 + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/*@} end of group Cortex_M7 */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + - Core Debug Register + - Core MPU Register + - Core FPU Register + ******************************************************************************/ +/** \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { +#if (__CORTEX_M != 0x07) + uint32_t _reserved0:27; /*!< bit: 0..26 Reserved */ +#else + uint32_t _reserved0:16; /*!< bit: 0..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:7; /*!< bit: 20..26 Reserved */ +#endif + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + + +/** \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + + +/** \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ +#if (__CORTEX_M != 0x07) + uint32_t _reserved0:15; /*!< bit: 9..23 Reserved */ +#else + uint32_t _reserved0:7; /*!< bit: 9..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:4; /*!< bit: 20..23 Reserved */ +#endif + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t IT:2; /*!< bit: 25..26 saved IT state (read 0) */ + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + + +/** \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t FPCA:1; /*!< bit: 2 FP extension active flag */ + uint32_t _reserved0:29; /*!< bit: 3..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/*@} end of group CMSIS_CORE */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IO uint32_t ISER[8]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[24]; + __IO uint32_t ICER[8]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[24]; + __IO uint32_t ISPR[8]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[24]; + __IO uint32_t ICPR[8]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[24]; + __IO uint32_t IABR[8]; /*!< Offset: 0x200 (R/W) Interrupt Active bit Register */ + uint32_t RESERVED4[56]; + __IO uint8_t IP[240]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register (8Bit wide) */ + uint32_t RESERVED5[644]; + __O uint32_t STIR; /*!< Offset: 0xE00 ( /W) Software Trigger Interrupt Register */ +} NVIC_Type; + +/* Software Triggered Interrupt Register Definitions */ +#define NVIC_STIR_INTID_Pos 0 /*!< STIR: INTLINESNUM Position */ +#define NVIC_STIR_INTID_Msk (0x1FFUL << NVIC_STIR_INTID_Pos) /*!< STIR: INTLINESNUM Mask */ + +/*@} end of group CMSIS_NVIC */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __I uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IO uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ + __IO uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */ + __IO uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IO uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IO uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + __IO uint8_t SHPR[12]; /*!< Offset: 0x018 (R/W) System Handlers Priority Registers (4-7, 8-11, 12-15) */ + __IO uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ + __IO uint32_t CFSR; /*!< Offset: 0x028 (R/W) Configurable Fault Status Register */ + __IO uint32_t HFSR; /*!< Offset: 0x02C (R/W) HardFault Status Register */ + __IO uint32_t DFSR; /*!< Offset: 0x030 (R/W) Debug Fault Status Register */ + __IO uint32_t MMFAR; /*!< Offset: 0x034 (R/W) MemManage Fault Address Register */ + __IO uint32_t BFAR; /*!< Offset: 0x038 (R/W) BusFault Address Register */ + __IO uint32_t AFSR; /*!< Offset: 0x03C (R/W) Auxiliary Fault Status Register */ + __I uint32_t ID_PFR[2]; /*!< Offset: 0x040 (R/ ) Processor Feature Register */ + __I uint32_t ID_DFR; /*!< Offset: 0x048 (R/ ) Debug Feature Register */ + __I uint32_t ID_AFR; /*!< Offset: 0x04C (R/ ) Auxiliary Feature Register */ + __I uint32_t ID_MFR[4]; /*!< Offset: 0x050 (R/ ) Memory Model Feature Register */ + __I uint32_t ID_ISAR[5]; /*!< Offset: 0x060 (R/ ) Instruction Set Attributes Register */ + uint32_t RESERVED0[1]; + __I uint32_t CLIDR; /*!< Offset: 0x078 (R/ ) Cache Level ID register */ + __I uint32_t CTR; /*!< Offset: 0x07C (R/ ) Cache Type register */ + __I uint32_t CCSIDR; /*!< Offset: 0x080 (R/ ) Cache Size ID Register */ + __IO uint32_t CSSELR; /*!< Offset: 0x084 (R/W) Cache Size Selection Register */ + __IO uint32_t CPACR; /*!< Offset: 0x088 (R/W) Coprocessor Access Control Register */ + uint32_t RESERVED3[93]; + __O uint32_t STIR; /*!< Offset: 0x200 ( /W) Software Triggered Interrupt Register */ + uint32_t RESERVED4[15]; + __I uint32_t MVFR0; /*!< Offset: 0x240 (R/ ) Media and VFP Feature Register 0 */ + __I uint32_t MVFR1; /*!< Offset: 0x244 (R/ ) Media and VFP Feature Register 1 */ + __I uint32_t MVFR2; /*!< Offset: 0x248 (R/ ) Media and VFP Feature Register 1 */ + uint32_t RESERVED5[1]; + __O uint32_t ICIALLU; /*!< Offset: 0x250 ( /W) I-Cache Invalidate All to PoU */ + uint32_t RESERVED6[1]; + __O uint32_t ICIMVAU; /*!< Offset: 0x258 ( /W) I-Cache Invalidate by MVA to PoU */ + __O uint32_t DCIMVAU; /*!< Offset: 0x25C ( /W) D-Cache Invalidate by MVA to PoC */ + __O uint32_t DCISW; /*!< Offset: 0x260 ( /W) D-Cache Invalidate by Set-way */ + __O uint32_t DCCMVAU; /*!< Offset: 0x264 ( /W) D-Cache Clean by MVA to PoU */ + __O uint32_t DCCMVAC; /*!< Offset: 0x268 ( /W) D-Cache Clean by MVA to PoC */ + __O uint32_t DCCSW; /*!< Offset: 0x26C ( /W) D-Cache Clean by Set-way */ + __O uint32_t DCCIMVAC; /*!< Offset: 0x270 ( /W) D-Cache Clean and Invalidate by MVA to PoC */ + __O uint32_t DCCISW; /*!< Offset: 0x274 ( /W) D-Cache Clean and Invalidate by Set-way */ + uint32_t RESERVED7[6]; + __IO uint32_t ITCMCR; /*!< Offset: 0x290 (R/W) Instruction Tightly-Coupled Memory Control Register */ + __IO uint32_t DTCMCR; /*!< Offset: 0x294 (R/W) Data Tightly-Coupled Memory Control Registers */ + __IO uint32_t AHBPCR; /*!< Offset: 0x298 (R/W) AHBP Control Register */ + __IO uint32_t CACR; /*!< Offset: 0x29C (R/W) L1 Cache Control Register */ + __IO uint32_t AHBSCR; /*!< Offset: 0x2A0 (R/W) AHB Slave Control Register */ + uint32_t RESERVED8[1]; + __IO uint32_t ABFSR; /*!< Offset: 0x2A8 (R/W) Auxiliary Bus Fault Status Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24 /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20 /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16 /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4 /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0 /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL << SCB_CPUID_REVISION_Pos) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31 /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28 /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27 /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26 /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25 /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23 /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22 /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12 /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_RETTOBASE_Pos 11 /*!< SCB ICSR: RETTOBASE Position */ +#define SCB_ICSR_RETTOBASE_Msk (1UL << SCB_ICSR_RETTOBASE_Pos) /*!< SCB ICSR: RETTOBASE Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0 /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL << SCB_ICSR_VECTACTIVE_Pos) /*!< SCB ICSR: VECTACTIVE Mask */ + +/* SCB Vector Table Offset Register Definitions */ +#define SCB_VTOR_TBLOFF_Pos 7 /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x1FFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16 /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16 /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15 /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_PRIGROUP_Pos 8 /*!< SCB AIRCR: PRIGROUP Position */ +#define SCB_AIRCR_PRIGROUP_Msk (7UL << SCB_AIRCR_PRIGROUP_Pos) /*!< SCB AIRCR: PRIGROUP Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2 /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1 /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +#define SCB_AIRCR_VECTRESET_Pos 0 /*!< SCB AIRCR: VECTRESET Position */ +#define SCB_AIRCR_VECTRESET_Msk (1UL << SCB_AIRCR_VECTRESET_Pos) /*!< SCB AIRCR: VECTRESET Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4 /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2 /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1 /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_BP_Pos 18 /*!< SCB CCR: Branch prediction enable bit Position */ +#define SCB_CCR_BP_Msk (1UL << SCB_CCR_BP_Pos) /*!< SCB CCR: Branch prediction enable bit Mask */ + +#define SCB_CCR_IC_Pos 17 /*!< SCB CCR: Instruction cache enable bit Position */ +#define SCB_CCR_IC_Msk (1UL << SCB_CCR_IC_Pos) /*!< SCB CCR: Instruction cache enable bit Mask */ + +#define SCB_CCR_DC_Pos 16 /*!< SCB CCR: Cache enable bit Position */ +#define SCB_CCR_DC_Msk (1UL << SCB_CCR_DC_Pos) /*!< SCB CCR: Cache enable bit Mask */ + +#define SCB_CCR_STKALIGN_Pos 9 /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_BFHFNMIGN_Pos 8 /*!< SCB CCR: BFHFNMIGN Position */ +#define SCB_CCR_BFHFNMIGN_Msk (1UL << SCB_CCR_BFHFNMIGN_Pos) /*!< SCB CCR: BFHFNMIGN Mask */ + +#define SCB_CCR_DIV_0_TRP_Pos 4 /*!< SCB CCR: DIV_0_TRP Position */ +#define SCB_CCR_DIV_0_TRP_Msk (1UL << SCB_CCR_DIV_0_TRP_Pos) /*!< SCB CCR: DIV_0_TRP Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3 /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +#define SCB_CCR_USERSETMPEND_Pos 1 /*!< SCB CCR: USERSETMPEND Position */ +#define SCB_CCR_USERSETMPEND_Msk (1UL << SCB_CCR_USERSETMPEND_Pos) /*!< SCB CCR: USERSETMPEND Mask */ + +#define SCB_CCR_NONBASETHRDENA_Pos 0 /*!< SCB CCR: NONBASETHRDENA Position */ +#define SCB_CCR_NONBASETHRDENA_Msk (1UL << SCB_CCR_NONBASETHRDENA_Pos) /*!< SCB CCR: NONBASETHRDENA Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_USGFAULTENA_Pos 18 /*!< SCB SHCSR: USGFAULTENA Position */ +#define SCB_SHCSR_USGFAULTENA_Msk (1UL << SCB_SHCSR_USGFAULTENA_Pos) /*!< SCB SHCSR: USGFAULTENA Mask */ + +#define SCB_SHCSR_BUSFAULTENA_Pos 17 /*!< SCB SHCSR: BUSFAULTENA Position */ +#define SCB_SHCSR_BUSFAULTENA_Msk (1UL << SCB_SHCSR_BUSFAULTENA_Pos) /*!< SCB SHCSR: BUSFAULTENA Mask */ + +#define SCB_SHCSR_MEMFAULTENA_Pos 16 /*!< SCB SHCSR: MEMFAULTENA Position */ +#define SCB_SHCSR_MEMFAULTENA_Msk (1UL << SCB_SHCSR_MEMFAULTENA_Pos) /*!< SCB SHCSR: MEMFAULTENA Mask */ + +#define SCB_SHCSR_SVCALLPENDED_Pos 15 /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +#define SCB_SHCSR_BUSFAULTPENDED_Pos 14 /*!< SCB SHCSR: BUSFAULTPENDED Position */ +#define SCB_SHCSR_BUSFAULTPENDED_Msk (1UL << SCB_SHCSR_BUSFAULTPENDED_Pos) /*!< SCB SHCSR: BUSFAULTPENDED Mask */ + +#define SCB_SHCSR_MEMFAULTPENDED_Pos 13 /*!< SCB SHCSR: MEMFAULTPENDED Position */ +#define SCB_SHCSR_MEMFAULTPENDED_Msk (1UL << SCB_SHCSR_MEMFAULTPENDED_Pos) /*!< SCB SHCSR: MEMFAULTPENDED Mask */ + +#define SCB_SHCSR_USGFAULTPENDED_Pos 12 /*!< SCB SHCSR: USGFAULTPENDED Position */ +#define SCB_SHCSR_USGFAULTPENDED_Msk (1UL << SCB_SHCSR_USGFAULTPENDED_Pos) /*!< SCB SHCSR: USGFAULTPENDED Mask */ + +#define SCB_SHCSR_SYSTICKACT_Pos 11 /*!< SCB SHCSR: SYSTICKACT Position */ +#define SCB_SHCSR_SYSTICKACT_Msk (1UL << SCB_SHCSR_SYSTICKACT_Pos) /*!< SCB SHCSR: SYSTICKACT Mask */ + +#define SCB_SHCSR_PENDSVACT_Pos 10 /*!< SCB SHCSR: PENDSVACT Position */ +#define SCB_SHCSR_PENDSVACT_Msk (1UL << SCB_SHCSR_PENDSVACT_Pos) /*!< SCB SHCSR: PENDSVACT Mask */ + +#define SCB_SHCSR_MONITORACT_Pos 8 /*!< SCB SHCSR: MONITORACT Position */ +#define SCB_SHCSR_MONITORACT_Msk (1UL << SCB_SHCSR_MONITORACT_Pos) /*!< SCB SHCSR: MONITORACT Mask */ + +#define SCB_SHCSR_SVCALLACT_Pos 7 /*!< SCB SHCSR: SVCALLACT Position */ +#define SCB_SHCSR_SVCALLACT_Msk (1UL << SCB_SHCSR_SVCALLACT_Pos) /*!< SCB SHCSR: SVCALLACT Mask */ + +#define SCB_SHCSR_USGFAULTACT_Pos 3 /*!< SCB SHCSR: USGFAULTACT Position */ +#define SCB_SHCSR_USGFAULTACT_Msk (1UL << SCB_SHCSR_USGFAULTACT_Pos) /*!< SCB SHCSR: USGFAULTACT Mask */ + +#define SCB_SHCSR_BUSFAULTACT_Pos 1 /*!< SCB SHCSR: BUSFAULTACT Position */ +#define SCB_SHCSR_BUSFAULTACT_Msk (1UL << SCB_SHCSR_BUSFAULTACT_Pos) /*!< SCB SHCSR: BUSFAULTACT Mask */ + +#define SCB_SHCSR_MEMFAULTACT_Pos 0 /*!< SCB SHCSR: MEMFAULTACT Position */ +#define SCB_SHCSR_MEMFAULTACT_Msk (1UL << SCB_SHCSR_MEMFAULTACT_Pos) /*!< SCB SHCSR: MEMFAULTACT Mask */ + +/* SCB Configurable Fault Status Registers Definitions */ +#define SCB_CFSR_USGFAULTSR_Pos 16 /*!< SCB CFSR: Usage Fault Status Register Position */ +#define SCB_CFSR_USGFAULTSR_Msk (0xFFFFUL << SCB_CFSR_USGFAULTSR_Pos) /*!< SCB CFSR: Usage Fault Status Register Mask */ + +#define SCB_CFSR_BUSFAULTSR_Pos 8 /*!< SCB CFSR: Bus Fault Status Register Position */ +#define SCB_CFSR_BUSFAULTSR_Msk (0xFFUL << SCB_CFSR_BUSFAULTSR_Pos) /*!< SCB CFSR: Bus Fault Status Register Mask */ + +#define SCB_CFSR_MEMFAULTSR_Pos 0 /*!< SCB CFSR: Memory Manage Fault Status Register Position */ +#define SCB_CFSR_MEMFAULTSR_Msk (0xFFUL << SCB_CFSR_MEMFAULTSR_Pos) /*!< SCB CFSR: Memory Manage Fault Status Register Mask */ + +/* SCB Hard Fault Status Registers Definitions */ +#define SCB_HFSR_DEBUGEVT_Pos 31 /*!< SCB HFSR: DEBUGEVT Position */ +#define SCB_HFSR_DEBUGEVT_Msk (1UL << SCB_HFSR_DEBUGEVT_Pos) /*!< SCB HFSR: DEBUGEVT Mask */ + +#define SCB_HFSR_FORCED_Pos 30 /*!< SCB HFSR: FORCED Position */ +#define SCB_HFSR_FORCED_Msk (1UL << SCB_HFSR_FORCED_Pos) /*!< SCB HFSR: FORCED Mask */ + +#define SCB_HFSR_VECTTBL_Pos 1 /*!< SCB HFSR: VECTTBL Position */ +#define SCB_HFSR_VECTTBL_Msk (1UL << SCB_HFSR_VECTTBL_Pos) /*!< SCB HFSR: VECTTBL Mask */ + +/* SCB Debug Fault Status Register Definitions */ +#define SCB_DFSR_EXTERNAL_Pos 4 /*!< SCB DFSR: EXTERNAL Position */ +#define SCB_DFSR_EXTERNAL_Msk (1UL << SCB_DFSR_EXTERNAL_Pos) /*!< SCB DFSR: EXTERNAL Mask */ + +#define SCB_DFSR_VCATCH_Pos 3 /*!< SCB DFSR: VCATCH Position */ +#define SCB_DFSR_VCATCH_Msk (1UL << SCB_DFSR_VCATCH_Pos) /*!< SCB DFSR: VCATCH Mask */ + +#define SCB_DFSR_DWTTRAP_Pos 2 /*!< SCB DFSR: DWTTRAP Position */ +#define SCB_DFSR_DWTTRAP_Msk (1UL << SCB_DFSR_DWTTRAP_Pos) /*!< SCB DFSR: DWTTRAP Mask */ + +#define SCB_DFSR_BKPT_Pos 1 /*!< SCB DFSR: BKPT Position */ +#define SCB_DFSR_BKPT_Msk (1UL << SCB_DFSR_BKPT_Pos) /*!< SCB DFSR: BKPT Mask */ + +#define SCB_DFSR_HALTED_Pos 0 /*!< SCB DFSR: HALTED Position */ +#define SCB_DFSR_HALTED_Msk (1UL << SCB_DFSR_HALTED_Pos) /*!< SCB DFSR: HALTED Mask */ + +/* Cache Level ID register */ +#define SCB_CLIDR_LOUU_Pos 27 /*!< SCB CLIDR: LoUU Position */ +#define SCB_CLIDR_LOUU_Msk (7UL << SCB_CLIDR_LOUU_Pos) /*!< SCB CLIDR: LoUU Mask */ + +#define SCB_CLIDR_LOC_Pos 24 /*!< SCB CLIDR: LoC Position */ +#define SCB_CLIDR_LOC_Msk (7UL << SCB_CLIDR_FORMAT_Pos) /*!< SCB CLIDR: LoC Mask */ + +/* Cache Type register */ +#define SCB_CTR_FORMAT_Pos 29 /*!< SCB CTR: Format Position */ +#define SCB_CTR_FORMAT_Msk (7UL << SCB_CTR_FORMAT_Pos) /*!< SCB CTR: Format Mask */ + +#define SCB_CTR_CWG_Pos 24 /*!< SCB CTR: CWG Position */ +#define SCB_CTR_CWG_Msk (0xFUL << SCB_CTR_CWG_Pos) /*!< SCB CTR: CWG Mask */ + +#define SCB_CTR_ERG_Pos 20 /*!< SCB CTR: ERG Position */ +#define SCB_CTR_ERG_Msk (0xFUL << SCB_CTR_ERG_Pos) /*!< SCB CTR: ERG Mask */ + +#define SCB_CTR_DMINLINE_Pos 16 /*!< SCB CTR: DminLine Position */ +#define SCB_CTR_DMINLINE_Msk (0xFUL << SCB_CTR_DMINLINE_Pos) /*!< SCB CTR: DminLine Mask */ + +#define SCB_CTR_IMINLINE_Pos 0 /*!< SCB CTR: ImInLine Position */ +#define SCB_CTR_IMINLINE_Msk (0xFUL << SCB_CTR_IMINLINE_Pos) /*!< SCB CTR: ImInLine Mask */ + +/* Cache Size ID Register */ +#define SCB_CCSIDR_WT_Pos 31 /*!< SCB CCSIDR: WT Position */ +#define SCB_CCSIDR_WT_Msk (7UL << SCB_CCSIDR_WT_Pos) /*!< SCB CCSIDR: WT Mask */ + +#define SCB_CCSIDR_WB_Pos 30 /*!< SCB CCSIDR: WB Position */ +#define SCB_CCSIDR_WB_Msk (7UL << SCB_CCSIDR_WB_Pos) /*!< SCB CCSIDR: WB Mask */ + +#define SCB_CCSIDR_RA_Pos 29 /*!< SCB CCSIDR: RA Position */ +#define SCB_CCSIDR_RA_Msk (7UL << SCB_CCSIDR_RA_Pos) /*!< SCB CCSIDR: RA Mask */ + +#define SCB_CCSIDR_WA_Pos 28 /*!< SCB CCSIDR: WA Position */ +#define SCB_CCSIDR_WA_Msk (7UL << SCB_CCSIDR_WA_Pos) /*!< SCB CCSIDR: WA Mask */ + +#define SCB_CCSIDR_NUMSETS_Pos 13 /*!< SCB CCSIDR: NumSets Position */ +#define SCB_CCSIDR_NUMSETS_Msk (0x7FFFUL << SCB_CCSIDR_NUMSETS_Pos) /*!< SCB CCSIDR: NumSets Mask */ + +#define SCB_CCSIDR_ASSOCIATIVITY_Pos 3 /*!< SCB CCSIDR: Associativity Position */ +#define SCB_CCSIDR_ASSOCIATIVITY_Msk (0x3FFUL << SCB_CCSIDR_ASSOCIATIVITY_Pos) /*!< SCB CCSIDR: Associativity Mask */ + +#define SCB_CCSIDR_LINESIZE_Pos 0 /*!< SCB CCSIDR: LineSize Position */ +#define SCB_CCSIDR_LINESIZE_Msk (7UL << SCB_CCSIDR_LINESIZE_Pos) /*!< SCB CCSIDR: LineSize Mask */ + +/* Cache Size Selection Register */ +#define SCB_CSSELR_LEVEL_Pos 0 /*!< SCB CSSELR: Level Position */ +#define SCB_CSSELR_LEVEL_Msk (1UL << SCB_CSSELR_LEVEL_Pos) /*!< SCB CSSELR: Level Mask */ + +#define SCB_CSSELR_IND_Pos 0 /*!< SCB CSSELR: InD Position */ +#define SCB_CSSELR_IND_Msk (1UL << SCB_CSSELR_IND_Pos) /*!< SCB CSSELR: InD Mask */ + +/* SCB Software Triggered Interrupt Register */ +#define SCB_STIR_INTID_Pos 0 /*!< SCB STIR: INTID Position */ +#define SCB_STIR_INTID_Msk (0x1FFUL << SCB_STIR_INTID_Pos) /*!< SCB STIR: INTID Mask */ + +/* Instruction Tightly-Coupled Memory Control Register*/ +#define SCB_ITCMCR_SZ_Pos 3 /*!< SCB ITCMCR: SZ Position */ +#define SCB_ITCMCR_SZ_Msk (0xFUL << SCB_ITCMCR_SZ_Pos) /*!< SCB ITCMCR: SZ Mask */ + +#define SCB_ITCMCR_RETEN_Pos 2 /*!< SCB ITCMCR: RETEN Position */ +#define SCB_ITCMCR_RETEN_Msk (1FFUL << SCB_ITCMCR_RETEN_Pos) /*!< SCB ITCMCR: RETEN Mask */ + +#define SCB_ITCMCR_RMW_Pos 1 /*!< SCB ITCMCR: RMW Position */ +#define SCB_ITCMCR_RMW_Msk (1FFUL << SCB_ITCMCR_RMW_Pos) /*!< SCB ITCMCR: RMW Mask */ + +#define SCB_ITCMCR_EN_Pos 0 /*!< SCB ITCMCR: EN Position */ +#define SCB_ITCMCR_EN_Msk (1FFUL << SCB_ITCMCR_EN_Pos) /*!< SCB ITCMCR: EN Mask */ + +/* Data Tightly-Coupled Memory Control Registers */ +#define SCB_DTCMCR_SZ_Pos 3 /*!< SCB DTCMCR: SZ Position */ +#define SCB_DTCMCR_SZ_Msk (0xFUL << SCB_DTCMCR_SZ_Pos) /*!< SCB DTCMCR: SZ Mask */ + +#define SCB_DTCMCR_RETEN_Pos 2 /*!< SCB DTCMCR: RETEN Position */ +#define SCB_DTCMCR_RETEN_Msk (1UL << SCB_DTCMCR_RETEN_Pos) /*!< SCB DTCMCR: RETEN Mask */ + +#define SCB_DTCMCR_RMW_Pos 1 /*!< SCB DTCMCR: RMW Position */ +#define SCB_DTCMCR_RMW_Msk (1UL << SCB_DTCMCR_RMW_Pos) /*!< SCB DTCMCR: RMW Mask */ + +#define SCB_DTCMCR_EN_Pos 0 /*!< SCB DTCMCR: EN Position */ +#define SCB_DTCMCR_EN_Msk (1UL << SCB_DTCMCR_EN_Pos) /*!< SCB DTCMCR: EN Mask */ + +/* AHBP Control Register */ +#define SCB_AHBPCR_SZ_Pos 1 /*!< SCB AHBPCR: SZ Position */ +#define SCB_AHBPCR_SZ_Msk (7UL << SCB_AHBPCR_SZ_Pos) /*!< SCB AHBPCR: SZ Mask */ + +#define SCB_AHBPCR_EN_Pos 0 /*!< SCB AHBPCR: EN Position */ +#define SCB_AHBPCR_EN_Msk (1UL << SCB_AHBPCR_EN_Pos) /*!< SCB AHBPCR: EN Mask */ + +/* L1 Cache Control Register */ +#define SCB_CACR_FORCEWT_Pos 2 /*!< SCB CACR: FORCEWT Position */ +#define SCB_CACR_FORCEWT_Msk (1UL << SCB_CACR_FORCEWT_Pos) /*!< SCB CACR: FORCEWT Mask */ + +#define SCB_CACR_ECCEN_Pos 1 /*!< SCB CACR: ECCEN Position */ +#define SCB_CACR_ECCEN_Msk (1UL << SCB_CACR_ECCEN_Pos) /*!< SCB CACR: ECCEN Mask */ + +#define SCB_CACR_SIWT_Pos 0 /*!< SCB CACR: SIWT Position */ +#define SCB_CACR_SIWT_Msk (1UL << SCB_CACR_SIWT_Pos) /*!< SCB CACR: SIWT Mask */ + +/* AHBS control register */ +#define SCB_AHBSCR_INITCOUNT_Pos 11 /*!< SCB AHBSCR: INITCOUNT Position */ +#define SCB_AHBSCR_INITCOUNT_Msk (0x1FUL << SCB_AHBPCR_INITCOUNT_Pos) /*!< SCB AHBSCR: INITCOUNT Mask */ + +#define SCB_AHBSCR_TPRI_Pos 2 /*!< SCB AHBSCR: TPRI Position */ +#define SCB_AHBSCR_TPRI_Msk (0x1FFUL << SCB_AHBPCR_TPRI_Pos) /*!< SCB AHBSCR: TPRI Mask */ + +#define SCB_AHBSCR_CTL_Pos 0 /*!< SCB AHBSCR: CTL Position*/ +#define SCB_AHBSCR_CTL_Msk (3UL << SCB_AHBPCR_CTL_Pos) /*!< SCB AHBSCR: CTL Mask */ + +/* Auxiliary Bus Fault Status Register */ +#define SCB_ABFSR_AXIMTYPE_Pos 8 /*!< SCB ABFSR: AXIMTYPE Position*/ +#define SCB_ABFSR_AXIMTYPE_Msk (3UL << SCB_ABFSR_AXIMTYPE_Pos) /*!< SCB ABFSR: AXIMTYPE Mask */ + +#define SCB_ABFSR_EPPB_Pos 4 /*!< SCB ABFSR: EPPB Position*/ +#define SCB_ABFSR_EPPB_Msk (1UL << SCB_ABFSR_EPPB_Pos) /*!< SCB ABFSR: EPPB Mask */ + +#define SCB_ABFSR_AXIM_Pos 3 /*!< SCB ABFSR: AXIM Position*/ +#define SCB_ABFSR_AXIM_Msk (1UL << SCB_ABFSR_AXIM_Pos) /*!< SCB ABFSR: AXIM Mask */ + +#define SCB_ABFSR_AHBP_Pos 2 /*!< SCB ABFSR: AHBP Position*/ +#define SCB_ABFSR_AHBP_Msk (1UL << SCB_ABFSR_AHBP_Pos) /*!< SCB ABFSR: AHBP Mask */ + +#define SCB_ABFSR_DTCM_Pos 1 /*!< SCB ABFSR: DTCM Position*/ +#define SCB_ABFSR_DTCM_Msk (1UL << SCB_ABFSR_DTCM_Pos) /*!< SCB ABFSR: DTCM Mask */ + +#define SCB_ABFSR_ITCM_Pos 0 /*!< SCB ABFSR: ITCM Position*/ +#define SCB_ABFSR_ITCM_Msk (1UL << SCB_ABFSR_ITCM_Pos) /*!< SCB ABFSR: ITCM Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCnSCB System Controls not in SCB (SCnSCB) + \brief Type definitions for the System Control and ID Register not in the SCB + @{ + */ + +/** \brief Structure type to access the System Control and ID Register not in the SCB. + */ +typedef struct +{ + uint32_t RESERVED0[1]; + __I uint32_t ICTR; /*!< Offset: 0x004 (R/ ) Interrupt Controller Type Register */ + __IO uint32_t ACTLR; /*!< Offset: 0x008 (R/W) Auxiliary Control Register */ +} SCnSCB_Type; + +/* Interrupt Controller Type Register Definitions */ +#define SCnSCB_ICTR_INTLINESNUM_Pos 0 /*!< ICTR: INTLINESNUM Position */ +#define SCnSCB_ICTR_INTLINESNUM_Msk (0xFUL << SCnSCB_ICTR_INTLINESNUM_Pos) /*!< ICTR: INTLINESNUM Mask */ + +/* Auxiliary Control Register Definitions */ +#define SCnSCB_ACTLR_DISITMATBFLUSH_Pos 12 /*!< ACTLR: DISITMATBFLUSH Position */ +#define SCnSCB_ACTLR_DISITMATBFLUSH_Msk (1UL << SCnSCB_ACTLR_DISITMATBFLUSH_Pos) /*!< ACTLR: DISITMATBFLUSH Mask */ + +#define SCnSCB_ACTLR_DISRAMODE_Pos 11 /*!< ACTLR: DISRAMODE Position */ +#define SCnSCB_ACTLR_DISRAMODE_Msk (1UL << SCnSCB_ACTLR_DISRAMODE_Pos) /*!< ACTLR: DISRAMODE Mask */ + +#define SCnSCB_ACTLR_FPEXCODIS_Pos 10 /*!< ACTLR: FPEXCODIS Position */ +#define SCnSCB_ACTLR_FPEXCODIS_Msk (1UL << SCnSCB_ACTLR_FPEXCODIS_Pos) /*!< ACTLR: FPEXCODIS Mask */ + +#define SCnSCB_ACTLR_DISFOLD_Pos 2 /*!< ACTLR: DISFOLD Position */ +#define SCnSCB_ACTLR_DISFOLD_Msk (1UL << SCnSCB_ACTLR_DISFOLD_Pos) /*!< ACTLR: DISFOLD Mask */ + +#define SCnSCB_ACTLR_DISMCYCINT_Pos 0 /*!< ACTLR: DISMCYCINT Position */ +#define SCnSCB_ACTLR_DISMCYCINT_Msk (1UL << SCnSCB_ACTLR_DISMCYCINT_Pos) /*!< ACTLR: DISMCYCINT Mask */ + +/*@} end of group CMSIS_SCnotSCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IO uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IO uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __I uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16 /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2 /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1 /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0 /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL << SysTick_CTRL_ENABLE_Pos) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0 /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL << SysTick_LOAD_RELOAD_Pos) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0 /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31 /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30 /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0 /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL << SysTick_CALIB_TENMS_Pos) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_ITM Instrumentation Trace Macrocell (ITM) + \brief Type definitions for the Instrumentation Trace Macrocell (ITM) + @{ + */ + +/** \brief Structure type to access the Instrumentation Trace Macrocell Register (ITM). + */ +typedef struct +{ + __O union + { + __O uint8_t u8; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 8-bit */ + __O uint16_t u16; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 16-bit */ + __O uint32_t u32; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 32-bit */ + } PORT [32]; /*!< Offset: 0x000 ( /W) ITM Stimulus Port Registers */ + uint32_t RESERVED0[864]; + __IO uint32_t TER; /*!< Offset: 0xE00 (R/W) ITM Trace Enable Register */ + uint32_t RESERVED1[15]; + __IO uint32_t TPR; /*!< Offset: 0xE40 (R/W) ITM Trace Privilege Register */ + uint32_t RESERVED2[15]; + __IO uint32_t TCR; /*!< Offset: 0xE80 (R/W) ITM Trace Control Register */ + uint32_t RESERVED3[29]; + __O uint32_t IWR; /*!< Offset: 0xEF8 ( /W) ITM Integration Write Register */ + __I uint32_t IRR; /*!< Offset: 0xEFC (R/ ) ITM Integration Read Register */ + __IO uint32_t IMCR; /*!< Offset: 0xF00 (R/W) ITM Integration Mode Control Register */ + uint32_t RESERVED4[43]; + __O uint32_t LAR; /*!< Offset: 0xFB0 ( /W) ITM Lock Access Register */ + __I uint32_t LSR; /*!< Offset: 0xFB4 (R/ ) ITM Lock Status Register */ + uint32_t RESERVED5[6]; + __I uint32_t PID4; /*!< Offset: 0xFD0 (R/ ) ITM Peripheral Identification Register #4 */ + __I uint32_t PID5; /*!< Offset: 0xFD4 (R/ ) ITM Peripheral Identification Register #5 */ + __I uint32_t PID6; /*!< Offset: 0xFD8 (R/ ) ITM Peripheral Identification Register #6 */ + __I uint32_t PID7; /*!< Offset: 0xFDC (R/ ) ITM Peripheral Identification Register #7 */ + __I uint32_t PID0; /*!< Offset: 0xFE0 (R/ ) ITM Peripheral Identification Register #0 */ + __I uint32_t PID1; /*!< Offset: 0xFE4 (R/ ) ITM Peripheral Identification Register #1 */ + __I uint32_t PID2; /*!< Offset: 0xFE8 (R/ ) ITM Peripheral Identification Register #2 */ + __I uint32_t PID3; /*!< Offset: 0xFEC (R/ ) ITM Peripheral Identification Register #3 */ + __I uint32_t CID0; /*!< Offset: 0xFF0 (R/ ) ITM Component Identification Register #0 */ + __I uint32_t CID1; /*!< Offset: 0xFF4 (R/ ) ITM Component Identification Register #1 */ + __I uint32_t CID2; /*!< Offset: 0xFF8 (R/ ) ITM Component Identification Register #2 */ + __I uint32_t CID3; /*!< Offset: 0xFFC (R/ ) ITM Component Identification Register #3 */ +} ITM_Type; + +/* ITM Trace Privilege Register Definitions */ +#define ITM_TPR_PRIVMASK_Pos 0 /*!< ITM TPR: PRIVMASK Position */ +#define ITM_TPR_PRIVMASK_Msk (0xFUL << ITM_TPR_PRIVMASK_Pos) /*!< ITM TPR: PRIVMASK Mask */ + +/* ITM Trace Control Register Definitions */ +#define ITM_TCR_BUSY_Pos 23 /*!< ITM TCR: BUSY Position */ +#define ITM_TCR_BUSY_Msk (1UL << ITM_TCR_BUSY_Pos) /*!< ITM TCR: BUSY Mask */ + +#define ITM_TCR_TraceBusID_Pos 16 /*!< ITM TCR: ATBID Position */ +#define ITM_TCR_TraceBusID_Msk (0x7FUL << ITM_TCR_TraceBusID_Pos) /*!< ITM TCR: ATBID Mask */ + +#define ITM_TCR_GTSFREQ_Pos 10 /*!< ITM TCR: Global timestamp frequency Position */ +#define ITM_TCR_GTSFREQ_Msk (3UL << ITM_TCR_GTSFREQ_Pos) /*!< ITM TCR: Global timestamp frequency Mask */ + +#define ITM_TCR_TSPrescale_Pos 8 /*!< ITM TCR: TSPrescale Position */ +#define ITM_TCR_TSPrescale_Msk (3UL << ITM_TCR_TSPrescale_Pos) /*!< ITM TCR: TSPrescale Mask */ + +#define ITM_TCR_SWOENA_Pos 4 /*!< ITM TCR: SWOENA Position */ +#define ITM_TCR_SWOENA_Msk (1UL << ITM_TCR_SWOENA_Pos) /*!< ITM TCR: SWOENA Mask */ + +#define ITM_TCR_DWTENA_Pos 3 /*!< ITM TCR: DWTENA Position */ +#define ITM_TCR_DWTENA_Msk (1UL << ITM_TCR_DWTENA_Pos) /*!< ITM TCR: DWTENA Mask */ + +#define ITM_TCR_SYNCENA_Pos 2 /*!< ITM TCR: SYNCENA Position */ +#define ITM_TCR_SYNCENA_Msk (1UL << ITM_TCR_SYNCENA_Pos) /*!< ITM TCR: SYNCENA Mask */ + +#define ITM_TCR_TSENA_Pos 1 /*!< ITM TCR: TSENA Position */ +#define ITM_TCR_TSENA_Msk (1UL << ITM_TCR_TSENA_Pos) /*!< ITM TCR: TSENA Mask */ + +#define ITM_TCR_ITMENA_Pos 0 /*!< ITM TCR: ITM Enable bit Position */ +#define ITM_TCR_ITMENA_Msk (1UL << ITM_TCR_ITMENA_Pos) /*!< ITM TCR: ITM Enable bit Mask */ + +/* ITM Integration Write Register Definitions */ +#define ITM_IWR_ATVALIDM_Pos 0 /*!< ITM IWR: ATVALIDM Position */ +#define ITM_IWR_ATVALIDM_Msk (1UL << ITM_IWR_ATVALIDM_Pos) /*!< ITM IWR: ATVALIDM Mask */ + +/* ITM Integration Read Register Definitions */ +#define ITM_IRR_ATREADYM_Pos 0 /*!< ITM IRR: ATREADYM Position */ +#define ITM_IRR_ATREADYM_Msk (1UL << ITM_IRR_ATREADYM_Pos) /*!< ITM IRR: ATREADYM Mask */ + +/* ITM Integration Mode Control Register Definitions */ +#define ITM_IMCR_INTEGRATION_Pos 0 /*!< ITM IMCR: INTEGRATION Position */ +#define ITM_IMCR_INTEGRATION_Msk (1UL << ITM_IMCR_INTEGRATION_Pos) /*!< ITM IMCR: INTEGRATION Mask */ + +/* ITM Lock Status Register Definitions */ +#define ITM_LSR_ByteAcc_Pos 2 /*!< ITM LSR: ByteAcc Position */ +#define ITM_LSR_ByteAcc_Msk (1UL << ITM_LSR_ByteAcc_Pos) /*!< ITM LSR: ByteAcc Mask */ + +#define ITM_LSR_Access_Pos 1 /*!< ITM LSR: Access Position */ +#define ITM_LSR_Access_Msk (1UL << ITM_LSR_Access_Pos) /*!< ITM LSR: Access Mask */ + +#define ITM_LSR_Present_Pos 0 /*!< ITM LSR: Present Position */ +#define ITM_LSR_Present_Msk (1UL << ITM_LSR_Present_Pos) /*!< ITM LSR: Present Mask */ + +/*@}*/ /* end of group CMSIS_ITM */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_DWT Data Watchpoint and Trace (DWT) + \brief Type definitions for the Data Watchpoint and Trace (DWT) + @{ + */ + +/** \brief Structure type to access the Data Watchpoint and Trace Register (DWT). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) Control Register */ + __IO uint32_t CYCCNT; /*!< Offset: 0x004 (R/W) Cycle Count Register */ + __IO uint32_t CPICNT; /*!< Offset: 0x008 (R/W) CPI Count Register */ + __IO uint32_t EXCCNT; /*!< Offset: 0x00C (R/W) Exception Overhead Count Register */ + __IO uint32_t SLEEPCNT; /*!< Offset: 0x010 (R/W) Sleep Count Register */ + __IO uint32_t LSUCNT; /*!< Offset: 0x014 (R/W) LSU Count Register */ + __IO uint32_t FOLDCNT; /*!< Offset: 0x018 (R/W) Folded-instruction Count Register */ + __I uint32_t PCSR; /*!< Offset: 0x01C (R/ ) Program Counter Sample Register */ + __IO uint32_t COMP0; /*!< Offset: 0x020 (R/W) Comparator Register 0 */ + __IO uint32_t MASK0; /*!< Offset: 0x024 (R/W) Mask Register 0 */ + __IO uint32_t FUNCTION0; /*!< Offset: 0x028 (R/W) Function Register 0 */ + uint32_t RESERVED0[1]; + __IO uint32_t COMP1; /*!< Offset: 0x030 (R/W) Comparator Register 1 */ + __IO uint32_t MASK1; /*!< Offset: 0x034 (R/W) Mask Register 1 */ + __IO uint32_t FUNCTION1; /*!< Offset: 0x038 (R/W) Function Register 1 */ + uint32_t RESERVED1[1]; + __IO uint32_t COMP2; /*!< Offset: 0x040 (R/W) Comparator Register 2 */ + __IO uint32_t MASK2; /*!< Offset: 0x044 (R/W) Mask Register 2 */ + __IO uint32_t FUNCTION2; /*!< Offset: 0x048 (R/W) Function Register 2 */ + uint32_t RESERVED2[1]; + __IO uint32_t COMP3; /*!< Offset: 0x050 (R/W) Comparator Register 3 */ + __IO uint32_t MASK3; /*!< Offset: 0x054 (R/W) Mask Register 3 */ + __IO uint32_t FUNCTION3; /*!< Offset: 0x058 (R/W) Function Register 3 */ + uint32_t RESERVED3[981]; + __O uint32_t LAR; /*!< Offset: 0xFB0 ( W) Lock Access Register */ + __I uint32_t LSR; /*!< Offset: 0xFB4 (R ) Lock Status Register */ +} DWT_Type; + +/* DWT Control Register Definitions */ +#define DWT_CTRL_NUMCOMP_Pos 28 /*!< DWT CTRL: NUMCOMP Position */ +#define DWT_CTRL_NUMCOMP_Msk (0xFUL << DWT_CTRL_NUMCOMP_Pos) /*!< DWT CTRL: NUMCOMP Mask */ + +#define DWT_CTRL_NOTRCPKT_Pos 27 /*!< DWT CTRL: NOTRCPKT Position */ +#define DWT_CTRL_NOTRCPKT_Msk (0x1UL << DWT_CTRL_NOTRCPKT_Pos) /*!< DWT CTRL: NOTRCPKT Mask */ + +#define DWT_CTRL_NOEXTTRIG_Pos 26 /*!< DWT CTRL: NOEXTTRIG Position */ +#define DWT_CTRL_NOEXTTRIG_Msk (0x1UL << DWT_CTRL_NOEXTTRIG_Pos) /*!< DWT CTRL: NOEXTTRIG Mask */ + +#define DWT_CTRL_NOCYCCNT_Pos 25 /*!< DWT CTRL: NOCYCCNT Position */ +#define DWT_CTRL_NOCYCCNT_Msk (0x1UL << DWT_CTRL_NOCYCCNT_Pos) /*!< DWT CTRL: NOCYCCNT Mask */ + +#define DWT_CTRL_NOPRFCNT_Pos 24 /*!< DWT CTRL: NOPRFCNT Position */ +#define DWT_CTRL_NOPRFCNT_Msk (0x1UL << DWT_CTRL_NOPRFCNT_Pos) /*!< DWT CTRL: NOPRFCNT Mask */ + +#define DWT_CTRL_CYCEVTENA_Pos 22 /*!< DWT CTRL: CYCEVTENA Position */ +#define DWT_CTRL_CYCEVTENA_Msk (0x1UL << DWT_CTRL_CYCEVTENA_Pos) /*!< DWT CTRL: CYCEVTENA Mask */ + +#define DWT_CTRL_FOLDEVTENA_Pos 21 /*!< DWT CTRL: FOLDEVTENA Position */ +#define DWT_CTRL_FOLDEVTENA_Msk (0x1UL << DWT_CTRL_FOLDEVTENA_Pos) /*!< DWT CTRL: FOLDEVTENA Mask */ + +#define DWT_CTRL_LSUEVTENA_Pos 20 /*!< DWT CTRL: LSUEVTENA Position */ +#define DWT_CTRL_LSUEVTENA_Msk (0x1UL << DWT_CTRL_LSUEVTENA_Pos) /*!< DWT CTRL: LSUEVTENA Mask */ + +#define DWT_CTRL_SLEEPEVTENA_Pos 19 /*!< DWT CTRL: SLEEPEVTENA Position */ +#define DWT_CTRL_SLEEPEVTENA_Msk (0x1UL << DWT_CTRL_SLEEPEVTENA_Pos) /*!< DWT CTRL: SLEEPEVTENA Mask */ + +#define DWT_CTRL_EXCEVTENA_Pos 18 /*!< DWT CTRL: EXCEVTENA Position */ +#define DWT_CTRL_EXCEVTENA_Msk (0x1UL << DWT_CTRL_EXCEVTENA_Pos) /*!< DWT CTRL: EXCEVTENA Mask */ + +#define DWT_CTRL_CPIEVTENA_Pos 17 /*!< DWT CTRL: CPIEVTENA Position */ +#define DWT_CTRL_CPIEVTENA_Msk (0x1UL << DWT_CTRL_CPIEVTENA_Pos) /*!< DWT CTRL: CPIEVTENA Mask */ + +#define DWT_CTRL_EXCTRCENA_Pos 16 /*!< DWT CTRL: EXCTRCENA Position */ +#define DWT_CTRL_EXCTRCENA_Msk (0x1UL << DWT_CTRL_EXCTRCENA_Pos) /*!< DWT CTRL: EXCTRCENA Mask */ + +#define DWT_CTRL_PCSAMPLENA_Pos 12 /*!< DWT CTRL: PCSAMPLENA Position */ +#define DWT_CTRL_PCSAMPLENA_Msk (0x1UL << DWT_CTRL_PCSAMPLENA_Pos) /*!< DWT CTRL: PCSAMPLENA Mask */ + +#define DWT_CTRL_SYNCTAP_Pos 10 /*!< DWT CTRL: SYNCTAP Position */ +#define DWT_CTRL_SYNCTAP_Msk (0x3UL << DWT_CTRL_SYNCTAP_Pos) /*!< DWT CTRL: SYNCTAP Mask */ + +#define DWT_CTRL_CYCTAP_Pos 9 /*!< DWT CTRL: CYCTAP Position */ +#define DWT_CTRL_CYCTAP_Msk (0x1UL << DWT_CTRL_CYCTAP_Pos) /*!< DWT CTRL: CYCTAP Mask */ + +#define DWT_CTRL_POSTINIT_Pos 5 /*!< DWT CTRL: POSTINIT Position */ +#define DWT_CTRL_POSTINIT_Msk (0xFUL << DWT_CTRL_POSTINIT_Pos) /*!< DWT CTRL: POSTINIT Mask */ + +#define DWT_CTRL_POSTPRESET_Pos 1 /*!< DWT CTRL: POSTPRESET Position */ +#define DWT_CTRL_POSTPRESET_Msk (0xFUL << DWT_CTRL_POSTPRESET_Pos) /*!< DWT CTRL: POSTPRESET Mask */ + +#define DWT_CTRL_CYCCNTENA_Pos 0 /*!< DWT CTRL: CYCCNTENA Position */ +#define DWT_CTRL_CYCCNTENA_Msk (0x1UL << DWT_CTRL_CYCCNTENA_Pos) /*!< DWT CTRL: CYCCNTENA Mask */ + +/* DWT CPI Count Register Definitions */ +#define DWT_CPICNT_CPICNT_Pos 0 /*!< DWT CPICNT: CPICNT Position */ +#define DWT_CPICNT_CPICNT_Msk (0xFFUL << DWT_CPICNT_CPICNT_Pos) /*!< DWT CPICNT: CPICNT Mask */ + +/* DWT Exception Overhead Count Register Definitions */ +#define DWT_EXCCNT_EXCCNT_Pos 0 /*!< DWT EXCCNT: EXCCNT Position */ +#define DWT_EXCCNT_EXCCNT_Msk (0xFFUL << DWT_EXCCNT_EXCCNT_Pos) /*!< DWT EXCCNT: EXCCNT Mask */ + +/* DWT Sleep Count Register Definitions */ +#define DWT_SLEEPCNT_SLEEPCNT_Pos 0 /*!< DWT SLEEPCNT: SLEEPCNT Position */ +#define DWT_SLEEPCNT_SLEEPCNT_Msk (0xFFUL << DWT_SLEEPCNT_SLEEPCNT_Pos) /*!< DWT SLEEPCNT: SLEEPCNT Mask */ + +/* DWT LSU Count Register Definitions */ +#define DWT_LSUCNT_LSUCNT_Pos 0 /*!< DWT LSUCNT: LSUCNT Position */ +#define DWT_LSUCNT_LSUCNT_Msk (0xFFUL << DWT_LSUCNT_LSUCNT_Pos) /*!< DWT LSUCNT: LSUCNT Mask */ + +/* DWT Folded-instruction Count Register Definitions */ +#define DWT_FOLDCNT_FOLDCNT_Pos 0 /*!< DWT FOLDCNT: FOLDCNT Position */ +#define DWT_FOLDCNT_FOLDCNT_Msk (0xFFUL << DWT_FOLDCNT_FOLDCNT_Pos) /*!< DWT FOLDCNT: FOLDCNT Mask */ + +/* DWT Comparator Mask Register Definitions */ +#define DWT_MASK_MASK_Pos 0 /*!< DWT MASK: MASK Position */ +#define DWT_MASK_MASK_Msk (0x1FUL << DWT_MASK_MASK_Pos) /*!< DWT MASK: MASK Mask */ + +/* DWT Comparator Function Register Definitions */ +#define DWT_FUNCTION_MATCHED_Pos 24 /*!< DWT FUNCTION: MATCHED Position */ +#define DWT_FUNCTION_MATCHED_Msk (0x1UL << DWT_FUNCTION_MATCHED_Pos) /*!< DWT FUNCTION: MATCHED Mask */ + +#define DWT_FUNCTION_DATAVADDR1_Pos 16 /*!< DWT FUNCTION: DATAVADDR1 Position */ +#define DWT_FUNCTION_DATAVADDR1_Msk (0xFUL << DWT_FUNCTION_DATAVADDR1_Pos) /*!< DWT FUNCTION: DATAVADDR1 Mask */ + +#define DWT_FUNCTION_DATAVADDR0_Pos 12 /*!< DWT FUNCTION: DATAVADDR0 Position */ +#define DWT_FUNCTION_DATAVADDR0_Msk (0xFUL << DWT_FUNCTION_DATAVADDR0_Pos) /*!< DWT FUNCTION: DATAVADDR0 Mask */ + +#define DWT_FUNCTION_DATAVSIZE_Pos 10 /*!< DWT FUNCTION: DATAVSIZE Position */ +#define DWT_FUNCTION_DATAVSIZE_Msk (0x3UL << DWT_FUNCTION_DATAVSIZE_Pos) /*!< DWT FUNCTION: DATAVSIZE Mask */ + +#define DWT_FUNCTION_LNK1ENA_Pos 9 /*!< DWT FUNCTION: LNK1ENA Position */ +#define DWT_FUNCTION_LNK1ENA_Msk (0x1UL << DWT_FUNCTION_LNK1ENA_Pos) /*!< DWT FUNCTION: LNK1ENA Mask */ + +#define DWT_FUNCTION_DATAVMATCH_Pos 8 /*!< DWT FUNCTION: DATAVMATCH Position */ +#define DWT_FUNCTION_DATAVMATCH_Msk (0x1UL << DWT_FUNCTION_DATAVMATCH_Pos) /*!< DWT FUNCTION: DATAVMATCH Mask */ + +#define DWT_FUNCTION_CYCMATCH_Pos 7 /*!< DWT FUNCTION: CYCMATCH Position */ +#define DWT_FUNCTION_CYCMATCH_Msk (0x1UL << DWT_FUNCTION_CYCMATCH_Pos) /*!< DWT FUNCTION: CYCMATCH Mask */ + +#define DWT_FUNCTION_EMITRANGE_Pos 5 /*!< DWT FUNCTION: EMITRANGE Position */ +#define DWT_FUNCTION_EMITRANGE_Msk (0x1UL << DWT_FUNCTION_EMITRANGE_Pos) /*!< DWT FUNCTION: EMITRANGE Mask */ + +#define DWT_FUNCTION_FUNCTION_Pos 0 /*!< DWT FUNCTION: FUNCTION Position */ +#define DWT_FUNCTION_FUNCTION_Msk (0xFUL << DWT_FUNCTION_FUNCTION_Pos) /*!< DWT FUNCTION: FUNCTION Mask */ + +/*@}*/ /* end of group CMSIS_DWT */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_TPI Trace Port Interface (TPI) + \brief Type definitions for the Trace Port Interface (TPI) + @{ + */ + +/** \brief Structure type to access the Trace Port Interface Register (TPI). + */ +typedef struct +{ + __IO uint32_t SSPSR; /*!< Offset: 0x000 (R/ ) Supported Parallel Port Size Register */ + __IO uint32_t CSPSR; /*!< Offset: 0x004 (R/W) Current Parallel Port Size Register */ + uint32_t RESERVED0[2]; + __IO uint32_t ACPR; /*!< Offset: 0x010 (R/W) Asynchronous Clock Prescaler Register */ + uint32_t RESERVED1[55]; + __IO uint32_t SPPR; /*!< Offset: 0x0F0 (R/W) Selected Pin Protocol Register */ + uint32_t RESERVED2[131]; + __I uint32_t FFSR; /*!< Offset: 0x300 (R/ ) Formatter and Flush Status Register */ + __IO uint32_t FFCR; /*!< Offset: 0x304 (R/W) Formatter and Flush Control Register */ + __I uint32_t FSCR; /*!< Offset: 0x308 (R/ ) Formatter Synchronization Counter Register */ + uint32_t RESERVED3[759]; + __I uint32_t TRIGGER; /*!< Offset: 0xEE8 (R/ ) TRIGGER */ + __I uint32_t FIFO0; /*!< Offset: 0xEEC (R/ ) Integration ETM Data */ + __I uint32_t ITATBCTR2; /*!< Offset: 0xEF0 (R/ ) ITATBCTR2 */ + uint32_t RESERVED4[1]; + __I uint32_t ITATBCTR0; /*!< Offset: 0xEF8 (R/ ) ITATBCTR0 */ + __I uint32_t FIFO1; /*!< Offset: 0xEFC (R/ ) Integration ITM Data */ + __IO uint32_t ITCTRL; /*!< Offset: 0xF00 (R/W) Integration Mode Control */ + uint32_t RESERVED5[39]; + __IO uint32_t CLAIMSET; /*!< Offset: 0xFA0 (R/W) Claim tag set */ + __IO uint32_t CLAIMCLR; /*!< Offset: 0xFA4 (R/W) Claim tag clear */ + uint32_t RESERVED7[8]; + __I uint32_t DEVID; /*!< Offset: 0xFC8 (R/ ) TPIU_DEVID */ + __I uint32_t DEVTYPE; /*!< Offset: 0xFCC (R/ ) TPIU_DEVTYPE */ +} TPI_Type; + +/* TPI Asynchronous Clock Prescaler Register Definitions */ +#define TPI_ACPR_PRESCALER_Pos 0 /*!< TPI ACPR: PRESCALER Position */ +#define TPI_ACPR_PRESCALER_Msk (0x1FFFUL << TPI_ACPR_PRESCALER_Pos) /*!< TPI ACPR: PRESCALER Mask */ + +/* TPI Selected Pin Protocol Register Definitions */ +#define TPI_SPPR_TXMODE_Pos 0 /*!< TPI SPPR: TXMODE Position */ +#define TPI_SPPR_TXMODE_Msk (0x3UL << TPI_SPPR_TXMODE_Pos) /*!< TPI SPPR: TXMODE Mask */ + +/* TPI Formatter and Flush Status Register Definitions */ +#define TPI_FFSR_FtNonStop_Pos 3 /*!< TPI FFSR: FtNonStop Position */ +#define TPI_FFSR_FtNonStop_Msk (0x1UL << TPI_FFSR_FtNonStop_Pos) /*!< TPI FFSR: FtNonStop Mask */ + +#define TPI_FFSR_TCPresent_Pos 2 /*!< TPI FFSR: TCPresent Position */ +#define TPI_FFSR_TCPresent_Msk (0x1UL << TPI_FFSR_TCPresent_Pos) /*!< TPI FFSR: TCPresent Mask */ + +#define TPI_FFSR_FtStopped_Pos 1 /*!< TPI FFSR: FtStopped Position */ +#define TPI_FFSR_FtStopped_Msk (0x1UL << TPI_FFSR_FtStopped_Pos) /*!< TPI FFSR: FtStopped Mask */ + +#define TPI_FFSR_FlInProg_Pos 0 /*!< TPI FFSR: FlInProg Position */ +#define TPI_FFSR_FlInProg_Msk (0x1UL << TPI_FFSR_FlInProg_Pos) /*!< TPI FFSR: FlInProg Mask */ + +/* TPI Formatter and Flush Control Register Definitions */ +#define TPI_FFCR_TrigIn_Pos 8 /*!< TPI FFCR: TrigIn Position */ +#define TPI_FFCR_TrigIn_Msk (0x1UL << TPI_FFCR_TrigIn_Pos) /*!< TPI FFCR: TrigIn Mask */ + +#define TPI_FFCR_EnFCont_Pos 1 /*!< TPI FFCR: EnFCont Position */ +#define TPI_FFCR_EnFCont_Msk (0x1UL << TPI_FFCR_EnFCont_Pos) /*!< TPI FFCR: EnFCont Mask */ + +/* TPI TRIGGER Register Definitions */ +#define TPI_TRIGGER_TRIGGER_Pos 0 /*!< TPI TRIGGER: TRIGGER Position */ +#define TPI_TRIGGER_TRIGGER_Msk (0x1UL << TPI_TRIGGER_TRIGGER_Pos) /*!< TPI TRIGGER: TRIGGER Mask */ + +/* TPI Integration ETM Data Register Definitions (FIFO0) */ +#define TPI_FIFO0_ITM_ATVALID_Pos 29 /*!< TPI FIFO0: ITM_ATVALID Position */ +#define TPI_FIFO0_ITM_ATVALID_Msk (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos) /*!< TPI FIFO0: ITM_ATVALID Mask */ + +#define TPI_FIFO0_ITM_bytecount_Pos 27 /*!< TPI FIFO0: ITM_bytecount Position */ +#define TPI_FIFO0_ITM_bytecount_Msk (0x3UL << TPI_FIFO0_ITM_bytecount_Pos) /*!< TPI FIFO0: ITM_bytecount Mask */ + +#define TPI_FIFO0_ETM_ATVALID_Pos 26 /*!< TPI FIFO0: ETM_ATVALID Position */ +#define TPI_FIFO0_ETM_ATVALID_Msk (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos) /*!< TPI FIFO0: ETM_ATVALID Mask */ + +#define TPI_FIFO0_ETM_bytecount_Pos 24 /*!< TPI FIFO0: ETM_bytecount Position */ +#define TPI_FIFO0_ETM_bytecount_Msk (0x3UL << TPI_FIFO0_ETM_bytecount_Pos) /*!< TPI FIFO0: ETM_bytecount Mask */ + +#define TPI_FIFO0_ETM2_Pos 16 /*!< TPI FIFO0: ETM2 Position */ +#define TPI_FIFO0_ETM2_Msk (0xFFUL << TPI_FIFO0_ETM2_Pos) /*!< TPI FIFO0: ETM2 Mask */ + +#define TPI_FIFO0_ETM1_Pos 8 /*!< TPI FIFO0: ETM1 Position */ +#define TPI_FIFO0_ETM1_Msk (0xFFUL << TPI_FIFO0_ETM1_Pos) /*!< TPI FIFO0: ETM1 Mask */ + +#define TPI_FIFO0_ETM0_Pos 0 /*!< TPI FIFO0: ETM0 Position */ +#define TPI_FIFO0_ETM0_Msk (0xFFUL << TPI_FIFO0_ETM0_Pos) /*!< TPI FIFO0: ETM0 Mask */ + +/* TPI ITATBCTR2 Register Definitions */ +#define TPI_ITATBCTR2_ATREADY_Pos 0 /*!< TPI ITATBCTR2: ATREADY Position */ +#define TPI_ITATBCTR2_ATREADY_Msk (0x1UL << TPI_ITATBCTR2_ATREADY_Pos) /*!< TPI ITATBCTR2: ATREADY Mask */ + +/* TPI Integration ITM Data Register Definitions (FIFO1) */ +#define TPI_FIFO1_ITM_ATVALID_Pos 29 /*!< TPI FIFO1: ITM_ATVALID Position */ +#define TPI_FIFO1_ITM_ATVALID_Msk (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos) /*!< TPI FIFO1: ITM_ATVALID Mask */ + +#define TPI_FIFO1_ITM_bytecount_Pos 27 /*!< TPI FIFO1: ITM_bytecount Position */ +#define TPI_FIFO1_ITM_bytecount_Msk (0x3UL << TPI_FIFO1_ITM_bytecount_Pos) /*!< TPI FIFO1: ITM_bytecount Mask */ + +#define TPI_FIFO1_ETM_ATVALID_Pos 26 /*!< TPI FIFO1: ETM_ATVALID Position */ +#define TPI_FIFO1_ETM_ATVALID_Msk (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos) /*!< TPI FIFO1: ETM_ATVALID Mask */ + +#define TPI_FIFO1_ETM_bytecount_Pos 24 /*!< TPI FIFO1: ETM_bytecount Position */ +#define TPI_FIFO1_ETM_bytecount_Msk (0x3UL << TPI_FIFO1_ETM_bytecount_Pos) /*!< TPI FIFO1: ETM_bytecount Mask */ + +#define TPI_FIFO1_ITM2_Pos 16 /*!< TPI FIFO1: ITM2 Position */ +#define TPI_FIFO1_ITM2_Msk (0xFFUL << TPI_FIFO1_ITM2_Pos) /*!< TPI FIFO1: ITM2 Mask */ + +#define TPI_FIFO1_ITM1_Pos 8 /*!< TPI FIFO1: ITM1 Position */ +#define TPI_FIFO1_ITM1_Msk (0xFFUL << TPI_FIFO1_ITM1_Pos) /*!< TPI FIFO1: ITM1 Mask */ + +#define TPI_FIFO1_ITM0_Pos 0 /*!< TPI FIFO1: ITM0 Position */ +#define TPI_FIFO1_ITM0_Msk (0xFFUL << TPI_FIFO1_ITM0_Pos) /*!< TPI FIFO1: ITM0 Mask */ + +/* TPI ITATBCTR0 Register Definitions */ +#define TPI_ITATBCTR0_ATREADY_Pos 0 /*!< TPI ITATBCTR0: ATREADY Position */ +#define TPI_ITATBCTR0_ATREADY_Msk (0x1UL << TPI_ITATBCTR0_ATREADY_Pos) /*!< TPI ITATBCTR0: ATREADY Mask */ + +/* TPI Integration Mode Control Register Definitions */ +#define TPI_ITCTRL_Mode_Pos 0 /*!< TPI ITCTRL: Mode Position */ +#define TPI_ITCTRL_Mode_Msk (0x1UL << TPI_ITCTRL_Mode_Pos) /*!< TPI ITCTRL: Mode Mask */ + +/* TPI DEVID Register Definitions */ +#define TPI_DEVID_NRZVALID_Pos 11 /*!< TPI DEVID: NRZVALID Position */ +#define TPI_DEVID_NRZVALID_Msk (0x1UL << TPI_DEVID_NRZVALID_Pos) /*!< TPI DEVID: NRZVALID Mask */ + +#define TPI_DEVID_MANCVALID_Pos 10 /*!< TPI DEVID: MANCVALID Position */ +#define TPI_DEVID_MANCVALID_Msk (0x1UL << TPI_DEVID_MANCVALID_Pos) /*!< TPI DEVID: MANCVALID Mask */ + +#define TPI_DEVID_PTINVALID_Pos 9 /*!< TPI DEVID: PTINVALID Position */ +#define TPI_DEVID_PTINVALID_Msk (0x1UL << TPI_DEVID_PTINVALID_Pos) /*!< TPI DEVID: PTINVALID Mask */ + +#define TPI_DEVID_MinBufSz_Pos 6 /*!< TPI DEVID: MinBufSz Position */ +#define TPI_DEVID_MinBufSz_Msk (0x7UL << TPI_DEVID_MinBufSz_Pos) /*!< TPI DEVID: MinBufSz Mask */ + +#define TPI_DEVID_AsynClkIn_Pos 5 /*!< TPI DEVID: AsynClkIn Position */ +#define TPI_DEVID_AsynClkIn_Msk (0x1UL << TPI_DEVID_AsynClkIn_Pos) /*!< TPI DEVID: AsynClkIn Mask */ + +#define TPI_DEVID_NrTraceInput_Pos 0 /*!< TPI DEVID: NrTraceInput Position */ +#define TPI_DEVID_NrTraceInput_Msk (0x1FUL << TPI_DEVID_NrTraceInput_Pos) /*!< TPI DEVID: NrTraceInput Mask */ + +/* TPI DEVTYPE Register Definitions */ +#define TPI_DEVTYPE_SubType_Pos 0 /*!< TPI DEVTYPE: SubType Position */ +#define TPI_DEVTYPE_SubType_Msk (0xFUL << TPI_DEVTYPE_SubType_Pos) /*!< TPI DEVTYPE: SubType Mask */ + +#define TPI_DEVTYPE_MajorType_Pos 4 /*!< TPI DEVTYPE: MajorType Position */ +#define TPI_DEVTYPE_MajorType_Msk (0xFUL << TPI_DEVTYPE_MajorType_Pos) /*!< TPI DEVTYPE: MajorType Mask */ + +/*@}*/ /* end of group CMSIS_TPI */ + + +#if (__MPU_PRESENT == 1) +/** \ingroup CMSIS_core_register + \defgroup CMSIS_MPU Memory Protection Unit (MPU) + \brief Type definitions for the Memory Protection Unit (MPU) + @{ + */ + +/** \brief Structure type to access the Memory Protection Unit (MPU). + */ +typedef struct +{ + __I uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */ + __IO uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */ + __IO uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */ + __IO uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */ + __IO uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */ + __IO uint32_t RBAR_A1; /*!< Offset: 0x014 (R/W) MPU Alias 1 Region Base Address Register */ + __IO uint32_t RASR_A1; /*!< Offset: 0x018 (R/W) MPU Alias 1 Region Attribute and Size Register */ + __IO uint32_t RBAR_A2; /*!< Offset: 0x01C (R/W) MPU Alias 2 Region Base Address Register */ + __IO uint32_t RASR_A2; /*!< Offset: 0x020 (R/W) MPU Alias 2 Region Attribute and Size Register */ + __IO uint32_t RBAR_A3; /*!< Offset: 0x024 (R/W) MPU Alias 3 Region Base Address Register */ + __IO uint32_t RASR_A3; /*!< Offset: 0x028 (R/W) MPU Alias 3 Region Attribute and Size Register */ +} MPU_Type; + +/* MPU Type Register */ +#define MPU_TYPE_IREGION_Pos 16 /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8 /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0 /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1UL << MPU_TYPE_SEPARATE_Pos) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register */ +#define MPU_CTRL_PRIVDEFENA_Pos 2 /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1 /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0 /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1UL << MPU_CTRL_ENABLE_Pos) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register */ +#define MPU_RNR_REGION_Pos 0 /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFUL << MPU_RNR_REGION_Pos) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register */ +#define MPU_RBAR_ADDR_Pos 5 /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0x7FFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4 /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0 /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFUL << MPU_RBAR_REGION_Pos) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register */ +#define MPU_RASR_ATTRS_Pos 16 /*!< MPU RASR: MPU Region Attribute field Position */ +#define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */ + +#define MPU_RASR_XN_Pos 28 /*!< MPU RASR: ATTRS.XN Position */ +#define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */ + +#define MPU_RASR_AP_Pos 24 /*!< MPU RASR: ATTRS.AP Position */ +#define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */ + +#define MPU_RASR_TEX_Pos 19 /*!< MPU RASR: ATTRS.TEX Position */ +#define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */ + +#define MPU_RASR_S_Pos 18 /*!< MPU RASR: ATTRS.S Position */ +#define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */ + +#define MPU_RASR_C_Pos 17 /*!< MPU RASR: ATTRS.C Position */ +#define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */ + +#define MPU_RASR_B_Pos 16 /*!< MPU RASR: ATTRS.B Position */ +#define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */ + +#define MPU_RASR_SRD_Pos 8 /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1 /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENABLE_Pos 0 /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENABLE_Msk (1UL << MPU_RASR_ENABLE_Pos) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@} end of group CMSIS_MPU */ +#endif + + +#if (__FPU_PRESENT == 1) +/** \ingroup CMSIS_core_register + \defgroup CMSIS_FPU Floating Point Unit (FPU) + \brief Type definitions for the Floating Point Unit (FPU) + @{ + */ + +/** \brief Structure type to access the Floating Point Unit (FPU). + */ +typedef struct +{ + uint32_t RESERVED0[1]; + __IO uint32_t FPCCR; /*!< Offset: 0x004 (R/W) Floating-Point Context Control Register */ + __IO uint32_t FPCAR; /*!< Offset: 0x008 (R/W) Floating-Point Context Address Register */ + __IO uint32_t FPDSCR; /*!< Offset: 0x00C (R/W) Floating-Point Default Status Control Register */ + __I uint32_t MVFR0; /*!< Offset: 0x010 (R/ ) Media and FP Feature Register 0 */ + __I uint32_t MVFR1; /*!< Offset: 0x014 (R/ ) Media and FP Feature Register 1 */ + __I uint32_t MVFR2; /*!< Offset: 0x018 (R/ ) Media and FP Feature Register 2 */ +} FPU_Type; + +/* Floating-Point Context Control Register */ +#define FPU_FPCCR_ASPEN_Pos 31 /*!< FPCCR: ASPEN bit Position */ +#define FPU_FPCCR_ASPEN_Msk (1UL << FPU_FPCCR_ASPEN_Pos) /*!< FPCCR: ASPEN bit Mask */ + +#define FPU_FPCCR_LSPEN_Pos 30 /*!< FPCCR: LSPEN Position */ +#define FPU_FPCCR_LSPEN_Msk (1UL << FPU_FPCCR_LSPEN_Pos) /*!< FPCCR: LSPEN bit Mask */ + +#define FPU_FPCCR_MONRDY_Pos 8 /*!< FPCCR: MONRDY Position */ +#define FPU_FPCCR_MONRDY_Msk (1UL << FPU_FPCCR_MONRDY_Pos) /*!< FPCCR: MONRDY bit Mask */ + +#define FPU_FPCCR_BFRDY_Pos 6 /*!< FPCCR: BFRDY Position */ +#define FPU_FPCCR_BFRDY_Msk (1UL << FPU_FPCCR_BFRDY_Pos) /*!< FPCCR: BFRDY bit Mask */ + +#define FPU_FPCCR_MMRDY_Pos 5 /*!< FPCCR: MMRDY Position */ +#define FPU_FPCCR_MMRDY_Msk (1UL << FPU_FPCCR_MMRDY_Pos) /*!< FPCCR: MMRDY bit Mask */ + +#define FPU_FPCCR_HFRDY_Pos 4 /*!< FPCCR: HFRDY Position */ +#define FPU_FPCCR_HFRDY_Msk (1UL << FPU_FPCCR_HFRDY_Pos) /*!< FPCCR: HFRDY bit Mask */ + +#define FPU_FPCCR_THREAD_Pos 3 /*!< FPCCR: processor mode bit Position */ +#define FPU_FPCCR_THREAD_Msk (1UL << FPU_FPCCR_THREAD_Pos) /*!< FPCCR: processor mode active bit Mask */ + +#define FPU_FPCCR_USER_Pos 1 /*!< FPCCR: privilege level bit Position */ +#define FPU_FPCCR_USER_Msk (1UL << FPU_FPCCR_USER_Pos) /*!< FPCCR: privilege level bit Mask */ + +#define FPU_FPCCR_LSPACT_Pos 0 /*!< FPCCR: Lazy state preservation active bit Position */ +#define FPU_FPCCR_LSPACT_Msk (1UL << FPU_FPCCR_LSPACT_Pos) /*!< FPCCR: Lazy state preservation active bit Mask */ + +/* Floating-Point Context Address Register */ +#define FPU_FPCAR_ADDRESS_Pos 3 /*!< FPCAR: ADDRESS bit Position */ +#define FPU_FPCAR_ADDRESS_Msk (0x1FFFFFFFUL << FPU_FPCAR_ADDRESS_Pos) /*!< FPCAR: ADDRESS bit Mask */ + +/* Floating-Point Default Status Control Register */ +#define FPU_FPDSCR_AHP_Pos 26 /*!< FPDSCR: AHP bit Position */ +#define FPU_FPDSCR_AHP_Msk (1UL << FPU_FPDSCR_AHP_Pos) /*!< FPDSCR: AHP bit Mask */ + +#define FPU_FPDSCR_DN_Pos 25 /*!< FPDSCR: DN bit Position */ +#define FPU_FPDSCR_DN_Msk (1UL << FPU_FPDSCR_DN_Pos) /*!< FPDSCR: DN bit Mask */ + +#define FPU_FPDSCR_FZ_Pos 24 /*!< FPDSCR: FZ bit Position */ +#define FPU_FPDSCR_FZ_Msk (1UL << FPU_FPDSCR_FZ_Pos) /*!< FPDSCR: FZ bit Mask */ + +#define FPU_FPDSCR_RMode_Pos 22 /*!< FPDSCR: RMode bit Position */ +#define FPU_FPDSCR_RMode_Msk (3UL << FPU_FPDSCR_RMode_Pos) /*!< FPDSCR: RMode bit Mask */ + +/* Media and FP Feature Register 0 */ +#define FPU_MVFR0_FP_rounding_modes_Pos 28 /*!< MVFR0: FP rounding modes bits Position */ +#define FPU_MVFR0_FP_rounding_modes_Msk (0xFUL << FPU_MVFR0_FP_rounding_modes_Pos) /*!< MVFR0: FP rounding modes bits Mask */ + +#define FPU_MVFR0_Short_vectors_Pos 24 /*!< MVFR0: Short vectors bits Position */ +#define FPU_MVFR0_Short_vectors_Msk (0xFUL << FPU_MVFR0_Short_vectors_Pos) /*!< MVFR0: Short vectors bits Mask */ + +#define FPU_MVFR0_Square_root_Pos 20 /*!< MVFR0: Square root bits Position */ +#define FPU_MVFR0_Square_root_Msk (0xFUL << FPU_MVFR0_Square_root_Pos) /*!< MVFR0: Square root bits Mask */ + +#define FPU_MVFR0_Divide_Pos 16 /*!< MVFR0: Divide bits Position */ +#define FPU_MVFR0_Divide_Msk (0xFUL << FPU_MVFR0_Divide_Pos) /*!< MVFR0: Divide bits Mask */ + +#define FPU_MVFR0_FP_excep_trapping_Pos 12 /*!< MVFR0: FP exception trapping bits Position */ +#define FPU_MVFR0_FP_excep_trapping_Msk (0xFUL << FPU_MVFR0_FP_excep_trapping_Pos) /*!< MVFR0: FP exception trapping bits Mask */ + +#define FPU_MVFR0_Double_precision_Pos 8 /*!< MVFR0: Double-precision bits Position */ +#define FPU_MVFR0_Double_precision_Msk (0xFUL << FPU_MVFR0_Double_precision_Pos) /*!< MVFR0: Double-precision bits Mask */ + +#define FPU_MVFR0_Single_precision_Pos 4 /*!< MVFR0: Single-precision bits Position */ +#define FPU_MVFR0_Single_precision_Msk (0xFUL << FPU_MVFR0_Single_precision_Pos) /*!< MVFR0: Single-precision bits Mask */ + +#define FPU_MVFR0_A_SIMD_registers_Pos 0 /*!< MVFR0: A_SIMD registers bits Position */ +#define FPU_MVFR0_A_SIMD_registers_Msk (0xFUL << FPU_MVFR0_A_SIMD_registers_Pos) /*!< MVFR0: A_SIMD registers bits Mask */ + +/* Media and FP Feature Register 1 */ +#define FPU_MVFR1_FP_fused_MAC_Pos 28 /*!< MVFR1: FP fused MAC bits Position */ +#define FPU_MVFR1_FP_fused_MAC_Msk (0xFUL << FPU_MVFR1_FP_fused_MAC_Pos) /*!< MVFR1: FP fused MAC bits Mask */ + +#define FPU_MVFR1_FP_HPFP_Pos 24 /*!< MVFR1: FP HPFP bits Position */ +#define FPU_MVFR1_FP_HPFP_Msk (0xFUL << FPU_MVFR1_FP_HPFP_Pos) /*!< MVFR1: FP HPFP bits Mask */ + +#define FPU_MVFR1_D_NaN_mode_Pos 4 /*!< MVFR1: D_NaN mode bits Position */ +#define FPU_MVFR1_D_NaN_mode_Msk (0xFUL << FPU_MVFR1_D_NaN_mode_Pos) /*!< MVFR1: D_NaN mode bits Mask */ + +#define FPU_MVFR1_FtZ_mode_Pos 0 /*!< MVFR1: FtZ mode bits Position */ +#define FPU_MVFR1_FtZ_mode_Msk (0xFUL << FPU_MVFR1_FtZ_mode_Pos) /*!< MVFR1: FtZ mode bits Mask */ + +/* Media and FP Feature Register 2 */ + +/*@} end of group CMSIS_FPU */ +#endif + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Type definitions for the Core Debug Registers + @{ + */ + +/** \brief Structure type to access the Core Debug Register (CoreDebug). + */ +typedef struct +{ + __IO uint32_t DHCSR; /*!< Offset: 0x000 (R/W) Debug Halting Control and Status Register */ + __O uint32_t DCRSR; /*!< Offset: 0x004 ( /W) Debug Core Register Selector Register */ + __IO uint32_t DCRDR; /*!< Offset: 0x008 (R/W) Debug Core Register Data Register */ + __IO uint32_t DEMCR; /*!< Offset: 0x00C (R/W) Debug Exception and Monitor Control Register */ +} CoreDebug_Type; + +/* Debug Halting Control and Status Register */ +#define CoreDebug_DHCSR_DBGKEY_Pos 16 /*!< CoreDebug DHCSR: DBGKEY Position */ +#define CoreDebug_DHCSR_DBGKEY_Msk (0xFFFFUL << CoreDebug_DHCSR_DBGKEY_Pos) /*!< CoreDebug DHCSR: DBGKEY Mask */ + +#define CoreDebug_DHCSR_S_RESET_ST_Pos 25 /*!< CoreDebug DHCSR: S_RESET_ST Position */ +#define CoreDebug_DHCSR_S_RESET_ST_Msk (1UL << CoreDebug_DHCSR_S_RESET_ST_Pos) /*!< CoreDebug DHCSR: S_RESET_ST Mask */ + +#define CoreDebug_DHCSR_S_RETIRE_ST_Pos 24 /*!< CoreDebug DHCSR: S_RETIRE_ST Position */ +#define CoreDebug_DHCSR_S_RETIRE_ST_Msk (1UL << CoreDebug_DHCSR_S_RETIRE_ST_Pos) /*!< CoreDebug DHCSR: S_RETIRE_ST Mask */ + +#define CoreDebug_DHCSR_S_LOCKUP_Pos 19 /*!< CoreDebug DHCSR: S_LOCKUP Position */ +#define CoreDebug_DHCSR_S_LOCKUP_Msk (1UL << CoreDebug_DHCSR_S_LOCKUP_Pos) /*!< CoreDebug DHCSR: S_LOCKUP Mask */ + +#define CoreDebug_DHCSR_S_SLEEP_Pos 18 /*!< CoreDebug DHCSR: S_SLEEP Position */ +#define CoreDebug_DHCSR_S_SLEEP_Msk (1UL << CoreDebug_DHCSR_S_SLEEP_Pos) /*!< CoreDebug DHCSR: S_SLEEP Mask */ + +#define CoreDebug_DHCSR_S_HALT_Pos 17 /*!< CoreDebug DHCSR: S_HALT Position */ +#define CoreDebug_DHCSR_S_HALT_Msk (1UL << CoreDebug_DHCSR_S_HALT_Pos) /*!< CoreDebug DHCSR: S_HALT Mask */ + +#define CoreDebug_DHCSR_S_REGRDY_Pos 16 /*!< CoreDebug DHCSR: S_REGRDY Position */ +#define CoreDebug_DHCSR_S_REGRDY_Msk (1UL << CoreDebug_DHCSR_S_REGRDY_Pos) /*!< CoreDebug DHCSR: S_REGRDY Mask */ + +#define CoreDebug_DHCSR_C_SNAPSTALL_Pos 5 /*!< CoreDebug DHCSR: C_SNAPSTALL Position */ +#define CoreDebug_DHCSR_C_SNAPSTALL_Msk (1UL << CoreDebug_DHCSR_C_SNAPSTALL_Pos) /*!< CoreDebug DHCSR: C_SNAPSTALL Mask */ + +#define CoreDebug_DHCSR_C_MASKINTS_Pos 3 /*!< CoreDebug DHCSR: C_MASKINTS Position */ +#define CoreDebug_DHCSR_C_MASKINTS_Msk (1UL << CoreDebug_DHCSR_C_MASKINTS_Pos) /*!< CoreDebug DHCSR: C_MASKINTS Mask */ + +#define CoreDebug_DHCSR_C_STEP_Pos 2 /*!< CoreDebug DHCSR: C_STEP Position */ +#define CoreDebug_DHCSR_C_STEP_Msk (1UL << CoreDebug_DHCSR_C_STEP_Pos) /*!< CoreDebug DHCSR: C_STEP Mask */ + +#define CoreDebug_DHCSR_C_HALT_Pos 1 /*!< CoreDebug DHCSR: C_HALT Position */ +#define CoreDebug_DHCSR_C_HALT_Msk (1UL << CoreDebug_DHCSR_C_HALT_Pos) /*!< CoreDebug DHCSR: C_HALT Mask */ + +#define CoreDebug_DHCSR_C_DEBUGEN_Pos 0 /*!< CoreDebug DHCSR: C_DEBUGEN Position */ +#define CoreDebug_DHCSR_C_DEBUGEN_Msk (1UL << CoreDebug_DHCSR_C_DEBUGEN_Pos) /*!< CoreDebug DHCSR: C_DEBUGEN Mask */ + +/* Debug Core Register Selector Register */ +#define CoreDebug_DCRSR_REGWnR_Pos 16 /*!< CoreDebug DCRSR: REGWnR Position */ +#define CoreDebug_DCRSR_REGWnR_Msk (1UL << CoreDebug_DCRSR_REGWnR_Pos) /*!< CoreDebug DCRSR: REGWnR Mask */ + +#define CoreDebug_DCRSR_REGSEL_Pos 0 /*!< CoreDebug DCRSR: REGSEL Position */ +#define CoreDebug_DCRSR_REGSEL_Msk (0x1FUL << CoreDebug_DCRSR_REGSEL_Pos) /*!< CoreDebug DCRSR: REGSEL Mask */ + +/* Debug Exception and Monitor Control Register */ +#define CoreDebug_DEMCR_TRCENA_Pos 24 /*!< CoreDebug DEMCR: TRCENA Position */ +#define CoreDebug_DEMCR_TRCENA_Msk (1UL << CoreDebug_DEMCR_TRCENA_Pos) /*!< CoreDebug DEMCR: TRCENA Mask */ + +#define CoreDebug_DEMCR_MON_REQ_Pos 19 /*!< CoreDebug DEMCR: MON_REQ Position */ +#define CoreDebug_DEMCR_MON_REQ_Msk (1UL << CoreDebug_DEMCR_MON_REQ_Pos) /*!< CoreDebug DEMCR: MON_REQ Mask */ + +#define CoreDebug_DEMCR_MON_STEP_Pos 18 /*!< CoreDebug DEMCR: MON_STEP Position */ +#define CoreDebug_DEMCR_MON_STEP_Msk (1UL << CoreDebug_DEMCR_MON_STEP_Pos) /*!< CoreDebug DEMCR: MON_STEP Mask */ + +#define CoreDebug_DEMCR_MON_PEND_Pos 17 /*!< CoreDebug DEMCR: MON_PEND Position */ +#define CoreDebug_DEMCR_MON_PEND_Msk (1UL << CoreDebug_DEMCR_MON_PEND_Pos) /*!< CoreDebug DEMCR: MON_PEND Mask */ + +#define CoreDebug_DEMCR_MON_EN_Pos 16 /*!< CoreDebug DEMCR: MON_EN Position */ +#define CoreDebug_DEMCR_MON_EN_Msk (1UL << CoreDebug_DEMCR_MON_EN_Pos) /*!< CoreDebug DEMCR: MON_EN Mask */ + +#define CoreDebug_DEMCR_VC_HARDERR_Pos 10 /*!< CoreDebug DEMCR: VC_HARDERR Position */ +#define CoreDebug_DEMCR_VC_HARDERR_Msk (1UL << CoreDebug_DEMCR_VC_HARDERR_Pos) /*!< CoreDebug DEMCR: VC_HARDERR Mask */ + +#define CoreDebug_DEMCR_VC_INTERR_Pos 9 /*!< CoreDebug DEMCR: VC_INTERR Position */ +#define CoreDebug_DEMCR_VC_INTERR_Msk (1UL << CoreDebug_DEMCR_VC_INTERR_Pos) /*!< CoreDebug DEMCR: VC_INTERR Mask */ + +#define CoreDebug_DEMCR_VC_BUSERR_Pos 8 /*!< CoreDebug DEMCR: VC_BUSERR Position */ +#define CoreDebug_DEMCR_VC_BUSERR_Msk (1UL << CoreDebug_DEMCR_VC_BUSERR_Pos) /*!< CoreDebug DEMCR: VC_BUSERR Mask */ + +#define CoreDebug_DEMCR_VC_STATERR_Pos 7 /*!< CoreDebug DEMCR: VC_STATERR Position */ +#define CoreDebug_DEMCR_VC_STATERR_Msk (1UL << CoreDebug_DEMCR_VC_STATERR_Pos) /*!< CoreDebug DEMCR: VC_STATERR Mask */ + +#define CoreDebug_DEMCR_VC_CHKERR_Pos 6 /*!< CoreDebug DEMCR: VC_CHKERR Position */ +#define CoreDebug_DEMCR_VC_CHKERR_Msk (1UL << CoreDebug_DEMCR_VC_CHKERR_Pos) /*!< CoreDebug DEMCR: VC_CHKERR Mask */ + +#define CoreDebug_DEMCR_VC_NOCPERR_Pos 5 /*!< CoreDebug DEMCR: VC_NOCPERR Position */ +#define CoreDebug_DEMCR_VC_NOCPERR_Msk (1UL << CoreDebug_DEMCR_VC_NOCPERR_Pos) /*!< CoreDebug DEMCR: VC_NOCPERR Mask */ + +#define CoreDebug_DEMCR_VC_MMERR_Pos 4 /*!< CoreDebug DEMCR: VC_MMERR Position */ +#define CoreDebug_DEMCR_VC_MMERR_Msk (1UL << CoreDebug_DEMCR_VC_MMERR_Pos) /*!< CoreDebug DEMCR: VC_MMERR Mask */ + +#define CoreDebug_DEMCR_VC_CORERESET_Pos 0 /*!< CoreDebug DEMCR: VC_CORERESET Position */ +#define CoreDebug_DEMCR_VC_CORERESET_Msk (1UL << CoreDebug_DEMCR_VC_CORERESET_Pos) /*!< CoreDebug DEMCR: VC_CORERESET Mask */ + +/*@} end of group CMSIS_CoreDebug */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Cortex-M4 Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define ITM_BASE (0xE0000000UL) /*!< ITM Base Address */ +#define DWT_BASE (0xE0001000UL) /*!< DWT Base Address */ +#define TPI_BASE (0xE0040000UL) /*!< TPI Base Address */ +#define CoreDebug_BASE (0xE000EDF0UL) /*!< Core Debug Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCnSCB ((SCnSCB_Type *) SCS_BASE ) /*!< System control Register not in SCB */ +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ +#define ITM ((ITM_Type *) ITM_BASE ) /*!< ITM configuration struct */ +#define DWT ((DWT_Type *) DWT_BASE ) /*!< DWT configuration struct */ +#define TPI ((TPI_Type *) TPI_BASE ) /*!< TPI configuration struct */ +#define CoreDebug ((CoreDebug_Type *) CoreDebug_BASE) /*!< Core Debug configuration struct */ + +#if (__MPU_PRESENT == 1) + #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */ +#endif + +#if (__FPU_PRESENT == 1) + #define FPU_BASE (SCS_BASE + 0x0F30UL) /*!< Floating Point Unit */ + #define FPU ((FPU_Type *) FPU_BASE ) /*!< Floating Point Unit */ +#endif + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Debug Functions + - Core Register Access Functions + ******************************************************************************/ +/** \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +/** \brief Set Priority Grouping + + The function sets the priority grouping field using the required unlock sequence. + The parameter PriorityGroup is assigned to the field SCB->AIRCR [10:8] PRIGROUP field. + Only values from 0..7 are used. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + + \param [in] PriorityGroup Priority grouping field. + */ +__STATIC_INLINE void NVIC_SetPriorityGrouping(uint32_t PriorityGroup) +{ + uint32_t reg_value; + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07); /* only values 0..7 are used */ + + reg_value = SCB->AIRCR; /* read old register configuration */ + reg_value &= ~(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk); /* clear bits to change */ + reg_value = (reg_value | + ((uint32_t)0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (PriorityGroupTmp << 8)); /* Insert write key and priorty group */ + SCB->AIRCR = reg_value; +} + + +/** \brief Get Priority Grouping + + The function reads the priority grouping field from the NVIC Interrupt Controller. + + \return Priority grouping field (SCB->AIRCR [10:8] PRIGROUP field). + */ +__STATIC_INLINE uint32_t NVIC_GetPriorityGrouping(void) +{ + return ((SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) >> SCB_AIRCR_PRIGROUP_Pos); /* read priority grouping field */ +} + + +/** \brief Enable External Interrupt + + The function enables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ +/* NVIC->ISER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); enable interrupt */ + NVIC->ISER[(uint32_t)((int32_t)IRQn) >> 5] = (uint32_t)(1 << ((uint32_t)((int32_t)IRQn) & (uint32_t)0x1F)); /* enable interrupt */ +} + + +/** \brief Disable External Interrupt + + The function disables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* disable interrupt */ +} + + +/** \brief Get Pending Interrupt + + The function reads the pending register in the NVIC and returns the pending bit + for the specified interrupt. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + */ +__STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t) ((NVIC->ISPR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if pending else 0 */ +} + + +/** \brief Set Pending Interrupt + + The function sets the pending bit of an external interrupt. + + \param [in] IRQn Interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* set interrupt pending */ +} + + +/** \brief Clear Pending Interrupt + + The function clears the pending bit of an external interrupt. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* Clear pending interrupt */ +} + + +/** \brief Get Active Interrupt + + The function reads the active register in NVIC and returns the active bit. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not active. + \return 1 Interrupt status is active. + */ +__STATIC_INLINE uint32_t NVIC_GetActive(IRQn_Type IRQn) +{ + return((uint32_t)((NVIC->IABR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if active else 0 */ +} + + +/** \brief Set Interrupt Priority + + The function sets the priority of an interrupt. + + \note The priority cannot be set for every core interrupt. + + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + */ +__STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if(IRQn < 0) { + SCB->SHPR[((uint32_t)(IRQn) & 0xF)-4] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for Cortex-M System Interrupts */ + else { + NVIC->IP[(uint32_t)(IRQn)] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for device specific Interrupts */ +} + + +/** \brief Get Interrupt Priority + + The function reads the priority of an interrupt. The interrupt + number can be positive to specify an external (device specific) + interrupt, or negative to specify an internal (core) interrupt. + + + \param [in] IRQn Interrupt number. + \return Interrupt Priority. Value is aligned automatically to the implemented + priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if(IRQn < 0) { + return((uint32_t)(SCB->SHPR[((uint32_t)(IRQn) & 0xF)-4] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for Cortex-M system interrupts */ + else { + return((uint32_t)(NVIC->IP[(uint32_t)(IRQn)] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for device specific interrupts */ +} + + +/** \brief Encode Priority + + The function encodes the priority for an interrupt with the given priority group, + preemptive priority value, and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + + \param [in] PriorityGroup Used priority group. + \param [in] PreemptPriority Preemptive priority value (starting from 0). + \param [in] SubPriority Subpriority value (starting from 0). + \return Encoded priority. Value can be used in the function \ref NVIC_SetPriority(). + */ +__STATIC_INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + return ( + ((PreemptPriority & ((1 << (PreemptPriorityBits)) - 1)) << SubPriorityBits) | + ((SubPriority & ((1 << (SubPriorityBits )) - 1))) + ); +} + + +/** \brief Decode Priority + + The function decodes an interrupt priority value with a given priority group to + preemptive priority value and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS) the smallest possible priority group is set. + + \param [in] Priority Priority value, which can be retrieved with the function \ref NVIC_GetPriority(). + \param [in] PriorityGroup Used priority group. + \param [out] pPreemptPriority Preemptive priority value (starting from 0). + \param [out] pSubPriority Subpriority value (starting from 0). + */ +__STATIC_INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* pPreemptPriority, uint32_t* pSubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + *pPreemptPriority = (Priority >> SubPriorityBits) & ((1 << (PreemptPriorityBits)) - 1); + *pSubPriority = (Priority ) & ((1 << (SubPriorityBits )) - 1); +} + + +/** \brief System Reset + + The function initiates a system reset request to reset the MCU. + */ +__STATIC_INLINE void NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = ((0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) | + SCB_AIRCR_SYSRESETREQ_Msk); /* Keep priority group unchanged */ + __DSB(); /* Ensure completion of memory access */ + while(1); /* wait until reset */ +} + +/*@} end of CMSIS_Core_NVICFunctions */ + + +/* ########################## Cache functions #################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_CacheFunctions Cache Functions + \brief Functions that configure Instruction and Data cache. + @{ + */ + +/* Cache Size ID Register Macros */ +#define CCSIDR_WAYS(x) (((x) & SCB_CCSIDR_ASSOCIATIVITY_Msk) >> SCB_CCSIDR_ASSOCIATIVITY_Pos) +#define CCSIDR_SETS(x) (((x) & SCB_CCSIDR_NUMSETS_Msk ) >> SCB_CCSIDR_NUMSETS_Pos ) +#define CCSIDR_LSSHIFT(x) (((x) & SCB_CCSIDR_LINESIZE_Msk ) >> SCB_CCSIDR_LINESIZE_Pos ) + + +/** \brief Enable I-Cache + + The function turns on I-Cache + */ +__STATIC_INLINE void SCB_EnableICache(void) +{ + #if (__ICACHE_PRESENT == 1) + __DSB(); + __ISB(); + SCB->ICIALLU = 0; // invalidate I-Cache + SCB->CCR |= SCB_CCR_IC_Msk; // enable I-Cache + __DSB(); + __ISB(); + #endif +} + + +/** \brief Disable I-Cache + + The function turns off I-Cache + */ +__STATIC_INLINE void SCB_DisableICache(void) +{ + #if (__ICACHE_PRESENT == 1) + __DSB(); + __ISB(); + SCB->CCR &= ~SCB_CCR_IC_Msk; // disable I-Cache + SCB->ICIALLU = 0; // invalidate I-Cache + __DSB(); + __ISB(); + #endif +} + + +/** \brief Invalidate I-Cache + + The function invalidates I-Cache + */ +__STATIC_INLINE void SCB_InvalidateICache(void) +{ + #if (__ICACHE_PRESENT == 1) + __DSB(); + __ISB(); + SCB->ICIALLU = 0; + __DSB(); + __ISB(); + #endif +} + + +/** \brief Enable D-Cache + + The function turns on D-Cache + */ +__STATIC_INLINE void SCB_EnableDCache(void) +{ + #if (__DCACHE_PRESENT == 1) + uint32_t ccsidr, sshift, wshift, sw; + uint32_t sets, ways; + + ccsidr = SCB->CCSIDR; + sets = CCSIDR_SETS(ccsidr); + sshift = CCSIDR_LSSHIFT(ccsidr) + 4; + ways = CCSIDR_WAYS(ccsidr); + wshift = __CLZ(ways) & 0x1f; + + __DSB(); + + do { // invalidate D-Cache + int32_t tmpways = ways; + do { + sw = ((tmpways << wshift) | (sets << sshift)); + SCB->DCISW = sw; + } while(tmpways--); + } while(sets--); + __DSB(); + + SCB->CCR |= SCB_CCR_DC_Msk; // enable D-Cache + + __DSB(); + __ISB(); + #endif +} + + +/** \brief Disable D-Cache + + The function turns off D-Cache + */ +__STATIC_INLINE void SCB_DisableDCache(void) +{ + #if (__DCACHE_PRESENT == 1) + uint32_t ccsidr, sshift, wshift, sw; + uint32_t sets, ways; + + ccsidr = SCB->CCSIDR; + sets = CCSIDR_SETS(ccsidr); + sshift = CCSIDR_LSSHIFT(ccsidr) + 4; + ways = CCSIDR_WAYS(ccsidr); + wshift = __CLZ(ways) & 0x1f; + + __DSB(); + + SCB->CCR &= ~SCB_CCR_DC_Msk; // disable D-Cache + + do { // clean & invalidate D-Cache + int32_t tmpways = ways; + do { + sw = ((tmpways << wshift) | (sets << sshift)); + SCB->DCCISW = sw; + } while(tmpways--); + } while(sets--); + + + __DSB(); + __ISB(); + #endif +} + + +/** \brief Invalidate D-Cache + + The function invalidates D-Cache + */ +__STATIC_INLINE void SCB_InvalidateDCache(void) +{ + #if (__DCACHE_PRESENT == 1) + uint32_t ccsidr, sshift, wshift, sw; + uint32_t sets, ways; + + ccsidr = SCB->CCSIDR; + sets = CCSIDR_SETS(ccsidr); + sshift = CCSIDR_LSSHIFT(ccsidr) + 4; + ways = CCSIDR_WAYS(ccsidr); + wshift = __CLZ(ways) & 0x1f; + + __DSB(); + + do { // invalidate D-Cache + int32_t tmpways = ways; + do { + sw = ((tmpways << wshift) | (sets << sshift)); + SCB->DCISW = sw; + } while(tmpways--); + } while(sets--); + + __DSB(); + __ISB(); + #endif +} + + +/** \brief Clean D-Cache + + The function cleans D-Cache + */ +__STATIC_INLINE void SCB_CleanDCache(void) +{ + #if (__DCACHE_PRESENT == 1) + uint32_t ccsidr, sshift, wshift, sw; + uint32_t sets, ways; + + ccsidr = SCB->CCSIDR; + sets = CCSIDR_SETS(ccsidr); + sshift = CCSIDR_LSSHIFT(ccsidr) + 4; + ways = CCSIDR_WAYS(ccsidr); + wshift = __CLZ(ways) & 0x1f; + + __DSB(); + + do { // clean D-Cache + int32_t tmpways = ways; + do { + sw = ((tmpways << wshift) | (sets << sshift)); + SCB->DCCSW = sw; + } while(tmpways--); + } while(sets--); + + __DSB(); + __ISB(); + #endif +} + + +/** \brief Clean & Invalidate D-Cache + + The function cleans and Invalidates D-Cache + */ +__STATIC_INLINE void SCB_CleanInvalidateDCache(void) +{ + #if (__DCACHE_PRESENT == 1) + uint32_t ccsidr, sshift, wshift, sw; + uint32_t sets, ways; + + ccsidr = SCB->CCSIDR; + sets = CCSIDR_SETS(ccsidr); + sshift = CCSIDR_LSSHIFT(ccsidr) + 4; + ways = CCSIDR_WAYS(ccsidr); + wshift = __CLZ(ways) & 0x1f; + + __DSB(); + + do { // clean & invalidate D-Cache + int32_t tmpways = ways; + do { + sw = ((tmpways << wshift) | (sets << sshift)); + SCB->DCCISW = sw; + } while(tmpways--); + } while(sets--); + + __DSB(); + __ISB(); + #endif +} + + +/*@} end of CMSIS_Core_CacheFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if (__Vendor_SysTickConfig == 0) + +/** \brief System Tick Configuration + + The function initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + + \param [in] ticks Number of ticks between two interrupts. + + \return 0 Function succeeded. + \return 1 Function failed. + + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if ((ticks - 1) > SysTick_LOAD_RELOAD_Msk) return (1); /* Reload value impossible */ + + SysTick->LOAD = ticks - 1; /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1<<__NVIC_PRIO_BITS) - 1); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + +/* ##################################### Debug In/Output function ########################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_core_DebugFunctions ITM Functions + \brief Functions that access the ITM debug interface. + @{ + */ + +extern volatile int32_t ITM_RxBuffer; /*!< External variable to receive characters. */ +#define ITM_RXBUFFER_EMPTY 0x5AA55AA5 /*!< Value identifying \ref ITM_RxBuffer is ready for next character. */ + + +/** \brief ITM Send Character + + The function transmits a character via the ITM channel 0, and + \li Just returns when no debugger is connected that has booked the output. + \li Is blocking when a debugger is connected, but the previous character sent has not been transmitted. + + \param [in] ch Character to transmit. + + \returns Character to transmit. + */ +__STATIC_INLINE uint32_t ITM_SendChar (uint32_t ch) +{ + if ((ITM->TCR & ITM_TCR_ITMENA_Msk) && /* ITM enabled */ + (ITM->TER & (1UL << 0) ) ) /* ITM Port #0 enabled */ + { + while (ITM->PORT[0].u32 == 0); + ITM->PORT[0].u8 = (uint8_t) ch; + } + return (ch); +} + + +/** \brief ITM Receive Character + + The function inputs a character via the external variable \ref ITM_RxBuffer. + + \return Received character. + \return -1 No character pending. + */ +__STATIC_INLINE int32_t ITM_ReceiveChar (void) { + int32_t ch = -1; /* no character available */ + + if (ITM_RxBuffer != ITM_RXBUFFER_EMPTY) { + ch = ITM_RxBuffer; + ITM_RxBuffer = ITM_RXBUFFER_EMPTY; /* ready for next character */ + } + + return (ch); +} + + +/** \brief ITM Check Character + + The function checks whether a character is pending for reading in the variable \ref ITM_RxBuffer. + + \return 0 No character available. + \return 1 Character available. + */ +__STATIC_INLINE int32_t ITM_CheckChar (void) { + + if (ITM_RxBuffer == ITM_RXBUFFER_EMPTY) { + return (0); /* no character available */ + } else { + return (1); /* character available */ + } +} + +/*@} end of CMSIS_core_DebugFunctions */ + + + + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM7_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ diff --git a/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cmFunc.h b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cmFunc.h new file mode 100644 index 0000000000..01089f1333 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cmFunc.h @@ -0,0 +1,637 @@ +/**************************************************************************//** + * @file core_cmFunc.h + * @brief CMSIS Cortex-M Core Function Access Header File + * @version V4.00 + * @date 28. August 2014 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2014 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#ifndef __CORE_CMFUNC_H +#define __CORE_CMFUNC_H + + +/* ########################### Core Function Access ########################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_RegAccFunctions CMSIS Core Register Access Functions + @{ + */ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ + +#if (__ARMCC_VERSION < 400677) + #error "Please use ARM Compiler Toolchain V4.0.677 or later!" +#endif + +/* intrinsic void __enable_irq(); */ +/* intrinsic void __disable_irq(); */ + +/** \brief Get Control Register + + This function returns the content of the Control Register. + + \return Control Register value + */ +__STATIC_INLINE uint32_t __get_CONTROL(void) +{ + register uint32_t __regControl __ASM("control"); + return(__regControl); +} + + +/** \brief Set Control Register + + This function writes the given value to the Control Register. + + \param [in] control Control Register value to set + */ +__STATIC_INLINE void __set_CONTROL(uint32_t control) +{ + register uint32_t __regControl __ASM("control"); + __regControl = control; +} + + +/** \brief Get IPSR Register + + This function returns the content of the IPSR Register. + + \return IPSR Register value + */ +__STATIC_INLINE uint32_t __get_IPSR(void) +{ + register uint32_t __regIPSR __ASM("ipsr"); + return(__regIPSR); +} + + +/** \brief Get APSR Register + + This function returns the content of the APSR Register. + + \return APSR Register value + */ +__STATIC_INLINE uint32_t __get_APSR(void) +{ + register uint32_t __regAPSR __ASM("apsr"); + return(__regAPSR); +} + + +/** \brief Get xPSR Register + + This function returns the content of the xPSR Register. + + \return xPSR Register value + */ +__STATIC_INLINE uint32_t __get_xPSR(void) +{ + register uint32_t __regXPSR __ASM("xpsr"); + return(__regXPSR); +} + + +/** \brief Get Process Stack Pointer + + This function returns the current value of the Process Stack Pointer (PSP). + + \return PSP Register value + */ +__STATIC_INLINE uint32_t __get_PSP(void) +{ + register uint32_t __regProcessStackPointer __ASM("psp"); + return(__regProcessStackPointer); +} + + +/** \brief Set Process Stack Pointer + + This function assigns the given value to the Process Stack Pointer (PSP). + + \param [in] topOfProcStack Process Stack Pointer value to set + */ +__STATIC_INLINE void __set_PSP(uint32_t topOfProcStack) +{ + register uint32_t __regProcessStackPointer __ASM("psp"); + __regProcessStackPointer = topOfProcStack; +} + + +/** \brief Get Main Stack Pointer + + This function returns the current value of the Main Stack Pointer (MSP). + + \return MSP Register value + */ +__STATIC_INLINE uint32_t __get_MSP(void) +{ + register uint32_t __regMainStackPointer __ASM("msp"); + return(__regMainStackPointer); +} + + +/** \brief Set Main Stack Pointer + + This function assigns the given value to the Main Stack Pointer (MSP). + + \param [in] topOfMainStack Main Stack Pointer value to set + */ +__STATIC_INLINE void __set_MSP(uint32_t topOfMainStack) +{ + register uint32_t __regMainStackPointer __ASM("msp"); + __regMainStackPointer = topOfMainStack; +} + + +/** \brief Get Priority Mask + + This function returns the current state of the priority mask bit from the Priority Mask Register. + + \return Priority Mask value + */ +__STATIC_INLINE uint32_t __get_PRIMASK(void) +{ + register uint32_t __regPriMask __ASM("primask"); + return(__regPriMask); +} + + +/** \brief Set Priority Mask + + This function assigns the given value to the Priority Mask Register. + + \param [in] priMask Priority Mask + */ +__STATIC_INLINE void __set_PRIMASK(uint32_t priMask) +{ + register uint32_t __regPriMask __ASM("primask"); + __regPriMask = (priMask); +} + + +#if (__CORTEX_M >= 0x03) || (__CORTEX_SC >= 300) + +/** \brief Enable FIQ + + This function enables FIQ interrupts by clearing the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +#define __enable_fault_irq __enable_fiq + + +/** \brief Disable FIQ + + This function disables FIQ interrupts by setting the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +#define __disable_fault_irq __disable_fiq + + +/** \brief Get Base Priority + + This function returns the current value of the Base Priority register. + + \return Base Priority register value + */ +__STATIC_INLINE uint32_t __get_BASEPRI(void) +{ + register uint32_t __regBasePri __ASM("basepri"); + return(__regBasePri); +} + + +/** \brief Set Base Priority + + This function assigns the given value to the Base Priority register. + + \param [in] basePri Base Priority value to set + */ +__STATIC_INLINE void __set_BASEPRI(uint32_t basePri) +{ + register uint32_t __regBasePri __ASM("basepri"); + __regBasePri = (basePri & 0xff); +} + + +/** \brief Get Fault Mask + + This function returns the current value of the Fault Mask register. + + \return Fault Mask register value + */ +__STATIC_INLINE uint32_t __get_FAULTMASK(void) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + return(__regFaultMask); +} + + +/** \brief Set Fault Mask + + This function assigns the given value to the Fault Mask register. + + \param [in] faultMask Fault Mask value to set + */ +__STATIC_INLINE void __set_FAULTMASK(uint32_t faultMask) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + __regFaultMask = (faultMask & (uint32_t)1); +} + +#endif /* (__CORTEX_M >= 0x03) || (__CORTEX_SC >= 300) */ + + +#if (__CORTEX_M == 0x04) || (__CORTEX_M == 0x07) + +/** \brief Get FPSCR + + This function returns the current value of the Floating Point Status/Control register. + + \return Floating Point Status/Control register value + */ +__STATIC_INLINE uint32_t __get_FPSCR(void) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + register uint32_t __regfpscr __ASM("fpscr"); + return(__regfpscr); +#else + return(0); +#endif +} + + +/** \brief Set FPSCR + + This function assigns the given value to the Floating Point Status/Control register. + + \param [in] fpscr Floating Point Status/Control value to set + */ +__STATIC_INLINE void __set_FPSCR(uint32_t fpscr) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + register uint32_t __regfpscr __ASM("fpscr"); + __regfpscr = (fpscr); +#endif +} + +#endif /* (__CORTEX_M == 0x04) || (__CORTEX_M == 0x07) */ + + +#elif defined ( __GNUC__ ) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ + +/** \brief Enable IRQ Interrupts + + This function enables IRQ interrupts by clearing the I-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __enable_irq(void) +{ + __ASM volatile ("cpsie i" : : : "memory"); +} + + +/** \brief Disable IRQ Interrupts + + This function disables IRQ interrupts by setting the I-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __disable_irq(void) +{ + __ASM volatile ("cpsid i" : : : "memory"); +} + + +/** \brief Get Control Register + + This function returns the content of the Control Register. + + \return Control Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_CONTROL(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, control" : "=r" (result) ); + return(result); +} + + +/** \brief Set Control Register + + This function writes the given value to the Control Register. + + \param [in] control Control Register value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_CONTROL(uint32_t control) +{ + __ASM volatile ("MSR control, %0" : : "r" (control) : "memory"); +} + + +/** \brief Get IPSR Register + + This function returns the content of the IPSR Register. + + \return IPSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_IPSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, ipsr" : "=r" (result) ); + return(result); +} + + +/** \brief Get APSR Register + + This function returns the content of the APSR Register. + + \return APSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_APSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, apsr" : "=r" (result) ); + return(result); +} + + +/** \brief Get xPSR Register + + This function returns the content of the xPSR Register. + + \return xPSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_xPSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, xpsr" : "=r" (result) ); + return(result); +} + + +/** \brief Get Process Stack Pointer + + This function returns the current value of the Process Stack Pointer (PSP). + + \return PSP Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_PSP(void) +{ + register uint32_t result; + + __ASM volatile ("MRS %0, psp\n" : "=r" (result) ); + return(result); +} + + +/** \brief Set Process Stack Pointer + + This function assigns the given value to the Process Stack Pointer (PSP). + + \param [in] topOfProcStack Process Stack Pointer value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_PSP(uint32_t topOfProcStack) +{ + __ASM volatile ("MSR psp, %0\n" : : "r" (topOfProcStack) : "sp"); +} + + +/** \brief Get Main Stack Pointer + + This function returns the current value of the Main Stack Pointer (MSP). + + \return MSP Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_MSP(void) +{ + register uint32_t result; + + __ASM volatile ("MRS %0, msp\n" : "=r" (result) ); + return(result); +} + + +/** \brief Set Main Stack Pointer + + This function assigns the given value to the Main Stack Pointer (MSP). + + \param [in] topOfMainStack Main Stack Pointer value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_MSP(uint32_t topOfMainStack) +{ + __ASM volatile ("MSR msp, %0\n" : : "r" (topOfMainStack) : "sp"); +} + + +/** \brief Get Priority Mask + + This function returns the current state of the priority mask bit from the Priority Mask Register. + + \return Priority Mask value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_PRIMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, primask" : "=r" (result) ); + return(result); +} + + +/** \brief Set Priority Mask + + This function assigns the given value to the Priority Mask Register. + + \param [in] priMask Priority Mask + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_PRIMASK(uint32_t priMask) +{ + __ASM volatile ("MSR primask, %0" : : "r" (priMask) : "memory"); +} + + +#if (__CORTEX_M >= 0x03) + +/** \brief Enable FIQ + + This function enables FIQ interrupts by clearing the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __enable_fault_irq(void) +{ + __ASM volatile ("cpsie f" : : : "memory"); +} + + +/** \brief Disable FIQ + + This function disables FIQ interrupts by setting the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __disable_fault_irq(void) +{ + __ASM volatile ("cpsid f" : : : "memory"); +} + + +/** \brief Get Base Priority + + This function returns the current value of the Base Priority register. + + \return Base Priority register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_BASEPRI(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, basepri_max" : "=r" (result) ); + return(result); +} + + +/** \brief Set Base Priority + + This function assigns the given value to the Base Priority register. + + \param [in] basePri Base Priority value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_BASEPRI(uint32_t value) +{ + __ASM volatile ("MSR basepri, %0" : : "r" (value) : "memory"); +} + + +/** \brief Get Fault Mask + + This function returns the current value of the Fault Mask register. + + \return Fault Mask register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_FAULTMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, faultmask" : "=r" (result) ); + return(result); +} + + +/** \brief Set Fault Mask + + This function assigns the given value to the Fault Mask register. + + \param [in] faultMask Fault Mask value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_FAULTMASK(uint32_t faultMask) +{ + __ASM volatile ("MSR faultmask, %0" : : "r" (faultMask) : "memory"); +} + +#endif /* (__CORTEX_M >= 0x03) */ + + +#if (__CORTEX_M == 0x04) || (__CORTEX_M == 0x07) + +/** \brief Get FPSCR + + This function returns the current value of the Floating Point Status/Control register. + + \return Floating Point Status/Control register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_FPSCR(void) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + uint32_t result; + + /* Empty asm statement works as a scheduling barrier */ + __ASM volatile (""); + __ASM volatile ("VMRS %0, fpscr" : "=r" (result) ); + __ASM volatile (""); + return(result); +#else + return(0); +#endif +} + + +/** \brief Set FPSCR + + This function assigns the given value to the Floating Point Status/Control register. + + \param [in] fpscr Floating Point Status/Control value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_FPSCR(uint32_t fpscr) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + /* Empty asm statement works as a scheduling barrier */ + __ASM volatile (""); + __ASM volatile ("VMSR fpscr, %0" : : "r" (fpscr) : "vfpcc"); + __ASM volatile (""); +#endif +} + +#endif /* (__CORTEX_M == 0x04) || (__CORTEX_M == 0x07) */ + + +#elif defined ( __ICCARM__ ) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ +#include + + +#elif defined ( __TMS470__ ) /*---------------- TI CCS Compiler ------------------*/ +/* TI CCS specific functions */ +#include + + +#elif defined ( __TASKING__ ) /*------------------ TASKING Compiler --------------*/ +/* TASKING carm specific functions */ +/* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all intrinsics, + * Including the CMSIS ones. + */ + + +#elif defined ( __CSMC__ ) /*------------------ COSMIC Compiler -------------------*/ +/* Cosmic specific functions */ +#include + +#endif + +/*@} end of CMSIS_Core_RegAccFunctions */ + +#endif /* __CORE_CMFUNC_H */ diff --git a/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cmInstr.h b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cmInstr.h new file mode 100644 index 0000000000..d14110b2ab --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cmInstr.h @@ -0,0 +1,880 @@ +/**************************************************************************//** + * @file core_cmInstr.h + * @brief CMSIS Cortex-M Core Instruction Access Header File + * @version V4.00 + * @date 28. August 2014 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2014 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#ifndef __CORE_CMINSTR_H +#define __CORE_CMINSTR_H + + +/* ########################## Core Instruction Access ######################### */ +/** \defgroup CMSIS_Core_InstructionInterface CMSIS Core Instruction Interface + Access to dedicated instructions + @{ +*/ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ + +#if (__ARMCC_VERSION < 400677) + #error "Please use ARM Compiler Toolchain V4.0.677 or later!" +#endif + + +/** \brief No Operation + + No Operation does nothing. This instruction can be used for code alignment purposes. + */ +#define __NOP __nop + + +/** \brief Wait For Interrupt + + Wait For Interrupt is a hint instruction that suspends execution + until one of a number of events occurs. + */ +#define __WFI __wfi + + +/** \brief Wait For Event + + Wait For Event is a hint instruction that permits the processor to enter + a low-power state until one of a number of events occurs. + */ +#define __WFE __wfe + + +/** \brief Send Event + + Send Event is a hint instruction. It causes an event to be signaled to the CPU. + */ +#define __SEV __sev + + +/** \brief Instruction Synchronization Barrier + + Instruction Synchronization Barrier flushes the pipeline in the processor, + so that all instructions following the ISB are fetched from cache or + memory, after the instruction has been completed. + */ +#define __ISB() __isb(0xF) + + +/** \brief Data Synchronization Barrier + + This function acts as a special kind of Data Memory Barrier. + It completes when all explicit memory accesses before this instruction complete. + */ +#define __DSB() __dsb(0xF) + + +/** \brief Data Memory Barrier + + This function ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +#define __DMB() __dmb(0xF) + + +/** \brief Reverse byte order (32 bit) + + This function reverses the byte order in integer value. + + \param [in] value Value to reverse + \return Reversed value + */ +#define __REV __rev + + +/** \brief Reverse byte order (16 bit) + + This function reverses the byte order in two unsigned short values. + + \param [in] value Value to reverse + \return Reversed value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".rev16_text"))) __STATIC_INLINE __ASM uint32_t __REV16(uint32_t value) +{ + rev16 r0, r0 + bx lr +} +#endif + +/** \brief Reverse byte order in signed short value + + This function reverses the byte order in a signed short value with sign extension to integer. + + \param [in] value Value to reverse + \return Reversed value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".revsh_text"))) __STATIC_INLINE __ASM int32_t __REVSH(int32_t value) +{ + revsh r0, r0 + bx lr +} +#endif + + +/** \brief Rotate Right in unsigned value (32 bit) + + This function Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits. + + \param [in] value Value to rotate + \param [in] value Number of Bits to rotate + \return Rotated value + */ +#define __ROR __ror + + +/** \brief Breakpoint + + This function causes the processor to enter Debug state. + Debug tools can use this to investigate system state when the instruction at a particular address is reached. + + \param [in] value is ignored by the processor. + If required, a debugger can use it to store additional information about the breakpoint. + */ +#define __BKPT(value) __breakpoint(value) + + +#if (__CORTEX_M >= 0x03) || (__CORTEX_SC >= 300) + +/** \brief Reverse bit order of value + + This function reverses the bit order of the given value. + + \param [in] value Value to reverse + \return Reversed value + */ +#define __RBIT __rbit + + +/** \brief LDR Exclusive (8 bit) + + This function executes a exclusive LDR instruction for 8 bit value. + + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +#define __LDREXB(ptr) ((uint8_t ) __ldrex(ptr)) + + +/** \brief LDR Exclusive (16 bit) + + This function executes a exclusive LDR instruction for 16 bit values. + + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +#define __LDREXH(ptr) ((uint16_t) __ldrex(ptr)) + + +/** \brief LDR Exclusive (32 bit) + + This function executes a exclusive LDR instruction for 32 bit values. + + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +#define __LDREXW(ptr) ((uint32_t ) __ldrex(ptr)) + + +/** \brief STR Exclusive (8 bit) + + This function executes a exclusive STR instruction for 8 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXB(value, ptr) __strex(value, ptr) + + +/** \brief STR Exclusive (16 bit) + + This function executes a exclusive STR instruction for 16 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXH(value, ptr) __strex(value, ptr) + + +/** \brief STR Exclusive (32 bit) + + This function executes a exclusive STR instruction for 32 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXW(value, ptr) __strex(value, ptr) + + +/** \brief Remove the exclusive lock + + This function removes the exclusive lock which is created by LDREX. + + */ +#define __CLREX __clrex + + +/** \brief Signed Saturate + + This function saturates a signed value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (1..32) + \return Saturated value + */ +#define __SSAT __ssat + + +/** \brief Unsigned Saturate + + This function saturates an unsigned value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (0..31) + \return Saturated value + */ +#define __USAT __usat + + +/** \brief Count leading zeros + + This function counts the number of leading zeros of a data value. + + \param [in] value Value to count the leading zeros + \return number of leading zeros in value + */ +#define __CLZ __clz + + +/** \brief Rotate Right with Extend (32 bit) + + This function moves each bit of a bitstring right by one bit. The carry input is shifted in at the left end of the bitstring. + + \param [in] value Value to rotate + \return Rotated value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".rrx_text"))) __STATIC_INLINE __ASM uint32_t __RRX(uint32_t value) +{ + rrx r0, r0 + bx lr +} +#endif + + +/** \brief LDRT Unprivileged (8 bit) + + This function executes a Unprivileged LDRT instruction for 8 bit value. + + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +#define __LDRBT(ptr) ((uint8_t ) __ldrt(ptr)) + + +/** \brief LDRT Unprivileged (16 bit) + + This function executes a Unprivileged LDRT instruction for 16 bit values. + + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +#define __LDRHT(ptr) ((uint16_t) __ldrt(ptr)) + + +/** \brief LDRT Unprivileged (32 bit) + + This function executes a Unprivileged LDRT instruction for 32 bit values. + + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +#define __LDRT(ptr) ((uint32_t ) __ldrt(ptr)) + + +/** \brief STRT Unprivileged (8 bit) + + This function executes a Unprivileged STRT instruction for 8 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +#define __STRBT(value, ptr) __strt(value, ptr) + + +/** \brief STRT Unprivileged (16 bit) + + This function executes a Unprivileged STRT instruction for 16 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +#define __STRHT(value, ptr) __strt(value, ptr) + + +/** \brief STRT Unprivileged (32 bit) + + This function executes a Unprivileged STRT instruction for 32 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +#define __STRT(value, ptr) __strt(value, ptr) + +#endif /* (__CORTEX_M >= 0x03) || (__CORTEX_SC >= 300) */ + + +#elif defined ( __GNUC__ ) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ + +/* Define macros for porting to both thumb1 and thumb2. + * For thumb1, use low register (r0-r7), specified by constrant "l" + * Otherwise, use general registers, specified by constrant "r" */ +#if defined (__thumb__) && !defined (__thumb2__) +#define __CMSIS_GCC_OUT_REG(r) "=l" (r) +#define __CMSIS_GCC_USE_REG(r) "l" (r) +#else +#define __CMSIS_GCC_OUT_REG(r) "=r" (r) +#define __CMSIS_GCC_USE_REG(r) "r" (r) +#endif + +/** \brief No Operation + + No Operation does nothing. This instruction can be used for code alignment purposes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __NOP(void) +{ + __ASM volatile ("nop"); +} + + +/** \brief Wait For Interrupt + + Wait For Interrupt is a hint instruction that suspends execution + until one of a number of events occurs. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __WFI(void) +{ + __ASM volatile ("wfi"); +} + + +/** \brief Wait For Event + + Wait For Event is a hint instruction that permits the processor to enter + a low-power state until one of a number of events occurs. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __WFE(void) +{ + __ASM volatile ("wfe"); +} + + +/** \brief Send Event + + Send Event is a hint instruction. It causes an event to be signaled to the CPU. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __SEV(void) +{ + __ASM volatile ("sev"); +} + + +/** \brief Instruction Synchronization Barrier + + Instruction Synchronization Barrier flushes the pipeline in the processor, + so that all instructions following the ISB are fetched from cache or + memory, after the instruction has been completed. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __ISB(void) +{ + __ASM volatile ("isb"); +} + + +/** \brief Data Synchronization Barrier + + This function acts as a special kind of Data Memory Barrier. + It completes when all explicit memory accesses before this instruction complete. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __DSB(void) +{ + __ASM volatile ("dsb"); +} + + +/** \brief Data Memory Barrier + + This function ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __DMB(void) +{ + __ASM volatile ("dmb"); +} + + +/** \brief Reverse byte order (32 bit) + + This function reverses the byte order in integer value. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __REV(uint32_t value) +{ +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 5) + return __builtin_bswap32(value); +#else + uint32_t result; + + __ASM volatile ("rev %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +#endif +} + + +/** \brief Reverse byte order (16 bit) + + This function reverses the byte order in two unsigned short values. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __REV16(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rev16 %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +} + + +/** \brief Reverse byte order in signed short value + + This function reverses the byte order in a signed short value with sign extension to integer. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE int32_t __REVSH(int32_t value) +{ +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + return (short)__builtin_bswap16(value); +#else + uint32_t result; + + __ASM volatile ("revsh %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +#endif +} + + +/** \brief Rotate Right in unsigned value (32 bit) + + This function Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits. + + \param [in] value Value to rotate + \param [in] value Number of Bits to rotate + \return Rotated value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __ROR(uint32_t op1, uint32_t op2) +{ + return (op1 >> op2) | (op1 << (32 - op2)); +} + + +/** \brief Breakpoint + + This function causes the processor to enter Debug state. + Debug tools can use this to investigate system state when the instruction at a particular address is reached. + + \param [in] value is ignored by the processor. + If required, a debugger can use it to store additional information about the breakpoint. + */ +#define __BKPT(value) __ASM volatile ("bkpt "#value) + + +#if (__CORTEX_M >= 0x03) || (__CORTEX_SC >= 300) + +/** \brief Reverse bit order of value + + This function reverses the bit order of the given value. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __RBIT(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rbit %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + + +/** \brief LDR Exclusive (8 bit) + + This function executes a exclusive LDR instruction for 8 bit value. + + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint8_t __LDREXB(volatile uint8_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrexb %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrexb %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return ((uint8_t) result); /* Add explicit type cast here */ +} + + +/** \brief LDR Exclusive (16 bit) + + This function executes a exclusive LDR instruction for 16 bit values. + + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint16_t __LDREXH(volatile uint16_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrexh %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrexh %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return ((uint16_t) result); /* Add explicit type cast here */ +} + + +/** \brief LDR Exclusive (32 bit) + + This function executes a exclusive LDR instruction for 32 bit values. + + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __LDREXW(volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("ldrex %0, %1" : "=r" (result) : "Q" (*addr) ); + return(result); +} + + +/** \brief STR Exclusive (8 bit) + + This function executes a exclusive STR instruction for 8 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXB(uint8_t value, volatile uint8_t *addr) +{ + uint32_t result; + + __ASM volatile ("strexb %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" ((uint32_t)value) ); + return(result); +} + + +/** \brief STR Exclusive (16 bit) + + This function executes a exclusive STR instruction for 16 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXH(uint16_t value, volatile uint16_t *addr) +{ + uint32_t result; + + __ASM volatile ("strexh %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" ((uint32_t)value) ); + return(result); +} + + +/** \brief STR Exclusive (32 bit) + + This function executes a exclusive STR instruction for 32 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXW(uint32_t value, volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("strex %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" (value) ); + return(result); +} + + +/** \brief Remove the exclusive lock + + This function removes the exclusive lock which is created by LDREX. + + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __CLREX(void) +{ + __ASM volatile ("clrex" ::: "memory"); +} + + +/** \brief Signed Saturate + + This function saturates a signed value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (1..32) + \return Saturated value + */ +#define __SSAT(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("ssat %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + + +/** \brief Unsigned Saturate + + This function saturates an unsigned value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (0..31) + \return Saturated value + */ +#define __USAT(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("usat %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + + +/** \brief Count leading zeros + + This function counts the number of leading zeros of a data value. + + \param [in] value Value to count the leading zeros + \return number of leading zeros in value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint8_t __CLZ(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("clz %0, %1" : "=r" (result) : "r" (value) ); + return ((uint8_t) result); /* Add explicit type cast here */ +} + + +/** \brief Rotate Right with Extend (32 bit) + + This function moves each bit of a bitstring right by one bit. The carry input is shifted in at the left end of the bitstring. + + \param [in] value Value to rotate + \return Rotated value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __RRX(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rrx %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +} + + +/** \brief LDRT Unprivileged (8 bit) + + This function executes a Unprivileged LDRT instruction for 8 bit value. + + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint8_t __LDRBT(volatile uint8_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrbt %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrbt %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return ((uint8_t) result); /* Add explicit type cast here */ +} + + +/** \brief LDRT Unprivileged (16 bit) + + This function executes a Unprivileged LDRT instruction for 16 bit values. + + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint16_t __LDRHT(volatile uint16_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrht %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrht %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return ((uint16_t) result); /* Add explicit type cast here */ +} + + +/** \brief LDRT Unprivileged (32 bit) + + This function executes a Unprivileged LDRT instruction for 32 bit values. + + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __LDRT(volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("ldrt %0, %1" : "=r" (result) : "Q" (*addr) ); + return(result); +} + + +/** \brief STRT Unprivileged (8 bit) + + This function executes a Unprivileged STRT instruction for 8 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __STRBT(uint8_t value, volatile uint8_t *addr) +{ + __ASM volatile ("strbt %1, %0" : "=Q" (*addr) : "r" ((uint32_t)value) ); +} + + +/** \brief STRT Unprivileged (16 bit) + + This function executes a Unprivileged STRT instruction for 16 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __STRHT(uint16_t value, volatile uint16_t *addr) +{ + __ASM volatile ("strht %1, %0" : "=Q" (*addr) : "r" ((uint32_t)value) ); +} + + +/** \brief STRT Unprivileged (32 bit) + + This function executes a Unprivileged STRT instruction for 32 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __STRT(uint32_t value, volatile uint32_t *addr) +{ + __ASM volatile ("strt %1, %0" : "=Q" (*addr) : "r" (value) ); +} + +#endif /* (__CORTEX_M >= 0x03) || (__CORTEX_SC >= 300) */ + + +#elif defined ( __ICCARM__ ) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ +#include + + +#elif defined ( __TMS470__ ) /*---------------- TI CCS Compiler ------------------*/ +/* TI CCS specific functions */ +#include + + +#elif defined ( __TASKING__ ) /*------------------ TASKING Compiler --------------*/ +/* TASKING carm specific functions */ +/* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all intrinsics, + * Including the CMSIS ones. + */ + + +#elif defined ( __CSMC__ ) /*------------------ COSMIC Compiler -------------------*/ +/* Cosmic specific functions */ +#include + +#endif + +/*@}*/ /* end of group CMSIS_Core_InstructionInterface */ + +#endif /* __CORE_CMINSTR_H */ diff --git a/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cmSimd.h b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cmSimd.h new file mode 100644 index 0000000000..ee58eee56d --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/CoreSupport/core_cmSimd.h @@ -0,0 +1,697 @@ +/**************************************************************************//** + * @file core_cmSimd.h + * @brief CMSIS Cortex-M SIMD Header File + * @version V4.00 + * @date 22. August 2014 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2014 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#endif + +#ifndef __CORE_CMSIMD_H +#define __CORE_CMSIMD_H + +#ifdef __cplusplus + extern "C" { +#endif + + +/******************************************************************************* + * Hardware Abstraction Layer + ******************************************************************************/ + + +/* ################### Compiler specific Intrinsics ########################### */ +/** \defgroup CMSIS_SIMD_intrinsics CMSIS SIMD Intrinsics + Access to dedicated SIMD instructions + @{ +*/ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ +#define __SADD8 __sadd8 +#define __QADD8 __qadd8 +#define __SHADD8 __shadd8 +#define __UADD8 __uadd8 +#define __UQADD8 __uqadd8 +#define __UHADD8 __uhadd8 +#define __SSUB8 __ssub8 +#define __QSUB8 __qsub8 +#define __SHSUB8 __shsub8 +#define __USUB8 __usub8 +#define __UQSUB8 __uqsub8 +#define __UHSUB8 __uhsub8 +#define __SADD16 __sadd16 +#define __QADD16 __qadd16 +#define __SHADD16 __shadd16 +#define __UADD16 __uadd16 +#define __UQADD16 __uqadd16 +#define __UHADD16 __uhadd16 +#define __SSUB16 __ssub16 +#define __QSUB16 __qsub16 +#define __SHSUB16 __shsub16 +#define __USUB16 __usub16 +#define __UQSUB16 __uqsub16 +#define __UHSUB16 __uhsub16 +#define __SASX __sasx +#define __QASX __qasx +#define __SHASX __shasx +#define __UASX __uasx +#define __UQASX __uqasx +#define __UHASX __uhasx +#define __SSAX __ssax +#define __QSAX __qsax +#define __SHSAX __shsax +#define __USAX __usax +#define __UQSAX __uqsax +#define __UHSAX __uhsax +#define __USAD8 __usad8 +#define __USADA8 __usada8 +#define __SSAT16 __ssat16 +#define __USAT16 __usat16 +#define __UXTB16 __uxtb16 +#define __UXTAB16 __uxtab16 +#define __SXTB16 __sxtb16 +#define __SXTAB16 __sxtab16 +#define __SMUAD __smuad +#define __SMUADX __smuadx +#define __SMLAD __smlad +#define __SMLADX __smladx +#define __SMLALD __smlald +#define __SMLALDX __smlaldx +#define __SMUSD __smusd +#define __SMUSDX __smusdx +#define __SMLSD __smlsd +#define __SMLSDX __smlsdx +#define __SMLSLD __smlsld +#define __SMLSLDX __smlsldx +#define __SEL __sel +#define __QADD __qadd +#define __QSUB __qsub + +#define __PKHBT(ARG1,ARG2,ARG3) ( ((((uint32_t)(ARG1)) ) & 0x0000FFFFUL) | \ + ((((uint32_t)(ARG2)) << (ARG3)) & 0xFFFF0000UL) ) + +#define __PKHTB(ARG1,ARG2,ARG3) ( ((((uint32_t)(ARG1)) ) & 0xFFFF0000UL) | \ + ((((uint32_t)(ARG2)) >> (ARG3)) & 0x0000FFFFUL) ) + +#define __SMMLA(ARG1,ARG2,ARG3) ( (int32_t)((((int64_t)(ARG1) * (ARG2)) + \ + ((int64_t)(ARG3) << 32) ) >> 32)) + + +#elif defined ( __GNUC__ ) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USAD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usad8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USADA8(uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("usada8 %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +#define __SSAT16(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("ssat16 %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + +#define __USAT16(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("usat16 %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UXTB16(uint32_t op1) +{ + uint32_t result; + + __ASM volatile ("uxtb16 %0, %1" : "=r" (result) : "r" (op1)); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UXTAB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uxtab16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SXTB16(uint32_t op1) +{ + uint32_t result; + + __ASM volatile ("sxtb16 %0, %1" : "=r" (result) : "r" (op1)); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SXTAB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sxtab16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUAD (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smuad %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUADX (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smuadx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLAD (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlad %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLADX (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smladx %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint64_t __SMLALD (uint32_t op1, uint32_t op2, uint64_t acc) +{ + union llreg_u{ + uint32_t w32[2]; + uint64_t w64; + } llr; + llr.w64 = acc; + +#ifndef __ARMEB__ // Little endian + __ASM volatile ("smlald %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) ); +#else // Big endian + __ASM volatile ("smlald %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) ); +#endif + + return(llr.w64); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint64_t __SMLALDX (uint32_t op1, uint32_t op2, uint64_t acc) +{ + union llreg_u{ + uint32_t w32[2]; + uint64_t w64; + } llr; + llr.w64 = acc; + +#ifndef __ARMEB__ // Little endian + __ASM volatile ("smlaldx %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) ); +#else // Big endian + __ASM volatile ("smlaldx %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) ); +#endif + + return(llr.w64); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUSD (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smusd %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUSDX (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smusdx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLSD (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlsd %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLSDX (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlsdx %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint64_t __SMLSLD (uint32_t op1, uint32_t op2, uint64_t acc) +{ + union llreg_u{ + uint32_t w32[2]; + uint64_t w64; + } llr; + llr.w64 = acc; + +#ifndef __ARMEB__ // Little endian + __ASM volatile ("smlsld %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) ); +#else // Big endian + __ASM volatile ("smlsld %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) ); +#endif + + return(llr.w64); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint64_t __SMLSLDX (uint32_t op1, uint32_t op2, uint64_t acc) +{ + union llreg_u{ + uint32_t w32[2]; + uint64_t w64; + } llr; + llr.w64 = acc; + +#ifndef __ARMEB__ // Little endian + __ASM volatile ("smlsldx %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) ); +#else // Big endian + __ASM volatile ("smlsldx %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) ); +#endif + + return(llr.w64); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SEL (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sel %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QADD(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qadd %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSUB(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsub %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +#define __PKHBT(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1), __ARG2 = (ARG2); \ + __ASM ("pkhbt %0, %1, %2, lsl %3" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2), "I" (ARG3) ); \ + __RES; \ + }) + +#define __PKHTB(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1), __ARG2 = (ARG2); \ + if (ARG3 == 0) \ + __ASM ("pkhtb %0, %1, %2" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2) ); \ + else \ + __ASM ("pkhtb %0, %1, %2, asr %3" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2), "I" (ARG3) ); \ + __RES; \ + }) + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMMLA (int32_t op1, int32_t op2, int32_t op3) +{ + int32_t result; + + __ASM volatile ("smmla %0, %1, %2, %3" : "=r" (result): "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + + +#elif defined ( __ICCARM__ ) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ +#include + + +#elif defined ( __TMS470__ ) /*---------------- TI CCS Compiler ------------------*/ +/* TI CCS specific functions */ +#include + + +#elif defined ( __TASKING__ ) /*------------------ TASKING Compiler --------------*/ +/* TASKING carm specific functions */ +/* not yet supported */ + + +#elif defined ( __CSMC__ ) /*------------------ COSMIC Compiler -------------------*/ +/* Cosmic specific functions */ +#include + +#endif + +/*@} end of group CMSIS_SIMD_intrinsics */ + + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CMSIMD_H */ diff --git a/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/SWM320.h b/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/SWM320.h new file mode 100644 index 0000000000..79d9baa156 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/SWM320.h @@ -0,0 +1,2548 @@ +#ifndef __SWM320_H__ +#define __SWM320_H__ + +/* + * ========================================================================== + * ---------- Interrupt Number Definition ----------------------------------- + * ========================================================================== + */ +typedef enum IRQn +{ + /****** Cortex-M0 Processor Exceptions Numbers **********************************************/ + NonMaskableInt_IRQn = -14, /*!< 2 Non Maskable Interrupt */ + MemoryManagement_IRQn = -12, /*!< 4 Cortex-M4 Memory Management Interrupt */ + BusFault_IRQn = -11, /*!< 5 Cortex-M4 Bus Fault Interrupt */ + UsageFault_IRQn = -10, /*!< 6 Cortex-M4 Usage Fault Interrupt */ + SVCall_IRQn = -5, /*!< 11 Cortex-M4 SV Call Interrupt */ + DebugMonitor_IRQn = -4, /*!< 12 Cortex-M4 Debug Monitor Interrupt */ + PendSV_IRQn = -2, /*!< 14 Cortex-M4 Pend SV Interrupt */ + SysTick_IRQn = -1, /*!< 15 Cortex-M4 System Tick Interrupt */ + + /****** Cortex-M4 specific Interrupt Numbers ************************************************/ + GPIOA0_IRQn = 0, + GPIOA1_IRQn = 1, + GPIOA2_IRQn = 2, + GPIOA3_IRQn = 3, + GPIOA4_IRQn = 4, + GPIOA5_IRQn = 5, + GPIOA6_IRQn = 6, + GPIOA7_IRQn = 7, + GPIOB0_IRQn = 8, + GPIOB1_IRQn = 9, + GPIOB2_IRQn = 10, + GPIOB3_IRQn = 11, + GPIOB4_IRQn = 12, + GPIOB5_IRQn = 13, + GPIOB6_IRQn = 14, + GPIOB7_IRQn = 15, + GPIOC0_IRQn = 16, + GPIOC1_IRQn = 17, + GPIOC2_IRQn = 18, + GPIOC3_IRQn = 19, + GPIOC4_IRQn = 20, + GPIOC5_IRQn = 21, + GPIOC6_IRQn = 22, + GPIOC7_IRQn = 23, + GPIOM0_IRQn = 24, + GPIOM1_IRQn = 25, + GPIOM2_IRQn = 26, + GPIOM3_IRQn = 27, + GPIOM4_IRQn = 28, + GPIOM5_IRQn = 29, + GPIOM6_IRQn = 30, + GPIOM7_IRQn = 31, + DMA_IRQn = 32, + LCD_IRQn = 33, + NORFLC_IRQn = 34, + CAN_IRQn = 35, + PULSE_IRQn = 36, + WDT_IRQn = 37, + PWM_IRQn = 38, + UART0_IRQn = 39, + UART1_IRQn = 40, + UART2_IRQn = 41, + UART3_IRQn = 42, + UART4_IRQn = 43, + I2C0_IRQn = 44, + I2C1_IRQn = 45, + SPI0_IRQn = 46, + ADC0_IRQn = 47, + RTC_IRQn = 48, + ANAC_IRQn = 49, + SDIO_IRQn = 50, + GPIOA_IRQn = 51, + GPIOB_IRQn = 52, + GPIOC_IRQn = 53, + GPIOM_IRQn = 54, + GPION_IRQn = 55, + GPIOP_IRQn = 56, + ADC1_IRQn = 57, + FPU_IRQn = 58, + SPI1_IRQn = 59, + TIMR0_IRQn = 60, + TIMR1_IRQn = 61, + TIMR2_IRQn = 62, + TIMR3_IRQn = 63, + TIMR4_IRQn = 64, + TIMR5_IRQn = 65, +} IRQn_Type; + +/* + * ========================================================================== + * ----------- Processor and Core Peripheral Section ------------------------ + * ========================================================================== + */ + +/* Configuration of the Cortex-M0 Processor and Core Peripherals */ +#define __CM4_REV 0x0001 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 1 /*!< SWM320 provides an MPU */ +#define __NVIC_PRIO_BITS 4 /*!< SWM320 uses 4 Bits for the Priority Levels */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ +#define __FPU_PRESENT 1 /*!< FPU present */ + +#if defined(__CC_ARM) + #pragma anon_unions +#endif + +#include +#include "core_cm4.h" /* Cortex-M0 processor and core peripherals */ +#include "system_SWM320.h" + +/******************************************************************************/ +/* Device Specific Peripheral registers structures */ +/******************************************************************************/ +typedef struct +{ + __IO uint32_t CLKSEL; //Clock Select + + __IO uint32_t CLKDIV; + + __IO uint32_t CLKEN; //Clock Enable + + __IO uint32_t SLEEP; + + uint32_t RESERVED0[6]; + + __IO uint32_t RTCBKP_ISO; //[0] 1 RTCé—è·¨å–é‹å©šå¹é‘芥晸閹归顣å¹é–¿å¬¬çˆ±é—è·¨å–é‹å©šå¹é‘芥晸閼哄倿娼婚å¹é‘芥晸閺傘倖瀚归悩鑸碘å“锟� 0 RTCé—è·¨å–é‹å©šå¹é‘芥晸閹归顣å¹é–¿å¬¬çˆ±é—è·¨å–é‹å©šå¹æ¤‹åº¡å¹–é—è·¨å–é‹å©šå¹é‘芥晸閿燂拷 + + __IO uint32_t RTCWKEN; //[0] 1 娴e潡é撻弬銈嗗î¶RTCé—è·¨å–é‹å©šå¹é‘芥晸ç¼æ„­ç‰œå¨…㈤å¹é‘芥晸閺傘倖瀚� + + uint32_t RESERVED[52 + 64]; + + __IO uint32_t PAWKEN; //Port A Wakeup Enable + __IO uint32_t PBWKEN; + __IO uint32_t PCWKEN; + + uint32_t RESERVED2[1 + 4]; + + __IO uint32_t PAWKSR; //Port A Wakeup Status Registeré—è·¨å–é‹å©šå¹å®„æ¿æ™¸1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� + __IO uint32_t PBWKSR; + __IO uint32_t PCWKSR; + + uint32_t RESERVED3[64 - 11]; + + __IO uint32_t REMAP; //0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£ŽÃºOMé—è·¨å–é‹å©šå¹é–¿å¬ªâ’”é—è·¨å–é‹å©šå¹é”Ÿï¿½ 1 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£ŽÃ©LASHé—è·¨å–é‹å©šå¹é–¿å¬ªâ’”é—è·¨å–é‹å©šå¹é”Ÿï¿½ + + __IO uint32_t RSTCR; //Reset Control Register + __IO uint32_t RSTSR; //Reset Status Register + + uint32_t RESERVED4[61 + 64]; + + __IO uint32_t BKP[3]; //é—è·¨å–é‹å©šå¹é‘芥晸閹归攱éžå©šå¹é‘芥晸閹瑰嘲é¦åº¢æ½éî„€î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ + + //RTC Power Domain: 0x4001E000 + uint32_t RESERVED5[(0x4001E000 - 0x40000508) / 4 - 1]; + + __IO uint32_t RTCBKP[8]; //RTCé—è·¨å–é‹å©šå¹é–¿å¬¬çˆ±é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿祹閹æ’瀚归柨é”稿祹éŽé›å«¯éŽ»îˆå¹é‘芥晸閺傘倖瀚� + + __IO uint32_t LRCCR; //Low speed RC Control Register + __IO uint32_t LRCTRIM0; //Low speed RC Trim + __IO uint32_t LRCTRIM1; + + uint32_t RESERVED6; + + __IO uint32_t RTCLDOTRIM; //RTC Power Domain LDO Trim + + //Analog Control: 0x40031000 + uint32_t RESERVED7[(0x40031000 - 0x4001E030) / 4 - 1]; + + __IO uint32_t HRCCR; //High speed RC Control Register + __IO uint32_t HRC20M; //[24:0] High speed RC Trim Value for 20MHz + __IO uint32_t HRC40M; //[24:0] High speed RC Trim Value for 40MHz + + uint32_t RESERVED8[3]; + + __IO uint32_t BGTRIM; + + __IO uint32_t TEMPCR; //é—跨喖鎽î…惔锕佹彧閹风兘é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å“„ç±éŽé›å«¯éŽ»îˆå¹é‘芥晸閺傘倖瀚� + + __IO uint32_t XTALCR; + + __IO uint32_t PLLCR; + __IO uint32_t PLLDIV; + __IO uint32_t PLLSET; + __IO uint32_t PLLLOCK; //[0] 1 PLLé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· + + __IO uint32_t BODIE; + __IO uint32_t BODIF; + + __IO uint32_t ADC1IN7; + + __IO uint32_t BODCR; +} SYS_TypeDef; + +#define SYS_CLKSEL_LFCK_Pos 0 //Low Frequency Clock Source 0 LRC 1 PLL +#define SYS_CLKSEL_LFCK_Msk (0x01 << SYS_CLKSEL_LFCK_Pos) +#define SYS_CLKSEL_HFCK_Pos 1 //High Frequency Clock Source 0 HRC 1 XTAL +#define SYS_CLKSEL_HFCK_Msk (0x01 << SYS_CLKSEL_HFCK_Pos) +#define SYS_CLKSEL_SYS_Pos 2 //ç¼îˆå´µç»®æ´ªå¼®é«æ›Ÿæ™¸é–ºå‚˜å€–瀚归柅澶愭晸閺傘倖瀚� 0 LFCK 1 HFCK +#define SYS_CLKSEL_SYS_Msk (0x01 << SYS_CLKSEL_SYS_Pos) + +#define SYS_CLKDIV_SYS_Pos 0 //ç¼îˆå´µç»®æ´ªå¼®é«æ›Ÿæ™¸é–¹æ’儱é¤æ ­å¹é‘筋暥 0 1é—è·¨å–é‹å©šå¹é‘筋暥 1 2é—è·¨å–é‹å©šå¹é‘筋暥 +#define SYS_CLKDIV_SYS_Msk (0x01 << SYS_CLKDIV_SYS_Pos) +#define SYS_CLKDIV_PWM_Pos 1 //PWM 閺冨爼é撻幒銉ュ殩閹风兘顣� 0 1é—è·¨å–é‹å©šå¹é‘筋暥 1 8é—è·¨å–é‹å©šå¹é‘筋暥 +#define SYS_CLKDIV_PWM_Msk (0x01 << SYS_CLKDIV_PWM_Pos) +#define SYS_CLKDIV_SDRAM_Pos 2 //SDRAM閺冨爼é撻幒銉ュ殩閹风兘顣� 0 1é—è·¨å–é‹å©šå¹é‘筋暥 1 2é—è·¨å–é‹å©šå¹é‘筋暥 2 4é—è·¨å–é‹å©šå¹é‘筋暥 +#define SYS_CLKDIV_SDRAM_Msk (0x03 << SYS_CLKDIV_SDRAM_Pos) +#define SYS_CLKDIV_SDIO_Pos 4 //SDIO閺冨爼é撻幒銉ュ殩閹风兘顣� 0 1é—è·¨å–é‹å©šå¹é‘筋暥 1 2é—è·¨å–é‹å©šå¹é‘筋暥 2 4é—è·¨å–é‹å©šå¹é‘筋暥 3 8é—è·¨å–é‹å©šå¹é‘筋暥 +#define SYS_CLKDIV_SDIO_Msk (0x03 << SYS_CLKDIV_SDIO_Pos) + +#define SYS_CLKEN_GPIOA_Pos 0 +#define SYS_CLKEN_GPIOA_Msk (0x01 << SYS_CLKEN_GPIOA_Pos) +#define SYS_CLKEN_GPIOB_Pos 1 +#define SYS_CLKEN_GPIOB_Msk (0x01 << SYS_CLKEN_GPIOB_Pos) +#define SYS_CLKEN_GPIOC_Pos 2 +#define SYS_CLKEN_GPIOC_Msk (0x01 << SYS_CLKEN_GPIOC_Pos) +#define SYS_CLKEN_GPIOM_Pos 4 +#define SYS_CLKEN_GPIOM_Msk (0x01 << SYS_CLKEN_GPIOM_Pos) +#define SYS_CLKEN_GPION_Pos 5 +#define SYS_CLKEN_GPION_Msk (0x01 << SYS_CLKEN_GPION_Pos) +#define SYS_CLKEN_TIMR_Pos 6 +#define SYS_CLKEN_TIMR_Msk (0x01 << SYS_CLKEN_TIMR_Pos) +#define SYS_CLKEN_WDT_Pos 7 +#define SYS_CLKEN_WDT_Msk (0x01 << SYS_CLKEN_WDT_Pos) +#define SYS_CLKEN_ADC0_Pos 8 +#define SYS_CLKEN_ADC0_Msk (0x01 << SYS_CLKEN_ADC0_Pos) +#define SYS_CLKEN_PWM_Pos 9 +#define SYS_CLKEN_PWM_Msk (0x01 << SYS_CLKEN_PWM_Pos) +#define SYS_CLKEN_RTC_Pos 10 +#define SYS_CLKEN_RTC_Msk (0x01 << SYS_CLKEN_RTC_Pos) +#define SYS_CLKEN_UART0_Pos 11 +#define SYS_CLKEN_UART0_Msk (0x01 << SYS_CLKEN_UART0_Pos) +#define SYS_CLKEN_UART1_Pos 12 +#define SYS_CLKEN_UART1_Msk (0x01 << SYS_CLKEN_UART1_Pos) +#define SYS_CLKEN_UART2_Pos 13 +#define SYS_CLKEN_UART2_Msk (0x01 << SYS_CLKEN_UART2_Pos) +#define SYS_CLKEN_UART3_Pos 14 +#define SYS_CLKEN_UART3_Msk (0x01 << SYS_CLKEN_UART3_Pos) +#define SYS_CLKEN_UART4_Pos 15 +#define SYS_CLKEN_UART4_Msk (0x01 << SYS_CLKEN_UART4_Pos) +#define SYS_CLKEN_SPI0_Pos 16 +#define SYS_CLKEN_SPI0_Msk (0x01 << SYS_CLKEN_SPI0_Pos) +#define SYS_CLKEN_I2C0_Pos 17 +#define SYS_CLKEN_I2C0_Msk (0x01 << SYS_CLKEN_I2C0_Pos) +#define SYS_CLKEN_I2C1_Pos 18 +#define SYS_CLKEN_I2C1_Msk (0x01 << SYS_CLKEN_I2C1_Pos) +#define SYS_CLKEN_I2C2_Pos 19 +#define SYS_CLKEN_I2C2_Msk (0x01 << SYS_CLKEN_I2C2_Pos) +#define SYS_CLKEN_LCD_Pos 20 +#define SYS_CLKEN_LCD_Msk (0x01 << SYS_CLKEN_LCD_Pos) +#define SYS_CLKEN_GPIOP_Pos 21 +#define SYS_CLKEN_GPIOP_Msk (0x01 << SYS_CLKEN_GPIOP_Pos) +#define SYS_CLKEN_ANAC_Pos 22 //濡ç¹é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘筋暥é—跨喓娈曢鈺傚敾閹风兘é撶紒éåž«îŸé–¹é£Žå…˜é撻敓锟� +#define SYS_CLKEN_ANAC_Msk (0x01 << SYS_CLKEN_ANAC_Pos) +#define SYS_CLKEN_CRC_Pos 23 +#define SYS_CLKEN_CRC_Msk (0x01 << SYS_CLKEN_CRC_Pos) +#define SYS_CLKEN_RTCBKP_Pos 24 +#define SYS_CLKEN_RTCBKP_Msk (0x01 << SYS_CLKEN_RTCBKP_Pos) +#define SYS_CLKEN_CAN_Pos 25 +#define SYS_CLKEN_CAN_Msk (0x01 << SYS_CLKEN_CAN_Pos) +#define SYS_CLKEN_SDRAM_Pos 26 +#define SYS_CLKEN_SDRAM_Msk (0x01 << SYS_CLKEN_SDRAM_Pos) +#define SYS_CLKEN_NORFL_Pos 27 //NOR Flash +#define SYS_CLKEN_NORFL_Msk (0x01 << SYS_CLKEN_NORFL_Pos) +#define SYS_CLKEN_RAMC_Pos 28 +#define SYS_CLKEN_RAMC_Msk (0x01 << SYS_CLKEN_RAMC_Pos) +#define SYS_CLKEN_SDIO_Pos 29 +#define SYS_CLKEN_SDIO_Msk (0x01 << SYS_CLKEN_SDIO_Pos) +#define SYS_CLKEN_ADC1_Pos 30 +#define SYS_CLKEN_ADC1_Msk (0x01 << SYS_CLKEN_ADC1_Pos) +#define SYS_CLKEN_ALIVE_Pos 31 //CHIPALIVEé—è·¨å–é‹å©šå¹é–¿å¬¬çˆ±é—è·¨å–é‹å©šå¹æ¤‹åº¨å…‡ç¼‚佺å–妞傞柨é”å‘Šç®é–¹ç–¯æ¸¹æ¿žå›¬æŸ¨é”å‘Šç®é–¹å‡¤æ‹· +#define SYS_CLKEN_ALIVE_Msk (0x01 << SYS_CLKEN_ALIVE_Pos) + +#define SYS_SLEEP_SLEEP_Pos 0 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担宥夋晸閺傘倖瀚�1é—è·¨å–é‹å©šå¹æ¤‹åº¨å…‡ç¼‚佺喖é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚ç­LEEP濡€崇础 +#define SYS_SLEEP_SLEEP_Msk (0x01 << SYS_SLEEP_SLEEP_Pos) +#define SYS_SLEEP_DEEP_Pos 1 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担宥夋晸閺傘倖瀚�1é—è·¨å–é‹å©šå¹æ¤‹åº¨å…‡ç¼‚佺喖é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚ç­TOP SLEEP濡€崇础 +#define SYS_SLEEP_DEEP_Msk (0x01 << SYS_SLEEP_DEEP_Pos) + +#define SYS_RSTCR_SYS_Pos 0 //閸愶拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚圭化鑽ょ埠é—è·¨å–é‹å©šå¹é“šå‚œç§´é—è·¨å–é‹å©šå¹æ¤‹åº˜â‚¬æ ­æŸ¨é”å‘Šç®é–¹é£Žå…˜é撻惃é¡æ°¼å•‡é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ +#define SYS_RSTCR_SYS_Msk (0x01 << SYS_RSTCR_SYS_Pos) +#define SYS_RSTCR_FLASH_Pos 1 //閸愶拷1é—è·¨å–é‹å©šå¹ç»‹îš²ASHé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œî±é—跨喕濞囬棃鈺傚î¶å¨´ï½…秹é撻弬銈嗗î¶ç»¾î…§å‰Ÿé撻弬銈嗗î¶é—跨喓娈曠拋瑙勫î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SYS_RSTCR_FLASH_Msk (0x01 << SYS_RSTCR_FLASH_Pos) +#define SYS_RSTCR_PWM_Pos 2 //閸愶拷1é—è·¨å–é‹å©šå¹ç»‹ç™¢Mé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规稉鈧柨é”诲â–闂堚晜瀚规担宥夋晸閺傘倖瀚圭涵顒勬晸閺傘倖瀚归柨é”烘畷é ä½½î‰ç€šå½’柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define SYS_RSTCR_PWM_Msk (0x01 << SYS_RSTCR_PWM_Pos) +#define SYS_RSTCR_CPU_Pos 3 //閸愶拷1é—è·¨å–é‹å©šå¹ç»‹î”¶Ué—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规稉鈧柨é”诲â–闂堚晜瀚规担宥夋晸閺傘倖瀚圭涵顒勬晸閺傘倖瀚归柨é”烘畷é ä½½î‰ç€šå½’柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define SYS_RSTCR_CPU_Msk (0x01 << SYS_RSTCR_CPU_Pos) +#define SYS_RSTCR_DMA_Pos 4 //閸愶拷1é—è·¨å–é‹å©šå¹ç»‹î—³Aé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规稉鈧柨é”诲â–闂堚晜瀚规担宥夋晸閺傘倖瀚圭涵顒勬晸閺傘倖瀚归柨é”烘畷é ä½½î‰ç€šå½’柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define SYS_RSTCR_DMA_Msk (0x01 << SYS_RSTCR_DMA_Pos) +#define SYS_RSTCR_NORFLASH_Pos 5 //閸愶拷1é—è·¨å–é‹å©šå¹ç»‹ç”‡R Flashé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œî±é—跨喕濞囬棃鈺傚î¶å¨´ï½…秹é撻弬銈嗗î¶ç»¾î…§å‰Ÿé撻弬銈嗗î¶é—跨喓娈曠拋瑙勫î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SYS_RSTCR_NORFLASH_Msk (0x01 << SYS_RSTCR_NORFLASH_Pos) +#define SYS_RSTCR_SRAM_Pos 6 //閸愶拷1é—è·¨å–é‹å©šå¹ç»‹ç¢¦AMé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œî±é—跨喕濞囬棃鈺傚î¶å¨´ï½…秹é撻弬銈嗗î¶ç»¾î…§å‰Ÿé撻弬銈嗗î¶é—跨喓娈曠拋瑙勫î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SYS_RSTCR_SRAM_Msk (0x01 << SYS_RSTCR_SRAM_Pos) +#define SYS_RSTCR_SDRAM_Pos 7 //閸愶拷1é—è·¨å–é‹å©šå¹ç»‹ç¢ŠRAMé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œî±é—跨喕濞囬棃鈺傚î¶å¨´ï½…秹é撻弬銈嗗î¶ç»¾î…§å‰Ÿé撻弬銈嗗î¶é—跨喓娈曠拋瑙勫î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SYS_RSTCR_SDRAM_Msk (0x01 << SYS_RSTCR_SDRAM_Pos) +#define SYS_RSTCR_SDIO_Pos 8 //閸愶拷1é—è·¨å–é‹å©šå¹ç»‹ç¢ŠIOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规稉鈧柨é”诲â–闂堚晜瀚规担宥夋晸閺傘倖瀚圭涵顒勬晸閺傘倖瀚归柨é”烘畷é ä½½î‰ç€šå½’柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define SYS_RSTCR_SDIO_Msk (0x01 << SYS_RSTCR_SDIO_Pos) +#define SYS_RSTCR_LCD_Pos 9 //閸愶拷1é—è·¨å–é‹å©šå¹ç»‹ç»Dé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规稉鈧柨é”诲â–闂堚晜瀚规担宥夋晸閺傘倖瀚圭涵顒勬晸閺傘倖瀚归柨é”烘畷é ä½½î‰ç€šå½’柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define SYS_RSTCR_LCD_Msk (0x01 << SYS_RSTCR_LCD_Pos) +#define SYS_RSTCR_CAN_Pos 10 //閸愶拷1é—è·¨å–é‹å©šå¹ç»‹î”§Né—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规稉鈧柨é”诲â–闂堚晜瀚规担宥夋晸閺傘倖瀚圭涵顒勬晸閺傘倖瀚归柨é”烘畷é ä½½î‰ç€šå½’柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define SYS_RSTCR_CAN_Msk (0x01 << SYS_RSTCR_CAN_Pos) + +#define SYS_RSTSR_POR_Pos 0 //1 é—è·¨å–é‹å©šå¹é‘芥晸éžæ¶™îšˆå¨…㈤å¹ç»‹ç™˜Ré—è·¨å–é‹å©šå¹é“šå‚œç§´é—è·¨å–é‹å©šå¹å®„æ¿æ™¸1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SYS_RSTSR_POR_Msk (0x01 << SYS_RSTSR_POR_Pos) +#define SYS_RSTSR_BOD_Pos 1 //1 é—è·¨å–é‹å©šå¹é‘芥晸éžæ¶™îšˆå¨…㈤å¹ç»‹î“•Dé—è·¨å–é‹å©šå¹é“šå‚œç§´é—è·¨å–é‹å©šå¹å®„æ¿æ™¸1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SYS_RSTSR_BOD_Msk (0x01 << SYS_RSTSR_BOD_Pos) +#define SYS_RSTSR_PIN_Pos 2 //1 é—è·¨å–é‹å©šå¹é‘芥晸éžæ¶™îšˆå¨…㈤å¹é‘芥晸éŸæ¬™ç¶éŽæ’®æŸ¨é”å‘Šç®é–¹é£Žå…˜é撻懘姘舵交閹疯渹ç¼å‘´æŸ¨é”å‘Šç®é–¹å³°å˜²éŸï¿½1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SYS_RSTSR_PIN_Msk (0x01 << SYS_RSTSR_PIN_Pos) +#define SYS_RSTSR_WDT_Pos 3 //1 é—è·¨å–é‹å©šå¹é‘芥晸éžæ¶™îšˆå¨…㈤å¹ç»‹ç¯‹Té—è·¨å–é‹å©šå¹é“šå‚œç§´é—è·¨å–é‹å©šå¹å®„æ¿æ™¸1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SYS_RSTSR_WDT_Msk (0x01 << SYS_RSTSR_WDT_Pos) +#define SYS_RSTSR_SWRST_Pos 4 //Software Reset, 1 é—è·¨å–é‹å©šå¹é‘芥晸éžæ¶™îšˆå¨…㈤å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撴潪澶哥串閹风兘é撻崣é¡î„嫹1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SYS_RSTSR_SWRST_Msk (0x01 << SYS_RSTSR_SWRST_Pos) + +#define SYS_LRCCR_OFF_Pos 0 //Low Speed RC Off +#define SYS_LRCCR_OFF_Msk (0x01 << SYS_LRCCR_OFF_Pos) + +#define SYS_LRCTRIM0_R_Pos 0 //LRCé—跨喕顢滅喊澶嬪î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担锟� +#define SYS_LRCTRIM0_R_Msk (0x7FFF << SYS_LRCTRIM0_R_Pos) +#define SYS_LRCTRIM0_M_Pos 15 //LRCé—跨喎褰ㄧ喊澶嬪î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担锟� +#define SYS_LRCTRIM0_M_Msk (0x3F << SYS_LRCTRIM2_M_Pos) +#define SYS_LRCTRIM0_F_Pos 21 //LRC缂佸æ£é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担锟� +#define SYS_LRCTRIM0_F_Msk (0x7FF << SYS_LRCTRIM0_F_Pos) + +#define SYS_LRCTRIM1_U_Pos 0 //LRC Ué—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹ç–¯æ¸¹ç¼ï¿½ +#define SYS_LRCTRIM1_U_Msk (0x7FFF << SYS_LRCTRIM1_U_Pos) + +#define SYS_HRCCR_DBL_Pos 0 //Double Frequency 0 20MHz 1 40MHz +#define SYS_HRCCR_DBL_Msk (0x01 << SYS_HRCCR_DBL_Pos) +#define SYS_HRCCR_OFF_Pos 1 //High speed RC Off +#define SYS_HRCCR_OFF_Msk (0x01 << SYS_HRCCR_OFF_Pos) + +#define SYS_HRC20M_R_Pos 0 //HRC 20MHzé—跨喕顢滅喊澶嬪î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担锟� +#define SYS_HRC20M_R_Msk (0x3FFF << SYS_HRC20M_R_Pos) +#define SYS_HRC20M_F_Pos 16 //HRC 20MHz缂佸æ£é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担锟� +#define SYS_HRC20M_F_Msk (0x7FF << SYS_HRC20M_F_Pos) + +#define SYS_HRC40M_R_Pos 0 //HRC 40MHzé—跨喕顢滅喊澶嬪î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担锟� +#define SYS_HRC40M_R_Msk (0x3FFF << SYS_HRC40M_R_Pos) +#define SYS_HRC40M_F_Pos 16 //HRC 40MHz缂佸æ£é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担锟� +#define SYS_HRC40M_F_Msk (0x7FF << SYS_HRC40M_F_Pos) + +#define SYS_TEMPCR_OFF_Pos 0 //é—跨喖鎽î…惔锕佹彧閹风兘é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閹æ­äºéžå©šå¹é”Ÿï¿½ +#define SYS_TEMPCR_OFF_Msk (0x01 << SYS_TEMPCR_OFF_Pos) +#define SYS_TEMPCR_TRIM_Pos 4 //é—跨喖鎽î…惔锕佹彧閹风兘é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹ç»‹ç¤¡IM +#define SYS_TEMPCR_TRIM_Msk (0x3F << SYS_TEMPCR_TRIM_Pos) + +#define SYS_XTALCR_EN_Pos 0 +#define SYS_XTALCR_EN_Msk (0x01 << SYS_XTALCR_EN_Pos) + +#define SYS_PLLCR_OUTEN_Pos 0 //閸欘亪é撻弬銈嗗î¶LOCKé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define SYS_PLLCR_OUTEN_Msk (0x01 << SYS_PLLCR_OUTEN_Pos) +#define SYS_PLLCR_INSEL_Pos 1 //0 XTAL 1 HRC +#define SYS_PLLCR_INSEL_Msk (0x01 << SYS_PLLCR_INSEL_Pos) +#define SYS_PLLCR_OFF_Pos 2 +#define SYS_PLLCR_OFF_Msk (0x01 << SYS_PLLCR_OFF_Pos) + +#define SYS_PLLDIV_FBDIV_Pos 0 //PLL FeedBacké—è·¨å–é‹å©šå¹é‘筋暥é—è·¨å–鑼庢æ½éî„€î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ +//VCOé—è·¨å–é‹å©šå¹é‘芥晸閻欙紕顣å¹é‘芥晸閿燂拷 = PLLé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归弮é«æ›Ÿæ™¸é–ºå‚˜å€–瀚� / INDIV * 4 * FBDIV +//PLLé—è·¨å–é‹å©šå¹é‘芥晸閻欙紕顣å¹é‘芥晸閿燂拷 = PLLé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归弮é«æ›Ÿæ™¸é–ºå‚˜å€–瀚� / INDIV * 4 * FBDIV / OUTDIV = VCOé—è·¨å–é‹å©šå¹é‘芥晸閻欙紕顣å¹é‘芥晸閿燂拷 / OUTDIV +#define SYS_PLLDIV_FBDIV_Msk (0x1FF << SYS_PLLDIV_FBDIV_Pos) +#define SYS_PLLDIV_ADDIV_Pos 9 //ADC閺冨爼é撻幒銉ょ串閹风兘é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹ç»‹çª©Oé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å“„ç±ç»¾æ¿î˜°ç€šå½’柨é”å‘Šç®é–¹çƒ½æ”±å¦žå‚žæŸ¨é”稿å¤é–¿æ¿†ç¹‘瀚归柨é”å‘Šç®é–¹é£ŽîŸ…DDIVé—è·¨å–é‹å©šå¹é‘筋暥é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规稉绡圖Cé—è·¨å–é‹å©šå¹é¤îˆ›ç¥®é—è·¨å–é‹å©šå¹é–¿å¬«î˜§é—è·¨å–é‹å©šå¹é”Ÿï¿½ +#define SYS_PLLDIV_ADDIV_Msk (0x1F << SYS_PLLDIV_ADDIV_Pos) +#define SYS_PLLDIV_ADVCO_Pos 14 //0 VCOé—è·¨å–é‹å©šå¹é‘芥晸閿燂拷16é—è·¨å–é‹å©šå¹é‘筋暥é—è·¨å–é‹å©šå¹é“šå‚礋ADC閺冨爼é撻幒銉ょ串閹凤拷 1 VCOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻敓锟�32é—è·¨å–é‹å©šå¹é‘筋暥é—è·¨å–é‹å©šå¹é“šå‚礋ADC閺冨爼é撻幒銉ょ串閹凤拷 2 VCOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻敓锟�64é—è·¨å–é‹å©šå¹é‘筋暥é—è·¨å–é‹å©šå¹é“šå‚礋ADC閺冨爼é撻幒銉ょ串閹凤拷 +#define SYS_PLLDIV_ADVCO_Msk (0x03 << SYS_PLLDIV_ADVCO_Pos) +#define SYS_PLLDIV_INDIV_Pos 16 //PLL é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚瑰┃鎰é—è·¨å–甯撮崙銈嗗î¶å¦«å¸®æ‹· +#define SYS_PLLDIV_INDIV_Msk (0x1F << SYS_PLLDIV_INDIV_Pos) +#define SYS_PLLDIV_OUTDIV_Pos 24 //PLL é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å“„ç±ç»¾æ¿î˜°ç€šå½’柨é•å‚›å«¹0 8é—è·¨å–é‹å©šå¹é‘筋暥 1 4é—è·¨å–é‹å©šå¹é‘筋暥 0 2é—è·¨å–é‹å©šå¹é‘筋暥 +#define SYS_PLLDIV_OUTDIV_Msk (0x03 << SYS_PLLDIV_OUTDIV_Pos) + +#define SYS_PLLSET_LPFBW_Pos 0 //PLL Low Pass Filter Bandwidth +#define SYS_PLLSET_LPFBW_Msk (0x0F << SYS_PLLSET_LPFBW_Pos) +#define SYS_PLLSET_BIASADJ_Pos 4 //PLL Current Bias Adjustment +#define SYS_PLLSET_BIASADJ_Msk (0x03 << SYS_PLLSET_BIASADJ_Pos) +#define SYS_PLLSET_REFVSEL_Pos 6 //PLL Reference Voltage Select +#define SYS_PLLSET_REFVSEL_Msk (0x03 << SYS_PLLSET_REFVSEL_Pos) +#define SYS_PLLSET_CHPADJL_Pos 8 //PLL charge pump LSB current Adjustment +#define SYS_PLLSET_CHPADJL_Msk (0x07 << SYS_PLLSET_CHPADJL_Pos) +#define SYS_PLLSET_CHPADJM_Pos 11 //PLL charge pump MSB current Adjustment +#define SYS_PLLSET_CHPADJM_Msk (0x03 << SYS_PLLSET_CHPADJM_Pos) + +#define SYS_BODIE_1V9_Pos 0 //BOD 1.9Vé—跨喖銈虹涵閿嬪î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”峰建é ä½½î‰ç€šè§„æ‹…é§æ¥æ™¸é–ºå‚˜å€–瀚� +#define SYS_BODIE_1V9_Msk (0x01 << SYS_BODIE_1V9_Pos) +#define SYS_BODIE_2V2_Pos 1 //BOD 2.2Vé—跨喖銈虹涵閿嬪î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”峰建é ä½½î‰ç€šè§„æ‹…é§æ¥æ™¸é–ºå‚˜å€–瀚� +#define SYS_BODIE_2V2_Msk (0x01 << SYS_BODIE_2V2_Pos) + +#define SYS_BODIF_1V9_Pos 0 //BOD 1.9Vé—跨喖銈虹涵閿嬪î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”峰建é ä½½î‰ç€šå½’悩鑸碘å“渚€é撻弬銈嗗î¶é–¸æ„¶æ‹·1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SYS_BODIF_1V9_Msk (0x01 << SYS_BODIF_1V9_Pos) +#define SYS_BODIF_2V2_Pos 1 //BOD 2.2Vé—跨喖銈虹涵閿嬪î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”峰建é ä½½î‰ç€šå½’悩鑸碘å“渚€é撻弬銈嗗î¶é–¸æ„¶æ‹·1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SYS_BODIF_2V2_Msk (0x01 << SYS_BODIF_2V2_Pos) + +#define SYS_ADC1IN7_SEL_Pos 0 //ADC1濡ç¹é撻弬銈嗗î¶æ¿¡îˆ¤ç¹é撻弬銈嗗î¶é—岸é撻弬銈嗗î¶7é—è·¨å–é‹å©šå¹é”Ÿï¿½1 é—跨喖鎽î…惔锕佹彧閹风兘é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ 2 é—è·¨å–é‹å©šå¹é–¿å¬¬æ¯‰é—跨喓é›ã‚‰æ•“锟� 3 RTCé—è·¨å–é‹å©šå¹é–¿å¬¬çˆ±é—è·¨å–é‹å©šå¹ç»‹î“ 4 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚瑰┃鎰版晸閺傘倖瀚笲G 5 PDM33 +#define SYS_ADC1IN7_SEL_Msk (0x0F << SYS_ADC1IN7_SEL_Pos) +#define SYS_ADC1IN7_IOON_Pos 4 //ADC1濡ç¹é撻弬銈嗗î¶æ¿¡îˆ¤ç¹é撻弬銈嗗î¶é—岸é撻弬銈嗗î¶7é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚笽Oé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SYS_ADC1IN7_IOON_Msk (0x01 << SYS_ADC1IN7_IOON_Pos) + +#define SYS_BODCR_EN_Pos 0 +#define SYS_BODCR_EN_Msk (0x01 << SYS_BODCR_EN_Pos) + +typedef struct +{ + __IO uint32_t PORTA_SEL; //é—è·¨å–é‹å©šå¹ç»‹ç™˜RTA_SEL[2n+2:2n]é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规惔éƒå Ÿæ™¸é–ºå‚˜å€–瀚归å´æ¿‚告晸閺傘倖瀚归柨é”å‘Šç®é–¹é£ŽÃ²ORTA.PINné—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻惌é¡ã‚ŽåŠœé–¹é£ŽÄ›PIOé—è·¨å–é‹å©šå¹é–¿å¬†ä¾€æŸ¨é”æ掗妴渚€é撻弬銈嗗î¶é—跨喕顢滅粵澶屾îŸé–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ + //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归å´é—‚磋礋PORTA_PINn_FUNMUX閺冨爼é撻弬銈嗗î¶PORTA.PINné—è·¨å–é‹å©šå¹é‘芥晸閼存艾çå‘´å¹é‘解å“姘舵晸閺傘倖瀚筆ORTA_MUXé—è·¨å–鑼庢æ½éî„€î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿å¤ç»¾æ¿î˜°ç€šå½’柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ + __IO uint32_t PORTB_SEL; + + __IO uint32_t PORTC_SEL; + + uint32_t RESERVED[5]; + + __IO uint32_t PORTM_SEL0; + + __IO uint32_t PORTM_SEL1; + + uint32_t RESERVED2[2]; + + __IO uint32_t PORTN_SEL0; + + __IO uint32_t PORTN_SEL1; + + uint32_t RESERVED3[2]; + + __IO uint32_t PORTP_SEL0; + + __IO uint32_t PORTP_SEL1; + + uint32_t RESERVED4[46]; + + __IO uint32_t PORTA_MUX0; + + __IO uint32_t PORTA_MUX1; + + uint32_t RESERVED5[2]; + + __IO uint32_t PORTB_MUX0; + + __IO uint32_t PORTB_MUX1; + + uint32_t RESERVED6[2]; + + __IO uint32_t PORTC_MUX0; + + __IO uint32_t PORTC_MUX1; + + uint32_t RESERVED7[14]; + + __IO uint32_t PORTM_MUX0; + + __IO uint32_t PORTM_MUX1; + + __IO uint32_t PORTM_MUX2; + + __IO uint32_t PORTM_MUX3; + + __IO uint32_t PORTN_MUX0; + + __IO uint32_t PORTN_MUX1; + + __IO uint32_t PORTN_MUX2; + + uint32_t RESERVED8; + + __IO uint32_t PORTP_MUX0; + + __IO uint32_t PORTP_MUX1; + + __IO uint32_t PORTP_MUX2; + + __IO uint32_t PORTP_MUX3; + + uint32_t RESERVED9[28]; + + __IO uint32_t PORTA_PULLU; //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担é§æ¥æ™¸é–ºå‚˜å€–瀚� + + uint32_t RESERVED10[3]; + + __IO uint32_t PORTC_PULLU; + + uint32_t RESERVED11[3]; + + __IO uint32_t PORTM_PULLU; + + uint32_t RESERVED12[3]; + + __IO uint32_t PORTP_PULLU; + + uint32_t RESERVED13[51]; + + __IO uint32_t PORTB_PULLD; //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担é§æ¥æ™¸é–ºå‚˜å€–瀚� + + uint32_t RESERVED14[3]; + + __IO uint32_t PORTD_PULLD; + + uint32_t RESERVED15[3]; + + __IO uint32_t PORTN_PULLD; + + uint32_t RESERVED16[135]; + + __IO uint32_t PORTM_DRIVS; //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚瑰娲î…晸閺傘倖瀚� + + uint32_t RESERVED17[3]; + + __IO uint32_t PORTN_DRIVS; + + uint32_t RESERVED18[3]; + + __IO uint32_t PORTP_DRIVS; + + uint32_t RESERVED19[39]; + + __IO uint32_t PORTA_INEN; //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担é§æ¥æ™¸é–ºå‚˜å€–瀚� + + uint32_t RESERVED20[3]; + + __IO uint32_t PORTB_INEN; + + uint32_t RESERVED21[3]; + + __IO uint32_t PORTC_INEN; + + uint32_t RESERVED22[7]; + + __IO uint32_t PORTM_INEN; + + uint32_t RESERVED23[3]; + + __IO uint32_t PORTN_INEN; + + uint32_t RESERVED24[3]; + + __IO uint32_t PORTP_INEN; +} PORT_TypeDef; + +typedef struct +{ + __IO uint32_t DATA; +#define PIN0 0 +#define PIN1 1 +#define PIN2 2 +#define PIN3 3 +#define PIN4 4 +#define PIN5 5 +#define PIN6 6 +#define PIN7 7 +#define PIN8 8 +#define PIN9 9 +#define PIN10 10 +#define PIN11 11 +#define PIN12 12 +#define PIN13 13 +#define PIN14 14 +#define PIN15 15 +#define PIN16 16 +#define PIN17 17 +#define PIN18 18 +#define PIN19 19 +#define PIN20 20 +#define PIN21 21 +#define PIN22 22 +#define PIN23 23 +#define PIN24 24 + + __IO uint32_t DIR; //0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� 1 é—è·¨å–é‹å©šå¹é‘芥晸閿燂拷 + + __IO uint32_t INTLVLTRG; //Interrupt Level Trigger 1 é—è·¨å–é‹å©šå¹å®„伴挬é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”峰建é ä½½î‰ç€šï¿½ 0 é—è·¨å–é‹å©šå¹é‘芥晸閹æ­äº£éŽ»îˆå¹é‘芥晸閺傘倖瀚归柨é”峰建é ä½½î‰ç€šï¿½ + + __IO uint32_t INTBE; //Both Edgeé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚笽NTLVLTRGé—è·¨å–é‹å©šå¹é“šå‚礋é—è·¨å–é‹å©šå¹é‘芥晸閹æ­äº£éŽ»îˆå¹é‘芥晸閺傘倖瀚归柨é”峰建é ä½½î‰ç€šå½’å¼®é«æ›Ÿæ™¸é–ºå‚˜å€–瀚归柨é”å‘Šç®é–¹ç–¯æ¸¹ç¼å‘´æŸ¨é”å‘Šç®é–¹å‡¤æ‹·1é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿焻閻氬瓨瀚归柨é”兼應閺傘倖瀚归柨é”稿焻é ä½½î‰ç€šå½’柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喎褰ㄩ弬顓ㄧ秶閹风兘é撻弬銈嗗î¶0閺冨爼é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶INTRISEENé—éæ’»å¼¬éŠˆå——î¶ + + __IO uint32_t INTRISEEN; //Interrupt Rise Edge Enable 1 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹·/é—跨喓é¡î†å–Šæ¾¶å¬ªî¶æ¥ ç‚´å¨Šé撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閸欘å‰é¡”æ„°å¹é”Ÿï¿½ 0 é—跨喖鎽îˆå¼¬éŠˆå——î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½/é—跨喖é™è™¹å–Šæ¾¶å¬ªî¶æ¥ ç‚´å¨Šé撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閸欘å‰é¡”æ„°å¹é”Ÿï¿½ + + __IO uint32_t INTEN; //1 é—跨喎褰ㄧ拋瑙勫î¶å¨´ï½…潡éæ’»å¼¬éŠˆå——î¶ 0 é—跨喎褰ㄩ弬顓熸ç®é–¹çƒ½æ”±é¡’� + + __IO uint32_t INTRAWSTAT; //é—跨喎褰ㄩ弬顓犮€嬮å¹æ¤‹åº¡ç¦ƒé–µå¤‹æ£æµœçƒ½å¹é–¿å¬¬æ‡žé—è·¨å–é‹å©šå¹æ¤‹åº¡ç¦ƒé–ºå‚˜å€–瀚归懜婊堟晸閺傘倖瀚归柨é”å‘Šç®é–¹å³°å˜²å®“忕化濠氭晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻敓锟� 1 é—è·¨å–é‹å©šå¹æ¤‹åº¡ç¦ƒé–ºå‚˜å€–瀚归柨é”å‘Šç®é–¹å³°å˜²å®“忓ú妤呮晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨噦鎷� 0 濞岋ç¹é撻崣é¡ã‚ƒâ‚¬å¬®å¹æ¤‹åº¡ç¦ƒé–ºå‚˜å€–瀚归崡é›å©„é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻敓锟� + + __IO uint32_t INTSTAT; //INTSTAT.PIN0 = INTRAWSTAT.PIN0 & INTEN.PIN0 + + __IO uint32_t INTCLR; //閸愶拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归崡绋款€掗柨é”活敎閹惧æ‡ç€šå½’柨é”活敎娴兼瑦瀚归崨姗€é撻弬銈嗗î¶é–¸æ¥Šå™£é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹å®„æ¿ç¥»é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é•å‚›å«¹ +} GPIO_TypeDef; + +typedef struct +{ + __IO uint32_t LDVAL; //é—è·¨å–é‹å©šå¹é–¿å¬«î˜§é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å³°å˜²éˆ§î„€ã‚¸é撻弬銈嗗î¶å¨´ï½…潡é撻弶鎵皑閹烽攱妞傞柨é”å‘Šç®é–¹é£Žå…˜é撻幒銉ㄦ彧閹风兘é撻弬銈嗗î¶é–¸å©‚ジé撻弬銈嗗î¶å©µî†¼îƒ‰é撻弬銈嗗î¶é—跨喖鎽îˆæŸ…鎺斻€嬮å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· + + __I uint32_t CVAL; //é—è·¨å–é‹å©šå¹é–¿å¬«î˜§é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归崜宥呪å“濂告晸閺傘倖瀚ç­DVAL-CVAL é—跨喓é—崇涵閿嬪î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”虹哺ç¼æ¥æ¢¹éžå©šå¹é‘芥晸閿燂拷 + + __IO uint32_t CTRL; +} TIMR_TypeDef; + +#define TIMR_CTRL_EN_Pos 0 //é—è·¨å–é‹å©šå¹é“šå‚œç§´é—è·¨å–é‹å©šå¹é”Ÿï¿½1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚筎IMRé—è·¨å–é‹å©šå¹ç»‹ç¼VALé—è·¨å–é‹å©šå¹å®„邦潗é—è·¨å–é‹å©šå¹é‘芥晸é—å¥å³éˆ§î„帞銆嬮å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define TIMR_CTRL_EN_Msk (0x01 << TIMR_CTRL_EN_Pos) +#define TIMR_CTRL_CLKSRC_Pos 1 //閺冨爼é撻弬銈嗗î¶æ¿ Ñ„劙é撻弬銈嗗î¶0 é—跨喕濡拠褎瀚圭化鑽ょ埠閺冨爼éæ’»å¼¬éŠˆå——î¶ 1 é—跨喕袙é—劑é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨噦鎷� +#define TIMR_CTRL_CLKSRC_Msk (0x01 << TIMR_CTRL_CLKSRC_Pos) +#define TIMR_CTRL_CASCADE_Pos 2 //1 TIMRxé—è·¨å–鑼庣涵閿嬪î¶é—è·¨å–é‹å©šå¹é–¿å¬«î˜§é—è·¨å–é‹å©šå¹é“šå‚礋TIMRx-1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£ŽæŸ‰å¦«æ—ˆæŸ¨é•å‚›å«¹ +#define TIMR_CTRL_CASCADE_Msk (0x01 << TIMR_CTRL_CASCADE_Pos) + +typedef struct +{ + __IO uint32_t PCTRL; //Pulse Controlé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–鑼庨æ•è¹‡æ–¿î¶é—è·¨å–é‹å©šå¹é‘芥晸閻欌€崇槑é‰å ¢å“瀚归柨é”å‘Šç®é–¹å‡¤æ‹· + + __I uint32_t PCVAL; //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喓绮æ幉瀣î¶é—è·¨å–é‹å©šå¹é‘芥晸éŸæ¬å¸žé©æ»ˆæ•“锟� + + uint32_t RESERVED[2]; + + __IO uint32_t IE; + + __IO uint32_t IF; + + __IO uint32_t HALT; +} TIMRG_TypeDef; + +#define TIMRG_PCTRL_EN_Pos 0 //é—è·¨å–é‹å©šå¹å®„邦潗é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹·32娴e秹é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹·0é—è·¨å–é‹å©šå¹å®„邦潗é—è·¨å–é‹å©šå¹é‘芥晸é‰å å•°éŠ†å¬®å¹é‘芥晸閺傘倖瀚� +#define TIMRG_PCTRL_EN_Msk (0x01 << TIMRG_PCTRL_EN_Pos) +#define TIMRG_PCTRL_HIGH_Pos 1 //0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”ç…Žå¾ç»¾æ¿î˜°ç€šå½’ç®éŽ¶èŠ¥æ™¸é–ºå‚˜å€–瀚归柨é”å‘Šç®é–¹å‡¤æ‹· 1 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”侯仾绾æ¿î˜°ç€šå½’ç®éŽ¶èŠ¥æ™¸é–ºå‚˜å€–瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define TIMRG_PCTRL_HIGH_Msk (0x01 << TIMRG_PCTRL_HIGH_Pos) +#define TIMRG_PCTRL_CLKSRC_Pos 2 //閺冨爼é撻弬銈嗗î¶æ¿ Ñ„劙é撻弬銈嗗î¶0 é—跨喕濡拠褎瀚圭化鑽ょ埠閺冨爼éæ’»å¼¬éŠˆå——î¶ 1 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撴笟銉秶閹风兘é撻弬銈嗗î¶é—è·¨å–褰导娆愬î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归å´é¡’勬晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define TIMRG_PCTRL_CLKSRC_Msk (0x01 << TIMRG_PCTRL_CLKSRC_Pos) + +#define TIMRG_IE_TIMR0_Pos 0 +#define TIMRG_IE_TIMR0_Msk (0x01 << TIMRG_IE_TIMR0_Pos) +#define TIMRG_IE_TIMR1_Pos 1 +#define TIMRG_IE_TIMR1_Msk (0x01 << TIMRG_IE_TIMR1_Pos) +#define TIMRG_IE_TIMR2_Pos 2 +#define TIMRG_IE_TIMR2_Msk (0x01 << TIMRG_IE_TIMR2_Pos) +#define TIMRG_IE_TIMR3_Pos 3 +#define TIMRG_IE_TIMR3_Msk (0x01 << TIMRG_IE_TIMR3_Pos) +#define TIMRG_IE_TIMR4_Pos 4 +#define TIMRG_IE_TIMR4_Msk (0x01 << TIMRG_IE_TIMR4_Pos) +#define TIMRG_IE_TIMR5_Pos 5 +#define TIMRG_IE_TIMR5_Msk (0x01 << TIMRG_IE_TIMR5_Pos) +#define TIMRG_IE_PULSE_Pos 16 +#define TIMRG_IE_PULSE_Msk (0x01 << TIMRG_IE_PULSE_Pos) + +#define TIMRG_IF_TIMR0_Pos 0 //閸愶拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define TIMRG_IF_TIMR0_Msk (0x01 << TIMRG_IF_TIMR0_Pos) +#define TIMRG_IF_TIMR1_Pos 1 +#define TIMRG_IF_TIMR1_Msk (0x01 << TIMRG_IF_TIMR1_Pos) +#define TIMRG_IF_TIMR2_Pos 2 +#define TIMRG_IF_TIMR2_Msk (0x01 << TIMRG_IF_TIMR2_Pos) +#define TIMRG_IF_TIMR3_Pos 3 +#define TIMRG_IF_TIMR3_Msk (0x01 << TIMRG_IF_TIMR3_Pos) +#define TIMRG_IF_TIMR4_Pos 4 +#define TIMRG_IF_TIMR4_Msk (0x01 << TIMRG_IF_TIMR4_Pos) +#define TIMRG_IF_TIMR5_Pos 5 +#define TIMRG_IF_TIMR5_Msk (0x01 << TIMRG_IF_TIMR5_Pos) +#define TIMRG_IF_PULSE_Pos 16 +#define TIMRG_IF_PULSE_Msk (0x01 << TIMRG_IF_PULSE_Pos) + +#define TIMRG_HALT_TIMR0_Pos 0 //1 é—è·¨å–é‹å©šå¹å®„é¢ç²»é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define TIMRG_HALT_TIMR0_Msk (0x01 << TIMRG_HALT_TIMR0_Pos) +#define TIMRG_HALT_TIMR1_Pos 1 +#define TIMRG_HALT_TIMR1_Msk (0x01 << TIMRG_HALT_TIMR1_Pos) +#define TIMRG_HALT_TIMR2_Pos 2 +#define TIMRG_HALT_TIMR2_Msk (0x01 << TIMRG_HALT_TIMR2_Pos) +#define TIMRG_HALT_TIMR3_Pos 3 +#define TIMRG_HALT_TIMR3_Msk (0x01 << TIMRG_HALT_TIMR3_Pos) +#define TIMRG_HALT_TIMR4_Pos 4 +#define TIMRG_HALT_TIMR4_Msk (0x01 << TIMRG_HALT_TIMR4_Pos) +#define TIMRG_HALT_TIMR5_Pos 5 +#define TIMRG_HALT_TIMR5_Msk (0x01 << TIMRG_HALT_TIMR5_Pos) + +typedef struct +{ + __IO uint32_t DATA; + + __IO uint32_t CTRL; + + __IO uint32_t BAUD; + + __IO uint32_t FIFO; + + __IO uint32_t LINCR; + + union + { + __IO uint32_t CTSCR; + + __IO uint32_t RTSCR; + }; +} UART_TypeDef; + +#define UART_DATA_DATA_Pos 0 +#define UART_DATA_DATA_Msk (0x1FF << UART_DATA_DATA_Pos) +#define UART_DATA_VALID_Pos 9 //é—è·¨å–é‹å©šå¹ç»‹î—§TAé—跨喕顢滅拋瑙勫î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归弫é«ãƒ¦æ™¸å¨“氥儲é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–ºå†¨çˆ¼é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œç§´ç»¾î…§å‰Ÿé撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归崣é晸閺傘倖瀚归柨é”稿祹閻氬瓨瀚归柨é”烘畷é ä½½î‰ç€šå½’柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define UART_DATA_VALID_Msk (0x01 << UART_DATA_VALID_Pos) +#define UART_DATA_PAERR_Pos 10 //Parity Error +#define UART_DATA_PAERR_Msk (0x01 << UART_DATA_PAERR_Pos) + +#define UART_CTRL_TXIDLE_Pos 0 //TX IDLE: 0 é—è·¨å–é‹å©šå¹é‘芥晸閼哄倸é¤æ ­å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ 1 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归悩鑸碘å“渚€é撻弬銈嗗î¶æ¿žå²‹ç¹é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閹瑰嘲é¤æ ­å¹é‘芥晸閺傘倖瀚� +#define UART_CTRL_TXIDLE_Msk (0x01 << UART_CTRL_TXIDLE_Pos) +#define UART_CTRL_TXFF_Pos 1 //TX FIFO Full +#define UART_CTRL_TXFF_Msk (0x01 << UART_CTRL_TXFF_Pos) +#define UART_CTRL_TXIE_Pos 2 //TX é—跨喎褰ㄧ拋瑙勫î¶å¨´ï½…潡é撻弬銈嗗î¶: 1 TX FF é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閸婄喎é£é¹ƒæŸ¨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–ºå†¨çˆ¼é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閸欘å‰é¡”æ„°å¹é”Ÿï¿½ +#define UART_CTRL_TXIE_Msk (0x01 << UART_CTRL_TXIE_Pos) +#define UART_CTRL_RXNE_Pos 3 //RX FIFO Not Empty +#define UART_CTRL_RXNE_Msk (0x01 << UART_CTRL_RXNE_Pos) +#define UART_CTRL_RXIE_Pos 4 //RX é—跨喎褰ㄧ拋瑙勫î¶å¨´ï½…潡é撻弬銈嗗î¶: 1 RX FF é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿祹é‰å Ÿå„³é©å²„柨é”å°å“é”风暰é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归弮é«æ›Ÿæ™¸é–ºå‚˜å€–瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻崣é¡ãƒ®å•‡é–¹å‡¤æ‹· +#define UART_CTRL_RXIE_Msk (0x01 << UART_CTRL_RXIE_Pos) +#define UART_CTRL_RXOV_Pos 5 //RX FIFO Overflowé—è·¨å–é‹å©šå¹å®„æ¿æ™¸1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define UART_CTRL_RXOV_Msk (0x01 << UART_CTRL_RXOV_Pos) +#define UART_CTRL_TXDOIE_Pos 6 //TX Done é—跨喎褰ㄧ拋瑙勫î¶å¨´ï½…潡é撻弶甯秶閹风兘é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹ç»‹îš¯FOé—è·¨å–é‹å©šå¹é‘芥晸閹活厼é¤æ ­å¹é‘芥晸é—伴潧é¤æ ­å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹ç–¯æ¸¹ç¼å‘´æŸ¨é”惰寧é‰å ¢å“瀚归柨é”å‘Šç®é–¹é£Žå…˜é撶粣éㄦç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–褰弲éх串閹风兘é撻弬銈嗗î¶ç¼‚佺喖éæ’´îšœéšå­˜å«¹ +#define UART_CTRL_TXDOIE_Msk (0x01 << UART_CTRL_TXDOIE_Pos) +#define UART_CTRL_EN_Pos 9 +#define UART_CTRL_EN_Msk (0x01 << UART_CTRL_EN_Pos) +#define UART_CTRL_LOOP_Pos 10 +#define UART_CTRL_LOOP_Msk (0x01 << UART_CTRL_LOOP_Pos) +#define UART_CTRL_BAUDEN_Pos 13 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归崘锟�1 +#define UART_CTRL_BAUDEN_Msk (0x01 << UART_CTRL_BAUDEN_Pos) +#define UART_CTRL_TOIE_Pos 14 //TimeOut é—跨喎褰ㄧ拋瑙勫î¶å¨´ï½…潡é撻弶甯秶閹风兘é撻弬銈嗗î¶é—跨喓笑绾æ¿î˜°ç€šå½’柨é”è¤çª›é—‚堚晜瀚归柨é”活敎閸戙倖瀚归柨é”活殼閿涘瞼顒查å¹é‘芥晸閺傘倖瀚� TOTIME/BAUDRAUD é—è·¨å–é‹å©šå¹é–¿å¬¬æ¢¾é—跨喎褰ㄩ弬銈嗗î¶é—跨喓笑绾æ¿î˜°ç€šå½’柨é”兼應绾æ¿î˜°ç€šå½’柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define UART_CTRL_TOIE_Msk (0x01 << UART_CTRL_TOIE_Pos) +#define UART_CTRL_BRKDET_Pos 15 //LIN Break Detecté—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归悰é“хオIN Breaké—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚筊Xé—è·¨å–é‹å©šå¹é‘芥晸é‰å å•°éŠ†å¬®å¹æ¤‹åº¡ç¦ƒé–ºå‚˜å€–瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻敓锟�11娴e秹é撻柊é¢æ®¿æšœé–¹å³°å˜²é–½ï¿½ +#define UART_CTRL_BRKDET_Msk (0x01 << UART_CTRL_BRKDET_Pos) +#define UART_CTRL_BRKIE_Pos 16 //LIN Break Detect é—跨喎褰ㄧ拋瑙勫î¶å¨´ï½…潡éæ’»å¼¬éŠˆå——î¶ +#define UART_CTRL_BRKIE_Msk (0x01 << UART_CTRL_BRKIE_Pos) +#define UART_CTRL_GENBRK_Pos 17 //Generate LIN Breaké—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£ŽÃ¬IN Break +#define UART_CTRL_GENBRK_Msk (0x01 << UART_CTRL_GENBRK_Pos) +#define UART_CTRL_DATA9b_Pos 18 //1 9娴e秹é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œç§´ 0 8娴e秹é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œç§´ +#define UART_CTRL_DATA9b_Msk (0x01 << UART_CTRL_DATA9b_Pos) +#define UART_CTRL_PARITY_Pos 19 //000 é—è·¨å–é‹å©šå¹é–¿å¬¬å¢¡é—è·¨å–é‹å©šå¹é”Ÿï¿½ 001 é—è·¨å–é‹å©šå¹é–¿å¬¬å¢¡é—è·¨å–é‹å©šå¹é”Ÿï¿½ 011 閸嬭埖é—庨柨é”å‘Šç®é–¹å‡¤æ‹· 101 é—è·¨å–é†â‚¬é ä½½î‰ç€šè§„稉锟�1 111 é—è·¨å–é†â‚¬é ä½½î‰ç€šè§„稉锟�0 +#define UART_CTRL_PARITY_Msk (0x07 << UART_CTRL_PARITY_Pos) +#define UART_CTRL_STOP2b_Pos 22 //1 2娴e秴浠犲î¢é¡«î… ç§´ 0 1娴e秴浠犲î¢é¡«î… ç§´ +#define UART_CTRL_STOP2b_Msk (0x03 << UART_CTRL_STOP2b_Pos) +#define UART_CTRL_TOTIME_Pos 24 //TimeOut 閺冨爼éæ’»å¼¬éŠˆå——î¶ = TOTIME/(BAUDRAUD/10) é—è·¨å–é‹å©šå¹é”Ÿï¿½ +//#define UART_CTRL_TOTIME_Msk (0xFF << UART_CTRL_TOTIME_Pos) é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喕濮らæ•é”Ÿï¿½ integer operation result is out of range +#define UART_CTRL_TOTIME_Msk ((uint32_t)0xFF << UART_CTRL_TOTIME_Pos) + +#define UART_BAUD_BAUD_Pos 0 //é—è·¨å–é‹å©šå¹é‘芥晸閼哄倽顕滈å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· = SYS_Freq/16/BAUD - 1 +#define UART_BAUD_BAUD_Msk (0x3FFF << UART_BAUD_BAUD_Pos) +#define UART_BAUD_TXD_Pos 14 //é—岸é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œç§´é—è·¨å–é‹å©šå¹æ¤‹åº¢çº¯é—è·¨å–甯寸拋瑙勫î¶é–¸æ¬ç‰ é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹ç»‹ç¤¨Dé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”è¤çª›é–»ã„¥å«®é¡£î‡€å¹å®„伴挬 +#define UART_BAUD_TXD_Msk (0x01 << UART_BAUD_TXD_Pos) +#define UART_BAUD_RXD_Pos 15 //é—岸é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œç§´é—è·¨å–é‹å©šå¹æ¤‹åº¢çº¯é—è·¨å–甯寸拋瑙勫î¶é–¸æ¬ç‰ é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹ç»‹çž‚Dé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”è¤çª›é–»ã„¥å«®é¡£î‡€å¹å®„伴挬 +#define UART_BAUD_RXD_Msk (0x01 << UART_BAUD_RXD_Pos) +#define UART_BAUD_RXTOIF_Pos 16 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚�&é—è·¨å–é‹å©šå¹é–¿å¬«î˜§é—è·¨å–é‹å©šå¹é‘芥晸閸欘å…éŒå›¬å¹‰ç€£î‚¢î¶éŸ«å›·æ‹· = RXIF | TOIF +#define UART_BAUD_RXTOIF_Msk (0x01 << UART_BAUD_RXTOIF_Pos) +#define UART_BAUD_TXIF_Pos 17 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”峰建閺傤厽éžå©šå¹å®„扮箶 = TXTHRF & TXIE +#define UART_BAUD_TXIF_Msk (0x01 << UART_BAUD_TXIF_Pos) +#define UART_BAUD_BRKIF_Pos 18 //LIN Break Detect é—跨喎褰ㄩ弬顓熷敾閹峰嘲绻旈柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–»æ¶˜å¢½ç»ç‹ªN Break閺冨爼é撻弬銈嗗î¶BRKIE=1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担宥夋晸閺傘倖瀚圭涵顒勬晸閺傘倖瀚归柨é”å‘Šç®é–¹ç–¯æ¸¹ç¼ï¿½ +#define UART_BAUD_BRKIF_Msk (0x01 << UART_BAUD_BRKIF_Pos) +#define UART_BAUD_RXTHRF_Pos 19 //RX FIFO Threshold Flagé—è·¨å–é‹å©šå¹ç»‹çž‚ FIFOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿祹é‰å Ÿå„³é©å²„柨é”å°å“é”风暰é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£ŽÃºXLVL >= RXTHRé—è·¨å–é‹å©šå¹é–¿å¬«î˜§ç»¾î…§å‰Ÿé撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½1 +#define UART_BAUD_RXTHRF_Msk (0x01 << UART_BAUD_RXTHRF_Pos) +#define UART_BAUD_TXTHRF_Pos 20 //TX FIFO Threshold Flagé—è·¨å–é‹å©šå¹ç»‹ç¤¨ FIFOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閸婄喎é£é¹ƒæŸ¨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹ç»‹ç¤¨LVL <= TXTHRé—è·¨å–é‹å©šå¹é–¿å¬«î˜§ç»¾î…§å‰Ÿé撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½1 +#define UART_BAUD_TXTHRF_Msk (0x01 << UART_BAUD_TXTHRF_Pos) +#define UART_BAUD_TOIF_Pos 21 //TimeOut é—跨喎褰ㄩ弬顓熷敾閹峰嘲绻旈柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ TOTIME/BAUDRAUD é—è·¨å–é‹å©šå¹é–¿å¬¬æ¢¾é—跨喎褰ㄩ弬銈嗗î¶é—跨喓笑绾æ¿î˜°ç€šå½’柨é”兼應绾æ¿î˜°ç€šå½’柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–ºå†¨çˆ¼é撻弬銈嗗î¶TOIE=1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担宥夋晸閺傘倖瀚圭涵顒勬晸閺傘倖瀚归柨é”å‘Šç®é–¹ç–¯æ¸¹ç¼ï¿½ +#define UART_BAUD_TOIF_Msk (0x01 << UART_BAUD_TOIF_Pos) +#define UART_BAUD_RXIF_Pos 22 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”峰建閺傤厽éžå©šå¹å®„扮箶 = RXTHRF & RXIE +#define UART_BAUD_RXIF_Msk (0x01 << UART_BAUD_RXIF_Pos) +#define UART_BAUD_ABREN_Pos 23 //Auto Baudrate Enableé—è·¨å–é‹å©šå¹å®„æ¿æ™¸1é—è·¨å–é‹å©šå¹é‘芥晸閻ㄥ棜顔愰å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–ºå¶â‚¬å†²æ«™é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归悵濠囨晸閺傘倖瀚规潻婊堟晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻敓锟� +#define UART_BAUD_ABREN_Msk (0x01 << UART_BAUD_ABREN_Pos) +#define UART_BAUD_ABRBIT_Pos 24 //Auto Baudrate Bité—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”诲Î绾攱瀚归柨é”奉潟濞夈垽é撻弬銈嗗î¶é—跨喓绮æ惃é•î…œâ‚¬å¬®å¹é‘芥晸é‰ç‚²ã˜ç»±î‡€å¹é‘芥晸閺傘倖瀚归柨é•å‚›å«¹0 1娴e秹é撻弬銈嗗î¶é—岸é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规慨瀣╃秴 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±é‹ç‚ºâ€¦éŽºæ’³î¶é—è·¨å–é‹å©šå¹å®„æ¿â’–é—è·¨å–褰æ´ãˆ î¶é—è·¨å–é‹å©šå¹é–¿å¬ªîŒé–ºå Ÿæ£ƒé撻弬銈嗗î¶é—跨噦鎷�0xFF +// 1 2娴e秹é撻弬銈嗗î¶é—岸é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规慨瀣╃秴é—è·¨å–é‹å©šå¹é”Ÿï¿½1娴e秹é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œç§´é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±é‹ç‚ºâ€¦éŽºæ’³î¶é—è·¨å–é‹å©šå¹å®„æ¿â’–é—è·¨å–褰æ´ãˆ î¶é—è·¨å–é‹å©šå¹é–¿å¬ªîŒé–ºå Ÿæ£ƒé撻弬銈嗗î¶é—跨噦鎷�0xFE +// 1 4娴e秹é撻弬銈嗗î¶é—岸é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规慨瀣╃秴é—è·¨å–é‹å©šå¹é”Ÿï¿½3娴e秹é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œç§´é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±é‹ç‚ºâ€¦éŽºæ’³î¶é—è·¨å–é‹å©šå¹å®„æ¿â’–é—è·¨å–褰æ´ãˆ î¶é—è·¨å–é‹å©šå¹é–¿å¬ªîŒé–ºå Ÿæ£ƒé撻弬銈嗗î¶é—跨噦鎷�0xF8 +// 1 8娴e秹é撻弬銈嗗î¶é—岸é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规慨瀣╃秴é—è·¨å–é‹å©šå¹é”Ÿï¿½7娴e秹é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œç§´é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±é‹ç‚ºâ€¦éŽºæ’³î¶é—è·¨å–é‹å©šå¹å®„æ¿â’–é—è·¨å–褰æ´ãˆ î¶é—è·¨å–é‹å©šå¹é–¿å¬ªîŒé–ºå Ÿæ£ƒé撻弬銈嗗î¶é—跨噦鎷�0x80 +#define UART_BAUD_ABRBIT_Msk (0x03 << UART_BAUD_ABRBIT_Pos) +#define UART_BAUD_ABRERR_Pos 26 //Auto Baudrate Erroré—è·¨å–é‹å©šå¹é”Ÿï¿½0 é—跨喓娈曠拋瑙勫î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±é—庨崙é¡æ¶™æ™¸ç¼‚傚娅㈤å¹é”Ÿï¿½ 1 é—跨喓娈曠拋瑙勫î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±é—庨崙é¡æ¤¼äº¼é—è·¨å–é‹å©šå¹é”Ÿï¿½ +#define UART_BAUD_ABRERR_Msk (0x01 << UART_BAUD_ABRERR_Pos) +#define UART_BAUD_TXDOIF_Pos 27 //TX Done é—跨喎褰ㄩ弬顓熷敾閹峰嘲绻旈柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹ç»‹îš¯FOé—è·¨å–é‹å©šå¹é‘芥晸閹活厼é¤æ ­å¹é‘芥晸é—伴潧é¤æ ­å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹ç–¯æ¸¹ç¼å‘´æŸ¨é”惰寧é‰å ¢å“瀚归柨é”å‘Šç®é–¹é£Žå…˜é撶粣éㄦç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–褰弲éх串閹风兘é撻弬銈嗗î¶ç¼‚佺喖éæ’´îšœéšå­˜å«¹ +#define UART_BAUD_TXDOIF_Msk (0x01 << UART_BAUD_TXDOIF_Pos) + +#define UART_FIFO_RXLVL_Pos 0 //RX FIFO Levelé—è·¨å–é‹å©šå¹ç»‹çž‚ FIFO é—è·¨å–é‹å©šå¹é‘芥晸éžæ¶™îš…é¤æ ­å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define UART_FIFO_RXLVL_Msk (0xFF << UART_FIFO_RXLVL_Pos) +#define UART_FIFO_TXLVL_Pos 8 //TX FIFO Levelé—è·¨å–é‹å©šå¹ç»‹ç¤¨ FIFO é—è·¨å–é‹å©šå¹é‘芥晸éžæ¶™îš…é¤æ ­å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define UART_FIFO_TXLVL_Msk (0xFF << UART_FIFO_TXLVL_Pos) +#define UART_FIFO_RXTHR_Pos 16 //RX FIFO Thresholdé—è·¨å–é‹å©šå¹ç»‹çž‚é—跨喎褰ㄩ弬顓℃彧閹风兘é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閻å“ç¼å›¬å¹é‘芥晸閸欘å‰é¡”æ„°å¹é“šå‚šâ–é—è·¨å–é‹å©šå¹é–¿å¬«î˜§ RXLVL >= RXTHR é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚筊Xé—è·¨å–Žè¤°ã„§æ‹‹ç‘™å‹«î¶ +#define UART_FIFO_RXTHR_Msk (0xFF << UART_FIFO_RXTHR_Pos) +#define UART_FIFO_TXTHR_Pos 24 //TX FIFO Thresholdé—è·¨å–é‹å©šå¹ç»‹ç¤¨é—跨喎褰ㄩ弬顓℃彧閹风兘é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閻å“ç¼å›¬å¹é‘芥晸閸欘å‰é¡”æ„°å¹é“šå‚šâ–é—è·¨å–é‹å©šå¹é–¿å¬«î˜§ TXLVL <= TXTHR é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚筎Xé—è·¨å–Žè¤°ã„§æ‹‹ç‘™å‹«î¶ +//#define UART_FIFO_TXTHR_Msk (0xFF << UART_FIFO_TXTHR_Pos) é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喕濮らæ•é”Ÿï¿½ integer operation result is out of range +#define UART_FIFO_TXTHR_Msk ((uint32_t)0xFF << UART_FIFO_TXTHR_Pos) + +#define UART_LINCR_BRKDETIE_Pos 0 //é—è·¨å–é‹å©šå¹æ¤‹åº¡ç¦ƒç¼æ’å„N Breaké—跨喎褰ㄧ拋瑙勫î¶å¨´ï½…潡éæ’»å¼¬éŠˆå——î¶ +#define UART_LINCR_BRKDETIE_Msk (0xFF << UART_LINCR_BRKDETIE_Pos) +#define UART_LINCR_BRKDETIF_Pos 1 //é—è·¨å–é‹å©šå¹æ¤‹åº¡ç¦ƒç¼æ’å„N Breaké—跨喎褰ㄧ拋瑙勫î¶é–»æ¨¿åŸ–鈧拷 +#define UART_LINCR_BRKDETIF_Msk (0xFF << UART_LINCR_BRKDETIF_Pos) +#define UART_LINCR_GENBRKIE_Pos 2 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚ç­IN Breaké—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归崡鎼佹晸缂佺åŸå¨…㈤å¹é‘芥晸閿燂拷 +#define UART_LINCR_GENBRKIE_Msk (0xFF << UART_LINCR_GENBRKIE_Pos) +#define UART_LINCR_GENBRKIF_Pos 3 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚ç­IN Breaké—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归崡鎼佹晸闂冭泛é©ï¿ æ•“锟� +#define UART_LINCR_GENBRKIF_Msk (0xFF << UART_LINCR_GENBRKIF_Pos) +#define UART_LINCR_GENBRK_Pos 4 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚ç­IN Breaké—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é¤îˆœç®¼é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é•å‚›å«¹ +#define UART_LINCR_GENBRK_Msk (0xFF << UART_LINCR_GENBRK_Pos) + +#define UART_CTSCR_EN_Pos 0 //CTSé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担é§æ¥æ™¸é–ºå‚˜å€–瀚� +#define UART_CTSCR_EN_Msk (0x01 << UART_CTSCR_EN_Pos) +#define UART_CTSCR_POL_Pos 2 //CTSé—跨喕é“奸崣椋庛€嬮å¹é‘芥晸閻ㄥ棴ç¼å›¬å¹é”Ÿï¿½0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归弫é«ãƒ¦æ™¸é–ºå‚˜å€–瀚笴TSé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规稉娲î…晸é—æ¿çšéžå©šå¹æ¤‹åº›ä»›é—è·¨å–é‹å©šå¹é‘芥晸閻ㄥ棗é¤æ ­å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define UART_CTSCR_POL_Msk (0x01 << UART_CTSCR_POL_Pos) +#define UART_CTSCR_STAT_Pos 7 //CTSé—跨喕é“奸崣椋庢畱绾æ¿î˜°ç€šå½’崜宥囧Ц閹拷 +#define UART_CTSCR_STAT_Msk (0x01 << UART_CTSCR_STAT_Pos) + +#define UART_RTSCR_EN_Pos 1 //RTSé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担é§æ¥æ™¸é–ºå‚˜å€–瀚� +#define UART_RTSCR_EN_Msk (0x01 << UART_RTSCR_EN_Pos) +#define UART_RTSCR_POL_Pos 3 //RTSé—跨喕é“奸崣椋庛€嬮å¹é‘芥晸閺傘倖瀚� 0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归弫é«ãƒ¦æ™¸é–ºå‚˜å€–瀚筊TSé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规稉娲î…晸é—æ¿çšéžå©šå¹æ¤‹åº›ä»›é—è·¨å–é‹å©šå¹é‘芥晸閻ㄥ棙é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define UART_RTSCR_POL_Msk (0x01 << UART_RTSCR_POL_Pos) +#define UART_RTSCR_THR_Pos 4 //RTSé—è·¨å–é‹å©šå¹é‘芥晸閹æ­äºžå¨ˆæˆžæ½éî„€î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归å´é”Ÿï¿½ 0 1é—è·¨å–•é¡¢æ»ˆå¼¬éŠˆå——î¶ 1 2é—è·¨å–•é¡¢æ»ˆå¼¬éŠˆå——î¶ 2 4é—è·¨å–•é¡¢æ»ˆå¼¬éŠˆå——î¶ 3 6é—è·¨å–•é¡¢æ»ˆå¼¬éŠˆå——î¶ +#define UART_RTSCR_THR_Msk (0x07 << UART_RTSCR_THR_Pos) +#define UART_RTSCR_STAT_Pos 8 //RTSé—跨喕é“奸崣椋庢畱绾æ¿î˜°ç€šå½’崜宥囧Ц閹拷 +#define UART_RTSCR_STAT_Msk (0x01 << UART_RTSCR_STAT_Pos) + +typedef struct +{ + __IO uint32_t CTRL; + + __IO uint32_t DATA; + + __IO uint32_t STAT; + + __IO uint32_t IE; + + __IO uint32_t IF; +} SPI_TypeDef; + +#define SPI_CTRL_CLKDIV_Pos 0 //Clock Divider, SPIé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归弮é«æ›Ÿæ™¸é–ºå‚˜å€–瀚� = SYS_Freq/pow(2, CLKDIV+2) +#define SPI_CTRL_CLKDIV_Msk (0x07 << SPI_CTRL_CLKDIV_Pos) +#define SPI_CTRL_EN_Pos 3 +#define SPI_CTRL_EN_Msk (0x01 << SPI_CTRL_EN_Pos) +#define SPI_CTRL_SIZE_Pos 4 //Data Size Select, é–¸æ¬ç‰•éˆ§î„Šæ‹·3--15é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚圭粈锟�4--16娴ï½æ‹· +#define SPI_CTRL_SIZE_Msk (0x0F << SPI_CTRL_SIZE_Pos) +#define SPI_CTRL_CPHA_Pos 8 //0 é—è·¨å–é‹å©šå¹ç»‹ç¢ˆLKé—è·¨å–鑼庣喊澶嬪î¶å¨‘æ’¯å“é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻幋é¡å›¶åš‹é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� 1 é—è·¨å–é‹å©šå¹ç»‹ç¢ˆLKé—è·¨å–鑼庣粭顒冾啇閹风兘é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿焻é å›‡å‹«î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define SPI_CTRL_CPHA_Msk (0x01 << SPI_CTRL_CPHA_Pos) +#define SPI_CTRL_CPOL_Pos 9 //0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归悩鑸碘å“渚€é撻弬銈嗗î¶SCLK娑撴椽é撻柊é¢æ®¿æšœé–¹å³°å˜²é–½ï¿½ 1 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归悩鑸碘å“渚€é撻弬銈嗗î¶SCLK娑撴椽é撶粩顓狀暜閹峰嘲閽� +#define SPI_CTRL_CPOL_Msk (0x01 << SPI_CTRL_CPOL_Pos) +#define SPI_CTRL_FFS_Pos 10 //Frame Format Select, 0 SPI 1 TI SSI 2 SPI 3 SPI +#define SPI_CTRL_FFS_Msk (0x03 << SPI_CTRL_FFS_Pos) +#define SPI_CTRL_MSTR_Pos 12 //Master, 1 é—è·¨å–é‹å©šå¹é–¿å¬†ä½¸î‡£é”Ÿï¿½ 0 é—è·¨å–é‹å©šå¹é–¿å¬†ä½¸î‡£é”Ÿï¿½ +#define SPI_CTRL_MSTR_Msk (0x01 << SPI_CTRL_MSTR_Pos) +#define SPI_CTRL_FAST_Pos 13 //1 SPIé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归弮é«æ›Ÿæ™¸é–ºå‚˜å€–瀚� = SYS_Freq/2 0 SPIé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归弮é«æ›Ÿæ™¸é–ºå‚˜å€–瀚归柨é”å‘Šç®é–¹é£ŽÃ¹PI->CTRL.CLKDIVé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SPI_CTRL_FAST_Msk (0x01 << SPI_CTRL_FAST_Pos) +#define SPI_CTRL_FILTE_Pos 16 //1 é—è·¨å–é‹å©šå¹ç»‹ç¢¢Ié—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”诲壖閸欓攱é‹å©šå¹é‘芥晸閺傘倖瀚归崢濠氭晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ 0 é—è·¨å–é‹å©šå¹ç»‹ç¢¢Ié—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”诲壖閸欑柉顕滈å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å³°å˜²éªžæ’»æŸ¨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ +#define SPI_CTRL_FILTE_Msk (0x01 << SPI_CTRL_FILTE_Pos) +#define SPI_CTRL_SSN_H_Pos 17 //0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喓ç»ç ˆN婵é撻弬銈嗗î¶å¨‘æ“„æ‹·0 1 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喓é“崠鈩冨î¶é–¸Ñ€å“é—跨喕顢滈é¡æ¨ºî¶é—跨喓绮ㄧ亸é燬Né—è·¨å–é‹å©šå¹é‘芥晸ç¼æ—‘厼æ´æ»ˆå¹é‘芥晸ç¼æ’îš…LKé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SPI_CTRL_SSN_H_Msk (0x01 << SPI_CTRL_SSN_H_Pos) +#define SPI_CTRL_TFCLR_Pos 24 //TX FIFO Clear +#define SPI_CTRL_TFCLR_Msk (0x01 << SPI_CTRL_TFCLR_Pos) +#define SPI_CTRL_RFCLR_Pos 25 //RX FIFO Clear +#define SPI_CTRL_RFCLR_Msk (0x01 << SPI_CTRL_RFCLR_Pos) + +#define SPI_STAT_WTC_Pos 0 //Word Transmit Completeé—è·¨å–é‹å©šå¹é–¿å¬¬æ§¨é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻幓顓濈串閹风兘é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻幒銉嚋閹风兘é撻弬銈嗗î¶é—跨噦鎷�1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”峰建閿燂拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SPI_STAT_WTC_Msk (0x01 << SPI_STAT_WTC_Pos) +#define SPI_STAT_TFE_Pos 1 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚笷IFO Empty +#define SPI_STAT_TFE_Msk (0x01 << SPI_STAT_TFE_Pos) +#define SPI_STAT_TFNF_Pos 2 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚笷IFO Not Full +#define SPI_STAT_TFNF_Msk (0x01 << SPI_STAT_TFNF_Pos) +#define SPI_STAT_RFNE_Pos 3 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚笷IFO Not Empty +#define SPI_STAT_RFNE_Msk (0x01 << SPI_STAT_RFNE_Pos) +#define SPI_STAT_RFF_Pos 4 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚笷IFO Full +#define SPI_STAT_RFF_Msk (0x01 << SPI_STAT_RFF_Pos) +#define SPI_STAT_RFOVF_Pos 5 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚笷IFO Overflow +#define SPI_STAT_RFOVF_Msk (0x01 << SPI_STAT_RFOVF_Pos) +#define SPI_STAT_TFLVL_Pos 6 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚笷IFOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿祹闂堚晜瀚归柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ 0 TFNF=0閺冨爼é撻弬銈嗗î¶ç¼â‚¬ç»¡å¶ªFOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚�8é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿祹閿濆繑瀚筎FNF=1閺冨爼é撻弬銈嗗î¶ç¼â‚¬ç»¡å¶ªFOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚�0é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· 1--7 FIFOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚�1--7é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define SPI_STAT_TFLVL_Msk (0x07 << SPI_STAT_TFLVL_Pos) +#define SPI_STAT_RFLVL_Pos 9 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚笷IFOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿祹闂堚晜瀚归柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ 0 RFF=1閺冨爼é撻弬銈嗗î¶ç¼â‚¬ç»¡å¶ªFOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚�8é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿祹閿濆繑瀚� RFF=0閺冨爼é撻弬銈嗗î¶ç¼â‚¬ç»¡å¶ªFOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚�0é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· 1--7 FIFOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚�1--7é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define SPI_STAT_RFLVL_Msk (0x07 << SPI_STAT_RFLVL_Pos) +#define SPI_STAT_BUSY_Pos 15 +#define SPI_STAT_BUSY_Msk (0x01 << SPI_STAT_BUSY_Pos) + +#define SPI_IE_RFOVF_Pos 0 +#define SPI_IE_RFOVF_Msk (0x01 << SPI_IE_RFOVF_Pos) +#define SPI_IE_RFF_Pos 1 +#define SPI_IE_RFF_Msk (0x01 << SPI_IE_RFF_Pos) +#define SPI_IE_RFHF_Pos 2 +#define SPI_IE_RFHF_Msk (0x01 << SPI_IE_RFHF_Pos) +#define SPI_IE_TFE_Pos 3 +#define SPI_IE_TFE_Msk (0x01 << SPI_IE_TFE_Pos) +#define SPI_IE_TFHF_Pos 4 +#define SPI_IE_TFHF_Msk (0x01 << SPI_IE_TFHF_Pos) +#define SPI_IE_WTC_Pos 8 //Word Transmit Complete +#define SPI_IE_WTC_Msk (0x01 << SPI_IE_WTC_Pos) +#define SPI_IE_FTC_Pos 9 //Frame Transmit Complete +#define SPI_IE_FTC_Msk (0x01 << SPI_IE_FTC_Pos) + +#define SPI_IF_RFOVF_Pos 0 //閸愶拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SPI_IF_RFOVF_Msk (0x01 << SPI_IF_RFOVF_Pos) +#define SPI_IF_RFF_Pos 1 +#define SPI_IF_RFF_Msk (0x01 << SPI_IF_RFF_Pos) +#define SPI_IF_RFHF_Pos 2 +#define SPI_IF_RFHF_Msk (0x01 << SPI_IF_RFHF_Pos) +#define SPI_IF_TFE_Pos 3 +#define SPI_IF_TFE_Msk (0x01 << SPI_IF_TFE_Pos) +#define SPI_IF_TFHF_Pos 4 +#define SPI_IF_TFHF_Msk (0x01 << SPI_IF_TFHF_Pos) +#define SPI_IF_WTC_Pos 8 //Word Transmit Completeé—è·¨å–é‹å©šå¹é–¿å¬¬æ§¨é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻幓顓濈串閹风兘é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻幒銉嚋閹风兘é撻弬銈嗗î¶é—跨噦鎷�1 +#define SPI_IF_WTC_Msk (0x01 << SPI_IF_WTC_Pos) +#define SPI_IF_FTC_Pos 9 //Frame Transmit Completeé—è·¨å–é‹å©šå¹ç»‹ç¯¢Cé—è·¨å–é‹å©šå¹é“šå‚œç§´é–ºå†¨çˆ¼é撻弬銈嗗î¶TX FIFOé—跨喕顫楃粚é“规畱閿濆繑瀚归柨é”å‘Šç®é–¹é£ŽÃ©TCé—è·¨å–é‹å©šå¹é“šå‚œç§´ +#define SPI_IF_FTC_Msk (0x01 << SPI_IF_FTC_Pos) + +typedef struct +{ + __IO uint32_t CLKDIV; //[15:0] é—跨喕顕犵亸é¡æ¶™æ™¸é–¼å“„倽顕滈å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é¡£å •æŸ¨é”虹哺閸掑棛顣å¹ç»‹ç¢ˆL妫版垿é撶紒é殿暜閹凤拷5é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£ŽÃ¡LKDIV = SYS_Freq/5/SCL_Freq - 1 + + __IO uint32_t CTRL; + + __IO uint32_t MSTDAT; + + __IO uint32_t MSTCMD; + + __IO uint32_t SLVCR; + + __IO uint32_t SLVIF; + + __IO uint32_t SLVTX; + + __IO uint32_t SLVRX; +} I2C_TypeDef; + +#define I2C_CTRL_MSTIE_Pos 6 +#define I2C_CTRL_MSTIE_Msk (0x01 << I2C_CTRL_MSTIE_Pos) +#define I2C_CTRL_EN_Pos 7 +#define I2C_CTRL_EN_Msk (0x01 << I2C_CTRL_EN_Pos) + +#define I2C_MSTCMD_IF_Pos 0 //1 é—跨喎褰ㄧ粵澶庢彧閹风兘é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归崡绋跨瑖é—跨喎褰ㄩ敓锟�1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘界氨é—跨喕濞囬å¹éŠ‰îŸ‘嚋閹风兘é撻弬銈嗗î¶é—跨喕濞囨导娆愬î¶é—跨噦鎷�1é—è·¨å–é‹å©šå¹é“šå‚œî±é—è·¨å–é‹å©šå¹é‘芥晸éžæ¶™îš‰æ¿¡î…Ÿæ½éî„€î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é•å‚›å«¹ 2é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”侯仾閸戙倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±å¨¼å ¥æŸ¨é”å‘Šç®é–¹å³°å˜²éŠ‡ï¿½ +#define I2C_MSTCMD_IF_Msk (0x01 << I2C_MSTCMD_IF_Pos) +#define I2C_MSTCMD_TIP_Pos 1 //Transmission In Process +#define I2C_MSTCMD_TIP_Msk (0x01 << I2C_MSTCMD_TIP_Pos) +#define I2C_MSTCMD_ACK_Pos 3 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚瑰Ο鈥崇础é—跨喖鎽îˆæ•è¹‡æ–¿î¶0 é—è·¨å–é‹å©šå¹é‘芥晸é—扮數é¡î„„崙銈嗗î¶é—è·¨å–é‹å©šå¹ç»‹çƒ K 1 é—è·¨å–é‹å©šå¹é‘芥晸é—扮數é¡î„„崙銈嗗î¶é—è·¨å–é‹å©šå¹ç»‹ç“µCK +#define I2C_MSTCMD_ACK_Msk (0x01 << I2C_MSTCMD_ACK_Pos) +#define I2C_MSTCMD_WR_Pos 4 // é—è·¨å–é‹å©šå¹ç»‹ç£ave閸愭瑩é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é–¿å¬«î˜§é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹ç–¯æ¸¹ç»”存担宥呭晸1é—è·¨å–é‹å©šå¹é‘芥晸閻ㄥ棜顔愰å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define I2C_MSTCMD_WR_Msk (0x01 << I2C_MSTCMD_WR_Pos) +#define I2C_MSTCMD_RD_Pos 5 //閸愭瑩é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹ç»‹ç£aveé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±å¦žå‚žæŸ¨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œî±å¨´ï½…秴éŸï¿½1é—è·¨å–é‹å©šå¹é‘芥晸閻ㄥ棜顔愰å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£ŽÄ«2C濡ç¹é撻弬銈嗗î¶å©¢è·ºå´¬éªžæ’»æŸ¨é”å‘Šç®é–¹é£Žå…˜é撶粩顓犳畱閸戙倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±å¨¼å ¥å¼®é“佲€栭柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶1 +#define I2C_MSTCMD_RD_Msk (0x01 << I2C_MSTCMD_RD_Pos) +#define I2C_MSTCMD_BUSY_Pos 6 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–»æ¶˜å¢½ç»ç ŠART娑斿é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œî±å¨´ï½…秹é撻弬銈嗗î¶1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½î—“宓曠徊濂P娑斿é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œî±å¨´ï½…秹é撻弬銈嗗î¶0 +#define I2C_MSTCMD_BUSY_Msk (0x01 << I2C_MSTCMD_BUSY_Pos) +#define I2C_MSTCMD_STO_Pos 6 //閸愭瑩é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚ç­TOPé—è·¨å–é‹å©šå¹é‘芥晸閻ㄥ棜顔愰å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define I2C_MSTCMD_STO_Msk (0x01 << I2C_MSTCMD_STO_Pos) +#define I2C_MSTCMD_RXACK_Pos 7 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撶粔éヮ暜閹风兘é撻弬銈嗗î¶Slaveé—è·¨å–é‹å©šå¹ç»‹çƒ K娴e秹é撻弬銈嗗î¶0 é—跨喓笑绾æ¿î˜°ç€šç¬°CK 1 é—跨喓笑绾æ¿î˜°ç€šç­ƒACK +#define I2C_MSTCMD_RXACK_Msk (0x01 << I2C_MSTCMD_RXACK_Pos) +#define I2C_MSTCMD_STA_Pos 7 //閸愭瑩é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚ç­TARTé—è·¨å–é‹å©šå¹é‘芥晸閻ㄥ棜顔愰å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define I2C_MSTCMD_STA_Msk (0x01 << I2C_MSTCMD_STA_Pos) + +#define I2C_SLVCR_IM_RXEND_Pos 0 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–¸æ¥ç“•ç»¶ä¼´æŸ¨é”活敎閿燂拷 +#define I2C_SLVCR_IM_RXEND_Msk (0x01 << I2C_SLVCR_IM_RXEND_Pos) +#define I2C_SLVCR_IM_TXEND_Pos 1 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–¸æ¥ç“•ç»¶ä¼´æŸ¨é”活敎閿燂拷 +#define I2C_SLVCR_IM_TXEND_Msk (0x01 << I2C_SLVCR_IM_TXEND_Pos) +#define I2C_SLVCR_IM_STADET_Pos 2 //é—è·¨å–é‹å©šå¹æ¤‹åº¡ç¦ƒé–ºå‚˜å€–瀚归柨é”虹哺绾攱瀚归崡å§å²€é’’é—跨喕顢滈敓锟� +#define I2C_SLVCR_IM_STADET_Msk (0x01 << I2C_SLVCR_IM_STADET_Pos) +#define I2C_SLVCR_IM_STODET_Pos 3 //é—è·¨å–é‹å©šå¹æ¤‹åº¡ç¦ƒé—扮绾ч惂é›å©‚î¶é–¸æ¥ç“•ç»¶ä¼´æŸ¨é”活敎閿燂拷 +#define I2C_SLVCR_IM_STODET_Msk (0x01 << I2C_SLVCR_IM_STODET_Pos) +#define I2C_SLVCR_IM_RDREQ_Pos 4 //é—è·¨å–é‹å©šå¹é‘芥晸ç¼å¤Šæ‘œé¡£î‡€å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喎褰ㄩ弬顓熸ç®é–¹çƒ½æ”±é¡’� +#define I2C_SLVCR_IM_RDREQ_Msk (0x01 << I2C_SLVCR_IM_RDREQ_Pos) +#define I2C_SLVCR_IM_WRREQ_Pos 5 //é—è·¨å–é‹å©šå¹é‘芥晸ç¼å¤Šæ‘œé¡£î‡€å¹å®„æ¿æ™¸é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”峰建閺傤厽é‹å©šå¹é–¿å¬µå‰¾ +#define I2C_SLVCR_IM_WRREQ_Msk (0x01 << I2C_SLVCR_IM_WRREQ_Pos) +#define I2C_SLVCR_ADDR7b_Pos 16 //1 7娴e秹é撻弬銈嗗î¶é–¸Ñ€å“濡€崇础 0 10娴e秹é撻弬銈嗗î¶é–¸Ñ€å“濡€崇础 +#define I2C_SLVCR_ADDR7b_Msk (0x01 << I2C_SLVCR_ADDR7b_Pos) +#define I2C_SLVCR_ACK_Pos 17 //1 鎼存棃é撻弬銈嗗î¶ACK 0 鎼存棃é撻弬銈嗗î¶NACK +#define I2C_SLVCR_ACK_Msk (0x01 << I2C_SLVCR_ACK_Pos) +#define I2C_SLVCR_SLAVE_Pos 18 //1 é—è·¨å–甯存导娆愬î¶æ¿¡îˆ—€崇础 0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚瑰Ο鈥崇础 +#define I2C_SLVCR_SLAVE_Msk (0x01 << I2C_SLVCR_SLAVE_Pos) +#define I2C_SLVCR_DEBOUNCE_Pos 19 //閸樺é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚šâ–é—è·¨å–é‹å©šå¹é”Ÿï¿½ +#define I2C_SLVCR_DEBOUNCE_Msk (0x01 << I2C_SLVCR_DEBOUNCE_Pos) +#define I2C_SLVCR_ADDR_Pos 20 //é—è·¨å–甯存导娆愬î¶é—è·¨å–é‹å©šå¹å®„版絻 +#define I2C_SLVCR_ADDR_Msk (0x3FF << I2C_SLVCR_ADDR_Pos) + +#define I2C_SLVIF_RXEND_Pos 0 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–¸æ¥ƒÇ¹é¡ŽæŽ—柨é”活敎閹惧æ‡ç€šå½’柨é”峰建閿燂拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define I2C_SLVIF_RXEND_Msk (0x01 << I2C_SLVIF_RXEND_Pos) +#define I2C_SLVIF_TXEND_Pos 1 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–¸æ¥ƒÇ¹é¡ŽæŽ—柨é”活敎閹惧æ‡ç€šå½’柨é”峰建閿燂拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define I2C_SLVIF_TXEND_Msk (0x01 << I2C_SLVIF_TXEND_Pos) +#define I2C_SLVIF_STADET_Pos 2 //é—è·¨å–é‹å©šå¹æ¤‹åº¡ç¦ƒé–ºå‚˜å€–瀚归柨é”虹哺绾攱瀚归崡绋款€掗柨é”活敎閹惧æ‡ç€šå½’柨é”峰建閿燂拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define I2C_SLVIF_STADET_Msk (0x01 << I2C_SLVIF_STADET_Pos) +#define I2C_SLVIF_STODET_Pos 3 //é—è·¨å–é‹å©šå¹æ¤‹åº¡ç¦ƒé—扮绾ч惂é›å©‚î¶é–¸æ¥ƒÇ¹é¡ŽæŽ—柨é”活敎閹惧æ‡ç€šå½’柨é”峰建閿燂拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define I2C_SLVIF_STODET_Msk (0x01 << I2C_SLVIF_STODET_Pos) +#define I2C_SLVIF_RDREQ_Pos 4 //é—è·¨å–é‹å©šå¹é‘芥晸ç¼å¤Šæ‘œé¡£î‡€å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喎褰ㄩ弬顓熷敾閹峰嘲绻� +#define I2C_SLVIF_RDREQ_Msk (0x01 << I2C_SLVIF_RDREQ_Pos) +#define I2C_SLVIF_WRREQ_Pos 5 //é—è·¨å–é‹å©šå¹é‘芥晸ç¼å¤Šæ‘œé¡£î‡€å¹å®„æ¿æ™¸é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”峰建閺傤厽éžå©šå¹å®„扮箶 +#define I2C_SLVIF_WRREQ_Msk (0x01 << I2C_SLVIF_WRREQ_Pos) +#define I2C_SLVIF_ACTIVE_Pos 6 //slave é—è·¨å–é‹å©šå¹é–¿å¬«æ« +#define I2C_SLVIF_ACTIVE_Msk (0x01 << I2C_SLVIF_ACTIVE_Pos) + +typedef struct +{ + __IO uint32_t CTRL; + + __IO uint32_t START; + + __IO uint32_t IE; + + __IO uint32_t IF; + + struct + { + __IO uint32_t STAT; + + __IO uint32_t DATA; + + uint32_t RESERVED[2]; + } CH[8]; + + __IO uint32_t CTRL1; + + __IO uint32_t CTRL2; + + uint32_t RESERVED[2]; + + __IO uint32_t CALIBSET; + + __IO uint32_t CALIBEN; +} ADC_TypeDef; + +#define ADC_CTRL_CH0_Pos 0 //é—岸é撻弬銈嗗î¶é—éæ’»å¼¬éŠˆå——î¶ +#define ADC_CTRL_CH0_Msk (0x01 << ADC_CTRL_CH0_Pos) +#define ADC_CTRL_CH1_Pos 1 +#define ADC_CTRL_CH1_Msk (0x01 << ADC_CTRL_CH1_Pos) +#define ADC_CTRL_CH2_Pos 2 +#define ADC_CTRL_CH2_Msk (0x01 << ADC_CTRL_CH2_Pos) +#define ADC_CTRL_CH3_Pos 3 +#define ADC_CTRL_CH3_Msk (0x01 << ADC_CTRL_CH3_Pos) +#define ADC_CTRL_CH4_Pos 4 +#define ADC_CTRL_CH4_Msk (0x01 << ADC_CTRL_CH4_Pos) +#define ADC_CTRL_CH5_Pos 5 +#define ADC_CTRL_CH5_Msk (0x01 << ADC_CTRL_CH5_Pos) +#define ADC_CTRL_CH6_Pos 6 +#define ADC_CTRL_CH6_Msk (0x01 << ADC_CTRL_CH6_Pos) +#define ADC_CTRL_CH7_Pos 7 +#define ADC_CTRL_CH7_Msk (0x01 << ADC_CTRL_CH7_Pos) +#define ADC_CTRL_AVG_Pos 8 //0 1é—跨喕濞囩拠褎瀚归柨é”å‘Šç®é–¹å‡¤æ‹· 1 2é—跨喕濞囩拠褎瀚归柨é”å‘Šç®é–¹å³°å˜²è¤°å›¬ç®éŽ¶èŠ¥æ™¸é–ºå‚˜å€–瀚归å´é”Ÿï¿½ 3 4é—跨喕濞囩拠褎瀚归柨é”å‘Šç®é–¹å³°å˜²è¤°å›¬ç®éŽ¶èŠ¥æ™¸é–ºå‚˜å€–瀚归å´é”Ÿï¿½ 7 8é—跨喕濞囩拠褎瀚归柨é”å‘Šç®é–¹å³°å˜²è¤°å›¬ç®éŽ¶èŠ¥æ™¸é–ºå‚˜å€–瀚归å´é”Ÿï¿½ 15 16é—跨喕濞囩拠褎瀚归柨é”å‘Šç®é–¹å³°å˜²è¤°å›¬ç®éŽ¶èŠ¥æ™¸é–ºå‚˜å€–瀚归å´é”Ÿï¿½ +#define ADC_CTRL_AVG_Msk (0x0F << ADC_CTRL_AVG_Pos) +#define ADC_CTRL_EN_Pos 12 +#define ADC_CTRL_EN_Msk (0x01 << ADC_CTRL_EN_Pos) +#define ADC_CTRL_CONT_Pos 13 //Continuous conversioné—è·¨å–é‹å©šå¹å®„æ¿æ¶§é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撴笟銉æ‚閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻崣é¡åº¡ç§µç€šå½’柨é•å‚›å«¹0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规潪顒勬晸閺傘倖瀚归柨é”å‘Šç®é–¹é£ŽæŸ‰å¨´å—›æŸ¨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–»æ¿†ï¹ªé撶徊濂RT娴e秹é撻惃é¡æ°¼å•‡é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喖é™æ´ªæ•é‘¸ç¢‰ç´°æ¤¤å¿“孩瀚归柨é•å‚›å«¹ +#define ADC_CTRL_CONT_Msk (0x01 << ADC_CTRL_CONT_Pos) // 1 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规潪顒勬晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–褰å¹éŠâ€³æ•¾é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸闂冮浜烽å¹é‘芥晸閺傘倖瀚归柨é”活敎閹æ’瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸ç¼æ’ã‚£ART娴ï½æ‹· +#define ADC_CTRL_TRIG_Pos 14 //é‰çƒ†å‰Ÿé撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å³°å˜²ç»±ï¿ æŸ¨é”å‘Šç®é–¹å‡¤æ‹·0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”兼▉椤忓孩瀚归柨é•å‚›å«¹ 1 PWMé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define ADC_CTRL_TRIG_Msk (0x01 << ADC_CTRL_TRIG_Pos) +#define ADC_CTRL_CLKSRC_Pos 15 //0 VCO 1 HRC +#define ADC_CTRL_CLKSRC_Msk (0x01 << ADC_CTRL_CLKSRC_Pos) +#define ADC_CTRL_FIFOCLR_Pos 24 //[24] CH0_FIFO_CLR [25] CH1_FIFO_CLR ... [31] CH7_FIFO_CLR +#define ADC_CTRL_FIFOCLR_Msk (((uint32_t)0xFF) << ADC_CTRL_FIFOCLR_Pos) + +#define ADC_START_GO_Pos 0 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撴笟銉æ‚閺傘倖瀚归å¹éŠã‚†æ™¸é–¸æ¬˜å“鎷�1é—è·¨å–é‹å©šå¹ç»‹çƒ¡Cé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£ŽæŸ‰å¨´å—›æŸ¨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喕濡喊澶嬪î¶é—è·¨å–é‹å©šå¹é–¿å¬†ä½¸î‡£è¹‡æ¶™æ™¸é–ºå‚˜å€–瀚规潪顒勬晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½î—“寮烽柨é”稿å¤é å›‡å‹«î¶é—è·¨å–é‹å©šå¹é¤îˆœç®¼é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归幃éæ‘墾閹风兘é撶紓鎼厜閹风兘é撴笟銉æ‚閺傘倖瀚归崡銈夋晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–¸æ„¶æ‹·0閸嬫ç²é¡’汚DCé‰çƒ†å‰Ÿéæ’»å¼¬éŠˆå——î¶ +#define ADC_START_GO_Msk (0x01 << ADC_START_GO_Pos) +#define ADC_START_BUSY_Pos 4 +#define ADC_START_BUSY_Msk (0x01 << ADC_START_BUSY_Pos) + +#define ADC_IE_CH0EOC_Pos 0 //End Of Convertion +#define ADC_IE_CH0EOC_Msk (0x01 << ADC_IE_CH0EOC_Pos) +#define ADC_IE_CH0OVF_Pos 1 //Overflow +#define ADC_IE_CH0OVF_Msk (0x01 << ADC_IE_CH0OVF_Pos) +#define ADC_IE_CH0HFULL_Pos 2 //FIFO Half Full +#define ADC_IE_CH0HFULL_Msk (0x01 << ADC_IE_CH0HFULL_Pos) +#define ADC_IE_CH0FULL_Pos 3 //FIFO Full +#define ADC_IE_CH0FULL_Msk (0x01 << ADC_IE_CH0FULL_Pos) +#define ADC_IE_CH1EOC_Pos 4 +#define ADC_IE_CH1EOC_Msk (0x01 << ADC_IE_CH1EOC_Pos) +#define ADC_IE_CH1OVF_Pos 5 +#define ADC_IE_CH1OVF_Msk (0x01 << ADC_IE_CH1OVF_Pos) +#define ADC_IE_CH1HFULL_Pos 6 +#define ADC_IE_CH1HFULL_Msk (0x01 << ADC_IE_CH1HFULL_Pos) +#define ADC_IE_CH1FULL_Pos 7 +#define ADC_IE_CH1FULL_Msk (0x01 << ADC_IE_CH1FULL_Pos) +#define ADC_IE_CH2EOC_Pos 8 +#define ADC_IE_CH2EOC_Msk (0x01 << ADC_IE_CH2EOC_Pos) +#define ADC_IE_CH2OVF_Pos 9 +#define ADC_IE_CH2OVF_Msk (0x01 << ADC_IE_CH2OVF_Pos) +#define ADC_IE_CH2HFULL_Pos 10 +#define ADC_IE_CH2HFULL_Msk (0x01 << ADC_IE_CH2HFULL_Pos) +#define ADC_IE_CH2FULL_Pos 11 +#define ADC_IE_CH2FULL_Msk (0x01 << ADC_IE_CH2FULL_Pos) +#define ADC_IE_CH3EOC_Pos 12 +#define ADC_IE_CH3EOC_Msk (0x01 << ADC_IE_CH3EOC_Pos) +#define ADC_IE_CH3OVF_Pos 13 +#define ADC_IE_CH3OVF_Msk (0x01 << ADC_IE_CH3OVF_Pos) +#define ADC_IE_CH3HFULL_Pos 14 +#define ADC_IE_CH3HFULL_Msk (0x01 << ADC_IE_CH3HFULL_Pos) +#define ADC_IE_CH3FULL_Pos 15 +#define ADC_IE_CH3FULL_Msk (0x01 << ADC_IE_CH3FULL_Pos) +#define ADC_IE_CH4EOC_Pos 16 +#define ADC_IE_CH4EOC_Msk (0x01 << ADC_IE_CH4EOC_Pos) +#define ADC_IE_CH4OVF_Pos 17 +#define ADC_IE_CH4OVF_Msk (0x01 << ADC_IE_CH4OVF_Pos) +#define ADC_IE_CH4HFULL_Pos 18 +#define ADC_IE_CH4HFULL_Msk (0x01 << ADC_IE_CH4HFULL_Pos) +#define ADC_IE_CH4FULL_Pos 19 +#define ADC_IE_CH4FULL_Msk (0x01 << ADC_IE_CH4FULL_Pos) +#define ADC_IE_CH5EOC_Pos 20 +#define ADC_IE_CH5EOC_Msk (0x01 << ADC_IE_CH5EOC_Pos) +#define ADC_IE_CH5OVF_Pos 21 +#define ADC_IE_CH5OVF_Msk (0x01 << ADC_IE_CH5OVF_Pos) +#define ADC_IE_CH5HFULL_Pos 22 +#define ADC_IE_CH5HFULL_Msk (0x01 << ADC_IE_CH5HFULL_Pos) +#define ADC_IE_CH5FULL_Pos 23 +#define ADC_IE_CH5FULL_Msk (0x01 << ADC_IE_CH5FULL_Pos) +#define ADC_IE_CH6EOC_Pos 24 +#define ADC_IE_CH6EOC_Msk (0x01 << ADC_IE_CH6EOC_Pos) +#define ADC_IE_CH6OVF_Pos 25 +#define ADC_IE_CH6OVF_Msk (0x01 << ADC_IE_CH6OVF_Pos) +#define ADC_IE_CH6HFULL_Pos 26 +#define ADC_IE_CH6HFULL_Msk (0x01 << ADC_IE_CH6HFULL_Pos) +#define ADC_IE_CH6FULL_Pos 27 +#define ADC_IE_CH6FULL_Msk (0x01 << ADC_IE_CH6FULL_Pos) +#define ADC_IE_CH7EOC_Pos 28 +#define ADC_IE_CH7EOC_Msk (0x01 << ADC_IE_CH7EOC_Pos) +#define ADC_IE_CH7OVF_Pos 29 +#define ADC_IE_CH7OVF_Msk (0x01 << ADC_IE_CH7OVF_Pos) +#define ADC_IE_CH7HFULL_Pos 30 +#define ADC_IE_CH7HFULL_Msk (0x01 << ADC_IE_CH7HFULL_Pos) +#define ADC_IE_CH7FULL_Pos 31 +//#define ADC_IE_CH7FULL_Msk (0x01 << ADC_IE_CH7FULL_Pos) é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喕濮らæ•é”Ÿï¿½ integer operation result is out of range +#define ADC_IE_CH7FULL_Msk ((uint32_t)0x01 << ADC_IE_CH7FULL_Pos) + +#define ADC_IF_CH0EOC_Pos 0 //閸愶拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define ADC_IF_CH0EOC_Msk (0x01 << ADC_IF_CH0EOC_Pos) +#define ADC_IF_CH0OVF_Pos 1 //閸愶拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define ADC_IF_CH0OVF_Msk (0x01 << ADC_IF_CH0OVF_Pos) +#define ADC_IF_CH0HFULL_Pos 2 //閸愶拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define ADC_IF_CH0HFULL_Msk (0x01 << ADC_IF_CH0HFULL_Pos) +#define ADC_IF_CH0FULL_Pos 3 //閸愶拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define ADC_IF_CH0FULL_Msk (0x01 << ADC_IF_CH0FULL_Pos) +#define ADC_IF_CH1EOC_Pos 4 +#define ADC_IF_CH1EOC_Msk (0x01 << ADC_IF_CH1EOC_Pos) +#define ADC_IF_CH1OVF_Pos 5 +#define ADC_IF_CH1OVF_Msk (0x01 << ADC_IF_CH1OVF_Pos) +#define ADC_IF_CH1HFULL_Pos 6 +#define ADC_IF_CH1HFULL_Msk (0x01 << ADC_IF_CH1HFULL_Pos) +#define ADC_IF_CH1FULL_Pos 7 +#define ADC_IF_CH1FULL_Msk (0x01 << ADC_IF_CH1FULL_Pos) +#define ADC_IF_CH2EOC_Pos 8 +#define ADC_IF_CH2EOC_Msk (0x01 << ADC_IF_CH2EOC_Pos) +#define ADC_IF_CH2OVF_Pos 9 +#define ADC_IF_CH2OVF_Msk (0x01 << ADC_IF_CH2OVF_Pos) +#define ADC_IF_CH2HFULL_Pos 10 +#define ADC_IF_CH2HFULL_Msk (0x01 << ADC_IF_CH2HFULL_Pos) +#define ADC_IF_CH2FULL_Pos 11 +#define ADC_IF_CH2FULL_Msk (0x01 << ADC_IF_CH2FULL_Pos) +#define ADC_IF_CH3EOC_Pos 12 +#define ADC_IF_CH3EOC_Msk (0x01 << ADC_IF_CH3EOC_Pos) +#define ADC_IF_CH3OVF_Pos 13 +#define ADC_IF_CH3OVF_Msk (0x01 << ADC_IF_CH3OVF_Pos) +#define ADC_IF_CH3HFULL_Pos 14 +#define ADC_IF_CH3HFULL_Msk (0x01 << ADC_IF_CH3HFULL_Pos) +#define ADC_IF_CH3FULL_Pos 15 +#define ADC_IF_CH3FULL_Msk (0x01 << ADC_IF_CH3FULL_Pos) +#define ADC_IF_CH4EOC_Pos 16 +#define ADC_IF_CH4EOC_Msk (0x01 << ADC_IF_CH4EOC_Pos) +#define ADC_IF_CH4OVF_Pos 17 +#define ADC_IF_CH4OVF_Msk (0x01 << ADC_IF_CH4OVF_Pos) +#define ADC_IF_CH4HFULL_Pos 18 +#define ADC_IF_CH4HFULL_Msk (0x01 << ADC_IF_CH4HFULL_Pos) +#define ADC_IF_CH4FULL_Pos 19 +#define ADC_IF_CH4FULL_Msk (0x01 << ADC_IF_CH4FULL_Pos) +#define ADC_IF_CH5EOC_Pos 20 +#define ADC_IF_CH5EOC_Msk (0x01 << ADC_IF_CH5EOC_Pos) +#define ADC_IF_CH5OVF_Pos 21 +#define ADC_IF_CH5OVF_Msk (0x01 << ADC_IF_CH5OVF_Pos) +#define ADC_IF_CH5HFULL_Pos 22 +#define ADC_IF_CH5HFULL_Msk (0x01 << ADC_IF_CH5HFULL_Pos) +#define ADC_IF_CH5FULL_Pos 23 +#define ADC_IF_CH5FULL_Msk (0x01 << ADC_IF_CH5FULL_Pos) +#define ADC_IF_CH6EOC_Pos 24 +#define ADC_IF_CH6EOC_Msk (0x01 << ADC_IF_CH6EOC_Pos) +#define ADC_IF_CH6OVF_Pos 25 +#define ADC_IF_CH6OVF_Msk (0x01 << ADC_IF_CH6OVF_Pos) +#define ADC_IF_CH6HFULL_Pos 26 +#define ADC_IF_CH6HFULL_Msk (0x01 << ADC_IF_CH6HFULL_Pos) +#define ADC_IF_CH6FULL_Pos 27 +#define ADC_IF_CH6FULL_Msk (0x01 << ADC_IF_CH6FULL_Pos) +#define ADC_IF_CH7EOC_Pos 28 +#define ADC_IF_CH7EOC_Msk (0x01 << ADC_IF_CH7EOC_Pos) +#define ADC_IF_CH7OVF_Pos 29 +#define ADC_IF_CH7OVF_Msk (0x01 << ADC_IF_CH7OVF_Pos) +#define ADC_IF_CH7HFULL_Pos 30 +#define ADC_IF_CH7HFULL_Msk (0x01 << ADC_IF_CH7HFULL_Pos) +#define ADC_IF_CH7FULL_Pos 31 +#define ADC_IF_CH7FULL_Msk (0x01 << ADC_IF_CH7FULL_Pos) + +#define ADC_STAT_EOC_Pos 0 //閸愶拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define ADC_STAT_EOC_Msk (0x01 << ADC_STAT_EOC_Pos) +#define ADC_STAT_OVF_Pos 1 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿祹éŽé›å«¯éŽ»îˆå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻敓锟� +#define ADC_STAT_OVF_Msk (0x01 << ADC_STAT_OVF_Pos) +#define ADC_STAT_HFULL_Pos 2 +#define ADC_STAT_HFULL_Msk (0x01 << ADC_STAT_HFULL_Pos) +#define ADC_STAT_FULL_Pos 3 +#define ADC_STAT_FULL_Msk (0x01 << ADC_STAT_FULL_Pos) +#define ADC_STAT_EMPTY_Pos 4 +#define ADC_STAT_EMPTY_Msk (0x01 << ADC_STAT_EMPTY_Pos) + +#define ADC_CTRL1_RIN_Pos 4 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å°å“é”稿îŽé—è·¨å–é‹å©šå¹é”Ÿï¿½0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é•å‚›å«¹ 1 105K 2 90K 3 75K 4 60K 5 45K 6 30K 7 15K +#define ADC_CTRL1_RIN_Msk (0x07 << ADC_CTRL1_RIN_Pos) + +#define ADC_CTRL2_RESET_Pos 0 //é—è·¨å–é‹å©šå¹é‘芥晸éžæ¶™îšˆé¡£î‡€å¹é¤îˆœç†…é—è·¨å–é‹å©šå¹é“šå‚œç§´ +#define ADC_CTRL2_RESET_Msk (0x01 << ADC_CTRL2_RESET_Pos) +#define ADC_CTRL2_ADCEVCM_Pos 1 //ADC External VCMé—è·¨å–é‹å©šå¹ç»‹çƒ¡Cé—è·¨å–é‹å©šå¹ç»‹ç™ŽAé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”惰寧閿濆繑瀚归柨é”å“„ç±ç¼æ„­ç‰‘妲勯å¹é‘芥晸閿燂拷 +#define ADC_CTRL2_ADCEVCM_Msk (0x01 << ADC_CTRL2_ADCEVCM_Pos) +#define ADC_CTRL2_PGAIVCM_Pos 2 //PGA Internal VCMé—è·¨å–é‹å©šå¹ç»‹ç™ŽAé—è·¨å–é‹å©šå¹é‘芥晸é å›¶å„é™â€³ÎŸé”ŸçŠ³æ™¸é–ºå‚˜å€–瀚归ç®éŽ¶è§£å“澶愭晸閺傘倖瀚� +#define ADC_CTRL2_PGAIVCM_Msk (0x01 << ADC_CTRL2_PGAIVCM_Pos) +#define ADC_CTRL2_PGAGAIN_Pos 3 //0 25.1dB 1 21.6dB 2 11.1dB 3 3.5dB 4 0dB(1.8V) 5 -2.9dB 6 -5.3dB +#define ADC_CTRL2_PGAGAIN_Msk (0x07 << ADC_CTRL2_PGAGAIN_Pos) +#define ADC_CTRL2_REFPOUT_Pos 23 //1 ADC é—跨喕濡拠褎瀚� 1.2V REFPé—è·¨å–é‹å©šå¹å®„æ¿ç«¾é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½î—“宕扮缓宀ç¢Pé—è·¨å–é‹å©šå¹é‘芥晸閼存熬ç¼å›¬å¹é‘芥晸閺傘倖瀚归柨é”诲Îé å›‡å‹«î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é¤îˆ£æ´£1.2Vé—跨喕袙é—墽EFP閺冨爼é撻弬銈嗗î¶é–»îƒç·šé撶紓瀛樺敾閹凤拷 +#define ADC_CTRL2_REFPOUT_Msk (0x01 << ADC_CTRL2_REFPOUT_Pos +#define ADC_CTRL2_CLKDIV_Pos 24 //閺冨爼é撻幒銉ュ殩閹风兘顣堕柨é”å‘Šç®é–¹å³°å˜²è¤°Ñ‡æŸ¨é”å‘Šç®é–¹çƒ½æ”±å¦žå‚žæŸ¨é”å‘Šç®é–¹çƒ½æ”±ç»¨î†½ç¨‰ç»¡æ€°C閺冨爼é撻弬銈嗗î¶é–ºä¾Šæ‹· +#define ADC_CTRL2_CLKDIV_Msk (0x1F << ADC_CTRL2_CLKDIV_Pos) +#define ADC_CTRL2_PGAVCM_Pos 29 +#define ADC_CTRL2_PGAVCM_Msk (((uint32_t)0x07) << ADC_CTRL2_PGAVCM_Pos) + +#define ADC_CALIBSET_OFFSET_Pos 0 +#define ADC_CALIBSET_OFFSET_Msk (0x1FF << ADC_CALIBSET_OFFSET_Pos) +#define ADC_CALIBSET_K_Pos 16 +#define ADC_CALIBSET_K_Msk (0x1FF << ADC_CALIBSET_K_Pos) + +#define ADC_CALIBEN_OFFSET_Pos 0 +#define ADC_CALIBEN_OFFSET_Msk (0x01 << ADC_CALIBEN_OFFSET_Pos) +#define ADC_CALIBEN_K_Pos 1 +#define ADC_CALIBEN_K_Msk (0x01 << ADC_CALIBEN_K_Pos) + +typedef struct +{ + __IO uint32_t MODE; //0 é—è·¨å–é‹å©šå¹é‘解å“姘佸蹇涙晸閺傘倖瀚笰é—è·¨å–é‹å©šå¹ç»‹ï¿ æŸ¨é”å‘Šç®é–¹é£ŽæŸ‰é­é¹ƒæŸ¨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· + //1 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚瑰Ο鈥崇础é—è·¨å–é‹å©šå¹ç»‹ç†¼æŸ¨é”å‘Šç®é–¹é£ŽÄé—è·¨å–é‹å©šå¹é¤îˆœç†…é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撶徊é¢æ€°Aé—è·¨å–é‹å©šå¹ç»‹â†–GHAé—è·¨å–é‹å©šå¹é‘芥晸閻欌槄ç¼å›¬å¹ç»‹ï¼„æ„顖炴晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜éæ’¶æ§å§å²€ç†…é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–¸çŠ³å´˜éŽ»îˆå¹é‘芥晸閺傘倖瀚归柨é”烘ãŸZAé—è·¨å–é‹å©šå¹ç»‹î˜€Bé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚笰é—è·¨å–é‹å©šå¹ç»‹ï¼„æ„顖炴晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归惉銉╂晸缂佺åžéžå©šå¹é‘芥晸閿燂拷 + //2 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚瑰Ο鈥崇础é—è·¨å–é‹å©šå¹å®„版倱é—è·¨å–é‹å©šå¹é‘解å“姘佸蹇涙晸閺傘倖瀚归柨é”å‘Šç®é–¹ç–¯æ¸¹ç»”撮柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喕濡悮瀛樺î¶é—跨喓娈曠拋瑙勫î¶é–¸å¬«ç²é¡’� + //3 é—跨喓娈曠粵瑙勫î¶æ¿¡îˆ—€崇础é—è·¨å–é‹å©šå¹ç»‹ç†¼æŸ¨é”å‘Šç®é–¹é£ŽÄé—è·¨å–é‹å©šå¹é¤îˆœç†…é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喕濡拠褎瀚归柨é”å‘Šç®é–¹ç–¯æ¸¹ç»”撮柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–¸æ„©åž½é撻弬銈嗗î¶é–»â•‚挳é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿疆娴兼瑦瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻悪锛勵暜閹峰嘲绱¢柨é”å‘Šç®é–¹é£Žå…˜é撻幓顓濈串閹风兘é撻敓锟� + //4 é—跨喓娈曠粔棰佺串閹风兘é撻弬銈嗗î¶æ¿¡îˆ—€崇础é—è·¨å–é‹å©šå¹é‘芥晸閻ㄥ棛顒查å¹é–¿å¬†ä½¸î‡£è¹‡æ¶™æ™¸é—æ¿å€ç»±î‡€å¹é‘芥晸閺傘倖瀚瑰Ο鈥崇础é—è·¨å–é‹å©šå¹é‘芥晸濡æ¥æ¢»çã„©å¹é”Ÿï¿½ + + __IO uint32_t PERA; //[15:0] é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� + + __IO uint32_t HIGHA; //[15:0] é—跨喓é¡î†å–Šæ¾¶å¬ªî¶æ¥ ç‚´å¨Šé撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é–¿å¬«î˜§é—è·¨å–é‹å©šå¹é”Ÿï¿½ + + __IO uint32_t DZA; //[9:0] é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻悪锛勵劜閹烽攱妞傞柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚圭亸蹇涙晸閺傘倖瀚笻IGHA + + __IO uint32_t PERB; + + __IO uint32_t HIGHB; + + __IO uint32_t DZB; + + __IO uint32_t INIOUT; //Init Output levelé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规慨瀣晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻悪鈽呮嫹 +} PWM_TypeDef; + +#define PWM_INIOUT_PWMA_Pos 0 +#define PWM_INIOUT_PWMA_Msk (0x01 << PWM_INIOUT_PWMA_Pos) +#define PWM_INIOUT_PWMB_Pos 1 +#define PWM_INIOUT_PWMB_Msk (0x01 << PWM_INIOUT_PWMB_Pos) + +typedef struct +{ + __IO uint32_t FORCEH; + + __IO uint32_t ADTRG0A; + __IO uint32_t ADTRG0B; + + __IO uint32_t ADTRG1A; + __IO uint32_t ADTRG1B; + + __IO uint32_t ADTRG2A; + __IO uint32_t ADTRG2B; + + __IO uint32_t ADTRG3A; + __IO uint32_t ADTRG3B; + + __IO uint32_t ADTRG4A; + __IO uint32_t ADTRG4B; + + __IO uint32_t ADTRG5A; + __IO uint32_t ADTRG5B; + + uint32_t RESERVED[3]; + + __IO uint32_t HALT; //閸掑綊é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� + + __IO uint32_t CHEN; + + __IO uint32_t IE; + + __IO uint32_t IF; + + __IO uint32_t IM; //Interrupt Mask + + __IO uint32_t IRS; //Interrupt Raw Stat +} PWMG_TypeDef; + +#define PWMG_FORCEH_PWM0_Pos 0 +#define PWMG_FORCEH_PWM0_Msk (0x01 << PWMG_FORCEH_PWM0_Pos) +#define PWMG_FORCEH_PWM1_Pos 1 +#define PWMG_FORCEH_PWM1_Msk (0x01 << PWMG_FORCEH_PWM1_Pos) +#define PWMG_FORCEH_PWM2_Pos 2 +#define PWMG_FORCEH_PWM2_Msk (0x01 << PWMG_FORCEH_PWM2_Pos) +#define PWMG_FORCEH_PWM3_Pos 3 +#define PWMG_FORCEH_PWM3_Msk (0x01 << PWMG_FORCEH_PWM3_Pos) +#define PWMG_FORCEH_PWM4_Pos 4 +#define PWMG_FORCEH_PWM4_Msk (0x01 << PWMG_FORCEH_PWM4_Pos) +#define PWMG_FORCEH_PWM5_Pos 5 +#define PWMG_FORCEH_PWM5_Msk (0x01 << PWMG_FORCEH_PWM5_Pos) + +#define PWMG_ADTRG_VALUE_Pos 0 +#define PWMG_ADTRG_VALUE_Msk (0xFFFF << PWMG_ADTRG0A_VALUE_Pos) +#define PWMG_ADTRG_EVEN_Pos 16 //1 閸嬪爼é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±é…� 0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é–¿å¬«æ« +#define PWMG_ADTRG_EVEN_Msk (0x01 << PWMG_ADTRG0A_EVEN_Pos) +#define PWMG_ADTRG_EN_Pos 17 +#define PWMG_ADTRG_EN_Msk (0x01 << PWMG_ADTRG0A_EN_Pos) + +#define PWMG_HALT_EN_Pos 0 +#define PWMG_HALT_EN_Msk (0x01 << PWMG_HALT_EN_Pos) +#define PWMG_HALT_PWM0_Pos 1 +#define PWMG_HALT_PWM0_Msk (0x01 << PWMG_HALT_PWM0_Pos) +#define PWMG_HALT_PWM1_Pos 2 +#define PWMG_HALT_PWM1_Msk (0x01 << PWMG_HALT_PWM1_Pos) +#define PWMG_HALT_PWM2_Pos 3 +#define PWMG_HALT_PWM2_Msk (0x01 << PWMG_HALT_PWM2_Pos) +#define PWMG_HALT_PWM3_Pos 4 +#define PWMG_HALT_PWM3_Msk (0x01 << PWMG_HALT_PWM3_Pos) +#define PWMG_HALT_PWM4_Pos 5 +#define PWMG_HALT_PWM4_Msk (0x01 << PWMG_HALT_PWM4_Pos) +#define PWMG_HALT_PWM5_Pos 6 +#define PWMG_HALT_PWM5_Msk (0x01 << PWMG_HALT_PWM5_Pos) +#define PWMG_HALT_STOPCNT_Pos 7 //1 閸掑綊é撻弬銈嗗î¶é–ºå†¨çˆ¼é撻弬銈嗗î¶PWMé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喎顫曢æ•çžæˆ’粻濮濄垽é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ 0 閸掑綊é撻弬銈嗗î¶é–ºå†¨çˆ¼é撻弬銈嗗î¶PWMé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define PWMG_HALT_STOPCNT_Msk (0x01 << PWMG_HALT_STOPCNT_Pos) +#define PWMG_HALT_INLVL_Pos 8 //1 閸掑綊é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归崣鈺呮晸閻欌å‰é‹å©šå¹é‘芥晸閸欘å“鎷� +#define PWMG_HALT_INLVL_Msk (0x01 << PWMG_HALT_INLVL_Pos) +#define PWMG_HALT_OUTLVL_Pos 9 //1 閸掑綊é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹å®„æ¿æ¸é—跨喓瀚涢敓锟� +#define PWMG_HALT_OUTLVL_Msk (0x01 << PWMG_HALT_OUTLVL_Pos) +#define PWMG_HALT_STAT_Pos 10 //1 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归崚褰掓晸閺傘倖瀚� +#define PWMG_HALT_STAT_Msk (0x01 << PWMG_HALT_STAT_Pos) + +#define PWMG_CHEN_PWM0A_Pos 0 +#define PWMG_CHEN_PWM0A_Msk (0x01 << PWMG_CHEN_PWM0A_Pos) +#define PWMG_CHEN_PWM0B_Pos 1 +#define PWMG_CHEN_PWM0B_Msk (0x01 << PWMG_CHEN_PWM0B_Pos) +#define PWMG_CHEN_PWM1A_Pos 2 +#define PWMG_CHEN_PWM1A_Msk (0x01 << PWMG_CHEN_PWM1A_Pos) +#define PWMG_CHEN_PWM1B_Pos 3 +#define PWMG_CHEN_PWM1B_Msk (0x01 << PWMG_CHEN_PWM1B_Pos) +#define PWMG_CHEN_PWM2A_Pos 4 +#define PWMG_CHEN_PWM2A_Msk (0x01 << PWMG_CHEN_PWM2A_Pos) +#define PWMG_CHEN_PWM2B_Pos 5 +#define PWMG_CHEN_PWM2B_Msk (0x01 << PWMG_CHEN_PWM2B_Pos) +#define PWMG_CHEN_PWM3A_Pos 6 +#define PWMG_CHEN_PWM3A_Msk (0x01 << PWMG_CHEN_PWM3A_Pos) +#define PWMG_CHEN_PWM3B_Pos 7 +#define PWMG_CHEN_PWM3B_Msk (0x01 << PWMG_CHEN_PWM3B_Pos) +#define PWMG_CHEN_PWM4A_Pos 8 +#define PWMG_CHEN_PWM4A_Msk (0x01 << PWMG_CHEN_PWM4A_Pos) +#define PWMG_CHEN_PWM4B_Pos 9 +#define PWMG_CHEN_PWM4B_Msk (0x01 << PWMG_CHEN_PWM4B_Pos) +#define PWMG_CHEN_PWM5A_Pos 10 +#define PWMG_CHEN_PWM5A_Msk (0x01 << PWMG_CHEN_PWM5A_Pos) +#define PWMG_CHEN_PWM5B_Pos 11 +#define PWMG_CHEN_PWM5B_Msk (0x01 << PWMG_CHEN_PWM5B_Pos) + +#define PWMG_IE_NEWP0A_Pos 0 +#define PWMG_IE_NEWP0A_Msk (0x01 << PWMG_IE_NEWP0A_Pos) +#define PWMG_IE_NEWP0B_Pos 1 +#define PWMG_IE_NEWP0B_Msk (0x01 << PWMG_IE_NEWP0B_Pos) +#define PWMG_IE_NEWP1A_Pos 2 +#define PWMG_IE_NEWP1A_Msk (0x01 << PWMG_IE_NEWP1A_Pos) +#define PWMG_IE_NEWP1B_Pos 3 +#define PWMG_IE_NEWP1B_Msk (0x01 << PWMG_IE_NEWP1B_Pos) +#define PWMG_IE_NEWP2A_Pos 4 +#define PWMG_IE_NEWP2A_Msk (0x01 << PWMG_IE_NEWP2A_Pos) +#define PWMG_IE_NEWP2B_Pos 5 +#define PWMG_IE_NEWP2B_Msk (0x01 << PWMG_IE_NEWP2B_Pos) +#define PWMG_IE_NEWP3A_Pos 6 +#define PWMG_IE_NEWP3A_Msk (0x01 << PWMG_IE_NEWP3A_Pos) +#define PWMG_IE_NEWP3B_Pos 7 +#define PWMG_IE_NEWP3B_Msk (0x01 << PWMG_IE_NEWP3B_Pos) +#define PWMG_IE_NEWP4A_Pos 8 +#define PWMG_IE_NEWP4A_Msk (0x01 << PWMG_IE_NEWP4A_Pos) +#define PWMG_IE_NEWP4B_Pos 9 +#define PWMG_IE_NEWP4B_Msk (0x01 << PWMG_IE_NEWP4B_Pos) +#define PWMG_IE_NEWP5A_Pos 10 +#define PWMG_IE_NEWP5A_Msk (0x01 << PWMG_IE_NEWP5A_Pos) +#define PWMG_IE_NEWP5B_Pos 11 +#define PWMG_IE_NEWP5B_Msk (0x01 << PWMG_IE_NEWP5B_Pos) +#define PWMG_IE_HEND0A_Pos 12 +#define PWMG_IE_HEND0A_Msk (0x01 << PWMG_IE_HEND0A_Pos) +#define PWMG_IE_HEND0B_Pos 13 +#define PWMG_IE_HEND0B_Msk (0x01 << PWMG_IE_HEND0B_Pos) +#define PWMG_IE_HEND1A_Pos 14 +#define PWMG_IE_HEND1A_Msk (0x01 << PWMG_IE_HEND1A_Pos) +#define PWMG_IE_HEND1B_Pos 15 +#define PWMG_IE_HEND1B_Msk (0x01 << PWMG_IE_HEND1B_Pos) +#define PWMG_IE_HEND2A_Pos 16 +#define PWMG_IE_HEND2A_Msk (0x01 << PWMG_IE_HEND2A_Pos) +#define PWMG_IE_HEND2B_Pos 17 +#define PWMG_IE_HEND2B_Msk (0x01 << PWMG_IE_HEND2B_Pos) +#define PWMG_IE_HEND3A_Pos 18 +#define PWMG_IE_HEND3A_Msk (0x01 << PWMG_IE_HEND3A_Pos) +#define PWMG_IE_HEND3B_Pos 19 +#define PWMG_IE_HEND3B_Msk (0x01 << PWMG_IE_HEND3B_Pos) +#define PWMG_IE_HEND4A_Pos 20 +#define PWMG_IE_HEND4A_Msk (0x01 << PWMG_IE_HEND4A_Pos) +#define PWMG_IE_HEND4B_Pos 21 +#define PWMG_IE_HEND4B_Msk (0x01 << PWMG_IE_HEND4B_Pos) +#define PWMG_IE_HEND5A_Pos 22 +#define PWMG_IE_HEND5A_Msk (0x01 << PWMG_IE_HEND5A_Pos) +#define PWMG_IE_HEND5B_Pos 23 +#define PWMG_IE_HEND5B_Msk (0x01 << PWMG_IE_HEND5B_Pos) +#define PWMG_IE_HALT_Pos 24 +#define PWMG_IE_HALT_Msk (0x01 << PWMG_IE_HALT_Pos) + +#define PWMG_IF_NEWP0A_Pos 0 +#define PWMG_IF_NEWP0A_Msk (0x01 << PWMG_IF_NEWP0A_Pos) +#define PWMG_IF_NEWP0B_Pos 1 +#define PWMG_IF_NEWP0B_Msk (0x01 << PWMG_IF_NEWP0B_Pos) +#define PWMG_IF_NEWP1A_Pos 2 +#define PWMG_IF_NEWP1A_Msk (0x01 << PWMG_IF_NEWP1A_Pos) +#define PWMG_IF_NEWP1B_Pos 3 +#define PWMG_IF_NEWP1B_Msk (0x01 << PWMG_IF_NEWP1B_Pos) +#define PWMG_IF_NEWP2A_Pos 4 +#define PWMG_IF_NEWP2A_Msk (0x01 << PWMG_IF_NEWP2A_Pos) +#define PWMG_IF_NEWP2B_Pos 5 +#define PWMG_IF_NEWP2B_Msk (0x01 << PWMG_IF_NEWP2B_Pos) +#define PWMG_IF_NEWP3A_Pos 6 +#define PWMG_IF_NEWP3A_Msk (0x01 << PWMG_IF_NEWP3A_Pos) +#define PWMG_IF_NEWP3B_Pos 7 +#define PWMG_IF_NEWP3B_Msk (0x01 << PWMG_IF_NEWP3B_Pos) +#define PWMG_IF_NEWP4A_Pos 8 +#define PWMG_IF_NEWP4A_Msk (0x01 << PWMG_IF_NEWP4A_Pos) +#define PWMG_IF_NEWP4B_Pos 9 +#define PWMG_IF_NEWP4B_Msk (0x01 << PWMG_IF_NEWP4B_Pos) +#define PWMG_IF_NEWP5A_Pos 10 +#define PWMG_IF_NEWP5A_Msk (0x01 << PWMG_IF_NEWP5A_Pos) +#define PWMG_IF_NEWP5B_Pos 11 +#define PWMG_IF_NEWP5B_Msk (0x01 << PWMG_IF_NEWP5B_Pos) +#define PWMG_IF_HEND0A_Pos 12 +#define PWMG_IF_HEND0A_Msk (0x01 << PWMG_IF_HEND0A_Pos) +#define PWMG_IF_HEND0B_Pos 13 +#define PWMG_IF_HEND0B_Msk (0x01 << PWMG_IF_HEND0B_Pos) +#define PWMG_IF_HEND1A_Pos 14 +#define PWMG_IF_HEND1A_Msk (0x01 << PWMG_IF_HEND1A_Pos) +#define PWMG_IF_HEND1B_Pos 15 +#define PWMG_IF_HEND1B_Msk (0x01 << PWMG_IF_HEND1B_Pos) +#define PWMG_IF_HEND2A_Pos 16 +#define PWMG_IF_HEND2A_Msk (0x01 << PWMG_IF_HEND2A_Pos) +#define PWMG_IF_HEND2B_Pos 17 +#define PWMG_IF_HEND2B_Msk (0x01 << PWMG_IF_HEND2B_Pos) +#define PWMG_IF_HEND3A_Pos 18 +#define PWMG_IF_HEND3A_Msk (0x01 << PWMG_IF_HEND3A_Pos) +#define PWMG_IF_HEND3B_Pos 19 +#define PWMG_IF_HEND3B_Msk (0x01 << PWMG_IF_HEND3B_Pos) +#define PWMG_IF_HEND4A_Pos 20 +#define PWMG_IF_HEND4A_Msk (0x01 << PWMG_IF_HEND4A_Pos) +#define PWMG_IF_HEND4B_Pos 21 +#define PWMG_IF_HEND4B_Msk (0x01 << PWMG_IF_HEND4B_Pos) +#define PWMG_IF_HEND5A_Pos 22 +#define PWMG_IF_HEND5A_Msk (0x01 << PWMG_IF_HEND5A_Pos) +#define PWMG_IF_HEND5B_Pos 23 +#define PWMG_IF_HEND5B_Msk (0x01 << PWMG_IF_HEND5B_Pos) +#define PWMG_IF_HALT_Pos 24 +#define PWMG_IF_HALT_Msk (0x01 << PWMG_IF_HALT_Pos) + +#define PWMG_IM_NEWP0A_Pos 0 //Interrupt Mask +#define PWMG_IM_NEWP0A_Msk (0x01 << PWMG_IM_NEWP0A_Pos) +#define PWMG_IM_NEWP0B_Pos 1 +#define PWMG_IM_NEWP0B_Msk (0x01 << PWMG_IM_NEWP0B_Pos) +#define PWMG_IM_NEWP1A_Pos 2 +#define PWMG_IM_NEWP1A_Msk (0x01 << PWMG_IM_NEWP1A_Pos) +#define PWMG_IM_NEWP1B_Pos 3 +#define PWMG_IM_NEWP1B_Msk (0x01 << PWMG_IM_NEWP1B_Pos) +#define PWMG_IM_NEWP2A_Pos 4 +#define PWMG_IM_NEWP2A_Msk (0x01 << PWMG_IM_NEWP2A_Pos) +#define PWMG_IM_NEWP2B_Pos 5 +#define PWMG_IM_NEWP2B_Msk (0x01 << PWMG_IM_NEWP2B_Pos) +#define PWMG_IM_NEWP3A_Pos 6 +#define PWMG_IM_NEWP3A_Msk (0x01 << PWMG_IM_NEWP3A_Pos) +#define PWMG_IM_NEWP3B_Pos 7 +#define PWMG_IM_NEWP3B_Msk (0x01 << PWMG_IM_NEWP3B_Pos) +#define PWMG_IM_NEWP4A_Pos 8 +#define PWMG_IM_NEWP4A_Msk (0x01 << PWMG_IM_NEWP4A_Pos) +#define PWMG_IM_NEWP4B_Pos 9 +#define PWMG_IM_NEWP4B_Msk (0x01 << PWMG_IM_NEWP4B_Pos) +#define PWMG_IM_NEWP5A_Pos 10 +#define PWMG_IM_NEWP5A_Msk (0x01 << PWMG_IM_NEWP5A_Pos) +#define PWMG_IM_NEWP5B_Pos 11 +#define PWMG_IM_NEWP5B_Msk (0x01 << PWMG_IM_NEWP5B_Pos) +#define PWMG_IM_HEND0A_Pos 12 +#define PWMG_IM_HEND0A_Msk (0x01 << PWMG_IM_HEND0A_Pos) +#define PWMG_IM_HEND0B_Pos 13 +#define PWMG_IM_HEND0B_Msk (0x01 << PWMG_IM_HEND0B_Pos) +#define PWMG_IM_HEND1A_Pos 14 +#define PWMG_IM_HEND1A_Msk (0x01 << PWMG_IM_HEND1A_Pos) +#define PWMG_IM_HEND1B_Pos 15 +#define PWMG_IM_HEND1B_Msk (0x01 << PWMG_IM_HEND1B_Pos) +#define PWMG_IM_HEND2A_Pos 16 +#define PWMG_IM_HEND2A_Msk (0x01 << PWMG_IM_HEND2A_Pos) +#define PWMG_IM_HEND2B_Pos 17 +#define PWMG_IM_HEND2B_Msk (0x01 << PWMG_IM_HEND2B_Pos) +#define PWMG_IM_HEND3A_Pos 18 +#define PWMG_IM_HEND3A_Msk (0x01 << PWMG_IM_HEND3A_Pos) +#define PWMG_IM_HEND3B_Pos 19 +#define PWMG_IM_HEND3B_Msk (0x01 << PWMG_IM_HEND3B_Pos) +#define PWMG_IM_HEND4A_Pos 20 +#define PWMG_IM_HEND4A_Msk (0x01 << PWMG_IM_HEND4A_Pos) +#define PWMG_IM_HEND4B_Pos 21 +#define PWMG_IM_HEND4B_Msk (0x01 << PWMG_IM_HEND4B_Pos) +#define PWMG_IM_HEND5A_Pos 22 +#define PWMG_IM_HEND5A_Msk (0x01 << PWMG_IM_HEND5A_Pos) +#define PWMG_IM_HEND5B_Pos 23 +#define PWMG_IM_HEND5B_Msk (0x01 << PWMG_IM_HEND5B_Pos) +#define PWMG_IM_HALT_Pos 24 +#define PWMG_IM_HALT_Msk (0x01 << PWMG_IM_HALT_Pos) + +#define PWMG_IRS_NEWP0A_Pos 0 //Interrupt Raw State +#define PWMG_IRS_NEWP0A_Msk (0x01 << PWMG_IRS_NEWP0A_Pos) +#define PWMG_IRS_NEWP0B_Pos 1 +#define PWMG_IRS_NEWP0B_Msk (0x01 << PWMG_IRS_NEWP0B_Pos) +#define PWMG_IRS_NEWP1A_Pos 2 +#define PWMG_IRS_NEWP1A_Msk (0x01 << PWMG_IRS_NEWP1A_Pos) +#define PWMG_IRS_NEWP1B_Pos 3 +#define PWMG_IRS_NEWP1B_Msk (0x01 << PWMG_IRS_NEWP1B_Pos) +#define PWMG_IRS_NEWP2A_Pos 4 +#define PWMG_IRS_NEWP2A_Msk (0x01 << PWMG_IRS_NEWP2A_Pos) +#define PWMG_IRS_NEWP2B_Pos 5 +#define PWMG_IRS_NEWP2B_Msk (0x01 << PWMG_IRS_NEWP2B_Pos) +#define PWMG_IRS_NEWP3A_Pos 6 +#define PWMG_IRS_NEWP3A_Msk (0x01 << PWMG_IRS_NEWP3A_Pos) +#define PWMG_IRS_NEWP3B_Pos 7 +#define PWMG_IRS_NEWP3B_Msk (0x01 << PWMG_IRS_NEWP3B_Pos) +#define PWMG_IRS_NEWP4A_Pos 8 +#define PWMG_IRS_NEWP4A_Msk (0x01 << PWMG_IRS_NEWP4A_Pos) +#define PWMG_IRS_NEWP4B_Pos 9 +#define PWMG_IRS_NEWP4B_Msk (0x01 << PWMG_IRS_NEWP4B_Pos) +#define PWMG_IRS_NEWP5A_Pos 10 +#define PWMG_IRS_NEWP5A_Msk (0x01 << PWMG_IRS_NEWP5A_Pos) +#define PWMG_IRS_NEWP5B_Pos 11 +#define PWMG_IRS_NEWP5B_Msk (0x01 << PWMG_IRS_NEWP5B_Pos) +#define PWMG_IRS_HEND0A_Pos 12 +#define PWMG_IRS_HEND0A_Msk (0x01 << PWMG_IRS_HEND0A_Pos) +#define PWMG_IRS_HEND0B_Pos 13 +#define PWMG_IRS_HEND0B_Msk (0x01 << PWMG_IRS_HEND0B_Pos) +#define PWMG_IRS_HEND1A_Pos 14 +#define PWMG_IRS_HEND1A_Msk (0x01 << PWMG_IRS_HEND1A_Pos) +#define PWMG_IRS_HEND1B_Pos 15 +#define PWMG_IRS_HEND1B_Msk (0x01 << PWMG_IRS_HEND1B_Pos) +#define PWMG_IRS_HEND2A_Pos 16 +#define PWMG_IRS_HEND2A_Msk (0x01 << PWMG_IRS_HEND2A_Pos) +#define PWMG_IRS_HEND2B_Pos 17 +#define PWMG_IRS_HEND2B_Msk (0x01 << PWMG_IRS_HEND2B_Pos) +#define PWMG_IRS_HEND3A_Pos 18 +#define PWMG_IRS_HEND3A_Msk (0x01 << PWMG_IRS_HEND3A_Pos) +#define PWMG_IRS_HEND3B_Pos 19 +#define PWMG_IRS_HEND3B_Msk (0x01 << PWMG_IRS_HEND3B_Pos) +#define PWMG_IRS_HEND4A_Pos 20 +#define PWMG_IRS_HEND4A_Msk (0x01 << PWMG_IRS_HEND4A_Pos) +#define PWMG_IRS_HEND4B_Pos 21 +#define PWMG_IRS_HEND4B_Msk (0x01 << PWMG_IRS_HEND4B_Pos) +#define PWMG_IRS_HEND5A_Pos 22 +#define PWMG_IRS_HEND5A_Msk (0x01 << PWMG_IRS_HEND5A_Pos) +#define PWMG_IRS_HEND5B_Pos 23 +#define PWMG_IRS_HEND5B_Msk (0x01 << PWMG_IRS_HEND5B_Pos) +#define PWMG_IRS_HALT_Pos 24 +#define PWMG_IRS_HALT_Msk (0x01 << PWMG_IRS_HALT_Pos) + +typedef struct +{ + __IO uint32_t EN; //[0] ENABLE + + __IO uint32_t IE; //閸欘亪é撻弬銈嗗î¶å¨‘æ“„æ‹·1閺冨爼é撻弬銈嗗î¶IF[CHx]é—è·¨å–é‹å©šå¹ç»‹î—³Aé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撶紒éæ‘敾閹风兘é撻弬銈嗗î¶å§’Ñ„ç‘©é撴潪é–℃嫹1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹ç–¯æ¸¹ç»”撮惄鎾晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶0 + + __IO uint32_t IM; //é—è·¨å–é‹å©šå¹é“šå‚礋1閺冨爼é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚šâ–IF[CHx]娑擄拷1é—è·¨å–é‹å©šå¹ç»Œå®®a_int娑旂喖é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归崥顕€é撻敓锟�1 + + __IO uint32_t IF; //閸愶拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� + + uint32_t RESERVED[12]; + + struct + { + __IO uint32_t CR; + + __IO uint32_t AM; //Adress Mode + + __IO uint32_t SRC; + + __IO uint32_t SRCSGADDR1; //閸欘亪é撻弬銈嗗î¶Scatter Gather濡€崇础é—è·¨å–é‹å©šå¹é“šå‚šâ–é—è·¨å–é‹å©šå¹é”Ÿï¿½ + + __IO uint32_t SRCSGADDR2; //閸欘亪é撻弬銈嗗î¶Scatter Gather濡€崇础é—è·¨å–é‹å©šå¹é“šå‚šâ–é—è·¨å–é‹å©šå¹é”Ÿï¿½ + + __IO uint32_t SRCSGADDR3; //閸欘亪é撻弬銈嗗î¶Scatter Gather濡€崇础é—è·¨å–é‹å©šå¹é“šå‚šâ–é—è·¨å–é‹å©šå¹é”Ÿï¿½ + + __IO uint32_t SRCSGLEN; //閸欘亪é撻弬銈嗗î¶Scatter Gather濡€崇础é—è·¨å–é‹å©šå¹é“šå‚šâ–é—è·¨å–é‹å©šå¹é”Ÿï¿½ + + __IO uint32_t DST; + + __IO uint32_t DSTSGADDR1; //閸欘亪é撻弬銈嗗î¶Scatter Gather濡€崇础é—è·¨å–é‹å©šå¹é“šå‚šâ–é—è·¨å–é‹å©šå¹é”Ÿï¿½ + + __IO uint32_t DSTSGADDR2; //閸欘亪é撻弬銈嗗î¶Scatter Gather濡€崇础é—è·¨å–é‹å©šå¹é“šå‚šâ–é—è·¨å–é‹å©šå¹é”Ÿï¿½ + + __IO uint32_t DSTSGADDR3; //閸欘亪é撻弬銈嗗î¶Scatter Gather濡€崇础é—è·¨å–é‹å©šå¹é“šå‚šâ–é—è·¨å–é‹å©šå¹é”Ÿï¿½ + + __IO uint32_t DSTSGLEN; //閸欘亪é撻弬銈嗗î¶Scatter Gather濡€崇础é—è·¨å–é‹å©šå¹é“šå‚šâ–é—è·¨å–é‹å©šå¹é”Ÿï¿½ + + uint32_t RESERVED[4]; + } CH[3]; +} DMA_TypeDef; + +#define DMA_IE_CH0_Pos 0 +#define DMA_IE_CH0_Msk (0x01 << DMA_IE_CH0_Pos) +#define DMA_IE_CH1_Pos 1 +#define DMA_IE_CH1_Msk (0x01 << DMA_IE_CH1_Pos) +#define DMA_IE_CH2_Pos 2 +#define DMA_IE_CH2_Msk (0x01 << DMA_IE_CH2_Pos) +#define DMA_IE_CH3_Pos 3 +#define DMA_IE_CH3_Msk (0x01 << DMA_IE_CH3_Pos) +#define DMA_IE_CH4_Pos 4 +#define DMA_IE_CH4_Msk (0x01 << DMA_IE_CH4_Pos) +#define DMA_IE_CH5_Pos 5 +#define DMA_IE_CH5_Msk (0x01 << DMA_IE_CH5_Pos) +#define DMA_IE_CH6_Pos 6 +#define DMA_IE_CH6_Msk (0x01 << DMA_IE_CH6_Pos) +#define DMA_IE_CH7_Pos 7 +#define DMA_IE_CH7_Msk (0x01 << DMA_IE_CH7_Pos) + +#define DMA_IM_CH0_Pos 0 +#define DMA_IM_CH0_Msk (0x01 << DMA_IM_CH0_Pos) +#define DMA_IM_CH1_Pos 1 +#define DMA_IM_CH1_Msk (0x01 << DMA_IM_CH1_Pos) +#define DMA_IM_CH2_Pos 2 +#define DMA_IM_CH2_Msk (0x01 << DMA_IM_CH2_Pos) +#define DMA_IM_CH3_Pos 3 +#define DMA_IM_CH3_Msk (0x01 << DMA_IM_CH3_Pos) +#define DMA_IM_CH4_Pos 4 +#define DMA_IM_CH4_Msk (0x01 << DMA_IM_CH4_Pos) +#define DMA_IM_CH5_Pos 5 +#define DMA_IM_CH5_Msk (0x01 << DMA_IM_CH5_Pos) +#define DMA_IM_CH6_Pos 6 +#define DMA_IM_CH6_Msk (0x01 << DMA_IM_CH6_Pos) +#define DMA_IM_CH7_Pos 7 +#define DMA_IM_CH7_Msk (0x01 << DMA_IM_CH7_Pos) + +#define DMA_IF_CH0_Pos 0 +#define DMA_IF_CH0_Msk (0x01 << DMA_IF_CH0_Pos) +#define DMA_IF_CH1_Pos 1 +#define DMA_IF_CH1_Msk (0x01 << DMA_IF_CH1_Pos) +#define DMA_IF_CH2_Pos 2 +#define DMA_IF_CH2_Msk (0x01 << DMA_IF_CH2_Pos) +#define DMA_IF_CH3_Pos 3 +#define DMA_IF_CH3_Msk (0x01 << DMA_IF_CH3_Pos) +#define DMA_IF_CH4_Pos 4 +#define DMA_IF_CH4_Msk (0x01 << DMA_IF_CH4_Pos) +#define DMA_IF_CH5_Pos 5 +#define DMA_IF_CH5_Msk (0x01 << DMA_IF_CH5_Pos) +#define DMA_IF_CH6_Pos 6 +#define DMA_IF_CH6_Msk (0x01 << DMA_IF_CH6_Pos) +#define DMA_IF_CH7_Pos 7 +#define DMA_IF_CH7_Msk (0x01 << DMA_IF_CH7_Pos) + +#define DMA_CR_LEN_Pos 0 //é—è·¨å–é‹å©šå¹é‘解å“姘舵晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–婢冪粵瑙勫î¶é—跨喖銈洪æ•è¹‡æ–¿î¶0é—è·¨å–é‹å©šå¹å®„扮安1é—跨喕顢滈懞éŒï¸¾ç§¶é–¹é£Žå…˜é撻弬銈嗗î¶é—跨噦鎷�4096é—è·¨å–•é¡¢æ»ˆå¼¬éŠˆå——î¶ +#define DMA_CR_LEN_Msk (0xFFF << DMA_CR_LEN_Pos) +#define DMA_CR_RXEN_Pos 16 +#define DMA_CR_RXEN_Msk (0x01 << DMA_CR_RXEN_Pos) +#define DMA_CR_TXEN_Pos 17 +#define DMA_CR_TXEN_Msk (0x01 << DMA_CR_TXEN_Pos) +#define DMA_CR_AUTORE_Pos 18 //Auto Restart, é—岸é撻弬銈嗗î¶é—跨喕濡æ½éî„€î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归悵濠囨晸閺傘倖瀚瑰▎é˜ç»˜æ™¸é–ºå‚˜å€–瀚规潻婊堟晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨噦鎷� +#define DMA_CR_AUTORE_Msk (0x01 << DMA_CR_AUTORE_Pos) + +#define DMA_AM_SRCAM_Pos 0 //Address Mode 0 é—è·¨å–é‹å©šå¹å®„版絻é—è·¨å–é†â‚¬é ä½½î‰ç€šï¿½ 1 é—è·¨å–é‹å©šå¹å®„版絻é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� 2 scatter gather濡€崇础 +#define DMA_AM_SRCAM_Msk (0x03 << DMA_AM_SRCAM_Pos) +#define DMA_AM_DSTAM_Pos 8 +#define DMA_AM_DSTAM_Msk (0x03 << DMA_AM_DSTAM_Pos) +#define DMA_AM_BURST_Pos 16 +#define DMA_AM_BURST_Msk (0x01 << DMA_AM_BURST_Pos) + +typedef struct +{ + __IO uint32_t CR; //Control Register + + __O uint32_t CMD; //Command Register + + __I uint32_t SR; //Status Register + + __I uint32_t IF; //Interrupt Flag + + __IO uint32_t IE; //Interrupt Enable + + uint32_t RESERVED; + + __IO uint32_t BT0; //Bit Time Register 0 + + __IO uint32_t BT1; //Bit Time Register 1 + + uint32_t RESERVED2[3]; + + __I uint32_t ALC; //Arbitration Lost Capture, é—跨喎濮憗浣筋啇閹峰嘲銇戦柨é”å‘Šç®é–¹çƒ½æ”±å®•ï¿½ + + __I uint32_t ECC; //Error code capture, é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±é§å²„煫璇ф嫹 + + __IO uint32_t EWLIM; //Error Warning Limit, é—è·¨å–é‹å©šå¹é‘芥晸éŸæ¥ƒå–濮ら幘é›î†¼î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� + + __IO uint32_t RXERR; //RXé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻敓锟� + + __IO uint32_t TXERR; //TXé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻敓锟� + + union + { + struct //é—跨喕濡棃鈺傚î¶å¨´ï½…秵妞傞柨é”哄嵆é ä½½î‰ç€šå½’崘娆撴晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚瑰Ο鈥崇础é—跨喖鎽î†æ‹ è¤Žç€šå½’柨é”哄嵆閸戙倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· + { + __IO uint32_t ACR[4]; //Acceptance Check Register, é—è·¨å–é‹å©šå¹é‘芥晸ç¼å¤ŒÇ¹é¦åº¢æ½éî„€î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ + + __IO uint32_t AMR[4]; //Acceptance Mask Register, é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撴潪é¨î†¾æ§‘é‰å ¢å“瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹å®„扮安娴e秴éŸï¿½0é—è·¨å–é‹å©šå¹ç»‹ã€¥é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–¸æ¥ƒå§µæ¿¯çƒ½æŸ¨é”å‘Šç®é–¹é£Žå…˜é撻悪掳éŽå©šå¹é‘芥晸閿燂拷 + + uint32_t RESERVED[5]; + } FILTER; + + union //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é–¿å¬†ä½¸î‡£è¹‡æ¶™æ™¸é—炬澘褰茬拋瑙勫î¶é–¸æ„­ç‘©é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œç§´é–ºå†¨çˆ¼é撻弬銈嗗î¶é—跨喓é—抽崙銈嗗î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ + { + struct + { + __O uint32_t INFO; + + __O uint32_t DATA[12]; + } TXFRAME; + + struct + { + __I uint32_t INFO; + + __I uint32_t DATA[12]; + } RXFRAME; + }; + }; + + __I uint32_t RMCNT; //Receive Message Count + + uint32_t RESERVED3[66]; + + struct //TXFRAMEé—è·¨å–鑼庣拋瑙勫î¶é—è·¨å–ç”¯æ’®å´ éˆ©å†¨î¶ + { + __I uint32_t INFO; + + __I uint32_t DATA[12]; + } TXFRAME_R; +} CAN_TypeDef; + +#define CAN_CR_RST_Pos 0 +#define CAN_CR_RST_Msk (0x01 << CAN_CR_RST_Pos) +#define CAN_CR_LOM_Pos 1 //Listen Only Mode +#define CAN_CR_LOM_Msk (0x01 << CAN_CR_LOM_Pos) +#define CAN_CR_STM_Pos 2 //Self Test Mode, é—è·¨å–é‹å©šå¹é–¿å¬†ä½¸î‡£è¹‡æ¶™æ™¸é—炬壆銆嬮å¹é“šå‚šâ–濞岋ç¹é撻弬銈嗗î¶éŽ¼å­˜æ£ƒé撻弬銈嗗î¶CANé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹ç–¯æ¸¹ç»¡å†®æŸ¨é”å‘Šç®é–¹é£Žå…˜é撻惃é¡æ¨ºç‡é–»Ñƒæ†¡ç€šå½’柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define CAN_CR_STM_Msk (0x01 << CAN_CR_STM_Pos) +#define CAN_CR_AFM_Pos 3 //Acceptance Filter Mode, 1 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喎澹欑拠褎瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶32娴e秹éæ’»å¼¬éŠˆå——î¶ 0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喎澹欑拠褎瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶16娴e秹éæ’»å¼¬éŠˆå——î¶ +#define CAN_CR_AFM_Msk (0x01 << CAN_CR_AFM_Pos) +#define CAN_CR_SLEEP_Pos 4 //閸愶拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归惈锟犳晸閺傘倖瀚瑰Ο鈥崇础é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撶粩顓熸ãŸé–¸æ–»åŠ‘é撻弬銈嗗î¶é—跨喎褰ㄧ拋瑙勫î¶é–ºå†¨çˆ¼é撻弬銈嗗î¶é—跨喓é›ã‚‡æ‹ è¤Žç€šå½’柨é”烘畷é ä½½î‰ç€šå½’柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喕濞囬敓锟� +#define CAN_CR_SLEEP_Msk (0x01 << CAN_CR_SLEEP_Pos) +#define CAN_CR_DMAEN_Pos 5 +#define CAN_CR_DMAEN_Msk (0x01 << CAN_CR_DMAEN_Pos) + +#define CAN_CMD_TXREQ_Pos 0 //Transmission Request +#define CAN_CMD_TXREQ_Msk (0x01 << CAN_CMD_TXREQ_Pos) +#define CAN_CMD_ABTTX_Pos 1 //Abort Transmission +#define CAN_CMD_ABTTX_Msk (0x01 << CAN_CMD_ABTTX_Pos) +#define CAN_CMD_RRB_Pos 2 //Release Receive Buffer +#define CAN_CMD_RRB_Msk (0x01 << CAN_CMD_RRB_Pos) +#define CAN_CMD_CLROV_Pos 3 //Clear Data Overrun +#define CAN_CMD_CLROV_Msk (0x01 << CAN_CMD_CLROV_Pos) +#define CAN_CMD_SRR_Pos 4 //Self Reception Request +#define CAN_CMD_SRR_Msk (0x01 << CAN_CMD_SRR_Pos) + +#define CAN_SR_RXDA_Pos 0 //Receive Data Availableé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£ŽÃ©IFOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é–¿å¬©ç´–é—è·¨å–é‹å©šå¹é‘芥晸閻ㄥ棜顔愰å¹å®„æ¿çµ¿ +#define CAN_SR_RXDA_Msk (0x01 << CAN_SR_RXDA_Pos) +#define CAN_SR_RXOV_Pos 1 //Receive FIFO Overruné—è·¨å–é‹å©šå¹é‘芥晸é—剧増é‹å©šå¹é‘芥晸ç¼å¤Šæ‘œé¡£î‡€å¹é‘芥晸閺傘倖瀚归å¹é¡–炴晸閺傘倖瀚归柨é”诲Î閺傘倖瀚归柨é”å‘Šç®é–¹é£ŽÃ©IFOé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ +#define CAN_SR_RXOV_Msk (0x01 << CAN_SR_RXOV_Pos) +#define CAN_SR_TXBR_Pos 2 //Transmit Buffer Releaseé—è·¨å–é‹å©šå¹é”Ÿï¿½0 é—è·¨å–é‹å©šå¹é‘芥晸閼哄倽鎻îˆå¹é‘芥晸閺傘倖瀚归崜宥夋晸閺傘倖瀚规慨é¡æ¶™æ™¸é–ºå‚˜å€–瀚归崑婊堟晸閺傘倖瀚归柨é”å‘Šç®é–¹é£ŽæŸ‰é¦î„„柨é”å‘Šç®é–¹é£Žå…˜é撻崣é¡ãƒ¦å½§é–¹çƒ½î—“é¡£é柨é”å‘Šç®é–¹é£Žå…˜éæ’´æ½é挎嫹 1 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归崘娆撴晸閺傘倖瀚归柨é”兼應绾æ¿î˜°ç€šå½’柨é”å‘Šç®é–¹çƒ½æ”±æµ¼å‘´æŸ¨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define CAN_SR_TXBR_Msk (0x01 << CAN_SR_TXBR_Pos) +#define CAN_SR_TXOK_Pos 3 //Transmit OKé—è·¨å–é‹å©šå¹ç»Œæ¼¸ccessfully completed +#define CAN_SR_TXOK_Msk (0x01 << CAN_SR_TXOK_Pos) +#define CAN_SR_RXBUSY_Pos 4 //Receive Busyé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”诲Î閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define CAN_SR_RXBUSY_Msk (0x01 << CAN_SR_RXBUSY_Pos) +#define CAN_SR_TXBUSY_Pos 5 //Transmit Busyé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”诲Î閸戙倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define CAN_SR_TXBUSY_Msk (0x01 << CAN_SR_TXBUSY_Pos) +#define CAN_SR_ERRWARN_Pos 6 //1 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规稉鈧柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—åž®éªéŽ·ï¿½ Warning Limit +#define CAN_SR_ERRWARN_Msk (0x01 << CAN_SR_ERRWARN_Pos) +#define CAN_SR_BUSOFF_Pos 7 //1 CAN é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”侯仾閸忚櫕éžå©šå¹æ¤‹åº¡Ð¦é–¹îƒ¿ç·šé撻弬銈嗗î¶æ¿žå²‹ç¹é撻崣é¡ãƒ®åš‹é–¹é£Žå…˜é撶拠é¡ãˆ ç…‚é—è·¨å–é‹å©šå¹é‘芥晸ç¼æ—‘厽妞å—å´é”Ÿï¿½ +#define CAN_SR_BUSOFF_Msk (0x01 << CAN_SR_BUSOFF_Pos) + +#define CAN_IF_RXDA_Pos 0 //IF.RXDA = SR.RXDA & IE.RXDA +#define CAN_IF_RXDA_Msk (0x01 << CAN_IF_RXDA_Pos) +#define CAN_IF_TXBR_Pos 1 //é—è·¨å–é‹å©šå¹ç»‹ã€¦.TXBR=1閺冨爼é撻弬銈嗗î¶SR.TXBRé—è·¨å–é‹å©šå¹é”Ÿï¿½0é—è·¨å–é‹å©šå¹é‘芥晸閿燂拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担宥夋晸閺傘倖瀚规担锟� +#define CAN_IF_TXBR_Msk (0x01 << CAN_IF_TXBR_Pos) +#define CAN_IF_ERRWARN_Pos 2 //é—è·¨å–é‹å©šå¹ç»‹ã€¦.ERRWARN=1閺冨爼é撻弬銈嗗î¶SR.ERRWARNé—è·¨å–é‹å©šå¹ç»‹ç¢¦.BUSOFF 0-to-1 é—è·¨å–é‹å©šå¹é”Ÿï¿½ 1-to-0é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担宥夋晸閺傘倖瀚规担锟� +#define CAN_IF_ERRWARN_Msk (0x01 << CAN_IF_ERRWARN_Pos) +#define CAN_IF_RXOV_Pos 3 //IF.RXOV = SR.RXOV & IE.RXOV +#define CAN_IF_RXOV_Msk (0x01 << CAN_IF_RXOV_Pos) +#define CAN_IF_WKUP_Pos 4 //é—è·¨å–é‹å©šå¹ç»‹ã€¦.WKUP=1閺冨爼é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹æ¤‹åº¢è’‹é—è·¨å–é‹å©šå¹é–¿å¬†ä½¸î‡£è¹‡æ¶™æ™¸é—炬壆顣å¹ç»‹î”§Né—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–»æ¶šå“é‹å©šå¹é‘芥晸閺傘倖瀚归崨瀣î„澔椤曞ç绨㈢拠褎瀚归柨é”å‘Šç®é–¹é£Žå…˜é撴潪é–℃嫹 +#define CAN_IF_WKUP_Msk (0x01 << CAN_IF_WKUP_Pos) +#define CAN_IF_ERRPASS_Pos 5 // +#define CAN_IF_ERRPASS_Msk (0x01 << CAN_IF_ERRPASS_Pos) +#define CAN_IF_ARBLOST_Pos 6 //Arbitration Losté—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚笽E.ARBLOST=1閺冨爼é撻弬銈嗗î¶CANé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶å©¢å •äº¶éæ’»å´é¡ãƒ®æ¢¿é–¹æ’瀚圭仦閬嶆晸閺傘倖瀚规æ½å¦¤å‘®æ™¸ç¼‚佺å›ç»¨ãˆ¢æ‹ è¤Žç€šå½’柨é”å‘Šç®é–¹é£Žå…˜é撴潪é–℃嫹 +#define CAN_IF_ARBLOST_Msk (0x01 << CAN_IF_ARBLOST_Pos) +#define CAN_IF_BUSERR_Pos 7 //é—è·¨å–é‹å©šå¹ç»‹ã€¦.BUSERR=1閺冨爼é撻弬銈嗗î¶CANé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–»æ¶šå“é‹å©šå¹é‘芥晸閺傘倖瀚归崣浠嬫晸閺傘倖瀚归柨é”虹哺鎼æ‘洩顕滈å¹é‘芥晸閺傘倖瀚归柨é”诲â–閿燂拷 +#define CAN_IF_BUSERR_Msk (0x01 << CAN_IF_BUSERR_Pos) + +#define CAN_IE_RXDA_Pos 0 +#define CAN_IE_RXDA_Msk (0x01 << CAN_IE_RXDA_Pos) +#define CAN_IE_TXBR_Pos 1 +#define CAN_IE_TXBR_Msk (0x01 << CAN_IE_TXBR_Pos) +#define CAN_IE_ERRWARN_Pos 2 +#define CAN_IE_ERRWARN_Msk (0x01 << CAN_IE_ERRWARN_Pos) +#define CAN_IE_RXOV_Pos 3 +#define CAN_IE_RXOV_Msk (0x01 << CAN_IE_RXOV_Pos) +#define CAN_IE_WKUP_Pos 4 +#define CAN_IE_WKUP_Msk (0x01 << CAN_IE_WKUP_Pos) +#define CAN_IE_ERRPASS_Pos 5 +#define CAN_IE_ERRPASS_Msk (0x01 << CAN_IE_ERRPASS_Pos) +#define CAN_IE_ARBLOST_Pos 6 +#define CAN_IE_ARBLOST_Msk (0x01 << CAN_IE_ARBLOST_Pos) +#define CAN_IE_BUSERR_Pos 7 +#define CAN_IE_BUSERR_Msk (0x01 << CAN_IE_BUSERR_Pos) + +#define CAN_BT0_BRP_Pos 0 //Baud Rate Prescaleré—è·¨å–é‹å©šå¹ç»‹î”§N閺冨爼é撻幋鎺æˆç¤‹å¨´ï½æ‹·=2*Tsysclk*(BRP+1) +#define CAN_BT0_BRP_Msk (0x3F << CAN_BT0_BRP_Pos) +#define CAN_BT0_SJW_Pos 6 //Synchronization Jump Width +#define CAN_BT0_SJW_Msk (0x03 << CAN_BT0_SJW_Pos) + +#define CAN_BT1_TSEG1_Pos 0 //t_tseg1 = CAN閺冨爼é撻幋鎺æˆç¤‹å¨´ï½æ‹· * (TSEG1+1) +#define CAN_BT1_TSEG1_Msk (0x0F << CAN_BT1_TSEG1_Pos) +#define CAN_BT1_TSEG2_Pos 4 //t_tseg2 = CAN閺冨爼é撻幋鎺æˆç¤‹å¨´ï½æ‹· * (TSEG2+1) +#define CAN_BT1_TSEG2_Msk (0x07 << CAN_BT1_TSEG2_Pos) +#define CAN_BT1_SAM_Pos 7 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ 0: sampled once 1: sampled three times +#define CAN_BT1_SAM_Msk (0x01 << CAN_BT1_SAM_Pos) + +#define CAN_ECC_SEGCODE_Pos 0 //Segment Code +#define CAN_ECC_SEGCODE_Msk (0x0F << CAN_ECC_SEGCODE_Pos) +#define CAN_ECC_DIR_Pos 4 //0 error occurred during transmission 1 during reception +#define CAN_ECC_DIR_Msk (0x01 << CAN_ECC_DIR_Pos) +#define CAN_ECC_ERRCODE_Pos 5 //Error Codeé—è·¨å–é‹å©šå¹é”Ÿï¿½0 Bit error 1 Form error 2 Stuff error 3 other error +#define CAN_ECC_ERRCODE_Msk (0x03 << CAN_ECC_ERRCODE_Pos) + +#define CAN_INFO_DLC_Pos 0 //Data Length Control +#define CAN_INFO_DLC_Msk (0x0F << CAN_INFO_DLC_Pos) +#define CAN_INFO_RTR_Pos 6 //Remote Frameé—è·¨å–é‹å©šå¹é”Ÿï¿½1 é‰â•‚粓é撻弬銈嗗î¶é¢îˆ¤æ‹· 0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚圭敮锟� +#define CAN_INFO_RTR_Msk (0x01 << CAN_INFO_RTR_Pos) +#define CAN_INFO_FF_Pos 7 //Frame Formaté—è·¨å–é‹å©šå¹é”Ÿï¿½0 é—è·¨å–é‹å©šå¹å®„æ¿æ«™é¢îˆ†å›¨æ™¸é–ºå‚˜å€–瀚瑰锟� 1 é—è·¨å–é‹å©šå¹å®„扮潔é¢îˆ†å›¨æ™¸é–ºå‚˜å€–瀚瑰锟� +#define CAN_INFO_FF_Msk (0x01 << CAN_INFO_FF_Pos) + +typedef struct +{ + __IO uint32_t IE; //[0] 娑擄拷0é—è·¨å–é‹å©šå¹é–¿å¬«î˜§é—è·¨å–é‹å©šå¹ç»‹ã€§[0]缂佹挳é撻弬銈嗗î¶å¨‘æ“„æ‹·0 + + __IO uint32_t IF; //[0] é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”活敎闂堚晜瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶å¦¤ï½…﹪é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é¤îˆšç¦‚é—è·¨å–é‹å©šå¹é‘芥晸缂佺åžéžå©šå¹é‘芥晸閿燂拷1é—è·¨å–é‹å©šå¹å®„æ¿æ™¸1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� + + __IO uint32_t IM; //[0] é—è·¨å–é‹å©šå¹é‘芥晸閻å„é¦åº¢æ½éî„€î¶é—è·¨å–é‹å©šå¹é“šå‚礋1閺冨爼é撻弬銈嗗î¶LCDCé—è·¨å–é‹å©šå¹é‘芥晸閸欘å…éŒå›©æ‹ è¤Žç€šå½’柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸é‰å å•©ç¼å—™ç²µç‘™å‹«î¶é—è·¨å–é‹å©šå¹å®„æ¿ç¥»ç€¹å‹¶ç¹é撻弬銈嗗î¶é–½â‚¬å®¥å——é—è·¨å–é‹å©šå¹é‘芥晸閿燂拷 + + __IO uint32_t START; + + __IO uint32_t SRCADDR; //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚瑰┃鎰版晸閺傘倖瀚归崸鈧柨é”惰寧é‰å ¢å“瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶30娴e秹é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规担宥夋晸閻ㄥ棜顔愰å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· + + __IO uint32_t CR0; + + __IO uint32_t CR1; + + __IO uint32_t PRECMDV; //é—è·¨å–é‹å©šå¹ç»‹ç’“Ué—è·¨å–甯撮崠鈩冨î¶é—跨喎褰ㄩæ•è¹‡æ–¿î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é–¸æ’³ç§¹é撻弬銈嗗î¶RSé—è·¨å–é‹å©šå¹é‘芥晸é—扮數顣å¹é‘芥晸閺傘倖瀚规稉鈧柨é”惰寧閿濆繑瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”è¤çª›ç»¾æ¿î˜°ç€šå½’å´é”Ÿï¿½ +} LCD_TypeDef; + +#define LCD_START_MPUEN_Pos 0 //0 RGBé—è·¨å–ç”¯æ’®å´ éˆ©å†¨î¶ 1 MPUé—è·¨å–ç”¯æ’®å´ éˆ©å†¨î¶ +#define LCD_START_MPUEN_Msk (0x01 << LCD_START_MPUEN_Pos) +#define LCD_START_GO_Pos 1 //閸愶拷1é—è·¨å–é‹å©šå¹å®„邦潗é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻幑é‘ょ秶閹风兘é撻弬銈嗗î¶é—è·¨å–宓庢æ½éî„€î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é‰â•‚粓é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閿燂拷 +#define LCD_START_GO_Msk (0x01 << LCD_START_GO_Pos) +#define LCD_START_BURST_Pos 2 +#define LCD_START_BURST_Msk (0x01 << LCD_START_BURST_Pos) +#define LCD_START_POSTCMDE_Pos 3 //é—è·¨å–é‹å©šå¹é“šå‚œæ˜‚é—è·¨å–é‹å©šå¹é¤îˆ£æ´£é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归崘娆撴晸閺傘倖瀚归å¹é¥î„晸閺傘倖瀚归柨é”活敎椤曞棙瀚归摶éœä½¸åŠ‰é—è·¨å–褰æ´ãˆ î¶é—è·¨å–褰导娆愬î¶é—跨噦鎷�0x80é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸éžæ¶™îšéŽ·ï¿½ +#define LCD_START_POSTCMDE_Msk (0x01 << LCD_START_POSTCMDE_Pos) +#define LCD_START_POSTCMDV_Pos 4 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸éžæ¶™îš†éŽ·æ¿‹å¹é‘芥晸閺傘倖瀚归崘éŠã‚†æ™¸é–ºå‚˜å€–瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚圭化濠氭晸éžæ¶™îšˆé¡£î‡€å¹é‘芥晸娓氥儺é“ã„©å¹é‘芥晸閺傘倖瀚归柨é•å‚›å«¹0x80é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é¤îˆ£æ´£é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define LCD_START_POSTCMDV_Msk (0xFFFF << LCD_START_POSTCMDV_Pos) + +#define LCD_CR0_VPIX_Pos 0 //é—è·¨å–é‹å©šå¹ç»Œæ­°rtrait娑擄拷0閺冨爼é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›é—è·¨å–é‹å©šå¹æ¤‹åº¢çº¯é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶å¦«ï½†îšŠé撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閿燂拷0é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撴潪é–℃嫹767 +//é—è·¨å–é‹å©šå¹ç»Œæ­°rtrait娑擄拷1閺冨爼é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›æ¿®æ¨»æ½™é–½â•…柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规#妤呮晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻敓锟�0é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撴潪é–℃嫹767 +#define LCD_CR0_VPIX_Msk (0x3FF << LCD_CR0_VPIX_Pos) +#define LCD_CR0_HPIX_Pos 10 //é—è·¨å–é‹å©šå¹ç»Œæ­°rtrait娑擄拷0閺冨爼é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›æ¿®æ¨»æ½™é–½â•…柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规#妤呮晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻敓锟�0é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撴潪é–℃嫹1023 +//é—è·¨å–é‹å©šå¹ç»Œæ­°rtrait娑擄拷1閺冨爼é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›é—è·¨å–é‹å©šå¹æ¤‹åº¢çº¯é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶å¦«ï½†îšŠé撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閿燂拷0é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撴潪é–℃嫹1023 +#define LCD_CR0_HPIX_Msk (0x3FF << LCD_CR0_HPIX_Pos) +#define LCD_CR0_DCLK_Pos 20 //0 DOTCLK娑撯å“閻╂挳é撻弬銈嗗î¶é‰çƒ‡æ‹· 1 DOTCLKé—跨喕濡崠鈩冨î¶é—è·¨å–é‹å©šå¹é–¿å¬«î˜§é–¸å¬«ç²“é撻弬銈嗗î¶1 +#define LCD_CR0_DCLK_Msk (0x01 << LCD_CR0_DCLK_Pos) +#define LCD_CR0_HLOW_Pos 21 //é—è·¨å–é‹å©šå¹é‘芥晸ç¼è¾©ç¬ŒYNCé—跨喖é™è™¹å–Šæ¾¶å¬ªî¶æ¥ ç‚´å¨Šé撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”峰Л闂堚晜瀚笵OTCLKé—è·¨å–é‹å©šå¹é‘芥晸閼哄åŠç¼å›¬å¹é”Ÿï¿½0é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define LCD_CR0_HLOW_Msk (0x03 << LCD_CR0_HLOW_Pos) + +#define LCD_CR0_DLEN_Pos 0 //MPUé—è·¨å–甯撮崠鈩冨î¶é–ºå†¨çˆ¼é撻弬銈嗗î¶é—跨喎澹æ¬â–Žé™‡é¡•æ»ˆå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–宓庣粵瑙勫î¶é—跨喖銈洪æ•è¹‡æ–¿î¶é—è·¨å–é‹å©šå¹é“šå‚œç§´å¨‘撴椽é撶悰妤勫Î閿濆繑瀚�0é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›1é—è·¨å–é‹å©šå¹é”Ÿï¿½ +#define LCD_CR0_DLEN_Msk (0x1FFFFF << LCD_CR0_DLEN_Pos) + +#define LCD_CR1_DIRV_Pos 0 //0 portrait=0é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±è†©ç€µî‡†æ‹· 1 portrait=1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±è†©ç€µî‡†æ‹· +#define LCD_CR1_DIRV_Msk (0x01 << LCD_CR1_DIRV_Pos) +#define LCD_CR1_VFP_Pos 1 +#define LCD_CR1_VFP_Msk (0x07 << LCD_CR1_VFP_Pos) +#define LCD_CR1_VBP_Pos 4 +#define LCD_CR1_VBP_Msk (0x1F << LCD_CR1_VBP_Pos) +#define LCD_CR1_HFP_Pos 9 +#define LCD_CR1_HFP_Msk (0x1F << LCD_CR1_HFP_Pos) +#define LCD_CR1_HBP_Pos 14 +#define LCD_CR1_HBP_Msk (0x7F << LCD_CR1_HBP_Pos) +#define LCD_CR1_DCLKDIV_Pos 21 //DOTCLKé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”惰寧閿濆繑瀚归柨é”虹哺閹æ’瀚归å¹éŠ‰ãƒ®æ½’é—跨喓瀚涚喊澶嬪î¶å§’é撻敓锟�0é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›2é—è·¨å–é‹å©šå¹é‘筋暥é—è·¨å–é‹å©šå¹é”Ÿï¿½1é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›4é—è·¨å–é‹å©šå¹é‘筋暥 ... +#define LCD_CR1_DCLKDIV_Msk (0x1F << LCD_CR1_DCLKDIV_Pos) +#define LCD_CR1_DCLKINV_Pos 26 //1 é—è·¨å–é‹å©šå¹é‘芥晸ç¼è¾©ç‹TCLKé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规惔éƒå Ÿæ™¸é–ºå‚˜å€–瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶DOTCLKé—跨喖鎽îˆå¼¬éŠˆå——î¶é—è·¨å–é©å‘¯æ‹ è¤Žç€šå½’柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–宓庣喊澶嬪î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ +#define LCD_CR1_DCLKINV_Msk (0x01 << LCD_CR1_DCLKINV_Pos) + +#define LCD_CR1_REG_Pos 0 //LCD_CR1_CMD_Posé–¸æ¬ç‰•éˆ§î„Šæ‹·1閺冨爼é撻弬銈嗗î¶é—è·¨å–鑼庢æ½éî„€î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å³°å˜²éˆ§î„Šæ‹· +#define LCD_CR1_REG_Msk (0xFFFF << LCD_CR1_REG_Pos) +#define LCD_CR1_I80_Pos 16 //1 é—è·¨å–甯撮崠鈩冨î¶å¨‘撶瘨80 0 é—è·¨å–甯撮崠鈩冨î¶å¨‘撶瘲68 +#define LCD_CR1_I80_Msk (0x01 << LCD_CR1_I80_Pos) +#define LCD_CR1_CMD_Pos 17 //0 é—è·¨å–é‹å©šå¹é‘芥晸閹圭柉鎻îˆå¹é‘芥晸閹存帪绱濋柨é”å‘Šç®é–¹é£ŽÃºS娑撴椽é撶粩顓狀暜閹峰嘲閽� 1 é—è·¨å–é‹å©šå¹é‘芥晸ç¼æ¶˜îƒ„绱堕柨é”稿çŠé–¿æ¶˜çŸ‚é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归å¹é¥î„晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”虹ゲS娑撴椽é撻柊é¢æ®¿æšœé–¹å³°å˜²é–½ï¿½ +#define LCD_CR1_CMD_Msk (0x01 << LCD_CR1_CMD_Pos) +#define LCD_CR1_TTAIL_Pos 18 //CSné—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿焻绾æ¿î˜°ç€šç¬´Sné—跨喖鎽îˆå¼¬éŠˆå——î¶é—è·¨å–é©å‘¯å–Šæ¾¶å¬ªî¶é–ºå†¨çˆ¼éæ’»å¼¬éŠˆå——î¶ +#define LCD_CR1_TTAIL_Msk (0x07 << LCD_CR1_TTAIL_Pos) +#define LCD_CR1_TAH_Pos 21 //WRné—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿焻绾æ¿î˜°ç€šç¬´Sné—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿焻绾æ¿î˜°ç€šå½’å¼®é«æ›Ÿæ™¸é–ºå‚˜å€–瀚� +#define LCD_CR1_TAH_Msk (0x03 << LCD_CR1_TAH_Pos) +#define LCD_CR1_TPWLW_Pos 23 //WRné—跨喖é™è™¹å–Šæ¾¶å¬ªî¶æ¥ ç‚´å¨Šé撴笟銉ь劜閹风兘é撻弬銈嗗î¶é–ºå†¨çˆ¼éæ’»å¼¬éŠˆå——î¶ +#define LCD_CR1_TPWLW_Msk (0x07 << LCD_CR1_TPWLW_Pos) +#define LCD_CR1_TAS_Pos 26 //CSné—跨喖鎽îˆå¼¬éŠˆå——î¶é—è·¨å–é©å‘¯å–Šæ¾¶å¬ªî¶WRné—跨喖鎽îˆå¼¬éŠˆå——î¶é—è·¨å–é©å‘¯å–Šæ¾¶å¬ªî¶é–ºå†¨çˆ¼éæ’»å¼¬éŠˆå——î¶ +#define LCD_CR1_TAS_Msk (0x03 << LCD_CR1_TAS_Pos) + +typedef struct +{ + __IO uint32_t DMA_MEM_ADDR; + + __IO uint32_t BLK; //Block Size and Count + + __IO uint32_t ARG; //Argument + + __IO uint32_t CMD; //Command + + __IO uint32_t RESP[4]; //Response + + __IO uint32_t DATA; + + __IO uint32_t STAT; + + __IO uint32_t CR1; + + __IO uint32_t CR2; + + __IO uint32_t IF; + + __IO uint32_t IE; + + __IO uint32_t IM; + + __IO uint32_t CMD12ERR; + + __IO uint32_t INFO; + + __IO uint32_t MAXCURR; +} SDIO_TypeDef; + +#define SDIO_BLK_SIZE_Pos 0 //0x200 512é—è·¨å–•é¡¢æ»ˆå¼¬éŠˆå——î¶ 0x400 1024é—è·¨å–•é¡¢æ»ˆå¼¬éŠˆå——î¶ 0x800 2048é—è·¨å–•é¡¢æ»ˆå¼¬éŠˆå——î¶ +#define SDIO_BLK_SIZE_Msk (0xFFF << SDIO_BLK_SIZE_Pos) +#define SDIO_BLK_COUNT_Pos 16 //0 Stop Transfer 1 1é—è·¨å–é‹å©šå¹é”Ÿï¿½ 2 2é—è·¨å–é‹å©šå¹é”Ÿï¿½ ... ... +#define SDIO_BLK_COUNT_Msk (0xFFF << SDIO_BLK_COUNT_Pos) + +#define SDIO_CMD_DMAEN_Pos 0 +#define SDIO_CMD_DMAEN_Msk (0x01 << SDIO_CMD_DMAEN_Pos) +#define SDIO_CMD_BLKCNTEN_Pos 1 +#define SDIO_CMD_BLKCNTEN_Msk (0x01 << SDIO_CMD_BLKCNTEN_Pos) +#define SDIO_CMD_AUTOCMD12_Pos 2 +#define SDIO_CMD_AUTOCMD12_Msk (0x01 << SDIO_CMD_AUTOCMD12_Pos) +#define SDIO_CMD_DIRREAD_Pos 4 //0 Write, Host to Card 1 Read, Card to Host +#define SDIO_CMD_DIRREAD_Msk (0x01 << SDIO_CMD_DIRREAD_Pos) +#define SDIO_CMD_MULTBLK_Pos 5 //0 Single Block 1 Multiple Block +#define SDIO_CMD_MULTBLK_Msk (0x01 << SDIO_CMD_MULTBLK_Pos) +#define SDIO_CMD_RESPTYPE_Pos 16 //é—è·¨å–é‹å©šå¹å®„扮安é—è·¨å–é‹å©šå¹é‘芥晸é—扮ç¼å›¬å¹é”Ÿï¿½0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规惔锟� 1 136娴e秹é撻弬銈嗗î¶éŽ¼è¾¾æ‹· 2 48娴e秹é撻弬銈嗗î¶éŽ¼è¾¾æ‹· 3 48娴e秹é撻弬銈嗗î¶éŽ¼å­˜æ£ƒé撻弬銈嗗î¶Busy after response +#define SDIO_CMD_RESPTYPE_Msk (0x03 << SDIO_CMD_RESPTYPE_Pos) +#define SDIO_CMD_CRCCHECK_Pos 19 //Command CRC Check Enable +#define SDIO_CMD_CRCCHECK_Msk (0x01 << SDIO_CMD_CRCCHECK_Pos) +#define SDIO_CMD_IDXCHECK_Pos 20 //Command Index Check Enable +#define SDIO_CMD_IDXCHECK_Msk (0x01 << SDIO_CMD_IDXCHECK_Pos) +#define SDIO_CMD_HAVEDATA_Pos 21 //0 No Data Present 1 Data Present +#define SDIO_CMD_HAVEDATA_Msk (0x01 << SDIO_CMD_HAVEDATA_Pos) +#define SDIO_CMD_CMDTYPE_Pos 22 //0 NORMAL 1 SUSPEND 2 RESUME 3 ABORT +#define SDIO_CMD_CMDTYPE_Msk (0x03 << SDIO_CMD_CMDTYPE_Pos) +#define SDIO_CMD_CMDINDX_Pos 24 //Command Indexé—è·¨å–é‹å©šå¹ç»‹î”³D0-63é—è·¨å–é‹å©šå¹ç»‹çƒ MD0-63 +#define SDIO_CMD_CMDINDX_Msk (0x3F << SDIO_CMD_CMDINDX_Pos) + +#define SDIO_CR1_4BIT_Pos 1 //1 4 bit mode 0 1 bit mode +#define SDIO_CR1_4BIT_Msk (0x01 << SDIO_CR1_4BIT_Pos) +#define SDIO_CR1_8BIT_Pos 5 //1 8 bit mode is selected 0 8 bit mode is not selected +#define SDIO_CR1_8BIT_Msk (0x01 << SDIO_CR1_8BIT_Pos) +#define SDIO_CR1_CDBIT_Pos 6 //0 No Card 1 Card Inserted +#define SDIO_CR1_CDBIT_Msk (0x01 << SDIO_CR1_CDBIT_Pos) +#define SDIO_CR1_CDSRC_Pos 7 //Card Detect Source, 1 CR1.CDBIT娴ï½æ‹· 0 SD_Detecté—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define SDIO_CR1_CDSRC_Msk (0x01 << SDIO_CR1_CDSRC_Pos) +#define SDIO_CR1_PWRON_Pos 8 //1 Power on 0 Power off +#define SDIO_CR1_PWRON_Msk (0x01 << SDIO_CR1_PWRON_Pos) +#define SDIO_CR1_VOLT_Pos 9 //7 3.3V 6 3.0V 5 1.8V +#define SDIO_CR1_VOLT_Msk (0x07 << SDIO_CR1_VOLT_Pos) + +#define SDIO_CR2_CLKEN_Pos 0 //Internal Clock Enable +#define SDIO_CR2_CLKEN_Msk (0x01 << SDIO_CR2_CLKEN_Pos) +#define SDIO_CR2_CLKRDY_Pos 1 //Internal Clock Stable/Ready +#define SDIO_CR2_CLKRDY_Msk (0x01 << SDIO_CR2_CLKRDY_Pos) +#define SDIO_CR2_SDCLKEN_Pos 2 //SDCLK Enable +#define SDIO_CR2_SDCLKEN_Msk (0x01 << SDIO_CR2_SDCLKEN_Pos) +#define SDIO_CR2_SDCLKDIV_Pos 8 //SDCLK Frequency Div, 0x00 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规ï¼é”Ÿï¿½ 0x01 2é—è·¨å–é‹å©šå¹é‘筋暥 0x02 4é—è·¨å–é‹å©šå¹é‘筋暥 0x04 8é—è·¨å–é‹å©šå¹é‘筋暥 0x08 16é—è·¨å–é‹å©šå¹é‘筋暥 ... 0x80 256é—è·¨å–é‹å©šå¹é‘筋暥 +#define SDIO_CR2_SDCLKDIV_Msk (0xFF << SDIO_CR2_SDCLKDIV_Pos) +#define SDIO_CR2_TIMEOUT_Pos 16 //0000 TMCLK*2^13 +#define SDIO_CR2_TIMEOUT_Msk (0x0F << SDIO_CR2_TIMEOUT_Pos) +#define SDIO_CR2_RSTALL_Pos 24 //Software Reset for All +#define SDIO_CR2_RSTALL_Msk (0x01 << SDIO_CR2_RSTALL_Pos) +#define SDIO_CR2_RSTCMD_Pos 25 //Software Reset for CMD Line +#define SDIO_CR2_RSTCMD_Msk (0x01 << SDIO_CR2_RSTCMD_Pos) +#define SDIO_CR2_RSTDAT_Pos 26 //Software Reset for DAT Line +#define SDIO_CR2_RSTDAT_Msk (0x01 << SDIO_CR2_RSTDAT_Pos) + +#define SDIO_IF_CMDDONE_Pos 0 +#define SDIO_IF_CMDDONE_Msk (0x01 << SDIO_IF_CMDDONE_Pos) +#define SDIO_IF_TRXDONE_Pos 1 +#define SDIO_IF_TRXDONE_Msk (0x01 << SDIO_IF_TRXDONE_Pos) +#define SDIO_IF_BLKGAP_Pos 2 +#define SDIO_IF_BLKGAP_Msk (0x01 << SDIO_IF_BLKGAP_Pos) +#define SDIO_IF_DMADONE_Pos 3 +#define SDIO_IF_DMADONE_Msk (0x01 << SDIO_IF_DMADONE_Pos) +#define SDIO_IF_BUFWRRDY_Pos 4 +#define SDIO_IF_BUFWRRDY_Msk (0x01 << SDIO_IF_BUFWRRDY_Pos) +#define SDIO_IF_BUFRDRDY_Pos 5 +#define SDIO_IF_BUFRDRDY_Msk (0x01 << SDIO_IF_BUFRDRDY_Pos) +#define SDIO_IF_CARDINSR_Pos 6 +#define SDIO_IF_CARDINSR_Msk (0x01 << SDIO_IF_CARDINSR_Pos) +#define SDIO_IF_CARDRMOV_Pos 7 +#define SDIO_IF_CARDRMOV_Msk (0x01 << SDIO_IF_CARDRMOV_Pos) +#define SDIO_IF_CARD_Pos 8 +#define SDIO_IF_CARD_Msk (0x01 << SDIO_IF_CARD_Pos) +#define SDIO_IF_ERROR_Pos 15 +#define SDIO_IF_ERROR_Msk (0x01 << SDIO_IF_ERROR_Pos) +#define SDIO_IF_CMDTIMEOUT_Pos 16 +#define SDIO_IF_CMDTIMEOUT_Msk (0x01 << SDIO_IF_CMDTIMEOUT_Pos) +#define SDIO_IF_CMDCRCERR_Pos 17 +#define SDIO_IF_CMDCRCERR_Msk (0x01 << SDIO_IF_CMDCRCERR_Pos) +#define SDIO_IF_CMDENDERR_Pos 18 +#define SDIO_IF_CMDENDERR_Msk (0x01 << SDIO_IF_CMDENDCERR_Pos) +#define SDIO_IF_CMDIDXERR_Pos 19 +#define SDIO_IF_CMDIDXERR_Msk (0x01 << SDIO_IF_CMDIDXCERR_Pos) +#define SDIO_IF_DATTIMEOUT_Pos 20 +#define SDIO_IF_DATTIMEOUT_Msk (0x01 << SDIO_IF_DATTIMEOUT_Pos) +#define SDIO_IF_DATCRCERR_Pos 21 +#define SDIO_IF_DATCRCERR_Msk (0x01 << SDIO_IF_DATCRCERR_Pos) +#define SDIO_IF_DATENDERR_Pos 22 +#define SDIO_IF_DATENDERR_Msk (0x01 << SDIO_IF_DATENDCERR_Pos) +#define SDIO_IF_CURLIMERR_Pos 23 +#define SDIO_IF_CURLIMERR_Msk (0x01 << SDIO_IF_CURLIMERR_Pos) +#define SDIO_IF_CMD12ERR_Pos 24 +#define SDIO_IF_CMD12ERR_Msk (0x01 << SDIO_IF_CMD12ERR_Pos) +#define SDIO_IF_DMAERR_Pos 25 +#define SDIO_IF_DMAERR_Msk (0x01 << SDIO_IF_DMAERR_Pos) +#define SDIO_IF_RESPERR_Pos 28 +#define SDIO_IF_RESPERR_Msk (0x01 << SDIO_IF_RESPERR_Pos) + +#define SDIO_IE_CMDDONE_Pos 0 //Command Complete Status Enable +#define SDIO_IE_CMDDONE_Msk (0x01 << SDIO_IE_CMDDONE_Pos) +#define SDIO_IE_TRXDONE_Pos 1 //Transfer Complete Status Enable +#define SDIO_IE_TRXDONE_Msk (0x01 << SDIO_IE_TRXDONE_Pos) +#define SDIO_IE_BLKGAP_Pos 2 //Block Gap Event Status Enable +#define SDIO_IE_BLKGAP_Msk (0x01 << SDIO_IE_BLKGAP_Pos) +#define SDIO_IE_DMADONE_Pos 3 //DMA Interrupt Status Enable +#define SDIO_IE_DMADONE_Msk (0x01 << SDIO_IE_DMADONE_Pos) +#define SDIO_IE_BUFWRRDY_Pos 4 //Buffer Write Ready Status Enable +#define SDIO_IE_BUFWRRDY_Msk (0x01 << SDIO_IE_BUFWRRDY_Pos) +#define SDIO_IE_BUFRDRDY_Pos 5 //Buffer Read Ready Status Enable +#define SDIO_IE_BUFRDRDY_Msk (0x01 << SDIO_IE_BUFRDRDY_Pos) +#define SDIO_IE_CARDINSR_Pos 6 //Card Insertion Status Enable +#define SDIO_IE_CARDINSR_Msk (0x01 << SDIO_IE_CARDINSR_Pos) +#define SDIO_IE_CARDRMOV_Pos 7 //Card Removal Status Enable +#define SDIO_IE_CARDRMOV_Msk (0x01 << SDIO_IE_CARDRMOV_Pos) +#define SDIO_IE_CARD_Pos 8 +#define SDIO_IE_CARD_Msk (0x01 << SDIO_IE_CARD_Pos) +#define SDIO_IE_CMDTIMEOUT_Pos 16 //Command Timeout Error Status Enable +#define SDIO_IE_CMDTIMEOUT_Msk (0x01 << SDIO_IE_CMDTIMEOUT_Pos) +#define SDIO_IE_CMDCRCERR_Pos 17 //Command CRC Error Status Enable +#define SDIO_IE_CMDCRCERR_Msk (0x01 << SDIO_IE_CMDCRCERR_Pos) +#define SDIO_IE_CMDENDERR_Pos 18 //Command End Bit Error Status Enable +#define SDIO_IE_CMDENDERR_Msk (0x01 << SDIO_IE_CMDENDCERR_Pos) +#define SDIO_IE_CMDIDXERR_Pos 19 //Command Index Error Status Enable +#define SDIO_IE_CMDIDXERR_Msk (0x01 << SDIO_IE_CMDIDXCERR_Pos) +#define SDIO_IE_DATTIMEOUT_Pos 20 //Data Timeout Error Status Enable +#define SDIO_IE_DATTIMEOUT_Msk (0x01 << SDIO_IE_DATTIMEOUT_Pos) +#define SDIO_IE_DATCRCERR_Pos 21 //Data CRC Error Status Enable +#define SDIO_IE_DATCRCERR_Msk (0x01 << SDIO_IE_DATCRCERR_Pos) +#define SDIO_IE_DATENDERR_Pos 22 //Data End Bit Error Status Enable +#define SDIO_IE_DATENDERR_Msk (0x01 << SDIO_IE_DATENDCERR_Pos) +#define SDIO_IE_CURLIMERR_Pos 23 //Current Limit Error Status Enable +#define SDIO_IE_CURLIMERR_Msk (0x01 << SDIO_IE_CURLIMERR_Pos) +#define SDIO_IE_CMD12ERR_Pos 24 //Auto CMD12 Error Status Enable +#define SDIO_IE_CMD12ERR_Msk (0x01 << SDIO_IE_CMD12ERR_Pos) +#define SDIO_IE_DMAERR_Pos 25 //ADMA Error Status Enable +#define SDIO_IE_DMAERR_Msk (0x01 << SDIO_IE_DMAERR_Pos) +#define SDIO_IE_RESPERR_Pos 28 //Target Response Error Status Enable +#define SDIO_IE_RESPERR_Msk (0x01 << SDIO_IE_RESPERR_Pos) + +#define SDIO_IM_CMDDONE_Pos 0 +#define SDIO_IM_CMDDONE_Msk (0x01 << SDIO_IM_CMDDONE_Pos) +#define SDIO_IM_TRXDONE_Pos 1 +#define SDIO_IM_TRXDONE_Msk (0x01 << SDIO_IM_TRXDONE_Pos) +#define SDIO_IM_BLKGAP_Pos 2 +#define SDIO_IM_BLKGAP_Msk (0x01 << SDIO_IM_BLKGAP_Pos) +#define SDIO_IM_DMADONE_Pos 3 +#define SDIO_IM_DMADONE_Msk (0x01 << SDIO_IM_DMADONE_Pos) +#define SDIO_IM_BUFWRRDY_Pos 4 +#define SDIO_IM_BUFWRRDY_Msk (0x01 << SDIO_IM_BUFWRRDY_Pos) +#define SDIO_IM_BUFRDRDY_Pos 5 +#define SDIO_IM_BUFRDRDY_Msk (0x01 << SDIO_IM_BUFRDRDY_Pos) +#define SDIO_IM_CARDINSR_Pos 6 +#define SDIO_IM_CARDINSR_Msk (0x01 << SDIO_IM_CARDINSR_Pos) +#define SDIO_IM_CARDRMOV_Pos 7 +#define SDIO_IM_CARDRMOV_Msk (0x01 << SDIO_IM_CARDRMOV_Pos) +#define SDIO_IM_CARD_Pos 8 +#define SDIO_IM_CARD_Msk (0x01 << SDIO_IM_CARD_Pos) +#define SDIO_IM_CMDTIMEOUT_Pos 16 +#define SDIO_IM_CMDTIMEOUT_Msk (0x01 << SDIO_IM_CMDTIMEOUT_Pos) +#define SDIO_IM_CMDCRCERR_Pos 17 +#define SDIO_IM_CMDCRCERR_Msk (0x01 << SDIO_IM_CMDCRCERR_Pos) +#define SDIO_IM_CMDENDERR_Pos 18 +#define SDIO_IM_CMDENDERR_Msk (0x01 << SDIO_IM_CMDENDCERR_Pos) +#define SDIO_IM_CMDIDXERR_Pos 19 +#define SDIO_IM_CMDIDXERR_Msk (0x01 << SDIO_IM_CMDIDXCERR_Pos) +#define SDIO_IM_DATTIMEOUT_Pos 20 +#define SDIO_IM_DATTIMEOUT_Msk (0x01 << SDIO_IM_DATTIMEOUT_Pos) +#define SDIO_IM_DATCRCERR_Pos 21 +#define SDIO_IM_DATCRCERR_Msk (0x01 << SDIO_IM_DATCRCERR_Pos) +#define SDIO_IM_DATENDERR_Pos 22 +#define SDIO_IM_DATENDERR_Msk (0x01 << SDIO_IM_DATENDCERR_Pos) +#define SDIO_IM_CURLIMERR_Pos 23 +#define SDIO_IM_CURLIMERR_Msk (0x01 << SDIO_IM_CURLIMERR_Pos) +#define SDIO_IM_CMD12ERR_Pos 24 +#define SDIO_IM_CMD12ERR_Msk (0x01 << SDIO_IM_CMD12ERR_Pos) +#define SDIO_IM_DMAERR_Pos 25 +#define SDIO_IM_DMAERR_Msk (0x01 << SDIO_IM_DMAERR_Pos) +#define SDIO_IM_RESPERR_Pos 28 +#define SDIO_IM_RESPERR_Msk (0x01 << SDIO_IM_RESPERR_Pos) + +typedef struct +{ + __IO uint32_t DATA; + __IO uint32_t ADDR; + __IO uint32_t ERASE; + __IO uint32_t CACHE; + __IO uint32_t CFG0; + __IO uint32_t CFG1; + __IO uint32_t CFG2; + __IO uint32_t CFG3; + __IO uint32_t STAT; +} FLASH_Typedef; + +#define FLASH_ERASE_REQ_Pos 31 +#define FLASH_ERASE_REQ_Msk ((uint32_t)0x01 << FLASH_ERASE_REQ_Pos) + +#define FLASH_CACHE_PROG_Pos 2 +#define FLASH_CACHE_PROG_Msk (0x01 << FLASH_CACHE_PROG_Pos) +#define FLASH_CACHE_CLEAR_Pos 3 +#define FLASH_CACHE_CLEAR_Msk (0x01 << FLASH_CACHE_CLEAR_Pos) + +#define FLASH_STAT_ERASE_GOING_Pos 0 +#define FLASH_STAT_ERASE_GOING_Msk (0X01 << FLASH_STAT_ERASE_GOING_Pos) +#define FLASH_STAT_PROG_GOING_Pos 1 +#define FLASH_STAT_PROG_GOING_Msk (0x01 << FLASH_STAT_PROG_GOING_Pos) +#define FALSH_STAT_FIFO_EMPTY_Pos 3 +#define FLASH_STAT_FIFO_EMPTY_Msk (0x01 << FALSH_STAT_FIFO_EMPTY_Pos) +#define FALSH_STAT_FIFO_FULL_Pos 4 +#define FLASH_STAT_FIFO_FULL_Msk (0x01 << FALSH_STAT_FIFO_FULL_Pos) + +typedef struct +{ + __IO uint32_t CR; +} SRAMC_TypeDef; + +#define SRAMC_CR_RWTIME_Pos 0 //é—è·¨å–é‹å©šå¹å®„æ¿æ™¸é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閸旑åŠå¨¼å©šå¹é–¿å¬«î˜§é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”诲Î閳藉æ‡ç€šï¿½0é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›1é—è·¨å–é‹å©šå¹é–¿å¬«î˜§é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”诲Î閳藉æ‡ç€šå½’柨é”å‘Šç®é–¹å³°å˜²é¨î„„柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶å¨‘æ“„æ‹·4 +#define SRAMC_CR_RWTIME_Msk (0x0F << SRAMC_CR_RWTIME_Pos) +#define SRAMC_CR_BYTEIF_Pos 4 //é—跨喕袙é—å¨RAMé—è·¨å–é‹å©šå¹é‘芥晸閹瑰嘲çå‘´å¹é‘ç•Œä¼é—跨噦鎷�0 16娴ï½æ‹· 1 8娴ï½æ‹· +#define SRAMC_CR_BYTEIF_Msk (0x01 << SRAMC_CR_BYTEIF_Pos) +#define SRAMC_CR_HBLBDIS_Pos 5 //1 ADDR[23:22]娑撴椽é撻弬銈嗗î¶é–¸Ñ€å“é—è·¨å–é‹å©šå¹é”Ÿï¿½ 0 ADDR[23]娑撴椽é撻弬銈嗗î¶é—跨喕顢滈弬銈嗗î¶å¨´ï½…潡é撻弶甯秶閹风DDR[22]娑撴椽é撻弬銈嗗î¶é—跨喕顢滈弬銈嗗î¶å¨´ï½…潡éæ’»å¼¬éŠˆå——î¶ +#define SRAMC_CR_HBLBDIS_Msk (0x01 << SRAMC_CR_HBLBDIS_Pos) + +typedef struct +{ + __IO uint32_t CR0; + + __IO uint32_t CR1; + + __IO uint32_t REFRESH; + + __IO uint32_t NOPNUM; //[15:0] é—è·¨å–é‹å©šå¹å®„邦潗é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归悵濠囨晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”活敎椤旂å›æ´æ»ˆå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±æ¿®å›¬æŸ¨é”å‘Šç®é–¹ç–¯æ¸¹ç»»åº¨æŸ¨é”虹ガOPé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� + + __IO uint32_t LATCH; + + __IO uint32_t REFDONE; //[0] Frefresh Doneé—è·¨å–é‹å©šå¹é‘芥晸é‰å å•°é¡£î‡€å¹é‘芥晸缂佺åŸéŠ†å¬®å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +} SDRAMC_TypeDef; + +#define SDRAMC_CR0_BURSTLEN_Pos 0 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归崣锟�2é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚圭粈绡塽rst Length娑擄拷4 +#define SDRAMC_CR0_BURSTLEN_Msk (0x07 << SDRAMC_CR0_BURSTLEN_Pos) +#define SDRAMC_CR0_CASDELAY_Pos 4 //CAS Latencyé—è·¨å–é‹å©šå¹é”Ÿï¿½ 2 2 3 3 +#define SDRAMC_CR0_CASDELAY_Msk (0x07 << SDRAMC_CR0_CASDELAY_Pos) + +#define SDRAMC_CR1_TRP_Pos 0 +#define SDRAMC_CR1_TRP_Msk (0x07 << SDRAMC_CR1_TRP_Pos) +#define SDRAMC_CR1_TRCD_Pos 3 +#define SDRAMC_CR1_TRCD_Msk (0x07 << SDRAMC_CR1_TRCD_Pos) +#define SDRAMC_CR1_TRC_Pos 6 +#define SDRAMC_CR1_TRC_Msk (0x0F << SDRAMC_CR1_TRC_Pos) +#define SDRAMC_CR1_TRAS_Pos 10 +#define SDRAMC_CR1_TRAS_Msk (0x07 << SDRAMC_CR1_TRAS_Pos) +#define SDRAMC_CR1_TRRD_Pos 13 +#define SDRAMC_CR1_TRRD_Msk (0x03 << SDRAMC_CR1_TRRD_Pos) +#define SDRAMC_CR1_TMRD_Pos 15 +#define SDRAMC_CR1_TMRD_Msk (0x07 << SDRAMC_CR1_TMRD_Pos) +#define SDRAMC_CR1_32BIT_Pos 18 //SDRAMCé—è·¨å–鑼庨幒銉ュ皡閹风兘é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œç§´é—è·¨å–é‹å©šå¹é”Ÿï¿½1 32bit 0 16bit +#define SDRAMC_CR1_32BIT_Msk (0x01 << SDRAMC_CR1_32BIT_Pos) +#define SDRAMC_CR1_BANK_Pos 19 //SDRAM濮e繘é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”峰建绾攱瀚归柨é”å‘Šç®é–¹é£Žâ¿°anké—è·¨å–é‹å©šå¹é”Ÿï¿½0 2 banks 1 4 banks +#define SDRAMC_CR1_BANK_Msk (0x01 << SDRAMC_CR1_BANK_Pos) +#define SDRAMC_CR1_CELL32BIT_Pos 20 //SDRAMé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹ç–¯æ¸¹ç¼å‘´æŸ¨é”å‘Šç®é–¹å‡¤æ‹·1 32bit 0 16bit +#define SDRAMC_CR1_CELL32BIT_Msk (0x01 << SDRAMC_CR1_CELL32BIT_Pos) +#define SDRAMC_CR1_CELLSIZE_Pos 21 //SDRAMé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚�0 64Mb 1 128Mb 2 256Mb 3 16Mb +#define SDRAMC_CR1_CELLSIZE_Msk (0x03 << SDRAMC_CR1_CELLSIZE_Pos) +#define SDRAMC_CR1_HIGHSPEED_Pos 23 //é—è·¨å–é‹å©šå¹ç»Œæˆlké—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚�100MHz閺冨爼é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é“šå‚œî±å¨´ï½…秹é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹ç–¯æ¸¹ç’�1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹ç–¯æ¸¹ç’�0 +#define SDRAMC_CR1_HIGHSPEED_Msk (0x01 << SDRAMC_CR1_HIGHSPEED_Pos) + +#define SDRAMC_REFRESH_RATE_Pos 0 +#define SDRAMC_REFRESH_RATE_Msk (0xFFF << SDRAMC_REFRESH_RATE_Pos) +#define SDRAMC_REFRESH_EN_Pos 12 +#define SDRAMC_REFRESH_EN_Msk (0x01 << SDRAMC_REFRESH_EN_Pos) + +#define SDRAMC_LATCH_INEDGE_Pos 0 //é—è·¨å–鑼庨棃鈺傚î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喓ç»çŸ°RAMé—跨喎褰ㄧ拋瑙勫î¶é—è·¨å–é©å‘¯å–Šæ¾¶å¬ªî¶é—è·¨å–é‹å©šå¹é‘芥晸閹瑰嚖ç¼å›¬å¹é”Ÿï¿½0 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· 1 é—跨喖鎽îˆå¼¬éŠˆå——î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ +#define SDRAMC_LATCH_INEDGE_Msk (0x01 << SDRAMC_LATCH_INEDGE_Pos) +#define SDRAMC_LATCH_OUTEDGE_Pos 1 //é—è·¨å–鑼庨棃鈺傚î¶é—è·¨å–é‹å©šå¹å®„æ¿ç®µé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”ç…Žå¾é—‚堚晜瀚ç­DRAMé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿祹閿濆繑瀚�1 é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· 0 é—跨喖鎽îˆå¼¬éŠˆå——î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ +#define SDRAMC_LATCH_OUTEDGE_Msk (0x01 << SDRAMC_LATCH_OUTEDGE_Pos) +#define SDRAMC_LATCH_WAITST_Pos 2 +#define SDRAMC_LATCH_WAITST_Msk (0x01 << SDRAMC_LATCH_WAITST_Pos) + +typedef struct +{ + __IO uint32_t IE; + + __IO uint32_t IF; //閸愶拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� + + __IO uint32_t IM; + + __IO uint32_t CR; + + __IO uint32_t ADDR; + + __IO uint32_t CMD; +} NORFLC_TypeDef; + +#define NORFLC_IE_FINISH_Pos 0 +#define NORFLC_IE_FINISH_Msk (0x01 << NORFLC_IE_FINISH_Pos) +#define NORFLC_IE_TIMEOUT_Pos 1 +#define NORFLC_IE_TIMEOUT_Msk (0x01 << NORFLC_IE_TIMEOUT_Pos) + +#define NORFLC_IF_FINISH_Pos 0 +#define NORFLC_IF_FINISH_Msk (0x01 << NORFLC_IF_FINISH_Pos) +#define NORFLC_IF_TIMEOUT_Pos 1 +#define NORFLC_IF_TIMEOUT_Msk (0x01 << NORFLC_IF_TIMEOUT_Pos) + +#define NORFLC_IM_FINISH_Pos 0 +#define NORFLC_IM_FINISH_Msk (0x01 << NORFLC_IM_FINISH_Pos) +#define NORFLC_IM_TIMEOUT_Pos 1 +#define NORFLC_IM_TIMEOUT_Msk (0x01 << NORFLC_IM_TIMEOUT_Pos) + +#define NORFLC_CR_RDTIME_Pos 0 //Oené—跨喖鎽îˆå¼¬éŠˆå——î¶é—è·¨å–é©å‘´æ‚®ç€›æ¨ºî¶é—è·¨å–é‹å©šå¹é“šå‚œç®®é—跨喓绮æ幉瀣î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚圭拠銈夋晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é©å‘¯å–Šæ¾¶å¬ªî¶é—è·¨å–é‹å©šå¹é‘芥晸閹瑰çšå¦²å‹¯å¹é”Ÿï¿½0é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›1é—è·¨å–é‹å©šå¹é–¿å¬«î˜§é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define NORFLC_CR_RDTIME_Msk (0x1F << NORFLC_CR_RDTIME_Pos) +#define NORFLC_CR_WRTIME_Pos 5 //é—è·¨å–é‹å©šå¹é‘芥晸ç¼æ’穲né—è·¨å–鑼庢担æ´ï½Žæšœé–¹å³°å˜²é–½â•…柨é”å‘Šç®é–¹å³°å˜²è¤°å›¬æŸ¨é•å‚›å«¹0é—è·¨å–é‹å©šå¹æ¤‹åº›ä»›1é—è·¨å–é‹å©šå¹é–¿å¬«î˜§é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define NORFLC_CR_WRTIME_Msk (0x07 << NORFLC_CR_WRTIME_Pos) +#define NORFLC_CR_BYTEIF_Pos 8 //é—跨喕袙é—垷OR FLASHé—è·¨å–é‹å©šå¹é‘芥晸閹瑰嘲çå‘´å¹é‘ç•Œä¼é—跨噦鎷�1 8娴ï½æ‹· 0 16娴ï½æ‹· +#define NORFLC_CR_BYTEIF_Msk (0x01 << NORFLC_CR_BYTEIF_Pos) + +#define NORFLC_CMD_DATA_Pos 0 //é—è·¨å–é‹å©šå¹ç»‹ç™›OGRAMé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”峰建閿濆繑瀚笵ATAé—è·¨å–é‹å©šå¹é¤îˆ£æ´£é–¸æ„­ç‘©é撻弬銈嗗î¶NOR FLASHé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”稿祹閿濆繑瀚归柨é”å‘Šç®é–¹é£ŽÃºEADé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”峰建閿濆繑瀚笵ATAé—跨喕顫æ¥æ½éî„€î¶NOR FLASHé—è·¨å–é‹å©šå¹é‘芥晸閹æ­äºžé¡£î‡€å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define NORFLC_CMD_DATA_Msk (0xFFFF << NORFLC_CMD_DATA_Pos) +#define NORFLC_CMD_CMD_Pos 16 //é—è·¨å–é‹å©šå¹é¤îˆ£æ´£é–¹ç¬›å›¨æ™¸é–¸æ¬˜å†é¡£î‡€å¹é‘芥晸閺傘倖瀚归柨é”虹摂閿涳拷0 READ 1 RESET 2 AUTOMATIC SELECT 3 PROGRAM 4 CHIP ERASE 5 SECTOR ERASE +#define NORFLC_CMD_CMD_Msk (0x07 << NORFLC_CMD_CMD_Pos) + +typedef struct +{ + __IO uint32_t CR; + + __O uint32_t DATAIN; + + __IO uint32_t INIVAL; + + __I uint32_t RESULT; +} CRC_TypeDef; + +#define CRC_CR_EN_Pos 0 +#define CRC_CR_EN_Msk (0x01 << CRC_CR_EN_Pos) +#define CRC_CR_OREV_Pos 1 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撶憴鎺æˆæ®©é–¹é£ŽæŸ‰å¨´ï¿½ +#define CRC_CR_OREV_Msk (0x01 << CRC_CR_OREV_Pos) +#define CRC_CR_ONOT_Pos 2 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撶憴鎺æˆæ®©é–¹å³°å˜²è¤°å›¬æŸ¨é”å‘Šç®é–¹å‡¤æ‹· +#define CRC_CR_ONOT_Msk (0x01 << CRC_CR_ONOT_Pos) +#define CRC_CR_CRC16_Pos 3 //1 CRC16 0 CRC32 +#define CRC_CR_CRC16_Msk (0x01 << CRC_CR_CRC16_Pos) +#define CRC_CR_IBITS_Pos 4 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é–¿å¬«æ«å¨´ï½…秹éæ’»å¼¬éŠˆå——î¶ 0 32娴ï½æ‹· 1 16娴ï½æ‹· 2 8娴ï½æ‹· +#define CRC_CR_IBITS_Msk (0x03 << CRC_CR_IBITS_Pos) + +typedef struct +{ + __IO uint32_t MINSEC; //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻敓锟� + + __IO uint32_t DATHUR; //é—è·¨å–é‹å©šå¹é–¿å¬«î˜§é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� + + __IO uint32_t MONDAY; //é—è·¨å–é‹å©šå¹é‘芥晸閺夋壆銆嬮å¹é‘芥晸閺傘倖瀚� + + __IO uint32_t YEAR; //[11:0] é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撶悰妞﹀秵瀚归柨é•å‚›å«¹1901-2199 + + __IO uint32_t MINSECAL; //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� + + __IO uint32_t DAYHURAL; //é—è·¨å–é‹å©šå¹é–¿å¬«î˜§é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ + + __IO uint32_t LOAD; //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”虹å“éŽé›å«¯éŽ»îˆå¹é‘芥晸閺傘倖瀚归柨é”峰建绾æ¿î˜°ç€šå½’å´é“庢倱é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚筊TCé—跨喎褰ㄩæ•è¹‡æ–¿î¶é–¸æ°¬çŸ‚é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚规潻婊堟晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻敓锟� + + __IO uint32_t IE; + + __IO uint32_t IF; //閸愶拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� + + __IO uint32_t EN; //[0] 1 RTC娴e潡éæ’»å¼¬éŠˆå——î¶ + + __IO uint32_t CFGABLE; //[0] 1 RTCé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· + + __IO uint32_t TRIM; //閺冨爼é撻幒銉ь暜閹风兘éæ’»å¼¬éŠˆå——î¶ + + __IO uint32_t TRIMM; //閺冨爼é撻弬銈嗗î¶ç€µé‚¦å™£é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ +} RTC_TypeDef; + +#define RTC_LOAD_TIME_Pos 0 +#define RTC_LOAD_TIME_Msk (0x01 << RTC_LOAD_TIME_Pos) +#define RTC_LOAD_ALARM_Pos 1 +#define RTC_LOAD_ALARM_Msk (0x01 << RTC_LOAD_ALARM_Pos) + +#define RTC_MINSEC_SEC_Pos 0 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é•å‚›å«¹ +#define RTC_MINSEC_SEC_Msk (0x3F << RTC_MINSEC_SEC_Pos) +#define RTC_MINSEC_MIN_Pos 6 //é—è·¨å–é‹å©šå¹é‘芥晸閹æ’儳銆嬮å¹é‘芥晸閺傘倖瀚� +#define RTC_MINSEC_MIN_Msk (0x3F << RTC_MINSEC_MIN_Pos) + +#define RTC_DATHUR_HOUR_Pos 0 //é忓繑妞傞柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define RTC_DATHUR_HOUR_Msk (0x1F << RTC_DATHUR_HOUR_Pos) +#define RTC_DATHUR_DATE_Pos 5 //date of month +#define RTC_DATHUR_DATE_Msk (0x1F << RTC_DATHUR_DATE_Pos) + +#define RTC_MONDAY_DAY_Pos 0 //day of week +#define RTC_MONDAY_DAY_Msk (0x07 << RTC_MONDAY_DAY_Pos) +#define RTC_MONDAY_MON_Pos 3 //é—跨喖鎽î…禒é£å±»â‚¬å¬®å¹é‘芥晸閺傘倖瀚� +#define RTC_MONDAY_MON_Msk (0x0F << RTC_MONDAY_MON_Pos) + +#define RTC_MINSECAL_SEC_Pos 0 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é”Ÿï¿½ +#define RTC_MINSECAL_SEC_Msk (0x3F << RTC_MINSECAL_SEC_Pos) +#define RTC_MINSECAL_MIN_Pos 6 //é—è·¨å–é‹å©šå¹é‘芥晸閹æ’儱é¤æ ­å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜éæ’»å¼¬éŠˆå——î¶ +#define RTC_MINSECAL_MIN_Msk (0x3F << RTC_MINSECAL_MIN_Pos) + +#define RTC_DAYHURAL_HOUR_Pos 0 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚圭亸蹇旀é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define RTC_DAYHURAL_HOUR_Msk (0x1F << RTC_DAYHURAL_HOUR_Pos) +#define RTC_DAYHURAL_SUN_Pos 5 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é–¿å¬«æ« +#define RTC_DAYHURAL_SUN_Msk (0x01 << RTC_DAYHURAL_SUN_Pos) +#define RTC_DAYHURAL_MON_Pos 6 //é—è·¨å–é‹å©šå¹é“šå‚œî±é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±é…� +#define RTC_DAYHURAL_MON_Msk (0x01 << RTC_DAYHURAL_MON_Pos) +#define RTC_DAYHURAL_TUE_Pos 7 //é—è·¨å–婢冪拋瑙勫î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹çƒ½æ”±é…� +#define RTC_DAYHURAL_TUE_Msk (0x01 << RTC_DAYHURAL_TUE_Pos) +#define RTC_DAYHURAL_WED_Pos 8 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é–¿å¬«æ« +#define RTC_DAYHURAL_WED_Msk (0x01 << RTC_DAYHURAL_WED_Pos) +#define RTC_DAYHURAL_THU_Pos 9 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é–¿å¬«æ« +#define RTC_DAYHURAL_THU_Msk (0x01 << RTC_DAYHURAL_THU_Pos) +#define RTC_DAYHURAL_FRI_Pos 10 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é–¿å¬«æ« +#define RTC_DAYHURAL_FRI_Msk (0x01 << RTC_DAYHURAL_FRI_Pos) +#define RTC_DAYHURAL_SAT_Pos 11 //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é–¿å¬«æ« +#define RTC_DAYHURAL_SAT_Msk (0x01 << RTC_DAYHURAL_SAT_Pos) + +#define RTC_IE_SEC_Pos 0 //é—è·¨å–é‹å©šå¹é‘芥晸閸欘å‰é¡”æ„°å¹é“šå‚šâ–é—è·¨å–é‹å©šå¹é”Ÿï¿½ +#define RTC_IE_SEC_Msk (0x01 << RTC_IE_SEC_Pos) +#define RTC_IE_MIN_Pos 1 +#define RTC_IE_MIN_Msk (0x01 << RTC_IE_MIN_Pos) +#define RTC_IE_HOUR_Pos 2 +#define RTC_IE_HOUR_Msk (0x01 << RTC_IE_HOUR_Pos) +#define RTC_IE_DATE_Pos 3 +#define RTC_IE_DATE_Msk (0x01 << RTC_IE_DATE_Pos) +#define RTC_IE_ALARM_Pos 4 +#define RTC_IE_ALARM_Msk (0x01 << RTC_IE_ALARM_Pos) + +#define RTC_IF_SEC_Pos 0 //閸愶拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚� +#define RTC_IF_SEC_Msk (0x01 << RTC_IF_SEC_Pos) +#define RTC_IF_MIN_Pos 1 +#define RTC_IF_MIN_Msk (0x01 << RTC_IF_MIN_Pos) +#define RTC_IF_HOUR_Pos 2 +#define RTC_IF_HOUR_Msk (0x01 << RTC_IF_HOUR_Pos) +#define RTC_IF_DATE_Pos 3 +#define RTC_IF_DATE_Msk (0x01 << RTC_IF_DATE_Pos) +#define RTC_IF_ALARM_Pos 4 +#define RTC_IF_ALARM_Msk (0x01 << RTC_IF_ALARM_Pos) + +#define RTC_TRIM_ADJ_Pos 0 //é—è·¨å–é‹å©šå¹é‘芥晸閼哄倻顣å¹é‘芥晸閺傘倖瀚笲ASECNTé—è·¨å–鑼庣涵閿嬪î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”诲Î閿濆繑瀚规姗€é撻弬銈嗗î¶å¨‘æ“„æ‹·32768é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”烘ãŸEC娑擄拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é¤îˆœæ¨é—è·¨å–é‹å©šå¹é‘芥晸é‰ç‚²å°…鎷�32768-ADJé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喕濞囬敓锟�32768+ADJ +#define RTC_TRIM_ADJ_Msk (0xFF << RTC_TRIM_ADJ_Pos) +#define RTC_TRIM_DEC_Pos 8 +#define RTC_TRIM_DEC_Msk (0x01 << RTC_TRIM_DEC_Pos) + +#define RTC_TRIMM_CYCLE_Pos 0 //é—è·¨å–é‹å©šå¹é‘芥晸閼哄倻銆嬮å¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶ç€µé‚¦å™£é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”虹イNC娑擄拷1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å“„å´Ÿé—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—跨喕濡喊澶嬪î¶é—è·¨å–é‹å©šå¹é“šå‚礋(32768é—è·¨å–é‹å©šå¹ç»‹çƒ¡J)+1,é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹é£Žå…˜é撴潪é–℃嫹(32768é—è·¨å–é‹å©šå¹ç»‹çƒ¡J)-1 +//cycles=0閺冨爼é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å³°å˜²æµœæ›¢æŸ¨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹ç»Œåª¦cles=1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚筺娑擄拷2é—è·¨å–é‹å©šå¹ç»Œåª¦cles=7é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚筺娑擄拷8é—è·¨å–é‹å©šå¹é‘芥晸閻ㄥ棜鎻îˆå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹· +#define RTC_TRIMM_CYCLE_Msk (0x07 << RTC_TRIMM_CYCLE_Pos) +#define RTC_TRIMM_INC_Pos 3 +#define RTC_TRIMM_INC_Msk (0x01 << RTC_TRIMM_INC_Pos) + +typedef struct +{ + __IO uint32_t LOAD; //閸犲倿é撻弬銈嗗î¶å¨´ï½…潡é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚圭憗é›å­˜æ™¸é–ºå‚˜å€–瀚ç­OADé–¸å©æ‹· + + __I uint32_t VALUE; + + __IO uint32_t CR; + + __IO uint32_t IF; //é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”å‘Šç®é–¹å‡¤æ‹·0閺冨墎鈥栭柨é”å‘Šç®é–¹é£Žå…˜é撻弬銈嗗î¶å¨´ï½…秹é撻弬銈嗗î¶é—è·¨å–é‹å©šå¹é‘芥晸閸欘å“鎷�1é—è·¨å–é‹å©šå¹é‘芥晸閺傘倖瀚归柨é”活敎閿燂拷 + + __IO uint32_t FEED; //閸愶拷0x55閸犲倿éæ’»å¼¬éŠˆå——î¶ +} WDT_TypeDef; + +#define WDT_CR_EN_Pos 0 +#define WDT_CR_EN_Msk (0x01 << WDT_CR_EN_Pos) +#define WDT_CR_RSTEN_Pos 1 +#define WDT_CR_RSTEN_Msk (0x01 << WDT_CR_RSTEN_Pos) + +/******************************************************************************/ +/* Peripheral memory map */ +/******************************************************************************/ +#define RAM_BASE 0x20000000 +#define AHB_BASE 0x40000000 +#define APB_BASE 0x40010000 + +#define NORFLC_BASE 0x60000000 +#define NORFLM_BASE 0x61000000 + +#define SRAMC_BASE 0x68000000 +#define SRAMM_BASE 0x69000000 + +#define SDRAMC_BASE 0x78000000 +#define SDRAMM_BASE 0x70000000 + +/* AHB Peripheral memory map */ +#define SYS_BASE (AHB_BASE + 0x00000) + +#define DMA_BASE (AHB_BASE + 0x01000) + +#define LCD_BASE (AHB_BASE + 0x02000) + +#define CRC_BASE (AHB_BASE + 0x03000) + +#define SDIO_BASE (AHB_BASE + 0x04000) + +/* APB Peripheral memory map */ +#define PORT_BASE (APB_BASE + 0x00000) + +#define GPIOA_BASE (APB_BASE + 0x01000) +#define GPIOB_BASE (APB_BASE + 0x02000) +#define GPIOC_BASE (APB_BASE + 0x03000) +#define GPIOD_BASE (APB_BASE + 0x04000) +#define GPIOM_BASE (APB_BASE + 0x05000) +#define GPION_BASE (APB_BASE + 0x06000) +#define GPIOP_BASE (APB_BASE + 0x08000) + +#define TIMR0_BASE (APB_BASE + 0x07000) +#define TIMR1_BASE (APB_BASE + 0x0700C) +#define TIMR2_BASE (APB_BASE + 0x07018) +#define TIMR3_BASE (APB_BASE + 0x07024) +#define TIMR4_BASE (APB_BASE + 0x07030) +#define TIMR5_BASE (APB_BASE + 0x0703C) +#define TIMRG_BASE (APB_BASE + 0x07060) + +#define WDT_BASE (APB_BASE + 0x09000) + +#define PWM0_BASE (APB_BASE + 0x0A000) +#define PWM1_BASE (APB_BASE + 0x0A020) +#define PWM2_BASE (APB_BASE + 0x0A040) +#define PWM3_BASE (APB_BASE + 0x0A060) +#define PWM4_BASE (APB_BASE + 0x0A080) +#define PWM5_BASE (APB_BASE + 0x0A0A0) +#define PWMG_BASE (APB_BASE + 0x0A180) + +#define RTC_BASE (APB_BASE + 0x0B000) + +#define ADC0_BASE (APB_BASE + 0x0C000) +#define ADC1_BASE (APB_BASE + 0x0D000) + +#define FLASH_BASE (APB_BASE + 0x0F000) + +#define UART0_BASE (APB_BASE + 0x10000) +#define UART1_BASE (APB_BASE + 0x11000) +#define UART2_BASE (APB_BASE + 0x12000) +#define UART3_BASE (APB_BASE + 0x13000) + +#define I2C0_BASE (APB_BASE + 0x18000) +#define I2C1_BASE (APB_BASE + 0x19000) + +#define SPI0_BASE (APB_BASE + 0x1C000) +#define SPI1_BASE (APB_BASE + 0x1D000) + +#define CAN_BASE (APB_BASE + 0x20000) + +/******************************************************************************/ +/* Peripheral declaration */ +/******************************************************************************/ +#define SYS ((SYS_TypeDef *)SYS_BASE) + +#define PORT ((PORT_TypeDef *)PORT_BASE) + +#define GPIOA ((GPIO_TypeDef *)GPIOA_BASE) +#define GPIOB ((GPIO_TypeDef *)GPIOB_BASE) +#define GPIOC ((GPIO_TypeDef *)GPIOC_BASE) +#define GPIOM ((GPIO_TypeDef *)GPIOM_BASE) +#define GPION ((GPIO_TypeDef *)GPION_BASE) +#define GPIOP ((GPIO_TypeDef *)GPIOP_BASE) + +#define TIMR0 ((TIMR_TypeDef *)TIMR0_BASE) +#define TIMR1 ((TIMR_TypeDef *)TIMR1_BASE) +#define TIMR2 ((TIMR_TypeDef *)TIMR2_BASE) +#define TIMR3 ((TIMR_TypeDef *)TIMR3_BASE) +#define TIMR4 ((TIMR_TypeDef *)TIMR4_BASE) +#define TIMR5 ((TIMR_TypeDef *)TIMR5_BASE) +#define TIMRG ((TIMRG_TypeDef *)TIMRG_BASE) + +#define UART0 ((UART_TypeDef *)UART0_BASE) +#define UART1 ((UART_TypeDef *)UART1_BASE) +#define UART2 ((UART_TypeDef *)UART2_BASE) +#define UART3 ((UART_TypeDef *)UART3_BASE) + +#define SPI0 ((SPI_TypeDef *)SPI0_BASE) +#define SPI1 ((SPI_TypeDef *)SPI1_BASE) + +#define I2C0 ((I2C_TypeDef *)I2C0_BASE) +#define I2C1 ((I2C_TypeDef *)I2C1_BASE) + +#define ADC0 ((ADC_TypeDef *)ADC0_BASE) +#define ADC1 ((ADC_TypeDef *)ADC1_BASE) + +#define PWM0 ((PWM_TypeDef *)PWM0_BASE) +#define PWM1 ((PWM_TypeDef *)PWM1_BASE) +#define PWM2 ((PWM_TypeDef *)PWM2_BASE) +#define PWM3 ((PWM_TypeDef *)PWM3_BASE) +#define PWM4 ((PWM_TypeDef *)PWM4_BASE) +#define PWM5 ((PWM_TypeDef *)PWM5_BASE) +#define PWMG ((PWMG_TypeDef *)PWMG_BASE) + +#define SDIO ((SDIO_TypeDef *)SDIO_BASE) + +#define DMA ((DMA_TypeDef *)DMA_BASE) + +#define CAN ((CAN_TypeDef *)CAN_BASE) + +#define LCD ((LCD_TypeDef *)LCD_BASE) + +#define CRC ((CRC_TypeDef *)CRC_BASE) + +#define RTC ((RTC_TypeDef *)RTC_BASE) + +#define WDT ((WDT_TypeDef *)WDT_BASE) + +#define FLASH ((FLASH_Typedef *)FLASH_BASE) + +#define SRAMC ((SRAMC_TypeDef *)SRAMC_BASE) + +#define NORFLC ((NORFLC_TypeDef *)NORFLC_BASE) + +#define SDRAMC ((SDRAMC_TypeDef *)SDRAMC_BASE) + +#include "SWM320_port.h" +#include "SWM320_gpio.h" +#include "SWM320_exti.h" +#include "SWM320_timr.h" +#include "SWM320_uart.h" +#include "SWM320_spi.h" +#include "SWM320_i2c.h" +#include "SWM320_pwm.h" +#include "SWM320_adc.h" +#include "SWM320_dma.h" +#include "SWM320_lcd.h" +#include "SWM320_can.h" +#include "SWM320_sdio.h" +#include "SWM320_flash.h" +#include "SWM320_norflash.h" +#include "SWM320_sdram.h" +#include "SWM320_crc.h" +#include "SWM320_rtc.h" +#include "SWM320_wdt.h" + +#endif //__SWM320_H__ diff --git a/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/startup/arm/startup_SWM320.s b/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/startup/arm/startup_SWM320.s new file mode 100644 index 0000000000..025782b081 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/startup/arm/startup_SWM320.s @@ -0,0 +1,558 @@ +;****************************************************************************************************************************************** +; ÎļþÃû³Æ: startup_SWM2400.s +; ¹¦ÄÜ˵Ã÷: SWM2400µ¥Æ¬»úµÄÆô¶¯Îļþ +; ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +; ×¢ÒâÊÂÏî: +; °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +; Éý¼¶¼Ç¼: +; +; +;****************************************************************************************************************************************** +; @attention +; +; THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +; REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +; FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +; OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +; -ECTION WITH THEIR PRODUCTS. +; +; COPYRIGHT 2012 Synwit Technology +;****************************************************************************************************************************************** + +; Amount of memory (in bytes) allocated for Stack +; Tailor this value to your application needs +; Stack Configuration +; Stack Size (in Bytes) <0x0-0xFFFFFFFF:8> +; + +Stack_Size EQU 0x00000400 + + AREA STACK, NOINIT, READWRITE, ALIGN=3 +Stack_Mem SPACE Stack_Size +__initial_sp + + +; Heap Configuration +; Heap Size (in Bytes) <0x0-0xFFFFFFFF:8> +; + +Heap_Size EQU 0x00000200 + + AREA HEAP, NOINIT, READWRITE, ALIGN=3 +__heap_base +Heap_Mem SPACE Heap_Size +__heap_limit + + PRESERVE8 + THUMB + + +; Vector Table Mapped to Address 0 at Reset + AREA RESET, DATA, READONLY + EXPORT __Vectors + EXPORT __Vectors_End + EXPORT __Vectors_Size + +__Vectors DCD __initial_sp ; Top of Stack + DCD Reset_Handler ; Reset Handler + DCD NMI_Handler ; NMI Handler + DCD HardFault_Handler ; Hard Fault Handler + DCD MemManage_Handler ; MPU Fault Handler + DCD BusFault_Handler ; Bus Fault Handler + DCD UsageFault_Handler ; Usage Fault Handler + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD SVC_Handler ; SVCall Handler + DCD DebugMon_Handler ; Debug Monitor Handler + DCD 0 ; Reserved + DCD PendSV_Handler ; PendSV Handler + DCD SysTick_Handler ; SysTick Handler + + ; External Interrupts + DCD GPIOA0_Handler + DCD GPIOA1_Handler + DCD GPIOA2_Handler + DCD GPIOA3_Handler + DCD GPIOA4_Handler + DCD GPIOA5_Handler + DCD GPIOA6_Handler + DCD GPIOA7_Handler + DCD GPIOB0_Handler + DCD GPIOB1_Handler + DCD GPIOB2_Handler + DCD GPIOB3_Handler + DCD GPIOB4_Handler + DCD GPIOB5_Handler + DCD GPIOB6_Handler + DCD GPIOB7_Handler + DCD GPIOC0_Handler + DCD GPIOC1_Handler + DCD GPIOC2_Handler + DCD GPIOC3_Handler + DCD GPIOC4_Handler + DCD GPIOC5_Handler + DCD GPIOC6_Handler + DCD GPIOC7_Handler + DCD GPIOM0_Handler + DCD GPIOM1_Handler + DCD GPIOM2_Handler + DCD GPIOM3_Handler + DCD GPIOM4_Handler + DCD GPIOM5_Handler + DCD GPIOM6_Handler + DCD GPIOM7_Handler + DCD DMA_Handler + DCD LCD_Handler + DCD NORFLC_Handler + DCD CAN_Handler + DCD PULSE_Handler + DCD WDT_Handler + DCD PWM_Handler + DCD UART0_Handler + DCD UART1_Handler + DCD UART2_Handler + DCD UART3_Handler + DCD 0 + DCD I2C0_Handler + DCD I2C1_Handler + DCD SPI0_Handler + DCD ADC0_Handler + DCD RTC_Handler + DCD ANAC_Handler + DCD SDIO_Handler + DCD GPIOA_Handler + DCD GPIOB_Handler + DCD GPIOC_Handler + DCD GPIOM_Handler + DCD GPION_Handler + DCD GPIOP_Handler + DCD ADC1_Handler + DCD FPU_Handler + DCD SPI1_Handler + DCD TIMR0_Handler + DCD TIMR1_Handler + DCD TIMR2_Handler + DCD TIMR3_Handler + DCD TIMR4_Handler + DCD TIMR5_Handler + +__Vectors_End + +__Vectors_Size EQU __Vectors_End - __Vectors + + + + AREA |.text|, CODE, READONLY + + +Reset_Handler PROC + EXPORT Reset_Handler [WEAK] + IMPORT __main + LDR R0, =__main + BX R0 + ENDP + +; Dummy Exception Handlers (infinite loops which can be modified) + +NMI_Handler PROC + EXPORT NMI_Handler [WEAK] + B . + ENDP + +HardFault_Handler PROC + EXPORT HardFault_Handler [WEAK] + B . + ENDP + +MemManage_Handler PROC + EXPORT MemManage_Handler [WEAK] + B . + ENDP + +BusFault_Handler PROC + EXPORT BusFault_Handler [WEAK] + B . + ENDP + +UsageFault_Handler PROC + EXPORT UsageFault_Handler [WEAK] + B . + ENDP + +SVC_Handler PROC + EXPORT SVC_Handler [WEAK] + B . + ENDP + +DebugMon_Handler PROC + EXPORT DebugMon_Handler [WEAK] + B . + ENDP + +PendSV_Handler PROC + EXPORT PendSV_Handler [WEAK] + B . + ENDP + +SysTick_Handler PROC + EXPORT SysTick_Handler [WEAK] + B . + ENDP + +GPIOA0_Handler PROC + EXPORT GPIOA0_Handler [WEAK] + B . + ENDP + +GPIOA1_Handler PROC + EXPORT GPIOA1_Handler [WEAK] + B . + ENDP + +GPIOA2_Handler PROC + EXPORT GPIOA2_Handler [WEAK] + B . + ENDP + +GPIOA3_Handler PROC + EXPORT GPIOA3_Handler [WEAK] + B . + ENDP + +GPIOA4_Handler PROC + EXPORT GPIOA4_Handler [WEAK] + B . + ENDP + +GPIOA5_Handler PROC + EXPORT GPIOA5_Handler [WEAK] + B . + ENDP + +GPIOA6_Handler PROC + EXPORT GPIOA6_Handler [WEAK] + B . + ENDP + +GPIOA7_Handler PROC + EXPORT GPIOA7_Handler [WEAK] + B . + ENDP + +GPIOB0_Handler PROC + EXPORT GPIOB0_Handler [WEAK] + B . + ENDP + +GPIOB1_Handler PROC + EXPORT GPIOB1_Handler [WEAK] + B . + ENDP + +GPIOB2_Handler PROC + EXPORT GPIOB2_Handler [WEAK] + B . + ENDP + +GPIOB3_Handler PROC + EXPORT GPIOB3_Handler [WEAK] + B . + ENDP + +GPIOB4_Handler PROC + EXPORT GPIOB4_Handler [WEAK] + B . + ENDP + +GPIOB5_Handler PROC + EXPORT GPIOB5_Handler [WEAK] + B . + ENDP + +GPIOB6_Handler PROC + EXPORT GPIOB6_Handler [WEAK] + B . + ENDP + +GPIOB7_Handler PROC + EXPORT GPIOB7_Handler [WEAK] + B . + ENDP + +GPIOC0_Handler PROC + EXPORT GPIOC0_Handler [WEAK] + B . + ENDP + +GPIOC1_Handler PROC + EXPORT GPIOC1_Handler [WEAK] + B . + ENDP + +GPIOC2_Handler PROC + EXPORT GPIOC2_Handler [WEAK] + B . + ENDP + +GPIOC3_Handler PROC + EXPORT GPIOC3_Handler [WEAK] + B . + ENDP + +GPIOC4_Handler PROC + EXPORT GPIOC4_Handler [WEAK] + B . + ENDP + +GPIOC5_Handler PROC + EXPORT GPIOC5_Handler [WEAK] + B . + ENDP + +GPIOC6_Handler PROC + EXPORT GPIOC6_Handler [WEAK] + B . + ENDP + +GPIOC7_Handler PROC + EXPORT GPIOC7_Handler [WEAK] + B . + ENDP + +GPIOM0_Handler PROC + EXPORT GPIOM0_Handler [WEAK] + B . + ENDP + +GPIOM1_Handler PROC + EXPORT GPIOM1_Handler [WEAK] + B . + ENDP + +GPIOM2_Handler PROC + EXPORT GPIOM2_Handler [WEAK] + B . + ENDP + +GPIOM3_Handler PROC + EXPORT GPIOM3_Handler [WEAK] + B . + ENDP + +GPIOM4_Handler PROC + EXPORT GPIOM4_Handler [WEAK] + B . + ENDP + +GPIOM5_Handler PROC + EXPORT GPIOM5_Handler [WEAK] + B . + ENDP + +GPIOM6_Handler PROC + EXPORT GPIOM6_Handler [WEAK] + B . + ENDP + +GPIOM7_Handler PROC + EXPORT GPIOM7_Handler [WEAK] + B . + ENDP + +DMA_Handler PROC + EXPORT DMA_Handler [WEAK] + B . + ENDP + +LCD_Handler PROC + EXPORT LCD_Handler [WEAK] + B . + ENDP + +NORFLC_Handler PROC + EXPORT NORFLC_Handler [WEAK] + B . + ENDP + +CAN_Handler PROC + EXPORT CAN_Handler [WEAK] + B . + ENDP + +PULSE_Handler PROC + EXPORT PULSE_Handler [WEAK] + B . + ENDP + +WDT_Handler PROC + EXPORT WDT_Handler [WEAK] + B . + ENDP + +PWM_Handler PROC + EXPORT PWM_Handler [WEAK] + B . + ENDP + +UART0_Handler PROC + EXPORT UART0_Handler [WEAK] + B . + ENDP + +UART1_Handler PROC + EXPORT UART1_Handler [WEAK] + B . + ENDP + +UART2_Handler PROC + EXPORT UART2_Handler [WEAK] + B . + ENDP + +UART3_Handler PROC + EXPORT UART3_Handler [WEAK] + B . + ENDP + +I2C0_Handler PROC + EXPORT I2C0_Handler [WEAK] + B . + ENDP + +I2C1_Handler PROC + EXPORT I2C1_Handler [WEAK] + B . + ENDP + +SPI0_Handler PROC + EXPORT SPI0_Handler [WEAK] + B . + ENDP + +ADC0_Handler PROC + EXPORT ADC0_Handler [WEAK] + B . + ENDP + +RTC_Handler PROC + EXPORT RTC_Handler [WEAK] + B . + ENDP + +ANAC_Handler PROC + EXPORT ANAC_Handler [WEAK] + B . + ENDP + +SDIO_Handler PROC + EXPORT SDIO_Handler [WEAK] + B . + ENDP + +GPIOA_Handler PROC + EXPORT GPIOA_Handler [WEAK] + B . + ENDP + +GPIOB_Handler PROC + EXPORT GPIOB_Handler [WEAK] + B . + ENDP + +GPIOC_Handler PROC + EXPORT GPIOC_Handler [WEAK] + B . + ENDP + +GPIOM_Handler PROC + EXPORT GPIOM_Handler [WEAK] + B . + ENDP + +GPION_Handler PROC + EXPORT GPION_Handler [WEAK] + B . + ENDP + +GPIOP_Handler PROC + EXPORT GPIOP_Handler [WEAK] + B . + ENDP + +ADC1_Handler PROC + EXPORT ADC1_Handler [WEAK] + B . + ENDP + +FPU_Handler PROC + EXPORT FPU_Handler [WEAK] + B . + ENDP + +SPI1_Handler PROC + EXPORT SPI1_Handler [WEAK] + B . + ENDP + +TIMR0_Handler PROC + EXPORT TIMR0_Handler [WEAK] + B . + ENDP + +TIMR1_Handler PROC + EXPORT TIMR1_Handler [WEAK] + B . + ENDP + +TIMR2_Handler PROC + EXPORT TIMR2_Handler [WEAK] + B . + ENDP + +TIMR3_Handler PROC + EXPORT TIMR3_Handler [WEAK] + B . + ENDP + +TIMR4_Handler PROC + EXPORT TIMR4_Handler [WEAK] + B . + ENDP + +TIMR5_Handler PROC + EXPORT TIMR5_Handler [WEAK] + B . + ENDP + + ALIGN + + +;******************************************************************************* +; User Stack and Heap initialization +;******************************************************************************* + IF :DEF:__MICROLIB + + EXPORT __initial_sp + EXPORT __heap_base + EXPORT __heap_limit + + ELSE + + IMPORT __use_two_region_memory + EXPORT __user_initial_stackheap + +__user_initial_stackheap + + LDR R0, = Heap_Mem + LDR R1, =(Stack_Mem + Stack_Size) + LDR R2, = (Heap_Mem + Heap_Size) + LDR R3, = Stack_Mem + BX LR + + ALIGN + + ENDIF + + END diff --git a/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/startup/gcc/startup_SWM320.s b/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/startup/gcc/startup_SWM320.s new file mode 100644 index 0000000000..c0bf32e04a --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/startup/gcc/startup_SWM320.s @@ -0,0 +1,406 @@ + + .syntax unified + .cpu cortex-m4 + .fpu softvfp + .thumb + +.global g_pfnVectors +.global Default_Handler + +/* start address for the initialization values of the .data section. +defined in linker script */ +.word _sidata +/* start address for the .data section. defined in linker script */ +.word _sdata +/* end address for the .data section. defined in linker script */ +.word _edata +/* start address for the .bss section. defined in linker script */ +.word _sbss +/* end address for the .bss section. defined in linker script */ +.word _ebss +/* stack used for SystemInit_ExtMemCtl; always internal RAM used */ + +/** + * @brief This is the code that gets called when the processor first + * starts execution following a reset event. Only the absolutely + * necessary set is performed, after which the application + * supplied main() routine is called. + * @param None + * @retval : None +*/ + + .section .text.Reset_Handler + .weak Reset_Handler + .type Reset_Handler, %function +Reset_Handler: + ldr sp, =_estack /* set stack pointer */ + +/* Copy the data segment initializers from flash to SRAM */ + movs r1, #0 + b LoopCopyDataInit + +CopyDataInit: + ldr r3, =_sidata + ldr r3, [r3, r1] + str r3, [r0, r1] + adds r1, r1, #4 + +LoopCopyDataInit: + ldr r0, =_sdata + ldr r3, =_edata + adds r2, r0, r1 + cmp r2, r3 + bcc CopyDataInit + ldr r2, =_sbss + b LoopFillZerobss +/* Zero fill the bss segment. */ +FillZerobss: + movs r3, #0 + str r3, [r2], #4 + +LoopFillZerobss: + ldr r3, = _ebss + cmp r2, r3 + bcc FillZerobss +/* Call the application's entry point.*/ + bl entry + bx lr +.size Reset_Handler, .-Reset_Handler + +/** + * @brief This is the code that gets called when the processor receives an + * unexpected interrupt. This simply enters an infinite loop, preserving + * the system state for examination by a debugger. + * @param None + * @retval None +*/ + .section .text.Default_Handler,"ax",%progbits +Default_Handler: +Infinite_Loop: + b Infinite_Loop + .size Default_Handler, .-Default_Handler +/****************************************************************************** +* +* The minimal vector table for a Cortex M3. Note that the proper constructs +* must be placed on this to ensure that it ends up at physical address +* 0x0000.0000. +* +*******************************************************************************/ + .section .isr_vector,"a",%progbits + .type g_pfnVectors, %object + .size g_pfnVectors, .-g_pfnVectors + +g_pfnVectors: + .word _estack + .word Reset_Handler + .word NMI_Handler + .word HardFault_Handler + .word MemManage_Handler + .word BusFault_Handler + .word UsageFault_Handler + .word 0 + .word 0 + .word 0 + .word 0 + .word SVC_Handler + .word DebugMon_Handler + .word 0 + .word PendSV_Handler + .word SysTick_Handler + + /* External Interrupts */ + .word GPIOA0_Handler + .word GPIOA1_Handler + .word GPIOA2_Handler + .word GPIOA3_Handler + .word GPIOA4_Handler + .word GPIOA5_Handler + .word GPIOA6_Handler + .word GPIOA7_Handler + .word GPIOB0_Handler + .word GPIOB1_Handler + .word GPIOB2_Handler + .word GPIOB3_Handler + .word GPIOB4_Handler + .word GPIOB5_Handler + .word GPIOB6_Handler + .word GPIOB7_Handler + .word GPIOC0_Handler + .word GPIOC1_Handler + .word GPIOC2_Handler + .word GPIOC3_Handler + .word GPIOC4_Handler + .word GPIOC5_Handler + .word GPIOC6_Handler + .word GPIOC7_Handler + .word GPIOM0_Handler + .word GPIOM1_Handler + .word GPIOM2_Handler + .word GPIOM3_Handler + .word GPIOM4_Handler + .word GPIOM5_Handler + .word GPIOM6_Handler + .word GPIOM7_Handler + .word DMA_Handler + .word LCD_Handler + .word NORFLC_Handler + .word CAN_Handler + .word PULSE_Handler + .word WDT_Handler + .word PWM_Handler + .word UART0_Handler + .word UART1_Handler + .word UART2_Handler + .word UART3_Handler + .word 0 + .word I2C0_Handler + .word I2C1_Handler + .word SPI0_Handler + .word ADC0_Handler + .word RTC_Handler + .word ANAC_Handler + .word SDIO_Handler + .word GPIOA_Handler + .word GPIOB_Handler + .word GPIOC_Handler + .word GPIOM_Handler + .word GPION_Handler + .word GPIOP_Handler + .word ADC1_Handler + .word FPU_Handler + .word SPI1_Handler + .word TIMR0_Handler + .word TIMR1_Handler + .word TIMR2_Handler + .word TIMR3_Handler + .word TIMR4_Handler + .word TIMR5_Handler +/******************************************************************************* +* +* Provide weak aliases for each Exception handler to the Default_Handler. +* As they are weak aliases, any function with the same name will override +* this definition. +* +*******************************************************************************/ + .weak NMI_Handler + .thumb_set NMI_Handler,Default_Handler + + .weak HardFault_Handler + .thumb_set HardFault_Handler,Default_Handler + + .weak MemManage_Handler + .thumb_set MemManage_Handler,Default_Handler + + .weak BusFault_Handler + .thumb_set BusFault_Handler,Default_Handler + + .weak UsageFault_Handler + .thumb_set UsageFault_Handler,Default_Handler + + .weak SVC_Handler + .thumb_set SVC_Handler,Default_Handler + + .weak DebugMon_Handler + .thumb_set DebugMon_Handler,Default_Handler + + .weak PendSV_Handler + .thumb_set PendSV_Handler,Default_Handler + + .weak SysTick_Handler + .thumb_set SysTick_Handler,Default_Handler + + .weak GPIOA0_Handler + .thumb_set GPIOA0_Handler,Default_Handler + + .weak GPIOA1_Handler + .thumb_set GPIOA1_Handler,Default_Handler + + .weak GPIOA2_Handler + .thumb_set GPIOA2_Handler,Default_Handler + + .weak GPIOA3_Handler + .thumb_set GPIOA3_Handler,Default_Handler + + .weak GPIOA4_Handler + .thumb_set GPIOA4_Handler,Default_Handler + + .weak GPIOA5_Handler + .thumb_set GPIOA5_Handler,Default_Handler + + .weak GPIOA6_Handler + .thumb_set GPIOA6_Handler,Default_Handler + + .weak GPIOA7_Handler + .thumb_set GPIOA7_Handler,Default_Handler + + .weak GPIOB0_Handler + .thumb_set GPIOB0_Handler,Default_Handler + + .weak GPIOB1_Handler + .thumb_set GPIOB1_Handler,Default_Handler + + .weak GPIOB2_Handler + .thumb_set GPIOB2_Handler,Default_Handler + + .weak GPIOB3_Handler + .thumb_set GPIOB3_Handler,Default_Handler + + .weak GPIOB4_Handler + .thumb_set GPIOB4_Handler,Default_Handler + + .weak GPIOB5_Handler + .thumb_set GPIOB5_Handler,Default_Handler + + .weak GPIOB6_Handler + .thumb_set GPIOB6_Handler,Default_Handler + + .weak GPIOB7_Handler + .thumb_set GPIOB7_Handler,Default_Handler + + .weak GPIOC0_Handler + .thumb_set GPIOC0_Handler,Default_Handler + + .weak GPIOC1_Handler + .thumb_set GPIOC1_Handler,Default_Handler + + .weak GPIOC2_Handler + .thumb_set GPIOC2_Handler,Default_Handler + + .weak GPIOC3_Handler + .thumb_set GPIOC3_Handler,Default_Handler + + .weak GPIOC4_Handler + .thumb_set GPIOC4_Handler,Default_Handler + + .weak GPIOC5_Handler + .thumb_set GPIOC5_Handler,Default_Handler + + .weak GPIOC6_Handler + .thumb_set GPIOC6_Handler,Default_Handler + + .weak GPIOC7_Handler + .thumb_set GPIOC7_Handler,Default_Handler + + .weak GPIOM0_Handler + .thumb_set GPIOM0_Handler,Default_Handler + + .weak GPIOM1_Handler + .thumb_set GPIOM1_Handler,Default_Handler + + .weak GPIOM2_Handler + .thumb_set GPIOM2_Handler,Default_Handler + + .weak GPIOM3_Handler + .thumb_set GPIOM3_Handler,Default_Handler + + .weak GPIOM4_Handler + .thumb_set GPIOM4_Handler,Default_Handler + + .weak GPIOM5_Handler + .thumb_set GPIOM5_Handler,Default_Handler + + .weak GPIOM6_Handler + .thumb_set GPIOM6_Handler,Default_Handler + + .weak GPIOM7_Handler + .thumb_set GPIOM7_Handler,Default_Handler + + .weak DMA_Handler + .thumb_set DMA_Handler,Default_Handler + + .weak LCD_Handler + .thumb_set LCD_Handler,Default_Handler + + .weak NORFLC_Handler + .thumb_set NORFLC_Handler,Default_Handler + + .weak CAN_Handler + .thumb_set CAN_Handler,Default_Handler + + .weak PULSE_Handler + .thumb_set PULSE_Handler,Default_Handler + + .weak WDT_Handler + .thumb_set WDT_Handler,Default_Handler + + .weak PWM_Handler + .thumb_set PWM_Handler,Default_Handler + + .weak UART0_Handler + .thumb_set UART0_Handler,Default_Handler + + .weak UART1_Handler + .thumb_set UART1_Handler,Default_Handler + + .weak UART2_Handler + .thumb_set UART2_Handler,Default_Handler + + .weak UART3_Handler + .thumb_set UART3_Handler,Default_Handler + + .weak I2C0_Handler + .thumb_set I2C0_Handler,Default_Handler + + .weak I2C1_Handler + .thumb_set I2C1_Handler,Default_Handler + + .weak SPI0_Handler + .thumb_set SPI0_Handler,Default_Handler + + .weak ADC0_Handler + .thumb_set ADC0_Handler,Default_Handler + + .weak RTC_Handler + .thumb_set RTC_Handler,Default_Handler + + .weak ANAC_Handler + .thumb_set ANAC_Handler,Default_Handler + + .weak SDIO_Handler + .thumb_set SDIO_Handler,Default_Handler + + .weak GPIOA_Handler + .thumb_set GPIOA_Handler,Default_Handler + + .weak GPIOB_Handler + .thumb_set GPIOB_Handler,Default_Handler + + .weak GPIOC_Handler + .thumb_set GPIOC_Handler,Default_Handler + + .weak GPIOM_Handler + .thumb_set GPIOM_Handler,Default_Handler + + .weak GPION_Handler + .thumb_set GPION_Handler,Default_Handler + + .weak GPIOP_Handler + .thumb_set GPIOP_Handler,Default_Handler + + .weak ADC1_Handler + .thumb_set ADC1_Handler,Default_Handler + + .weak FPU_Handler + .thumb_set FPU_Handler,Default_Handler + + .weak SPI1_Handler + .thumb_set SPI1_Handler,Default_Handler + + .weak TIMR0_Handler + .thumb_set TIMR0_Handler,Default_Handler + + .weak TIMR1_Handler + .thumb_set TIMR1_Handler,Default_Handler + + .weak TIMR2_Handler + .thumb_set TIMR2_Handler,Default_Handler + + .weak TIMR3_Handler + .thumb_set TIMR3_Handler,Default_Handler + + .weak TIMR4_Handler + .thumb_set TIMR4_Handler,Default_Handler + + .weak TIMR5_Handler + .thumb_set TIMR5_Handler,Default_Handler + diff --git a/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/startup/iar/startup_SWM320.s b/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/startup/iar/startup_SWM320.s new file mode 100644 index 0000000000..baecc70d02 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/startup/iar/startup_SWM320.s @@ -0,0 +1,464 @@ +;****************************************************************************************************************************************** +; 文件å称: startup_SWM2400.s +; 功能说明: SWM2400å•ç‰‡æœºçš„å¯åŠ¨æ–‡ä»¶ +; 技术支æŒ: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +; 注æ„事项: +; 版本日期: V1.0.0 2016å¹´1月30æ—¥ +; å‡çº§è®°å½•: +; +; +;****************************************************************************************************************************************** +; @attention +; +; THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +; REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +; FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +; OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +; -ECTION WITH THEIR PRODUCTS. +; +; COPYRIGHT 2012 Synwit Technology +;****************************************************************************************************************************************** + + MODULE ?cstartup + + ;; Forward declaration of sections. + SECTION CSTACK:DATA:NOROOT(3) + + SECTION .intvec:CODE:NOROOT(2) + + EXTERN __iar_program_start + PUBLIC __vector_table + + DATA +__vector_table + DCD sfe(CSTACK) + DCD Reset_Handler ; Reset Handler + DCD NMI_Handler ; NMI Handler + DCD HardFault_Handler ; Hard Fault Handler + DCD MemManage_Handler ; MPU Fault Handler + DCD BusFault_Handler ; Bus Fault Handler + DCD UsageFault_Handler ; Usage Fault Handler + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD SVC_Handler ; SVCall Handler + DCD DebugMon_Handler ; Debug Monitor Handler + DCD 0 ; Reserved + DCD PendSV_Handler ; PendSV Handler + DCD SysTick_Handler ; SysTick Handler + + ; External Interrupts + DCD GPIOA0_Handler + DCD GPIOA1_Handler + DCD GPIOA2_Handler + DCD GPIOA3_Handler + DCD GPIOA4_Handler + DCD GPIOA5_Handler + DCD GPIOA6_Handler + DCD GPIOA7_Handler + DCD GPIOB0_Handler + DCD GPIOB1_Handler + DCD GPIOB2_Handler + DCD GPIOB3_Handler + DCD GPIOB4_Handler + DCD GPIOB5_Handler + DCD GPIOB6_Handler + DCD GPIOB7_Handler + DCD GPIOC0_Handler + DCD GPIOC1_Handler + DCD GPIOC2_Handler + DCD GPIOC3_Handler + DCD GPIOC4_Handler + DCD GPIOC5_Handler + DCD GPIOC6_Handler + DCD GPIOC7_Handler + DCD GPIOM0_Handler + DCD GPIOM1_Handler + DCD GPIOM2_Handler + DCD GPIOM3_Handler + DCD GPIOM4_Handler + DCD GPIOM5_Handler + DCD GPIOM6_Handler + DCD GPIOM7_Handler + DCD DMA_Handler + DCD LCD_Handler + DCD NORFLC_Handler + DCD CAN_Handler + DCD TIMR_Handler + DCD WDT_Handler + DCD PWM_Handler + DCD UART0_Handler + DCD UART1_Handler + DCD UART2_Handler + DCD UART3_Handler + DCD 0 + DCD I2C0_Handler + DCD I2C1_Handler + DCD SPI0_Handler + DCD ADC0_Handler + DCD RTC_Handler + DCD ANAC_Handler + DCD SDIO_Handler + DCD GPIOA_Handler + DCD GPIOB_Handler + DCD GPIOC_Handler + DCD GPIOM_Handler + DCD GPION_Handler + DCD GPIOP_Handler + DCD ADC1_Handler + DCD FPU_Handler + DCD SPI1_Handler + + + THUMB + + PUBWEAK Reset_Handler + SECTION .text:CODE:REORDER:NOROOT(2) +Reset_Handler + LDR R0, =__iar_program_start + BX R0 + + PUBWEAK NMI_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +NMI_Handler + B NMI_Handler + + PUBWEAK HardFault_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +HardFault_Handler + B HardFault_Handler + + PUBWEAK MemManage_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +MemManage_Handler + B MemManage_Handler + + PUBWEAK BusFault_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +BusFault_Handler + B BusFault_Handler + + PUBWEAK UsageFault_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +UsageFault_Handler + B UsageFault_Handler + + PUBWEAK SVC_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +SVC_Handler + B SVC_Handler + + PUBWEAK DebugMon_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +DebugMon_Handler + B DebugMon_Handler + + PUBWEAK PendSV_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +PendSV_Handler + B PendSV_Handler + + PUBWEAK SysTick_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +SysTick_Handler + B SysTick_Handler + + + PUBWEAK GPIOA0_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOA0_Handler + B GPIOA0_Handler + + PUBWEAK GPIOA1_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOA1_Handler + B GPIOA1_Handler + + PUBWEAK GPIOA2_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOA2_Handler + B GPIOA2_Handler + + PUBWEAK GPIOA3_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOA3_Handler + B GPIOA3_Handler + + PUBWEAK GPIOA4_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOA4_Handler + B GPIOA4_Handler + + PUBWEAK GPIOA5_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOA5_Handler + B GPIOA5_Handler + + PUBWEAK GPIOA6_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOA6_Handler + B GPIOA6_Handler + + PUBWEAK GPIOA7_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOA7_Handler + B GPIOA7_Handler + + PUBWEAK GPIOB0_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOB0_Handler + B GPIOB0_Handler + + PUBWEAK GPIOB1_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOB1_Handler + B GPIOB1_Handler + + PUBWEAK GPIOB2_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOB2_Handler + B GPIOB2_Handler + + PUBWEAK GPIOB3_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOB3_Handler + B GPIOB3_Handler + + PUBWEAK GPIOB4_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOB4_Handler + B GPIOB4_Handler + + PUBWEAK GPIOB5_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOB5_Handler + B GPIOB5_Handler + + PUBWEAK GPIOB6_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOB6_Handler + B GPIOB6_Handler + + PUBWEAK GPIOB7_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOB7_Handler + B GPIOB7_Handler + + PUBWEAK GPIOC0_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOC0_Handler + B GPIOC0_Handler + + PUBWEAK GPIOC1_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOC1_Handler + B GPIOC1_Handler + + PUBWEAK GPIOC2_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOC2_Handler + B GPIOC2_Handler + + PUBWEAK GPIOC3_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOC3_Handler + B GPIOC3_Handler + + PUBWEAK GPIOC4_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOC4_Handler + B GPIOC4_Handler + + PUBWEAK GPIOC5_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOC5_Handler + B GPIOC5_Handler + + PUBWEAK GPIOC6_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOC6_Handler + B GPIOC6_Handler + + PUBWEAK GPIOC7_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOC7_Handler + B GPIOC7_Handler + + PUBWEAK GPIOM0_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOM0_Handler + B GPIOM0_Handler + + PUBWEAK GPIOM1_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOM1_Handler + B GPIOM1_Handler + + PUBWEAK GPIOM2_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOM2_Handler + B GPIOM2_Handler + + PUBWEAK GPIOM3_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOM3_Handler + B GPIOM3_Handler + + PUBWEAK GPIOM4_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOM4_Handler + B GPIOM4_Handler + + PUBWEAK GPIOM5_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOM5_Handler + B GPIOM5_Handler + + PUBWEAK GPIOM6_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOM6_Handler + B GPIOM6_Handler + + PUBWEAK GPIOM7_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOM7_Handler + B GPIOM7_Handler + + PUBWEAK DMA_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +DMA_Handler + B DMA_Handler + + PUBWEAK LCD_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +LCD_Handler + B LCD_Handler + + PUBWEAK NORFLC_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +NORFLC_Handler + B NORFLC_Handler + + PUBWEAK CAN_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +CAN_Handler + B CAN_Handler + + PUBWEAK TIMR_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +TIMR_Handler + B TIMR_Handler + + PUBWEAK WDT_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +WDT_Handler + B WDT_Handler + + PUBWEAK PWM_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +PWM_Handler + B PWM_Handler + + PUBWEAK UART0_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +UART0_Handler + B UART0_Handler + + PUBWEAK UART1_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +UART1_Handler + B UART1_Handler + + PUBWEAK UART2_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +UART2_Handler + B UART2_Handler + + PUBWEAK UART3_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +UART3_Handler + B UART3_Handler + + PUBWEAK I2C0_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +I2C0_Handler + B I2C0_Handler + + PUBWEAK I2C1_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +I2C1_Handler + B I2C1_Handler + + PUBWEAK SPI0_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +SPI0_Handler + B SPI0_Handler + + PUBWEAK ADC0_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +ADC0_Handler + B ADC0_Handler + + PUBWEAK RTC_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +RTC_Handler + B RTC_Handler + + PUBWEAK ANAC_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +ANAC_Handler + B ANAC_Handler + + PUBWEAK SDIO_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +SDIO_Handler + B SDIO_Handler + + PUBWEAK GPIOA_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOA_Handler + B GPIOA_Handler + + PUBWEAK GPIOB_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOB_Handler + B GPIOB_Handler + + PUBWEAK GPIOC_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOC_Handler + B GPIOC_Handler + + PUBWEAK GPIOM_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOM_Handler + B GPIOM_Handler + + PUBWEAK GPION_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPION_Handler + B GPION_Handler + + PUBWEAK GPIOP_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +GPIOP_Handler + B GPIOP_Handler + + PUBWEAK ADC1_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +ADC1_Handler + B ADC1_Handler + + PUBWEAK FPU_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +FPU_Handler + B FPU_Handler + + PUBWEAK SPI1_Handler + SECTION .text:CODE:REORDER:NOROOT(1) +SPI1_Handler + B SPI1_Handler + + + END diff --git a/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/system_SWM320.c b/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/system_SWM320.c new file mode 100644 index 0000000000..d6e7c61809 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/system_SWM320.c @@ -0,0 +1,215 @@ +/****************************************************************************************************************************************** +* 文件å称: system_SWM320.c +* 功能说明: SWM320å•ç‰‡æœºçš„时钟设置 +* 技术支æŒ: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* 注æ„事项: +* 版本日期: V1.1.0 2017å¹´10月25æ—¥ +* å‡çº§è®°å½•: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include +#include "SWM320.h" + +/****************************************************************************************************************************************** + * 系统时钟设定 + *****************************************************************************************************************************************/ +#define SYS_CLK_20MHz 0 //0 内部高频20MHz RC振è¡å™¨ +#define SYS_CLK_40MHz 1 //1 内部高频40MHz RC振è¡å™¨ +#define SYS_CLK_32KHz 2 //2 内部低频32KHz RC振è¡å™¨ +#define SYS_CLK_XTAL 3 //3 外部晶体振è¡å™¨ï¼ˆ2-30MHz) +#define SYS_CLK_PLL 4 //4 片内é”相环输出 + +#define SYS_CLK SYS_CLK_PLL + +#define SYS_CLK_DIV_1 0 +#define SYS_CLK_DIV_2 1 + +#define SYS_CLK_DIV SYS_CLK_DIV_1 + +#define __HSI (20000000UL) //高速内部时钟 +#define __LSI (32000UL) //低速内部时钟 +#define __HSE (20000000UL) //高速外部时钟 + +/********************************** PLL 设定 ********************************************** + * VCO输出频率 = PLL输入时钟 / INDIV * 4 * FBDIV + * PLL输出频率 = PLL输入时钟 / INDIV * 4 * FBDIV / OUTDIV = VCO输出频率 / OUTDIV + *****************************************************************************************/ +#define SYS_PLL_SRC SYS_CLK_XTAL //å¯å–值SYS_CLK_20MHzã€SYS_CLK_XTAL + +#define PLL_IN_DIV 5 + +#define PLL_FB_DIV 60 + +#define PLL_OUT_DIV8 0 +#define PLL_OUT_DIV4 1 +#define PLL_OUT_DIV2 2 + +#define PLL_OUT_DIV PLL_OUT_DIV8 + +uint32_t SystemCoreClock = (120000000UL); //System Clock Frequency (Core Clock) +uint32_t CyclesPerUs = ((120000000UL) / 1000000); //Cycles per micro second + +/****************************************************************************************************************************************** +* 函数å称: +* 功能说明: This function is used to update the variable SystemCoreClock and must be called whenever the core clock is changed +* 输 å…¥: +* 输 出: +* 注æ„事项: +******************************************************************************************************************************************/ +void SystemCoreClockUpdate(void) +{ + if (SYS->CLKSEL & SYS_CLKSEL_SYS_Msk) //SYS_CLK <= HFCK + { + if (SYS->CLKSEL & SYS_CLKSEL_HFCK_Msk) //HFCK <= XTAL + { + SystemCoreClock = __HSE; + } + else //HFCK <= HRC + { + if (SYS->HRCCR & SYS_HRCCR_DBL_Msk) //HRC = 40MHz + { + SystemCoreClock = __HSI * 2; + } + else //HRC = 20MHz + { + SystemCoreClock = __HSI; + } + } + } + else //SYS_CLK <= LFCK + { + if (SYS->CLKSEL & SYS_CLKSEL_LFCK_Msk) //LFCK <= PLL + { + if (SYS->PLLCR & SYS_PLLCR_INSEL_Msk) //PLL_SRC <= HRC + { + SystemCoreClock = __HSI; + } + else //PLL_SRC <= XTAL + { + SystemCoreClock = __HSE; + } + + SystemCoreClock = SystemCoreClock / PLL_IN_DIV * PLL_FB_DIV * 4 / (2 << (2 - PLL_OUT_DIV)); + } + else //LFCK <= LRC + { + SystemCoreClock = __LSI; + } + } + + if (SYS->CLKDIV & SYS_CLKDIV_SYS_Msk) + SystemCoreClock /= 2; +} + +/****************************************************************************************************************************************** +* 函数å称: +* 功能说明: The necessary initializaiton of systerm +* 输 å…¥: +* 输 出: +* 注æ„事项: +******************************************************************************************************************************************/ +void SystemInit(void) +{ + uint32_t i; + + SYS->CLKEN |= (1 << SYS_CLKEN_ANAC_Pos); + + switch (SYS_CLK) + { + case SYS_CLK_20MHz: //0 内部高频20MHz RC振è¡å™¨ + SYS->HRCCR = (0 << SYS_HRCCR_OFF_Pos) | + (0 << SYS_HRCCR_DBL_Pos); //HRC = 20MHz + + SYS->CLKSEL &= ~SYS_CLKSEL_HFCK_Msk; //HFCK <= HRC + SYS->CLKSEL |= (1 << SYS_CLKSEL_SYS_Pos); //SYS_CLK <= HFCK + break; + + case SYS_CLK_40MHz: //1 内部高频40MHz RC振è¡å™¨ + SYS->HRCCR = (0 << SYS_HRCCR_OFF_Pos) | + (1 << SYS_HRCCR_DBL_Pos); //HRC = 40MHz + + SYS->CLKSEL &= ~SYS_CLKSEL_HFCK_Msk; //HFCK <= HRC + SYS->CLKSEL |= (1 << SYS_CLKSEL_SYS_Pos); //SYS_CLK <= HFCK + break; + + case SYS_CLK_32KHz: //2 内部低频32KHz RC振è¡å™¨ + SYS->CLKEN |= (1 << SYS_CLKEN_RTCBKP_Pos); + + SYS->LRCCR &= ~(1 << SYS_LRCCR_OFF_Pos); + + for (i = 0; i < 20000; i++) + ; + + SYS->CLKSEL &= ~SYS_CLKSEL_LFCK_Msk; //LFCK <= LRC + SYS->CLKSEL &= ~SYS_CLKSEL_SYS_Msk; //SYS_CLK <= LFCK + break; + + case SYS_CLK_XTAL: //3 外部晶体振è¡å™¨ï¼ˆ2-30MHz) + SYS->XTALCR = (1 << SYS_XTALCR_EN_Pos); + + for (i = 0; i < 20000; i++) + ; + + SYS->CLKSEL |= (1 << SYS_CLKSEL_HFCK_Pos); //HFCK <= XTAL + SYS->CLKSEL |= (1 << SYS_CLKSEL_SYS_Pos); //SYS_CLK <= HFCK + break; + + case SYS_CLK_PLL: //4 片内é”相环输出 + PLLInit(); + SYS->PLLCR |= (1 << SYS_PLLCR_OUTEN_Pos); + + SYS->CLKSEL |= (1 << SYS_CLKSEL_LFCK_Pos); //LFCK <= PLL + SYS->CLKSEL &= ~SYS_CLKSEL_SYS_Msk; //SYS_CLK <= LFCK + break; + } + + SYS->CLKDIV &= ~SYS_CLKDIV_SYS_Msk; + SYS->CLKDIV |= (SYS_CLK_DIV << SYS_CLKDIV_SYS_Pos); + + SystemCoreClockUpdate(); +} + +void PLLInit(void) +{ + uint32_t i; + + if (SYS_PLL_SRC == SYS_CLK_20MHz) + { + SYS->HRCCR = (0 << SYS_HRCCR_OFF_Pos) | + (0 << SYS_HRCCR_DBL_Pos); //HRC = 20MHz + + SYS->PLLCR |= (1 << SYS_PLLCR_INSEL_Pos); //PLL_SRC <= HRC + } + else if (SYS_PLL_SRC == SYS_CLK_XTAL) + { + SYS->XTALCR = (1 << SYS_XTALCR_EN_Pos); + + for (i = 0; i < 20000; i++) + ; + + SYS->PLLCR &= ~(1 << SYS_PLLCR_INSEL_Pos); //PLL_SRC <= XTAL + } + + SYS->PLLDIV &= ~(SYS_PLLDIV_INDIV_Msk | + SYS_PLLDIV_FBDIV_Msk | + SYS_PLLDIV_OUTDIV_Msk); + SYS->PLLDIV |= (PLL_IN_DIV << SYS_PLLDIV_INDIV_Pos) | + (PLL_FB_DIV << SYS_PLLDIV_FBDIV_Pos) | + (PLL_OUT_DIV << SYS_PLLDIV_OUTDIV_Pos); + + SYS->PLLCR &= ~(1 << SYS_PLLCR_OFF_Pos); + + while (SYS->PLLLOCK == 0) + ; //等待PLLé”定 +} diff --git a/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/system_SWM320.h b/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/system_SWM320.h new file mode 100644 index 0000000000..4f44ccd3ab --- /dev/null +++ b/bsp/swm320-lq100/Libraries/CMSIS/DeviceSupport/system_SWM320.h @@ -0,0 +1,24 @@ +#ifndef __SYSTEM_SWM320_H__ +#define __SYSTEM_SWM320_H__ + +#ifdef __cplusplus +extern "C" { +#endif + + +extern uint32_t SystemCoreClock; // System Clock Frequency (Core Clock) +extern uint32_t CyclesPerUs; // Cycles per micro second + + +extern void SystemInit(void); + +extern void SystemCoreClockUpdate(void); + +extern void PLLInit(void); + + +#ifdef __cplusplus +} +#endif + +#endif //__SYSTEM_SWM320_H__ diff --git a/bsp/swm320-lq100/Libraries/SConscript b/bsp/swm320-lq100/Libraries/SConscript new file mode 100644 index 0000000000..d00266489b --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SConscript @@ -0,0 +1,18 @@ +from building import * +import rtconfig +cwd = GetCurrentDir() +src = Glob('CMSIS/DeviceSupport/*.c') +CPPPATH = [cwd + '/CMSIS/CoreSupport', cwd + '/CMSIS/DeviceSupport', cwd + '/SWM320_StdPeriph_Driver'] + +src += Glob('SWM320_StdPeriph_Driver/*.c') + +if rtconfig.CROSS_TOOL == 'gcc': + src += ['CMSIS/DeviceSupport/startup/gcc/startup_SWM320.s'] +elif rtconfig.CROSS_TOOL == 'keil': + src += ['CMSIS/DeviceSupport/startup/arm/startup_SWM320.s'] +elif rtconfig.CROSS_TOOL == 'iar': + print('Not Support iar now\n') + exit(0) +group = DefineGroup('Libraries', src, depend = [''], CPPPATH = CPPPATH) + +Return('group') diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_adc.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_adc.c new file mode 100644 index 0000000000..d6c48b10d4 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_adc.c @@ -0,0 +1,522 @@ +/****************************************************************************************************************************************** +* 文件å称: SWM320_adc.c +* 功能说明: SWM320å•ç‰‡æœºçš„ADC数模转æ¢å™¨åŠŸèƒ½é©±åŠ¨åº“ +* 技术支æŒ: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* 注æ„事项: +* 版本日期: V1.1.0 2017å¹´10月25æ—¥ +* å‡çº§è®°å½•: +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_adc.h" + +/****************************************************************************************************************************************** +* 函数å称: ADC_Init() +* 功能说明: ADC模数转æ¢å™¨åˆå§‹åŒ– +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,有效值包括ADC0ã€ADC1 +* ADC_InitStructure * initStruct 包å«ADCå„相关定值的结构体 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_Init(ADC_TypeDef *ADCx, ADC_InitStructure *initStruct) +{ + switch ((uint32_t)ADCx) + { + case ((uint32_t)ADC0): + SYS->CLKEN |= (0x01 << SYS_CLKEN_ADC0_Pos); + break; + + case ((uint32_t)ADC1): + SYS->CLKEN |= (0x01 << SYS_CLKEN_ADC1_Pos); + break; + } + + ADC_Close(ADCx); //一些关键寄存器åªèƒ½åœ¨ADC关闭时设置 + + if (initStruct->clk_src == ADC_CLKSRC_HRC) + { + ADCx->CTRL |= (1 << ADC_CTRL_CLKSRC_Pos); + + ADCx->CTRL2 &= ~ADC_CTRL2_CLKDIV_Msk; + ADCx->CTRL2 |= (initStruct->clk_div << ADC_CTRL2_CLKDIV_Pos); + } + else + { + if (SYS->PLLCR & SYS_PLLCR_OFF_Msk) + PLLInit(); + + ADCx->CTRL &= ~(1 << ADC_CTRL_CLKSRC_Pos); + + SYS->PLLDIV &= ~SYS_PLLDIV_ADVCO_Msk; + SYS->PLLDIV |= ((initStruct->clk_src - 2) << SYS_PLLDIV_ADVCO_Pos); + + SYS->PLLDIV &= ~SYS_PLLDIV_ADDIV_Msk; + SYS->PLLDIV |= (initStruct->clk_div << SYS_PLLDIV_ADDIV_Pos); + } + + ADCx->CTRL2 &= ~(ADC_CTRL2_ADCEVCM_Msk | ADC_CTRL2_PGAIVCM_Msk | ADC_CTRL2_PGAGAIN_Msk | ADC_CTRL2_PGAVCM_Msk); + ADCx->CTRL2 |= (0 << ADC_CTRL2_ADCEVCM_Pos) | + (PGA_VCM_INTERNAL << ADC_CTRL2_PGAIVCM_Pos) | + (6 << ADC_CTRL2_PGAGAIN_Pos) | + ((uint32_t)6 << ADC_CTRL2_PGAVCM_Pos); + + ADCx->CTRL &= ~(0xFF << ADC_CTRL_CH0_Pos); + ADCx->CTRL |= (initStruct->channels << ADC_CTRL_CH0_Pos); + + ADCx->CTRL &= ~(ADC_CTRL_AVG_Msk | ADC_CTRL_TRIG_Msk | ADC_CTRL_CONT_Msk); + ADCx->CTRL |= (initStruct->samplAvg << ADC_CTRL_AVG_Pos) | + (initStruct->trig_src << ADC_CTRL_TRIG_Pos) | + (initStruct->Continue << ADC_CTRL_CONT_Pos); + + ADCx->IF = 0xFFFFFFFF; //清除中断标志 + + ADCx->IE &= ~(ADC_IE_CH0EOC_Msk | ADC_IE_CH1EOC_Msk | ADC_IE_CH2EOC_Msk | ADC_IE_CH3EOC_Msk | + ADC_IE_CH4EOC_Msk | ADC_IE_CH5EOC_Msk | ADC_IE_CH6EOC_Msk | ADC_IE_CH7EOC_Msk); + ADCx->IE |= (((initStruct->EOC_IEn & ADC_CH0) ? 1 : 0) << ADC_IE_CH0EOC_Pos) | + (((initStruct->EOC_IEn & ADC_CH1) ? 1 : 0) << ADC_IE_CH1EOC_Pos) | + (((initStruct->EOC_IEn & ADC_CH2) ? 1 : 0) << ADC_IE_CH2EOC_Pos) | + (((initStruct->EOC_IEn & ADC_CH3) ? 1 : 0) << ADC_IE_CH3EOC_Pos) | + (((initStruct->EOC_IEn & ADC_CH4) ? 1 : 0) << ADC_IE_CH4EOC_Pos) | + (((initStruct->EOC_IEn & ADC_CH5) ? 1 : 0) << ADC_IE_CH5EOC_Pos) | + (((initStruct->EOC_IEn & ADC_CH6) ? 1 : 0) << ADC_IE_CH6EOC_Pos) | + (((initStruct->EOC_IEn & ADC_CH7) ? 1 : 0) << ADC_IE_CH7EOC_Pos); + + ADCx->IE &= ~(ADC_IE_CH0OVF_Msk | ADC_IE_CH1OVF_Msk | ADC_IE_CH2OVF_Msk | ADC_IE_CH3OVF_Msk | + ADC_IE_CH4OVF_Msk | ADC_IE_CH5OVF_Msk | ADC_IE_CH6OVF_Msk | ADC_IE_CH7OVF_Msk); + ADCx->IE |= (((initStruct->OVF_IEn & ADC_CH0) ? 1 : 0) << ADC_IE_CH0OVF_Pos) | + (((initStruct->OVF_IEn & ADC_CH1) ? 1 : 0) << ADC_IE_CH1OVF_Pos) | + (((initStruct->OVF_IEn & ADC_CH2) ? 1 : 0) << ADC_IE_CH2OVF_Pos) | + (((initStruct->OVF_IEn & ADC_CH3) ? 1 : 0) << ADC_IE_CH3OVF_Pos) | + (((initStruct->OVF_IEn & ADC_CH4) ? 1 : 0) << ADC_IE_CH4OVF_Pos) | + (((initStruct->OVF_IEn & ADC_CH5) ? 1 : 0) << ADC_IE_CH5OVF_Pos) | + (((initStruct->OVF_IEn & ADC_CH6) ? 1 : 0) << ADC_IE_CH6OVF_Pos) | + (((initStruct->OVF_IEn & ADC_CH7) ? 1 : 0) << ADC_IE_CH7OVF_Pos); + + ADCx->IE &= ~(ADC_IE_CH0HFULL_Msk | ADC_IE_CH1HFULL_Msk | ADC_IE_CH2HFULL_Msk | ADC_IE_CH3HFULL_Msk | + ADC_IE_CH4HFULL_Msk | ADC_IE_CH5HFULL_Msk | ADC_IE_CH6HFULL_Msk | ADC_IE_CH7HFULL_Msk); + ADCx->IE |= (((initStruct->HFULL_IEn & ADC_CH0) ? 1 : 0) << ADC_IE_CH0HFULL_Pos) | + (((initStruct->HFULL_IEn & ADC_CH1) ? 1 : 0) << ADC_IE_CH1HFULL_Pos) | + (((initStruct->HFULL_IEn & ADC_CH2) ? 1 : 0) << ADC_IE_CH2HFULL_Pos) | + (((initStruct->HFULL_IEn & ADC_CH3) ? 1 : 0) << ADC_IE_CH3HFULL_Pos) | + (((initStruct->HFULL_IEn & ADC_CH4) ? 1 : 0) << ADC_IE_CH4HFULL_Pos) | + (((initStruct->HFULL_IEn & ADC_CH5) ? 1 : 0) << ADC_IE_CH5HFULL_Pos) | + (((initStruct->HFULL_IEn & ADC_CH6) ? 1 : 0) << ADC_IE_CH6HFULL_Pos) | + (((initStruct->HFULL_IEn & ADC_CH7) ? 1 : 0) << ADC_IE_CH7HFULL_Pos); + + ADCx->IE &= ~(uint32_t)(ADC_IE_CH0FULL_Msk | ADC_IE_CH1FULL_Msk | ADC_IE_CH2FULL_Msk | ADC_IE_CH3FULL_Msk | + ADC_IE_CH4FULL_Msk | ADC_IE_CH5FULL_Msk | ADC_IE_CH6FULL_Msk | ADC_IE_CH7FULL_Msk); + ADCx->IE |= (((initStruct->FULL_IEn & ADC_CH0) ? 1 : 0) << ADC_IE_CH0FULL_Pos) | + (((initStruct->FULL_IEn & ADC_CH1) ? 1 : 0) << ADC_IE_CH1FULL_Pos) | + (((initStruct->FULL_IEn & ADC_CH2) ? 1 : 0) << ADC_IE_CH2FULL_Pos) | + (((initStruct->FULL_IEn & ADC_CH3) ? 1 : 0) << ADC_IE_CH3FULL_Pos) | + (((initStruct->FULL_IEn & ADC_CH4) ? 1 : 0) << ADC_IE_CH4FULL_Pos) | + (((initStruct->FULL_IEn & ADC_CH5) ? 1 : 0) << ADC_IE_CH5FULL_Pos) | + (((initStruct->FULL_IEn & ADC_CH6) ? 1 : 0) << ADC_IE_CH6FULL_Pos) | + (((initStruct->FULL_IEn & ADC_CH7) ? 1 : 0) << ADC_IE_CH7FULL_Pos); + + switch ((uint32_t)ADCx) + { + case ((uint32_t)ADC0): + if (initStruct->EOC_IEn | initStruct->OVF_IEn | initStruct->HFULL_IEn | initStruct->FULL_IEn) + { + NVIC_EnableIRQ(ADC0_IRQn); + } + else + { + NVIC_DisableIRQ(ADC0_IRQn); + } + break; + + case ((uint32_t)ADC1): + if (initStruct->EOC_IEn | initStruct->OVF_IEn | initStruct->HFULL_IEn | initStruct->FULL_IEn) + { + NVIC_EnableIRQ(ADC1_IRQn); + } + else + { + NVIC_DisableIRQ(ADC1_IRQn); + } + break; + } +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_Open() +* 功能说明: ADCå¼€å¯ï¼Œå¯ä»¥è½¯ä»¶å¯åŠ¨ã€æˆ–硬件触å‘ADCè½¬æ¢ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_Open(ADC_TypeDef *ADCx) +{ + ADCx->CTRL |= (0x01 << ADC_CTRL_EN_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_Close() +* 功能说明: ADC关闭,无法软件å¯åŠ¨ã€æˆ–硬件触å‘ADCè½¬æ¢ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_Close(ADC_TypeDef *ADCx) +{ + ADCx->CTRL &= ~(0x01 << ADC_CTRL_EN_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_Start() +* 功能说明: 软件触å‘模å¼ä¸‹å¯åŠ¨ADCè½¬æ¢ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_Start(ADC_TypeDef *ADCx) +{ + ADCx->START |= (0x01 << ADC_START_GO_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_Stop() +* 功能说明: 软件触å‘模å¼ä¸‹åœæ­¢ADCè½¬æ¢ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_Stop(ADC_TypeDef *ADCx) +{ + ADCx->START &= ~(0x01 << ADC_START_GO_Pos); +} + +static uint32_t chn2idx(uint32_t chn) +{ + uint32_t idx = 0; + + switch (chn) + { + case 0x01: + idx = 0; + break; + case 0x02: + idx = 1; + break; + case 0x04: + idx = 2; + break; + case 0x08: + idx = 3; + break; + case 0x10: + idx = 4; + break; + case 0x20: + idx = 5; + break; + case 0x40: + idx = 6; + break; + case 0x80: + idx = 7; + break; + } + + return idx; +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_Read() +* 功能说明: 从指定通é“读å–转æ¢ç»“æžœ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦è¯»å–转æ¢ç»“果的通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: uint32_t 读å–到的转æ¢ç»“æžœ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t ADC_Read(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t dat = 0; + uint32_t idx = chn2idx(chn); + + dat = ADCx->CH[idx].DATA; + + ADCx->CH[idx].STAT = 0x01; //清除EOC标志 + + return dat; +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IsEOC() +* 功能说明: 指定通é“是å¦End Of Conversion +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦æŸ¥è¯¢çŠ¶æ€çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: uint32_t 1 该通é“完æˆäº†è½¬æ¢ 0 该通é“未完æˆè½¬æ¢ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t ADC_IsEOC(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + return (ADCx->CH[idx].STAT & ADC_STAT_EOC_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_ChnSelect() +* 功能说明: ADC通é“选通,模数转æ¢ä¼šåœ¨é€‰é€šçš„通é“上ä¾æ¬¡é‡‡æ ·è½¬æ¢ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chns è¦é€‰é€šçš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7åŠå…¶ç»„åˆï¼ˆå³â€œæŒ‰ä½æˆ–â€è¿ç®—) +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_ChnSelect(ADC_TypeDef *ADCx, uint32_t chns) +{ + ADCx->CTRL &= ~(0xFF << ADC_CTRL_CH0_Pos); + ADCx->CTRL |= (chns << ADC_CTRL_CH0_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntEOCEn() +* 功能说明: 转æ¢å®Œæˆä¸­æ–­ä½¿èƒ½ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦è®¾ç½®çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_IntEOCEn(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + ADCx->IE |= (0x01 << (idx * 4)); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntEOCDis() +* 功能说明: 转æ¢å®Œæˆä¸­æ–­ç¦æ­¢ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦è®¾ç½®çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_IntEOCDis(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + ADCx->IE &= ~(0x01 << (idx * 4)); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntEOCClr() +* 功能说明: 转æ¢å®Œæˆä¸­æ–­æ ‡å¿—清除 +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦è®¾ç½®çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_IntEOCClr(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + ADCx->IF = (0x01 << (idx * 4)); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntEOCStat() +* 功能说明: 转æ¢å®Œæˆä¸­æ–­çŠ¶æ€ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦æŸ¥è¯¢çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: uint32_t 1 该通é“完æˆäº†è½¬æ¢ 0 该通é“未完æˆè½¬æ¢ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t ADC_IntEOCStat(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + return (ADCx->IF & (0x01 << (idx * 4))) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntOVFEn() +* 功能说明: æ•°æ®æº¢å‡ºä¸­æ–­ä½¿èƒ½ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦è®¾ç½®çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_IntOVFEn(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + ADCx->IE |= (0x01 << (idx * 4 + 1)); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntOVFDis() +* 功能说明: æ•°æ®æº¢å‡ºä¸­æ–­ç¦æ­¢ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦è®¾ç½®çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_IntOVFDis(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + ADCx->IE &= ~(0x01 << (idx * 4 + 1)); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntOVFClr() +* 功能说明: æ•°æ®æº¢å‡ºä¸­æ–­æ ‡å¿—清除 +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦è®¾ç½®çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_IntOVFClr(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + ADCx->IF = (0x01 << (idx * 4 + 1)); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntOVFStat() +* 功能说明: æ•°æ®æº¢å‡ºä¸­æ–­çŠ¶æ€ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦æŸ¥è¯¢çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: uint32_t 1 该通é“完æˆäº†è½¬æ¢ 0 该通é“未完æˆè½¬æ¢ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t ADC_IntOVFStat(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + return (ADCx->IF & (0x01 << (idx * 4 + 1))) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntHFULLEn() +* 功能说明: FIFOåŠæ»¡ä¸­æ–­ä½¿èƒ½ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦è®¾ç½®çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_IntHFULLEn(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + ADCx->IE |= (0x01 << (idx * 4 + 2)); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntHFULLDis() +* 功能说明: FIFOåŠæ»¡ä¸­æ–­ç¦æ­¢ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦è®¾ç½®çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_IntHFULLDis(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + ADCx->IE &= ~(0x01 << (idx * 4 + 2)); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntHFULLClr() +* 功能说明: FIFOåŠæ»¡ä¸­æ–­æ ‡å¿—清除 +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦è®¾ç½®çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_IntHFULLClr(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + ADCx->IF = (0x01 << (idx * 4 + 2)); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntHFULLStat() +* 功能说明: FIFOåŠæ»¡ä¸­æ–­çŠ¶æ€ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦æŸ¥è¯¢çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: uint32_t 1 该通é“完æˆäº†è½¬æ¢ 0 该通é“未完æˆè½¬æ¢ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t ADC_IntHFULLStat(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + return (ADCx->IF & (0x01 << (idx * 4 + 2))) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntFULLEn() +* 功能说明: FIFO满中断使能 +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦è®¾ç½®çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_IntFULLEn(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + ADCx->IE |= (0x01 << (idx * 4 + 3)); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntFULLDis() +* 功能说明: FIFO满中断ç¦æ­¢ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦è®¾ç½®çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_IntFULLDis(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + ADCx->IE &= ~(0x01 << (idx * 4 + 3)); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntFULLClr() +* 功能说明: FIFO满中断标志清除 +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦è®¾ç½®çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void ADC_IntFULLClr(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + ADCx->IF = (0x01 << (idx * 4 + 3)); +} + +/****************************************************************************************************************************************** +* 函数å称: ADC_IntFULLStat() +* 功能说明: FIFOæ»¡ä¸­æ–­çŠ¶æ€ +* 输 å…¥: ADC_TypeDef * ADCx 指定è¦è¢«è®¾ç½®çš„ADC,å¯å–值包括ADC +* uint32_t chn è¦æŸ¥è¯¢çš„通é“,有效值ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7 +* 输 出: uint32_t 1 该通é“完æˆäº†è½¬æ¢ 0 该通é“未完æˆè½¬æ¢ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t ADC_IntFULLStat(ADC_TypeDef *ADCx, uint32_t chn) +{ + uint32_t idx = chn2idx(chn); + + return (ADCx->IF & (0x01 << (idx * 4 + 3))) ? 1 : 0; +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_adc.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_adc.h new file mode 100644 index 0000000000..b5906af908 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_adc.h @@ -0,0 +1,83 @@ +#ifndef __SWM320_ADC_H__ +#define __SWM320_ADC_H__ + +typedef struct +{ + uint8_t clk_src; //ADC转æ¢æ—¶é’Ÿæºï¼šADC_CLKSRC_HRCã€ADC_CLKSRC_VCO_DIV16ã€ADC_CLKSRC_VCO_DIV32ã€ADC_CLKSRC_VCO_DIV32 + uint8_t clk_div; //ADC转æ¢æ—¶é’Ÿåˆ†é¢‘,å–值1--31 + uint8_t channels; //ADC转æ¢é€šé“选中,ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7åŠå…¶ç»„åˆï¼ˆå³â€œæŒ‰ä½æˆ–â€è¿ç®—) + uint8_t samplAvg; //采样å–å¹³å‡ï¼Œè§¦å‘å¯åŠ¨ADC转æ¢åŽï¼ŒADC在一个通é“上连续采样ã€è½¬æ¢å¤šæ¬¡ï¼Œå¹¶å°†å®ƒä»¬çš„å¹³å‡å€¼ä½œä¸ºè¯¥é€šé“转æ¢ç»“æžœ + uint8_t trig_src; //ADC触å‘æ–¹å¼ï¼šADC_TRIGSRC_SWã€ADC_TRIGSRC_PWMã€ADC_TRIGSRC_TIMR2ã€ADC_TRIGSRC_TIMR3 + uint8_t Continue; //在软件触å‘模å¼ä¸‹ï¼š1 连续转æ¢æ¨¡å¼ï¼Œå¯åŠ¨åŽä¸€ç›´é‡‡æ ·ã€è½¬æ¢ï¼Œç›´åˆ°è½¯ä»¶æ¸…除STARTä½ + // 0 å•æ¬¡è½¬æ¢æ¨¡å¼ï¼Œè½¬æ¢å®ŒæˆåŽSTARTä½è‡ªåŠ¨æ¸…除åœæ­¢è½¬æ¢ + uint8_t EOC_IEn; //EOC中断使能,å¯é’ˆå¯¹æ¯ä¸ªé€šé“设置,其有效值为ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7åŠå…¶ç»„åˆï¼ˆå³â€œæŒ‰ä½æˆ–â€è¿ç®—) + uint8_t OVF_IEn; //OVF中断使能,å¯é’ˆå¯¹æ¯ä¸ªé€šé“设置,其有效值为ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7åŠå…¶ç»„åˆï¼ˆå³â€œæŒ‰ä½æˆ–â€è¿ç®—) + uint8_t HFULL_IEn; //FIFOåŠæ»¡ä¸­æ–­ä½¿èƒ½ï¼Œå¯é’ˆå¯¹æ¯ä¸ªé€šé“设置,其有效值为ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7åŠå…¶ç»„åˆï¼ˆå³â€œæŒ‰ä½æˆ–â€è¿ç®—) + uint8_t FULL_IEn; //FIFO 满中断使能,å¯é’ˆå¯¹æ¯ä¸ªé€šé“设置,其有效值为ADC_CH0ã€ADC_CH1ã€... ... ã€ADC_CH7åŠå…¶ç»„åˆï¼ˆå³â€œæŒ‰ä½æˆ–â€è¿ç®—) +} ADC_InitStructure; + +#define ADC_CH0 0x01 +#define ADC_CH1 0x02 +#define ADC_CH2 0x04 +#define ADC_CH3 0x08 +#define ADC_CH4 0x10 +#define ADC_CH5 0x20 +#define ADC_CH6 0x40 +#define ADC_CH7 0x80 + +#define ADC_CLKSRC_HRC 1 +#define ADC_CLKSRC_VCO_DIV16 2 +#define ADC_CLKSRC_VCO_DIV32 3 +#define ADC_CLKSRC_VCO_DIV64 4 + +#define ADC_AVG_SAMPLE1 0 +#define ADC_AVG_SAMPLE2 1 //一次å¯åŠ¨è¿žç»­é‡‡æ ·ã€è½¬æ¢2次,并计算两次结果的平å‡å€¼ä½œä¸ºè½¬æ¢ç»“æžœ +#define ADC_AVG_SAMPLE4 3 +#define ADC_AVG_SAMPLE8 7 +#define ADC_AVG_SAMPLE16 15 + +#define ADC_TRIGSRC_SW 0 //软件触å‘,å³ADC->START.GO写1å¯åŠ¨è½¬æ¢ +#define ADC_TRIGSRC_PWM 1 + +#define PGA_VCM_INTERNAL 1 //PGA输入共模电平由内部电路产生,ADC_REFPå’ŒADC_REFNå¯æ‚¬ç©º +#define PGA_VCM_EXTERNAL 0 //PGA输入共模电平由外部引脚æ供,(ADC_REFP + ADC_REFN) 电平值须与é‡ç¨‹ç›¸åŒ + +void ADC_Init(ADC_TypeDef *ADCx, ADC_InitStructure *initStruct); //ADC模数转æ¢å™¨åˆå§‹åŒ– +void ADC_Open(ADC_TypeDef *ADCx); //ADCå¼€å¯ï¼Œå¯ä»¥è½¯ä»¶å¯åŠ¨ã€æˆ–硬件触å‘ADCè½¬æ¢ +void ADC_Close(ADC_TypeDef *ADCx); //ADC关闭,无法软件å¯åŠ¨ã€æˆ–硬件触å‘ADCè½¬æ¢ +void ADC_Start(ADC_TypeDef *ADCx); //å¯åŠ¨æŒ‡å®šADCï¼Œå¼€å§‹æ¨¡æ•°è½¬æ¢ +void ADC_Stop(ADC_TypeDef *ADCx); //关闭指定ADC,åœæ­¢æ¨¡æ•°è½¬æ¢ + +uint32_t ADC_Read(ADC_TypeDef *ADCx, uint32_t chn); //从指定通é“读å–转æ¢ç»“æžœ +uint32_t ADC_IsEOC(ADC_TypeDef *ADCx, uint32_t chn); //指定通é“是å¦End Of Conversion + +void ADC_ChnSelect(ADC_TypeDef *ADCx, uint32_t chns); + +void ADC_IntEOCEn(ADC_TypeDef *ADCx, uint32_t chn); //转æ¢å®Œæˆä¸­æ–­ä½¿èƒ½ +void ADC_IntEOCDis(ADC_TypeDef *ADCx, uint32_t chn); //转æ¢å®Œæˆä¸­æ–­ç¦æ­¢ +void ADC_IntEOCClr(ADC_TypeDef *ADCx, uint32_t chn); //转æ¢å®Œæˆä¸­æ–­æ ‡å¿—清除 +uint32_t ADC_IntEOCStat(ADC_TypeDef *ADCx, uint32_t chn); //转æ¢å®Œæˆä¸­æ–­çŠ¶æ€ + +void ADC_IntOVFEn(ADC_TypeDef *ADCx, uint32_t chn); //æ•°æ®æº¢å‡ºä¸­æ–­ä½¿èƒ½ +void ADC_IntOVFDis(ADC_TypeDef *ADCx, uint32_t chn); //æ•°æ®æº¢å‡ºä¸­æ–­ç¦æ­¢ +void ADC_IntOVFClr(ADC_TypeDef *ADCx, uint32_t chn); //æ•°æ®æº¢å‡ºä¸­æ–­æ ‡å¿—清除 +uint32_t ADC_IntOVFStat(ADC_TypeDef *ADCx, uint32_t chn); //æ•°æ®æº¢å‡ºä¸­æ–­çŠ¶æ€ + +void ADC_IntHFULLEn(ADC_TypeDef *ADCx, uint32_t chn); //FIFOåŠæ»¡ä¸­æ–­ä½¿èƒ½ +void ADC_IntHFULLDis(ADC_TypeDef *ADCx, uint32_t chn); //FIFOåŠæ»¡ä¸­æ–­ç¦æ­¢ +void ADC_IntHFULLClr(ADC_TypeDef *ADCx, uint32_t chn); //FIFOåŠæ»¡ä¸­æ–­æ ‡å¿—清除 +uint32_t ADC_IntHFULLStat(ADC_TypeDef *ADCx, uint32_t chn); //FIFOåŠæ»¡ä¸­æ–­çŠ¶æ€ + +void ADC_IntFULLEn(ADC_TypeDef *ADCx, uint32_t chn); //FIFO满中断使能 +void ADC_IntFULLDis(ADC_TypeDef *ADCx, uint32_t chn); //FIFO满中断ç¦æ­¢ +void ADC_IntFULLClr(ADC_TypeDef *ADCx, uint32_t chn); //FIFO满中断标志清除 +uint32_t ADC_IntFULLStat(ADC_TypeDef *ADCx, uint32_t chn); //FIFOæ»¡ä¸­æ–­çŠ¶æ€ + +/* ADC 内部 1.2V REFP电压输出到外部REFP引脚,用于测é‡ï¼Œæˆ–在需è¦1.2V外部REFP时节çœæˆæœ¬ */ +#define ADC_TEST_INNER_REFP_OUT_EN(ADCx) (ADCx->CTRL3 |= (1 << ADC_CTRL3_REFP_OUT_Pos)) +#define ADC_TEST_INNER_REFP_OUT_DIS(ADCx) (ADCx->CTRL3 &= ~(1 << ADC_CTRL3_REFP_OUT_Pos)) + +#define ADC_TEST_ADC_PGA_EXT_VCM_EN(ADCx) (ADCx->CTRL3 |= (1 << ADC_CTRL3_EXTVCM_Pos)) +#define ADC_TEST_ADC_PGA_EXT_VCM_DIS(ADCx) (ADCx->CTRL3 &= ~(1 << ADC_CTRL3_EXTVCM_Pos)) + +#endif //__SWM320_ADC_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_can.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_can.c new file mode 100644 index 0000000000..2f9a345b21 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_can.c @@ -0,0 +1,687 @@ +/****************************************************************************************************************************************** +* 文件å称: SWM320_can.c +* 功能说明: SWM320å•ç‰‡æœºçš„CAN模å—驱动库 +* 技术支æŒ: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* 注æ„事项: +* 版本日期: V1.1.0 2017å¹´10月25æ—¥ +* å‡çº§è®°å½•: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_can.h" + +/****************************************************************************************************************************************** +* 函数å称: CAN_Init() +* 功能说明: CAN接å£åˆå§‹åŒ– +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* CAN_InitStructure * initStruct 包å«CAN接å£ç›¸å…³è®¾å®šå€¼çš„结构体 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_Init(CAN_TypeDef *CANx, CAN_InitStructure *initStruct) +{ + switch ((uint32_t)CANx) + { + case ((uint32_t)CAN): + SYS->CLKEN |= (0x01 << SYS_CLKEN_CAN_Pos); + break; + } + + CAN_Close(CANx); //一些关键寄存器åªèƒ½åœ¨CAN关闭时设置 + + CANx->CR &= ~(CAN_CR_LOM_Msk | CAN_CR_STM_Msk | CAN_CR_AFM_Msk); + CANx->CR |= (initStruct->Mode << CAN_CR_LOM_Pos) | + (initStruct->FilterMode << CAN_CR_AFM_Pos); + + CANx->FILTER.AMR[3] = initStruct->FilterMask32b & 0xFF; + CANx->FILTER.AMR[2] = (initStruct->FilterMask32b >> 8) & 0xFF; + CANx->FILTER.AMR[1] = (initStruct->FilterMask32b >> 16) & 0xFF; + CANx->FILTER.AMR[0] = (initStruct->FilterMask32b >> 24) & 0xFF; + + CANx->FILTER.ACR[3] = initStruct->FilterCheck32b & 0xFF; + CANx->FILTER.ACR[2] = (initStruct->FilterCheck32b >> 8) & 0xFF; + CANx->FILTER.ACR[1] = (initStruct->FilterCheck32b >> 16) & 0xFF; + CANx->FILTER.ACR[0] = (initStruct->FilterCheck32b >> 24) & 0xFF; + + CANx->BT1 = (0 << CAN_BT1_SAM_Pos) | + (initStruct->CAN_BS1 << CAN_BT1_TSEG1_Pos) | + (initStruct->CAN_BS2 << CAN_BT1_TSEG2_Pos); + + CANx->BT0 = (initStruct->CAN_SJW << CAN_BT0_SJW_Pos) | + ((SystemCoreClock / 2 / initStruct->Baudrate / (1 + (initStruct->CAN_BS1 + 1) + (initStruct->CAN_BS2 + 1)) - 1) << CAN_BT0_BRP_Pos); + + CANx->RXERR = 0; //åªèƒ½åœ¨å¤ä½æ¨¡å¼ä¸‹æ¸…除 + CANx->TXERR = 0; + + CANx->IE = (initStruct->RXNotEmptyIEn << CAN_IE_RXDA_Pos) | + (initStruct->RXOverflowIEn << CAN_IE_RXOV_Pos) | + (initStruct->ArbitrLostIEn << CAN_IE_ARBLOST_Pos) | + (initStruct->ErrPassiveIEn << CAN_IE_ERRPASS_Pos); + + switch ((uint32_t)CANx) + { + case ((uint32_t)CAN): + if (initStruct->RXNotEmptyIEn | initStruct->RXOverflowIEn | initStruct->ArbitrLostIEn | initStruct->ErrPassiveIEn) + { + NVIC_EnableIRQ(CAN_IRQn); + } + else + { + NVIC_DisableIRQ(CAN_IRQn); + } + break; + } +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_Open() +* 功能说明: CAN接å£æ‰“å¼€ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_Open(CAN_TypeDef *CANx) +{ + CANx->CR &= ~(0x01 << CAN_CR_RST_Pos); //退出å¤ä½æ¨¡å¼ï¼Œè¿›å…¥å·¥ä½œæ¨¡å¼ +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_Close() +* 功能说明: CAN接å£å…³é—­ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_Close(CAN_TypeDef *CANx) +{ + CANx->CR |= (0x01 << CAN_CR_RST_Pos); //进入å¤ä½æ¨¡å¼ï¼Œä¸èƒ½å‘é€å’ŒæŽ¥æ”¶æ•°æ® +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_Transmit() +* 功能说明: CANå‘é€æ•°æ® +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* uint32_t format CAN_FRAME_STD 标准帧 CAN_FRAME_EXT 扩展帧 +* uint32_t id 消æ¯ID +* uint8_t data[] è¦å‘é€çš„æ•°æ® +* uint32_t size è¦å‘é€çš„æ•°æ®çš„个数 +* uint32_t once åªå‘é€ä¸€æ¬¡ï¼Œå³ä½¿å‘é€å¤±è´¥ï¼ˆä»²è£ä¸¢å¤±ã€å‘é€å‡ºé”™ã€NAK)也ä¸å°è¯•é‡å‘ +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_Transmit(CAN_TypeDef *CANx, uint32_t format, uint32_t id, uint8_t data[], uint32_t size, uint32_t once) +{ + uint32_t i; + + if (format == CAN_FRAME_STD) + { + CANx->TXFRAME.INFO = (0 << CAN_INFO_FF_Pos) | + (0 << CAN_INFO_RTR_Pos) | + (size << CAN_INFO_DLC_Pos); + + CANx->TXFRAME.DATA[0] = id >> 3; + CANx->TXFRAME.DATA[1] = id << 5; + + for (i = 0; i < size; i++) + { + CANx->TXFRAME.DATA[i + 2] = data[i]; + } + } + else //if(format == CAN_FRAME_EXT) + { + CANx->TXFRAME.INFO = (1 << CAN_INFO_FF_Pos) | + (0 << CAN_INFO_RTR_Pos) | + (size << CAN_INFO_DLC_Pos); + + CANx->TXFRAME.DATA[0] = id >> 21; + CANx->TXFRAME.DATA[1] = id >> 13; + CANx->TXFRAME.DATA[2] = id >> 5; + CANx->TXFRAME.DATA[3] = id << 3; + + for (i = 0; i < size; i++) + { + CANx->TXFRAME.DATA[i + 4] = data[i]; + } + } + + if (CANx->CR & CAN_CR_STM_Msk) + { + CANx->CMD = (1 << CAN_CMD_SRR_Pos); + } + else + { + if (once == 0) + { + CANx->CMD = (1 << CAN_CMD_TXREQ_Pos); + } + else + { + CANx->CMD = (1 << CAN_CMD_TXREQ_Pos) | (1 << CAN_CMD_ABTTX_Pos); + } + } +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_TransmitRequest() +* 功能说明: CANå‘é€è¿œç¨‹è¯·æ±‚,请求远程节点å‘é€æ•°æ® +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* uint32_t format CAN_FRAME_STD 标准帧 CAN_FRAME_EXT 扩展帧 +* uint32_t id 消æ¯ID +* uint32_t once åªå‘é€ä¸€æ¬¡ï¼Œå³ä½¿å‘é€å¤±è´¥ï¼ˆä»²è£ä¸¢å¤±ã€å‘é€å‡ºé”™ã€NAK)也ä¸å°è¯•é‡å‘ +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_TransmitRequest(CAN_TypeDef *CANx, uint32_t format, uint32_t id, uint32_t once) +{ + if (format == CAN_FRAME_STD) + { + CANx->TXFRAME.INFO = (0 << CAN_INFO_FF_Pos) | + (1 << CAN_INFO_RTR_Pos) | + (0 << CAN_INFO_DLC_Pos); + + CANx->TXFRAME.DATA[0] = id >> 3; + CANx->TXFRAME.DATA[1] = id << 5; + } + else //if(format == CAN_FRAME_EXT) + { + CANx->TXFRAME.INFO = (1 << CAN_INFO_FF_Pos) | + (1 << CAN_INFO_RTR_Pos) | + (0 << CAN_INFO_DLC_Pos); + + CANx->TXFRAME.DATA[0] = id >> 21; + CANx->TXFRAME.DATA[1] = id >> 13; + CANx->TXFRAME.DATA[2] = id >> 5; + CANx->TXFRAME.DATA[3] = id << 3; + } + + if (once == 0) + { + CANx->CMD = (1 << CAN_CMD_TXREQ_Pos); + } + else + { + CANx->CMD = (1 << CAN_CMD_TXREQ_Pos) | (1 << CAN_CMD_ABTTX_Pos); + } +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_Receive() +* 功能说明: CANæŽ¥æ”¶æ•°æ® +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* CAN_RXMessage *msg 接收到的消æ¯å­˜å‚¨åœ¨æ­¤ç»“构体å˜é‡ä¸­ +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_Receive(CAN_TypeDef *CANx, CAN_RXMessage *msg) +{ + uint32_t i; + uint32_t format = (CANx->RXFRAME.INFO & CAN_INFO_FF_Msk) >> CAN_INFO_FF_Pos; + + msg->remote = (CANx->RXFRAME.INFO & CAN_INFO_RTR_Msk) >> CAN_INFO_RTR_Pos; + msg->size = (CANx->RXFRAME.INFO & CAN_INFO_DLC_Msk) >> CAN_INFO_DLC_Pos; + + if (format == CAN_FRAME_STD) + { + msg->id = (CANx->RXFRAME.DATA[0] << 3) | (CANx->RXFRAME.DATA[1] >> 5); + + for (i = 0; i < msg->size; i++) + { + msg->data[i] = CANx->RXFRAME.DATA[i + 2]; + } + } + else //if(format == CAN_FRAME_EXT) + { + msg->id = (CANx->RXFRAME.DATA[0] << 21) | (CANx->RXFRAME.DATA[1] << 13) | (CANx->RXFRAME.DATA[2] << 5) | (CANx->RXFRAME.DATA[3] >> 3); + + for (i = 0; i < msg->size; i++) + { + msg->data[i] = CANx->RXFRAME.DATA[i + 4]; + } + } + + CANx->CMD = (1 << CAN_CMD_RRB_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_TXComplete() +* 功能说明: å‘é€æ˜¯å¦å®Œæˆ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: uint32_t 1 å·²ç»å®Œæˆ 0 è¿˜æœªå®Œæˆ +* 注æ„事项: å‘é€è¢«Abort也会触å‘å‘é€å®Œæˆï¼Œä½†ä¸ä¼šè§¦å‘å‘é€æˆåŠŸ +******************************************************************************************************************************************/ +uint32_t CAN_TXComplete(CAN_TypeDef *CANx) +{ + return (CANx->SR & CAN_SR_TXBR_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_TXSuccess() +* 功能说明: å‘é€æ˜¯å¦æˆåŠŸ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: uint32_t 1 å‘é€æˆåŠŸ 0 å‘é€å¤±è´¥ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t CAN_TXSuccess(CAN_TypeDef *CANx) +{ + return (CANx->SR & CAN_SR_TXOK_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_AbortTransmit() +* 功能说明: 终止å‘é€ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: 正在进行的å‘é€æ— æ³•ç»ˆæ­¢ï¼Œä½†æ‰§è¡Œæ­¤å‘½ä»¤åŽè‹¥å‘é€å¤±è´¥ä¸ä¼šå†é‡å‘ +******************************************************************************************************************************************/ +void CAN_AbortTransmit(CAN_TypeDef *CANx) +{ + CANx->CMD = (1 << CAN_CMD_ABTTX_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_TXBufferReady() +* 功能说明: TX Buffer是å¦å‡†å¤‡å¥½å¯ä»¥å†™å…¥æ¶ˆæ¯ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: uint32_t 1 已准备好 0 未准备好 +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t CAN_TXBufferReady(CAN_TypeDef *CANx) +{ + return (CANx->SR & CAN_SR_TXBR_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_RXDataAvailable() +* 功能说明: RX FIFO中是å¦æœ‰æ•°æ®å¯è¯»å‡º +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: uint32_t 1 有数æ®å¯è¯»å‡º 0 æ²¡æœ‰æ•°æ® +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t CAN_RXDataAvailable(CAN_TypeDef *CANx) +{ + return (CANx->SR & CAN_SR_RXDA_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_SetBaudrate() +* 功能说明: 设置波特率 +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* uint32_t baudrate 波特率,å³ä½ä¼ è¾“速率 +* uint32_t CAN_BS1 CAN_BS1_1tqã€CAN_BS1_2tqã€... ... ã€CAN_BS1_16tq +* uint32_t CAN_BS2 CAN_BS2_1tqã€CAN_BS2_2tqã€... ... ã€CAN_BS2_8tq +* uint32_t CAN_SJW CAN_SJW_1tqã€CAN_SJW_2tqã€CAN_SJW_3tqã€CAN_SJW_4tq +* 输 出: æ—  +* 注æ„事项: 设置å‰éœ€è¦å…ˆè°ƒç”¨CAN_Close()关闭CANæ¨¡å— +******************************************************************************************************************************************/ +void CAN_SetBaudrate(CAN_TypeDef *CANx, uint32_t baudrate, uint32_t CAN_BS1, uint32_t CAN_BS2, uint32_t CAN_SJW) +{ + CANx->BT1 = (0 << CAN_BT1_SAM_Pos) | + (CAN_BS1 << CAN_BT1_TSEG1_Pos) | + (CAN_BS2 << CAN_BT1_TSEG2_Pos); + + CANx->BT0 = (CAN_SJW << CAN_BT0_SJW_Pos) | + ((SystemCoreClock / 2 / baudrate / (1 + (CAN_BS1 + 1) + (CAN_BS2 + 1)) - 1) << CAN_BT0_BRP_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_SetFilter32b() +* 功能说明: 设置接收滤波器,1个32ä½æ»¤æ³¢å™¨ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* uint32_t check 与mask一起决定了接收到的Message是å¦æ˜¯è‡ªå·±éœ€è¦çš„:check & (~mask) == ID & (~mask)çš„Message通过过滤 +* uint32_t mask +* 输 出: æ—  +* 注æ„事项: 设置å‰éœ€è¦å…ˆè°ƒç”¨CAN_Close()关闭CANæ¨¡å— +******************************************************************************************************************************************/ +void CAN_SetFilter32b(CAN_TypeDef *CANx, uint32_t check, uint32_t mask) +{ + CANx->CR &= ~CAN_CR_AFM_Msk; + CANx->CR |= (CAN_FILTER_32b << CAN_CR_AFM_Pos); + + CANx->FILTER.AMR[0] = mask & 0xFF; + CANx->FILTER.AMR[1] = (mask >> 8) & 0xFF; + CANx->FILTER.AMR[2] = (mask >> 16) & 0xFF; + CANx->FILTER.AMR[3] = (mask >> 24) & 0xFF; + + CANx->FILTER.ACR[0] = check & 0xFF; + CANx->FILTER.ACR[1] = (check >> 8) & 0xFF; + CANx->FILTER.ACR[2] = (check >> 16) & 0xFF; + CANx->FILTER.ACR[3] = (check >> 24) & 0xFF; +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_SetFilter16b() +* 功能说明: 设置接收滤波器,2个16ä½æ»¤æ³¢å™¨ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* uint16_t check1 与mask一起决定了接收到的Message是å¦æ˜¯è‡ªå·±éœ€è¦çš„:check & (~mask) == ID & (~mask)çš„Message通过过滤 +* uint16_t mask1 +* uint16_t check2 +* uint16_t mask2 +* 输 出: æ—  +* 注æ„事项: 设置å‰éœ€è¦å…ˆè°ƒç”¨CAN_Close()关闭CANæ¨¡å— +******************************************************************************************************************************************/ +void CAN_SetFilter16b(CAN_TypeDef *CANx, uint16_t check1, uint16_t mask1, uint16_t check2, uint16_t mask2) +{ + CANx->CR &= ~CAN_CR_AFM_Msk; + CANx->CR |= (CAN_FILTER_16b << CAN_CR_AFM_Pos); + + CANx->FILTER.AMR[0] = mask1 & 0xFF; + CANx->FILTER.AMR[1] = (mask1 >> 8) & 0xFF; + CANx->FILTER.AMR[2] = mask2 & 0xFF; + CANx->FILTER.AMR[3] = (mask2 >> 8) & 0xFF; + + CANx->FILTER.ACR[0] = check1 & 0xFF; + CANx->FILTER.ACR[1] = (check1 >> 8) & 0xFF; + CANx->FILTER.ACR[2] = check2 & 0xFF; + CANx->FILTER.ACR[3] = (check2 >> 8) & 0xFF; +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTRXNotEmptyEn() +* 功能说明: 当RX FIFO中有数æ®æ—¶ï¼ˆéžç©ºï¼‰è§¦å‘中断使能 +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTRXNotEmptyEn(CAN_TypeDef *CANx) +{ + CANx->IE |= (1 << CAN_IE_RXDA_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTRXNotEmptyDis() +* 功能说明: 当RX FIFO中有数æ®æ—¶ï¼ˆéžç©ºï¼‰è§¦å‘中断ç¦æ­¢ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTRXNotEmptyDis(CAN_TypeDef *CANx) +{ + CANx->IE &= ~(1 << CAN_IE_RXDA_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTRXNotEmptyStat() +* 功能说明: RX FIFOéžç©ºä¸­æ–­æ˜¯å¦è§¦å‘ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: uint32_t 1 å·²è§¦å‘ 0 æœªè§¦å‘ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t CAN_INTRXNotEmptyStat(CAN_TypeDef *CANx) +{ + return (CANx->IF & CAN_IF_RXDA_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTTXBufEmptyEn() +* 功能说明: 当TX Buffer空时触å‘中断使能 +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTTXBufEmptyEn(CAN_TypeDef *CANx) +{ + CANx->IE |= (1 << CAN_IE_TXBR_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTTXBufEmptyDis() +* 功能说明: 当TX Buffer空时触å‘中断ç¦æ­¢ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTTXBufEmptyDis(CAN_TypeDef *CANx) +{ + CANx->IE &= ~(1 << CAN_IE_TXBR_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTTXBufEmptyStat() +* 功能说明: TX Buffer空中断是å¦è§¦å‘ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: uint32_t 1 å·²è§¦å‘ 0 æœªè§¦å‘ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t CAN_INTTXBufEmptyStat(CAN_TypeDef *CANx) +{ + return (CANx->IF & CAN_IF_TXBR_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTErrWarningEn() +* 功能说明: TXERR/RXERR计数值达到Error Warning Limit时触å‘中断使能 +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTErrWarningEn(CAN_TypeDef *CANx) +{ + CANx->IE |= (1 << CAN_IE_ERRWARN_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTErrWarningDis() +* 功能说明: TXERR/RXERR计数值达到Error Warning Limit时触å‘中断ç¦æ­¢ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTErrWarningDis(CAN_TypeDef *CANx) +{ + CANx->IE &= ~(1 << CAN_IE_ERRWARN_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTErrWarningStat() +* 功能说明: TXERR/RXERR计数值达到Error Warning Limit中断是å¦è§¦å‘ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: uint32_t 1 å·²è§¦å‘ 0 æœªè§¦å‘ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t CAN_INTErrWarningStat(CAN_TypeDef *CANx) +{ + return (CANx->IF & CAN_IF_ERRWARN_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTRXOverflowEn() +* 功能说明: RX FIFO 溢出时触å‘中断使能 +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTRXOverflowEn(CAN_TypeDef *CANx) +{ + CANx->IE |= (1 << CAN_IE_RXOV_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTRXOverflowDis() +* 功能说明: RX FIFO 溢出时触å‘中断ç¦æ­¢ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTRXOverflowDis(CAN_TypeDef *CANx) +{ + CANx->IE &= ~(1 << CAN_IE_RXOV_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTRXOverflowStat() +* 功能说明: RX FIFO 溢出中断是å¦è§¦å‘ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: uint32_t 1 å·²è§¦å‘ 0 æœªè§¦å‘ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t CAN_INTRXOverflowStat(CAN_TypeDef *CANx) +{ + return (CANx->IF & CAN_IF_RXOV_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTRXOverflowClear() +* 功能说明: RX FIFO 溢出中断清除 +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTRXOverflowClear(CAN_TypeDef *CANx) +{ + CANx->CMD = (1 << CAN_CMD_CLROV_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTWakeupEn() +* 功能说明: 唤醒事件触å‘中断使能 +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTWakeupEn(CAN_TypeDef *CANx) +{ + CANx->IE |= (1 << CAN_IE_WKUP_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTWakeupDis() +* 功能说明: 唤醒事件触å‘中断ç¦æ­¢ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTWakeupDis(CAN_TypeDef *CANx) +{ + CANx->IE &= ~(1 << CAN_IE_WKUP_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTWakeupStat() +* 功能说明: 唤醒事件中断是å¦è§¦å‘ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: uint32_t 1 å·²è§¦å‘ 0 æœªè§¦å‘ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t CAN_INTWakeupStat(CAN_TypeDef *CANx) +{ + return (CANx->IF & CAN_IF_WKUP_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTErrPassiveEn() +* 功能说明: TXERR/RXERR计数值达到127时中断使能 +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTErrPassiveEn(CAN_TypeDef *CANx) +{ + CANx->IE |= (1 << CAN_IE_ERRPASS_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTErrPassiveDis() +* 功能说明: TXERR/RXERR计数值达到127时中断ç¦æ­¢ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTErrPassiveDis(CAN_TypeDef *CANx) +{ + CANx->IE &= ~(1 << CAN_IE_ERRPASS_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTErrPassiveStat() +* 功能说明: TXERR/RXERR计数值达到127中断是å¦è§¦å‘ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: uint32_t 1 å·²è§¦å‘ 0 æœªè§¦å‘ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t CAN_INTErrPassiveStat(CAN_TypeDef *CANx) +{ + return (CANx->IF & CAN_IF_ERRPASS_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTArbitrLostEn() +* 功能说明: 仲è£å¤±è´¥ä¸­æ–­ä½¿èƒ½ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTArbitrLostEn(CAN_TypeDef *CANx) +{ + CANx->IE |= (1 << CAN_IE_ARBLOST_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTArbitrLostDis() +* 功能说明: 仲è£å¤±è´¥ä¸­æ–­ç¦æ­¢ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTArbitrLostDis(CAN_TypeDef *CANx) +{ + CANx->IE &= ~(1 << CAN_IE_ARBLOST_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTArbitrLostStat() +* 功能说明: 仲è£å¤±è´¥ä¸­æ–­æ˜¯å¦è§¦å‘ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: uint32_t 1 å·²è§¦å‘ 0 æœªè§¦å‘ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t CAN_INTArbitrLostStat(CAN_TypeDef *CANx) +{ + return (CANx->IF & CAN_IF_ARBLOST_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTBusErrorEn() +* 功能说明: 总线错误中断使能 +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTBusErrorEn(CAN_TypeDef *CANx) +{ + CANx->IE |= (1 << CAN_IE_BUSERR_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTBusErrorDis() +* 功能说明: 总线错误中断ç¦æ­¢ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void CAN_INTBusErrorDis(CAN_TypeDef *CANx) +{ + CANx->IE &= ~(1 << CAN_IE_BUSERR_Pos); +} + +/****************************************************************************************************************************************** +* 函数å称: CAN_INTBusErrorStat() +* 功能说明: 总线错误中断是å¦è§¦å‘ +* 输 å…¥: CAN_TypeDef * CANx 指定è¦è¢«è®¾ç½®çš„CAN接å£ï¼Œæœ‰æ•ˆå€¼åŒ…括CAN +* 输 出: uint32_t 1 å·²è§¦å‘ 0 æœªè§¦å‘ +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t CAN_INTBusErrorStat(CAN_TypeDef *CANx) +{ + return (CANx->IF & CAN_IF_BUSERR_Msk) ? 1 : 0; +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_can.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_can.h new file mode 100644 index 0000000000..6a239c84b3 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_can.h @@ -0,0 +1,141 @@ +#ifndef __SWM320_CAN_H__ +#define __SWM320_CAN_H__ + +#define CAN_FRAME_STD 0 +#define CAN_FRAME_EXT 1 + +typedef struct +{ + uint8_t Mode; //CAN_MODE_NORMAL¡¢CAN_MODE_LISTEN¡¢CAN_MODE_SELFTEST + uint8_t CAN_BS1; //CAN_BS1_1tq¡¢CAN_BS1_2tq¡¢... ... ¡¢CAN_BS1_16tq + uint8_t CAN_BS2; //CAN_BS2_1tq¡¢CAN_BS2_2tq¡¢... ... ¡¢CAN_BS2_8tq + uint8_t CAN_SJW; //CAN_SJW_1tq¡¢CAN_SJW_2tq¡¢CAN_SJW_3tq¡¢CAN_SJW_4tq + uint32_t Baudrate; //²¨ÌØÂÊ£¬¼´Î»´«ÊäËÙÂÊ£¬È¡Öµ1--1000000 + uint8_t FilterMode; //CAN_FILTER_16b¡¢CAN_FILTER_32b + union + { + uint32_t FilterMask32b; //FilterCheck & (~FilterMask) == ID & (~FilterMask)µÄMessageͨ¹ý¹ýÂË + struct // 0 must match 1 don't care + { + uint16_t FilterMask16b1; + uint16_t FilterMask16b2; + }; + }; + union + { + uint32_t FilterCheck32b; + struct + { + uint16_t FilterCheck16b1; + uint16_t FilterCheck16b2; + }; + }; + uint8_t RXNotEmptyIEn; //½ÓÊÕFIFO·Ç¿Õ£¬ÓÐÊý¾Ý¿É¶Á + uint8_t RXOverflowIEn; //½ÓÊÕFIFOÒç³ö£¬ÓÐÊý¾Ý¶ªÊ§ + uint8_t ArbitrLostIEn; //¿ØÖÆÆ÷¶ªÊ§Öٲñä³É½ÓÊÕ·½ + uint8_t ErrPassiveIEn; //½ÓÊÕ/·¢ËÍ´íÎó¼ÆÊýÖµ´ïµ½127 +} CAN_InitStructure; + +#define CAN_MODE_NORMAL 0 //³£¹æģʽ +#define CAN_MODE_LISTEN 1 //¼àÌýģʽ +#define CAN_MODE_SELFTEST 2 //×Ô²âģʽ + +#define CAN_BS1_1tq 0 +#define CAN_BS1_2tq 1 +#define CAN_BS1_3tq 2 +#define CAN_BS1_4tq 3 +#define CAN_BS1_5tq 4 +#define CAN_BS1_6tq 5 +#define CAN_BS1_7tq 6 +#define CAN_BS1_8tq 7 +#define CAN_BS1_9tq 8 +#define CAN_BS1_10tq 9 +#define CAN_BS1_11tq 10 +#define CAN_BS1_12tq 11 +#define CAN_BS1_13tq 12 +#define CAN_BS1_14tq 13 +#define CAN_BS1_15tq 14 +#define CAN_BS1_16tq 15 + +#define CAN_BS2_1tq 0 +#define CAN_BS2_2tq 1 +#define CAN_BS2_3tq 2 +#define CAN_BS2_4tq 3 +#define CAN_BS2_5tq 4 +#define CAN_BS2_6tq 5 +#define CAN_BS2_7tq 6 +#define CAN_BS2_8tq 7 + +#define CAN_SJW_1tq 0 +#define CAN_SJW_2tq 1 +#define CAN_SJW_3tq 2 +#define CAN_SJW_4tq 3 + +#define CAN_FILTER_16b 0 //Á½¸ö16λ¹ýÂËÆ÷ +#define CAN_FILTER_32b 1 //Ò»¸ö32λ¹ýÂËÆ÷ + +typedef struct +{ + uint32_t id; //ÏûÏ¢ID + uint8_t remote; //ÏûÏ¢ÊÇ·ñΪԶ³ÌÖ¡ + uint8_t data[8]; //½ÓÊÕµ½µÄÊý¾Ý + uint8_t size; //½ÓÊÕµ½µÄÊý¾Ý¸öÊý +} CAN_RXMessage; + + +void CAN_Init(CAN_TypeDef *CANx, CAN_InitStructure *initStruct); +void CAN_Open(CAN_TypeDef *CANx); +void CAN_Close(CAN_TypeDef *CANx); + +void CAN_Transmit(CAN_TypeDef *CANx, uint32_t format, uint32_t id, uint8_t data[], uint32_t size, uint32_t once); +void CAN_TransmitRequest(CAN_TypeDef *CANx, uint32_t format, uint32_t id, uint32_t once); +void CAN_Receive(CAN_TypeDef *CANx, CAN_RXMessage *msg); + +uint32_t CAN_TXComplete(CAN_TypeDef *CANx); +uint32_t CAN_TXSuccess(CAN_TypeDef *CANx); + +void CAN_AbortTransmit(CAN_TypeDef *CANx); + +uint32_t CAN_TXBufferReady(CAN_TypeDef *CANx); +uint32_t CAN_RXDataAvailable(CAN_TypeDef *CANx); + +void CAN_SetBaudrate(CAN_TypeDef *CANx, uint32_t baudrate, uint32_t CAN_BS1, uint32_t CAN_BS2, uint32_t CAN_SJW); + +void CAN_SetFilter32b(CAN_TypeDef *CANx, uint32_t check, uint32_t mask); +void CAN_SetFilter16b(CAN_TypeDef *CANx, uint16_t check1, uint16_t mask1, uint16_t check2, uint16_t mask2); + + +void CAN_INTRXNotEmptyEn(CAN_TypeDef *CANx); +void CAN_INTRXNotEmptyDis(CAN_TypeDef *CANx); +uint32_t CAN_INTRXNotEmptyStat(CAN_TypeDef *CANx); + +void CAN_INTTXBufEmptyEn(CAN_TypeDef *CANx); +void CAN_INTTXBufEmptyDis(CAN_TypeDef *CANx); +uint32_t CAN_INTTXBufEmptyStat(CAN_TypeDef *CANx); + +void CAN_INTErrWarningEn(CAN_TypeDef *CANx); +void CAN_INTErrWarningDis(CAN_TypeDef *CANx); +uint32_t CAN_INTErrWarningStat(CAN_TypeDef *CANx); + +void CAN_INTRXOverflowEn(CAN_TypeDef *CANx); +void CAN_INTRXOverflowDis(CAN_TypeDef *CANx); +uint32_t CAN_INTRXOverflowStat(CAN_TypeDef *CANx); +void CAN_INTRXOverflowClear(CAN_TypeDef *CANx); + +void CAN_INTWakeupEn(CAN_TypeDef *CANx); +void CAN_INTWakeupDis(CAN_TypeDef *CANx); +uint32_t CAN_INTWakeupStat(CAN_TypeDef *CANx); + +void CAN_INTErrPassiveEn(CAN_TypeDef *CANx); +void CAN_INTErrPassiveDis(CAN_TypeDef *CANx); +uint32_t CAN_INTErrPassiveStat(CAN_TypeDef *CANx); + +void CAN_INTArbitrLostEn(CAN_TypeDef *CANx); +void CAN_INTArbitrLostDis(CAN_TypeDef *CANx); +uint32_t CAN_INTArbitrLostStat(CAN_TypeDef *CANx); + +void CAN_INTBusErrorEn(CAN_TypeDef *CANx); +void CAN_INTBusErrorDis(CAN_TypeDef *CANx); +uint32_t CAN_INTBusErrorStat(CAN_TypeDef *CANx); + +#endif //__SWM320_CAN_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_crc.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_crc.c new file mode 100644 index 0000000000..0258c90382 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_crc.c @@ -0,0 +1,51 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_crc.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄCRCÄ£¿éÇý¶¯¿â +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_crc.h" + + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: CRC_Init() +* ¹¦ÄÜ˵Ã÷: CRC ³õʼ»¯ +* Êä Èë: CRC_TypeDef * CRCx Ö¸¶¨Òª±»ÉèÖõÄCRC½Ó¿Ú£¬ÓÐЧֵ°üÀ¨CRC +* uint32_t mode ¹¤×÷ģʽ£¬ÓÐЧֵÓУºCRC32_IN32¡¢CRC32_IN16¡¢CRC32_IN8¡¢CRC16_IN16¡¢CRC16_IN8 +* uint32_t out_not Êä³ö½á¹ûÊÇ·ñÈ¡·´ +* uint32_t out_rev Êä³ö½á¹ûÊÇ·ñ·­×ª +* uint32_t ini_val CRC³õʼֵ +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void CRC_Init(CRC_TypeDef *CRCx, uint32_t mode, uint32_t out_not, uint32_t out_rev, uint32_t ini_val) +{ + switch ((uint32_t)CRCx) + { + case ((uint32_t)CRC): + SYS->CLKEN |= (0x01 << SYS_CLKEN_CRC_Pos); + break; + } + + CRCx->CR = (1 << CRC_CR_EN_Pos) | + (mode << CRC_CR_CRC16_Pos) | + (out_not << CRC_CR_ONOT_Pos) | + (out_rev << CRC_CR_OREV_Pos); + + CRCx->INIVAL = ini_val; +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_crc.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_crc.h new file mode 100644 index 0000000000..947bf85455 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_crc.h @@ -0,0 +1,39 @@ +#ifndef __SWM320_CRC_H__ +#define __SWM320_CRC_H__ + + +#define CRC32_IN32 0 //CRC32Ëã·¨£¬ÊäÈëÊý¾Ý32λ +#define CRC32_IN16 2 //CRC32Ëã·¨£¬ÊäÈëÊý¾Ý16λ +#define CRC32_IN8 4 //CRC32Ëã·¨£¬ÊäÈëÊý¾Ý 8λ +#define CRC16_IN16 3 //CRC16Ëã·¨£¬ÊäÈëÊý¾Ý16λ +#define CRC16_IN8 5 //CRC16Ëã·¨£¬ÊäÈëÊý¾Ý 8λ + + +void CRC_Init(CRC_TypeDef *CRCx, uint32_t mode, uint32_t out_not, uint32_t out_rev, uint32_t ini_val); + + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: CRC_Write() +* ¹¦ÄÜ˵Ã÷: CRCдÈëÊý¾Ý +* Êä Èë: uint32_t data ҪдÈëµÄÊý¾Ý +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +static __INLINE void CRC_Write(uint32_t data) +{ + CRC->DATAIN = data; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: CRC_Result() +* ¹¦ÄÜ˵Ã÷: »ñÈ¡CRC¼ÆËã½á¹û +* Êä Èë: ÎÞ +* Êä ³ö: uint32_t CRC ¼ÆËã½á¹û +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +static __INLINE uint32_t CRC_Result(void) +{ + return CRC->RESULT; +} + +#endif //__SWM320_CRC_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_dma.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_dma.c new file mode 100644 index 0000000000..3abb4c4b3a --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_dma.c @@ -0,0 +1,138 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_dma.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄDMA¹¦ÄÜÇý¶¯¿â +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_dma.h" + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: DMA_CHM_Config() +* ¹¦ÄÜ˵Ã÷: DMAͨµÀÅäÖã¬ÓÃÓÚ´æ´¢Æ÷¼ä£¨ÈçFlashºÍRAM¼ä£©°áÔËÊý¾Ý +* Êä Èë: uint32_t chn Ö¸¶¨ÒªÅäÖõÄͨµÀ£¬ÓÐЧֵÓÐDMA_CH0¡¢DMA_CH1¡¢DMA_CH1 +* uint32_t src_addr Ô´µØÖ·£¬±ØÐë×Ö¶ÔÆ룬¼´µØÖ·µÄ×îµÍ2λ±ØÐëÊÇ00 +* uint32_t src_addr_incr 0 ¹Ì¶¨µØÖ· 1 µØÖ·µÝÔö +* uint32_t dst_addr Ä¿µÄµØÖ·£¬±ØÐë×Ö¶ÔÆ룬¼´µØÖ·µÄ×îµÍ2λ±ØÐëÊÇ00 +* uint32_t dst_addr_incr 0 ¹Ì¶¨µØÖ· 1 µØÖ·µÝÔö +* uint32_t num_word Òª°áÔ˵ÄÊý¾Ý×ÖÊý£¬×î´ó1024 +* uint32_t int_en ÖжÏʹÄÜ£¬1 Êý¾Ý°áÔËÍê³Éºó²úÉúÖÐ¶Ï 0 Êý¾Ý°áÔËÍê³Éºó²»²úÉúÖÐ¶Ï +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: °áÔËÊý¾ÝÁ¿ÒÔ×ÖΪµ¥Ôª£¬²»ÊÇ×Ö½Ú +******************************************************************************************************************************************/ +void DMA_CHM_Config(uint32_t chn, uint32_t src_addr, uint32_t src_addr_incr, uint32_t dst_addr, uint32_t dst_addr_incr, uint32_t num_word, uint32_t int_en) +{ + DMA->EN = 1; //ÿ¸öͨµÀ¶¼ÓÐ×Ô¼º¶ÀÁ¢µÄ¿ª¹Ø¿ØÖÆ£¬ËùÒÔ×Ü¿ª¹Ø¿ÉÒÔÊÇÒ»Ö±¿ªÆôµÄ + + DMA_CH_Close(chn); //ÅäÖÃÇ°ÏȹرոÃͨµÀ + + DMA->CH[chn].SRC = src_addr; + DMA->CH[chn].DST = dst_addr; + + DMA->CH[chn].CR = ((num_word * 4 - 1) << DMA_CR_LEN_Pos) | + (0 << DMA_CR_AUTORE_Pos); + + DMA->CH[chn].AM = (src_addr_incr << DMA_AM_SRCAM_Pos) | + (dst_addr_incr << DMA_AM_DSTAM_Pos) | + (0 << DMA_AM_BURST_Pos); + + DMA->IF = (1 << chn); //Çå³ýÖжϱêÖ¾ + DMA->IE |= (1 << chn); + if (int_en) DMA->IM &= ~(1 << chn); + else DMA->IM |= (1 << chn); + + if (int_en) + { + NVIC_EnableIRQ(DMA_IRQn); + } + else + { + //²»Äܵ÷ÓÃNVIC_DisalbeIRQ(DMA_IRQn)£¬ÒòΪÆäËûͨµÀ¿ÉÄÜʹÓÃDMAÖÐ¶Ï + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: DMA_CH_Open() +* ¹¦ÄÜ˵Ã÷: DMAͨµÀ´ò¿ª +* Êä Èë: uint32_t chn Ö¸¶¨ÒªÅäÖõÄͨµÀ£¬ÓÐЧֵÓÐDMA_CH0¡¢DMA_CH1¡¢DMA_CH1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void DMA_CH_Open(uint32_t chn) +{ + DMA->CH[chn].CR |= (1 << DMA_CR_TXEN_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: DMA_CH_Close() +* ¹¦ÄÜ˵Ã÷: DMAͨµÀ¹Ø±Õ +* Êä Èë: uint32_t chn Ö¸¶¨ÒªÅäÖõÄͨµÀ£¬ÓÐЧֵÓÐDMA_CH0¡¢DMA_CH1¡¢DMA_CH1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void DMA_CH_Close(uint32_t chn) +{ + DMA->CH[chn].CR &= ~(1 << DMA_CR_TXEN_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: DMA_CH_INTEn() +* ¹¦ÄÜ˵Ã÷: DMAÖжÏʹÄÜ£¬Êý¾Ý°áÔËÍê³Éºó´¥·¢ÖÐ¶Ï +* Êä Èë: uint32_t chn Ö¸¶¨ÒªÅäÖõÄͨµÀ£¬ÓÐЧֵÓÐDMA_CH0¡¢DMA_CH1¡¢DMA_CH1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void DMA_CH_INTEn(uint32_t chn) +{ + DMA->IM &= ~(1 << chn); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: DMA_CH_INTDis() +* ¹¦ÄÜ˵Ã÷: DMAÖжϽûÖ¹£¬Êý¾Ý°áÔËÍê³Éºó²»´¥·¢ÖÐ¶Ï +* Êä Èë: uint32_t chn Ö¸¶¨ÒªÅäÖõÄͨµÀ£¬ÓÐЧֵÓÐDMA_CH0¡¢DMA_CH1¡¢DMA_CH1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void DMA_CH_INTDis(uint32_t chn) +{ + DMA->IM |= (1 << chn); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: DMA_CH_INTClr() +* ¹¦ÄÜ˵Ã÷: DMAÖжϱêÖ¾Çå³ý +* Êä Èë: uint32_t chn Ö¸¶¨ÒªÅäÖõÄͨµÀ£¬ÓÐЧֵÓÐDMA_CH0¡¢DMA_CH1¡¢DMA_CH1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void DMA_CH_INTClr(uint32_t chn) +{ + DMA->IF = (1 << chn); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: DMA_CH_INTStat() +* ¹¦ÄÜ˵Ã÷: DMAÖжÏ״̬²éѯ +* Êä Èë: uint32_t chn Ö¸¶¨ÒªÅäÖõÄͨµÀ£¬ÓÐЧֵÓÐDMA_CH0¡¢DMA_CH1¡¢DMA_CH1 +* Êä ³ö: uint32_t 1 Êý¾Ý°áÔËÍê³É 0 Êý¾Ý°áÔËδÍê³É +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t DMA_CH_INTStat(uint32_t chn) +{ + return (DMA->IF & (1 << chn)) ? 1 : 0; +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_dma.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_dma.h new file mode 100644 index 0000000000..fc4cd98ca8 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_dma.h @@ -0,0 +1,20 @@ +#ifndef __SWM320_DMA_H__ +#define __SWM320_DMA_H__ + + +#define DMA_CH0 0 +#define DMA_CH1 1 +#define DMA_CH2 2 + + +void DMA_CHM_Config(uint32_t chn, uint32_t src_addr, uint32_t src_addr_incr, uint32_t dst_addr, uint32_t dst_addr_incr, uint32_t num_word, uint32_t int_en); //DMAͨµÀÅäÖã¬ÓÃÓÚ´æ´¢Æ÷¼ä£¨ÈçFlashºÍRAM¼ä£©°áÔËÊý¾Ý +void DMA_CH_Open(uint32_t chn); //DMAͨµÀ´ò¿ª +void DMA_CH_Close(uint32_t chn); //DMAͨµÀ¹Ø±Õ + +void DMA_CH_INTEn(uint32_t chn); //DMAÖжÏʹÄÜ£¬Êý¾Ý°áÔËÍê³Éºó´¥·¢ÖÐ¶Ï +void DMA_CH_INTDis(uint32_t chn); //DMAÖжϽûÖ¹£¬Êý¾Ý°áÔËÍê³Éºó²»´¥·¢ÖÐ¶Ï +void DMA_CH_INTClr(uint32_t chn); //DMAÖжϱêÖ¾Çå³ý +uint32_t DMA_CH_INTStat(uint32_t chn); //DMAÖжÏ״̬²éѯ£¬1 Êý¾Ý°áÔËÍê³É 0 Êý¾Ý°áÔËδÍê³É + + +#endif //__SWM320_DMA_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_exti.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_exti.c new file mode 100644 index 0000000000..0214767e6b --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_exti.c @@ -0,0 +1,131 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_exti.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄÍⲿÖжϹ¦ÄÜÇý¶¯¿â +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_exti.h" + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: EXTI_Init() +* ¹¦ÄÜ˵Ã÷: Ö¸¶¨Òý½ÅÍⲿÖжϳõʼ»¯ +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨²úÉúÍⲿÖжϵÄGPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨²úÉúÍⲿÖжϵÄGPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* uint32_t mode ÓÐЧֵÓÐEXTI_FALL_EDGE¡¢EXTI_RISE_EDGE¡¢EXTI_BOTH_EDGE¡¢EXTI_LOW_LEVEL¡¢EXTI_HIGH_LEVEL +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÓÉÓÚGPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOMµÄPIN0--7Òý½Å¼´¿ÉÒÔ½ÓÈëNVICÖеÄÒý½ÅÖжϣ¨ÈçGPIOA0_IRQn£©£¬Ò²¿ÉÒÔ½ÓÈëNVICµÄ×éÖжϣ¨GPIOA_IRQn£©£¬ +* ËùÒÔ²»Ôڴ˺¯ÊýÖе÷ÓÃNVIC_EnableIRQ()ʹÄÜNVICÖжϣ¬´Ó¶ø¿ÉÒÔ¸ù¾ÝÐèÒªµ÷ÓÃNVIC_EnableIRQ(GPIOA0_IRQn)ºÍNVIC_EnableIRQ(GPIOA_IRQn) +******************************************************************************************************************************************/ +void EXTI_Init(GPIO_TypeDef *GPIOx, uint32_t n, uint32_t mode) +{ + EXTI_Close(GPIOx, n); //ÅäÖùؼü¼Ä´æÆ÷Ç°ÏÈ¹Ø±Õ + + if (mode & 0x10) + { + GPIOx->INTLVLTRG |= (0x01 << n); //µçƽ´¥·¢ + + if (mode & 0x01) + GPIOx->INTRISEEN |= (0x01 << n); //¸ßµçƽ´¥·¢ + else + GPIOx->INTRISEEN &= ~(0x01 << n); //µÍµçƽ´¥·¢ + } + else + { + GPIOx->INTLVLTRG &= ~(0x01 << n); //±ßÑØ´¥·¢ + + if (mode & 0x02) + { + GPIOx->INTBE |= (0x01 << n); //Ë«±ßÑØ´¥·¢ + } + else + { + GPIOx->INTBE &= ~(0x01 << n); //µ¥±ßÑØ´¥·¢ + + if (mode & 0x01) + GPIOx->INTRISEEN |= (0x01 << n); //ÉÏÉýÑØ´¥·¢ + else + GPIOx->INTRISEEN &= ~(0x01 << n); //ϽµÑØ´¥·¢ + } + } + + GPIOx->INTCLR = (1 << n); //Çå³ýµôÒòΪģʽÅäÖÿÉÄܲúÉúµÄÖÐ¶Ï +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: EXTI_Open() +* ¹¦ÄÜ˵Ã÷: Ö¸¶¨Òý½ÅÍⲿÖжϴò¿ª£¨¼´Ê¹ÄÜ£© +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨²úÉúÍⲿÖжϵÄGPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨²úÉúÍⲿÖжϵÄGPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void EXTI_Open(GPIO_TypeDef *GPIOx, uint32_t n) +{ + GPIOx->INTEN |= (0x01 << n); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: EXTI_Close() +* ¹¦ÄÜ˵Ã÷: Ö¸¶¨Òý½ÅÍⲿÖжϹرգ¨¼´½ûÄÜ£© +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨²úÉúÍⲿÖжϵÄGPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨²úÉúÍⲿÖжϵÄGPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void EXTI_Close(GPIO_TypeDef *GPIOx, uint32_t n) +{ + GPIOx->INTEN &= ~(0x01 << n); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: EXTI_State() +* ¹¦ÄÜ˵Ã÷: Ö¸¶¨Òý½ÅÊÇ·ñ´¥·¢ÁËÖÐ¶Ï +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨²úÉúÍⲿÖжϵÄGPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨²úÉúÍⲿÖжϵÄGPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* Êä ³ö: uint32_t 1 ´ËÒý½Å´¥·¢ÁËÖÐ¶Ï 0 ´ËÒý½Åδ´¥·¢ÖÐ¶Ï +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t EXTI_State(GPIO_TypeDef *GPIOx, uint32_t n) +{ + return (GPIOx->INTSTAT >> n) & 0x01; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: EXTI_RawState() +* ¹¦ÄÜ˵Ã÷: Ö¸¶¨Òý½ÅÊÇ·ñÂú×ã¹ý/ÁËÖжϴ¥·¢Ìõ¼þ£¬µ±´ËÖжϹرÕʱ¿Éͨ¹ýµ÷Óô˺¯ÊýÒÔ²éѯµÄ·½Ê½¼ì²âÒý½ÅÉÏÊÇ·ñÂú×ã¹ý/ÁËÖжϴ¥·¢Ìõ¼þ +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨²úÉúÍⲿÖжϵÄGPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨²úÉúÍⲿÖжϵÄGPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* Êä ³ö: uint32_t 1 ´ËÒý½ÅÂú×ã¹ý/ÁËÖжϴ¥·¢Ìõ¼þ 0 ´ËÒý½ÅδÂú×ã¹ý/ÁËÖжϴ¥·¢Ìõ¼þ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t EXTI_RawState(GPIO_TypeDef *GPIOx, uint32_t n) +{ + return (GPIOx->INTRAWSTAT >> 1) & 0x01; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: EXTI_Clear() +* ¹¦ÄÜ˵Ã÷: Ö¸¶¨Òý½ÅÍⲿÖжÏÇå³ý£¨¼´Çå³ýÖжϱêÖ¾£¬ÒÔÃâÔٴνøÈë´ËÖжϣ© +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨²úÉúÍⲿÖжϵÄGPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨²úÉúÍⲿÖжϵÄGPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: Ö»ÄÜÇå³ý±ßÑØ´¥·¢ÖжϵıêÖ¾£¬µçƽ´¥·¢ÖжϵıêÖ¾ÎÞ·¨Çå³ý£¬Ö»ÄÜÔÚÒý½Åµçƽ²»·ûºÏÖжϴ¥·¢Ìõ¼þºóÓ²¼þ×Ô¶¯Çå³ý +******************************************************************************************************************************************/ +void EXTI_Clear(GPIO_TypeDef *GPIOx, uint32_t n) +{ + GPIOx->INTCLR = (0x01 << n); +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_exti.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_exti.h new file mode 100644 index 0000000000..f645fa69f8 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_exti.h @@ -0,0 +1,20 @@ +#ifndef __SWM320_EXTI_H__ +#define __SWM320_EXTI_H__ + +void EXTI_Init(GPIO_TypeDef *GPIOx, uint32_t n, uint32_t mode); //Ö¸¶¨Òý½ÅÍⲿÖжϳõʼ»¯ +void EXTI_Open(GPIO_TypeDef *GPIOx, uint32_t n); //Ö¸¶¨Òý½ÅÍⲿÖжϴò¿ª£¨¼´Ê¹ÄÜ£© +void EXTI_Close(GPIO_TypeDef *GPIOx, uint32_t n); //Ö¸¶¨Òý½ÅÍⲿÖжϹرգ¨¼´½ûÄÜ£© + +uint32_t EXTI_State(GPIO_TypeDef *GPIOx, uint32_t n); //Ö¸¶¨Òý½ÅÊÇ·ñ´¥·¢ÁËÖÐ¶Ï +uint32_t EXTI_RawState(GPIO_TypeDef *GPIOx, uint32_t n); //Ö¸¶¨Òý½ÅÊÇ·ñÂú×ã¹ý/ÁËÖжϴ¥·¢Ìõ¼þ£¬µ±´ËÖжϹرÕʱ¿Éͨ¹ýµ÷Óô˺¯ÊýÒÔ²éѯµÄ·½Ê½¼ì²âÒý½ÅÉÏÊÇ·ñÂú×ã¹ý/ÁËÖжϴ¥·¢Ìõ¼þ +void EXTI_Clear(GPIO_TypeDef *GPIOx, uint32_t n); //Ö¸¶¨Òý½ÅÍⲿÖжÏÇå³ý£¨¼´Çå³ýÖжϱêÖ¾£¬ÒÔÃâÔٴνøÈë´ËÖжϣ© + + +#define EXTI_FALL_EDGE 0x00 //ϽµÑØ´¥·¢ÖÐ¶Ï +#define EXTI_RISE_EDGE 0x01 //ÉÏÉýÑØ´¥·¢ÖÐ¶Ï +#define EXTI_BOTH_EDGE 0x02 //Ë«±ßÑØ´¥·¢ÖÐ¶Ï +#define EXTI_LOW_LEVEL 0x10 //µÍµçƽ´¥·¢ÖÐ¶Ï +#define EXTI_HIGH_LEVEL 0x11 //¸ßµçƽ´¥·¢ÖÐ¶Ï + + +#endif //__SWM320_EXTI_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_flash.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_flash.c new file mode 100644 index 0000000000..fa619e566c --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_flash.c @@ -0,0 +1,95 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_flash.c +* ¹¦ÄÜ˵Ã÷: ʹÓÃоƬµÄIAP¹¦Äܽ«Æ¬ÉÏFlashÄ£Äâ³ÉEEPROMÀ´±£´æÊý¾Ý£¬µôµçºó²»¶ªÊ§ +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_flash.h" + + +__attribute__((section("PlaceInRAM"))) +static void switchTo80M(void) +{ + uint32_t i; + + for (i = 0; i < 50; i++) __NOP(); + + FLASH->CFG0 = 0x4bf; + FLASH->CFG1 = 0xabfc7a6e; + + for (i = 0; i < 50; i++) __NOP(); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: FLASH_Erase() +* ¹¦ÄÜ˵Ã÷: ƬÄÚFlash²Á³ý +* Êä Èë: uint32_t addr ²Á³ýµØÖ· +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void FLASH_Erase(uint32_t addr) +{ +// switchTo80M(); + + FLASH->ERASE = addr | ((uint32_t)1 << FLASH_ERASE_REQ_Pos); + while ((FLASH->STAT & FLASH_STAT_ERASE_GOING_Msk) == 0); + while ((FLASH->STAT & FLASH_STAT_ERASE_GOING_Msk) == 1); + + FLASH->ERASE = 0; + +// switchTo40M(); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: FLASH_Write() +* ¹¦ÄÜ˵Ã÷: ƬÄÚFlashдÈë +* Êä Èë: uint32_t addr дÈëµØÖ· +* uint32_t buff[] ҪдÈëµÄÊý¾Ý +* uint32_t size ҪдÈëÊý¾ÝµÄ¸öÊý£¬×ÖΪµ¥Î» +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void FLASH_Write(uint32_t addr, uint32_t buff[], uint32_t size) +{ + uint32_t i, j; + + switchTo80M(); + + FLASH->CACHE |= (1 << FLASH_CACHE_PROG_Pos); + + for (i = 0; i < size / 4; i++) + { + FLASH->ADDR = addr + i * 4 * 4; + + for (j = 0; j < 4; j++) + FLASH->DATA = buff[i * 4 + j]; + while ((FLASH->STAT & FLASH_STAT_FIFO_EMPTY_Msk) == 0) __NOP(); + } + if ((size % 4) != 0) + { + FLASH->ADDR = addr + i * 4 * 4; + + for (j = 0; j < size % 4; j++) + FLASH->DATA = buff[i * 4 + j]; + while ((FLASH->STAT & FLASH_STAT_FIFO_EMPTY_Msk) == 0) __NOP(); + } + while (FLASH->STAT & FLASH_STAT_PROG_GOING_Msk); + + FLASH->CACHE |= (1 << FLASH_CACHE_CLEAR_Pos); + FLASH->CACHE = 0; + +// switchTo40M(); +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_flash.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_flash.h new file mode 100644 index 0000000000..5a4bfbb89f --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_flash.h @@ -0,0 +1,9 @@ +#ifndef __SWM320_FLASH_H__ +#define __SWM320_FLASH_H__ + + +void FLASH_Erase(uint32_t addr); +void FLASH_Write(uint32_t addr, uint32_t buff[], uint32_t size); + + +#endif //__SWM320_FLASH_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_gpio.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_gpio.c new file mode 100644 index 0000000000..dd81e75952 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_gpio.c @@ -0,0 +1,279 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_gpio.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄͨÓÃÊäÈëÊä³ö¹¦ÄÜÇý¶¯¿â +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_gpio.h" + + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: GPIO_Init() +* ¹¦ÄÜ˵Ã÷: Òý½Å³õʼ»¯£¬°üº¬Òý½Å·½Ïò¡¢ÉÏÀ­µç×è¡¢ÏÂÀ­µç×è¡¢¿ªÂ©Êä³ö +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨GPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨GPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* uint32_t dir Òý½Å·½Ïò£¬0 ÊäÈë 1 Êä³ö +* uint32_t pull_up ÉÏÀ­µç×裬0 ¹Ø±ÕÉÏÀ­ 1 ¿ªÆôÉÏÀ­ +* uint32_t pull_down ÏÂÀ­µç×裬0 ¹Ø±ÕÏÂÀ­ 1 ¿ªÆôÏÂÀ­ +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void GPIO_Init(GPIO_TypeDef *GPIOx, uint32_t n, uint32_t dir, uint32_t pull_up, uint32_t pull_down) +{ + switch ((uint32_t)GPIOx) + { + case ((uint32_t)GPIOA): + SYS->CLKEN |= (0x01 << SYS_CLKEN_GPIOA_Pos); + + PORT_Init(PORTA, n, 0, 1); //PORTA.PINnÒý½ÅÅäÖÃΪGPIO¹¦ÄÜ£¬Êý×ÖÊäÈ뿪Æô + if (dir == 1) + { + GPIOA->DIR |= (0x01 << n); + } + else + { + GPIOA->DIR &= ~(0x01 << n); + } + + if (pull_up == 1) + PORT->PORTA_PULLU |= (0x01 << n); + else + PORT->PORTA_PULLU &= ~(0x01 << n); + break; + + case ((uint32_t)GPIOB): + SYS->CLKEN |= (0x01 << SYS_CLKEN_GPIOB_Pos); + + PORT_Init(PORTB, n, 0, 1); //PORTB.PINnÒý½ÅÅäÖÃΪGPIO¹¦ÄÜ£¬Êý×ÖÊäÈ뿪Æô + if (dir == 1) + { + GPIOB->DIR |= (0x01 << n); + } + else + { + GPIOB->DIR &= ~(0x01 << n); + } + + if (pull_down == 1) + PORT->PORTB_PULLD |= (0x01 << n); + else + PORT->PORTB_PULLD &= ~(0x01 << n); + break; + + case ((uint32_t)GPIOC): + SYS->CLKEN |= (0x01 << SYS_CLKEN_GPIOC_Pos); + + PORT_Init(PORTC, n, 0, 1); //PORTC.PINnÒý½ÅÅäÖÃΪGPIO¹¦ÄÜ£¬Êý×ÖÊäÈ뿪Æô + if (dir == 1) + { + GPIOC->DIR |= (0x01 << n); + } + else + { + GPIOC->DIR &= ~(0x01 << n); + } + + if (pull_up == 1) + PORT->PORTC_PULLU |= (0x01 << n); + else + PORT->PORTC_PULLU &= ~(0x01 << n); + break; + + case ((uint32_t)GPIOM): + SYS->CLKEN |= (0x01 << SYS_CLKEN_GPIOM_Pos); + + PORT_Init(PORTM, n, 0, 1); //PORTM.PINnÒý½ÅÅäÖÃΪGPIO¹¦ÄÜ£¬Êý×ÖÊäÈ뿪Æô + if (dir == 1) + { + GPIOM->DIR |= (0x01 << n); + } + else + { + GPIOM->DIR &= ~(0x01 << n); + } + + if (pull_up == 1) + PORT->PORTM_PULLU |= (0x01 << n); + else + PORT->PORTM_PULLU &= ~(0x01 << n); + break; + + case ((uint32_t)GPION): + SYS->CLKEN |= (0x01 << SYS_CLKEN_GPION_Pos); + + PORT_Init(PORTN, n, 0, 1); //PORTN.PINnÒý½ÅÅäÖÃΪGPIO¹¦ÄÜ£¬Êý×ÖÊäÈ뿪Æô + if (dir == 1) + { + GPION->DIR |= (0x01 << n); + } + else + { + GPION->DIR &= ~(0x01 << n); + } + + if (pull_down == 1) + PORT->PORTN_PULLD |= (0x01 << n); + else + PORT->PORTN_PULLD &= ~(0x01 << n); + break; + + case ((uint32_t)GPIOP): + SYS->CLKEN |= (0x01 << SYS_CLKEN_GPIOP_Pos); + + PORT_Init(PORTP, n, 0, 1); //PORTP.PINnÒý½ÅÅäÖÃΪGPIO¹¦ÄÜ£¬Êý×ÖÊäÈ뿪Æô + if (dir == 1) + { + GPIOP->DIR |= (0x01 << n); + } + else + { + GPIOP->DIR &= ~(0x01 << n); + } + + if (pull_up == 1) + PORT->PORTP_PULLU |= (0x01 << n); + else + PORT->PORTP_PULLU &= ~(0x01 << n); + break; + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: GPIO_SetBit() +* ¹¦ÄÜ˵Ã÷: ½«²ÎÊýÖ¸¶¨µÄÒý½ÅµçƽÖÃ¸ß +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨GPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨GPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void GPIO_SetBit(GPIO_TypeDef *GPIOx, uint32_t n) +{ + GPIOx->DATA |= (0x01 << n); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: GPIO_ClrBit() +* ¹¦ÄÜ˵Ã÷: ½«²ÎÊýÖ¸¶¨µÄÒý½ÅµçƽÖÃµÍ +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨GPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨GPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void GPIO_ClrBit(GPIO_TypeDef *GPIOx, uint32_t n) +{ + GPIOx->DATA &= ~(0x01 << n); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: GPIO_InvBit() +* ¹¦ÄÜ˵Ã÷: ½«²ÎÊýÖ¸¶¨µÄÒý½Åµçƽ·´×ª +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨GPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨GPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void GPIO_InvBit(GPIO_TypeDef *GPIOx, uint32_t n) +{ + GPIOx->DATA ^= (0x01 << n); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: GPIO_GetBit() +* ¹¦ÄÜ˵Ã÷: ¶ÁÈ¡²ÎÊýÖ¸¶¨µÄÒý½ÅµÄµçƽ״̬ +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨GPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨GPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* Êä ³ö: ²ÎÊýÖ¸¶¨µÄÒý½ÅµÄµçƽ״̬ 0 µÍµçƽ 1 ¸ßµçƽ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t GPIO_GetBit(GPIO_TypeDef *GPIOx, uint32_t n) +{ + return ((GPIOx->DATA >> n) & 0x01); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: GPIO_SetBits() +* ¹¦ÄÜ˵Ã÷: ½«²ÎÊýÖ¸¶¨µÄ´Ón¿ªÊ¼µÄwλÁ¬ÐøÒý½ÅµÄµçƽÖÃ¸ß +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨GPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨GPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* uint32_t w Ö¸¶¨Òª½«Òý½ÅµçƽÖøߵÄÒý½ÅµÄ¸öÊý +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void GPIO_SetBits(GPIO_TypeDef *GPIOx, uint32_t n, uint32_t w) +{ + uint32_t bits; + + bits = 0xFFFFFF >> (24 - w); + + GPIOx->DATA |= (bits << n); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: GPIO_ClrBits() +* ¹¦ÄÜ˵Ã÷: ½«²ÎÊýÖ¸¶¨µÄ´Ón¿ªÊ¼µÄwλÁ¬ÐøÒý½ÅµÄµçƽÖÃµÍ +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨GPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨GPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* uint32_t w Ö¸¶¨Òª½«Òý½ÅµçƽÖõ͵ÄÒý½ÅµÄ¸öÊý +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void GPIO_ClrBits(GPIO_TypeDef *GPIOx, uint32_t n, uint32_t w) +{ + uint32_t bits; + + bits = 0xFFFFFF >> (24 - w); + + GPIOx->DATA &= ~(bits << n); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: GPIO_InvBits() +* ¹¦ÄÜ˵Ã÷: ½«²ÎÊýÖ¸¶¨µÄ´Ón¿ªÊ¼µÄwλÁ¬ÐøÒý½ÅµÄµçƽ·´×ª +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨GPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨GPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* uint32_t w Ö¸¶¨Òª½«Òý½Åµçƽ·´×ªµÄÒý½ÅµÄ¸öÊý +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void GPIO_InvBits(GPIO_TypeDef *GPIOx, uint32_t n, uint32_t w) +{ + uint32_t bits; + + bits = 0xFFFFFF >> (24 - w); + + GPIOx->DATA ^= (bits << n); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: GPIO_GetBits() +* ¹¦ÄÜ˵Ã÷: ¶ÁÈ¡²ÎÊýÖ¸¶¨µÄ´Ón¿ªÊ¼µÄwλÁ¬ÐøÒý½ÅµÄµçƽ״̬ +* Êä Èë: GPIO_TypeDef * GPIOx Ö¸¶¨GPIO¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨GPIOA¡¢GPIOB¡¢GPIOC¡¢GPIOM¡¢GPION¡¢GPIOP +* uint32_t n Ö¸¶¨GPIOÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* uint32_t w Ö¸¶¨Òª½«Òý½ÅµçƽÖøߵÄÒý½ÅµÄ¸öÊý +* Êä ³ö: ²ÎÊýÖ¸¶¨µÄ´Ón¿ªÊ¼µÄwλÁ¬ÐøÒý½ÅµÄµçƽ״̬ 0 µÍµçƽ 1 ¸ßµçƽ +* ·µ»ØÖµµÄµÚ0λ±íʾÒý½ÅnµÄµçƽ״̬¡¢·µ»ØÖµµÄµÚ1λ±íʾÒý½Ån+1µÄµçƽ״̬... ...·µ»ØÖµµÄµÚwλ±íʾÒý½Ån+wµÄµçƽ״̬ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t GPIO_GetBits(GPIO_TypeDef *GPIOx, uint32_t n, uint32_t w) +{ + uint32_t bits; + + bits = 0xFFFFFF >> (24 - w); + + return ((GPIOx->DATA >> n) & bits); +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_gpio.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_gpio.h new file mode 100644 index 0000000000..a0f84999bd --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_gpio.h @@ -0,0 +1,17 @@ +#ifndef __SWM320_GPIO_H__ +#define __SWM320_GPIO_H__ + + +void GPIO_Init(GPIO_TypeDef *GPIOx, uint32_t n, uint32_t dir, uint32_t pull_up, uint32_t pull_down); //Òý½Å³õʼ»¯£¬°üº¬Òý½Å·½Ïò¡¢ÉÏÀ­µç×è¡¢ÏÂÀ­µç×è + +void GPIO_SetBit(GPIO_TypeDef *GPIOx, uint32_t n); //½«²ÎÊýÖ¸¶¨µÄÒý½ÅµçƽÖÃ¸ß +void GPIO_ClrBit(GPIO_TypeDef *GPIOx, uint32_t n); //½«²ÎÊýÖ¸¶¨µÄÒý½ÅµçƽÖÃµÍ +void GPIO_InvBit(GPIO_TypeDef *GPIOx, uint32_t n); //½«²ÎÊýÖ¸¶¨µÄÒý½Åµçƽ·´×ª +uint32_t GPIO_GetBit(GPIO_TypeDef *GPIOx, uint32_t n); //¶ÁÈ¡²ÎÊýÖ¸¶¨µÄÒý½ÅµÄµçƽ״̬ +void GPIO_SetBits(GPIO_TypeDef *GPIOx, uint32_t n, uint32_t w); //½«²ÎÊýÖ¸¶¨µÄ´Ón¿ªÊ¼µÄwλÁ¬ÐøÒý½ÅµÄµçƽÖÃ¸ß +void GPIO_ClrBits(GPIO_TypeDef *GPIOx, uint32_t n, uint32_t w); //½«²ÎÊýÖ¸¶¨µÄ´Ón¿ªÊ¼µÄwλÁ¬ÐøÒý½ÅµÄµçƽÖÃµÍ +void GPIO_InvBits(GPIO_TypeDef *GPIOx, uint32_t n, uint32_t w); //½«²ÎÊýÖ¸¶¨µÄ´Ón¿ªÊ¼µÄwλÁ¬ÐøÒý½ÅµÄµçƽ·´×ª +uint32_t GPIO_GetBits(GPIO_TypeDef *GPIOx, uint32_t n, uint32_t w); //¶ÁÈ¡²ÎÊýÖ¸¶¨µÄ´Ón¿ªÊ¼µÄwλÁ¬ÐøÒý½ÅµÄµçƽ״̬ + + +#endif //__SWM320_GPIO_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_i2c.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_i2c.c new file mode 100644 index 0000000000..34de5c5610 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_i2c.c @@ -0,0 +1,150 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_i2c.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄI2C´®Ðнӿڹ¦ÄÜÇý¶¯¿â +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIES AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIEE. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIES ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_i2c.h" + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: I2C_Init() +* ¹¦ÄÜ˵Ã÷: I2C³õʼ»¯ +* Êä Èë: I2C_TypeDef * I2Cx Ö¸¶¨Òª±»ÉèÖõÄI2C£¬ÓÐЧֵ°üÀ¨I2C0¡¢I2C1 +* I2C_InitStructure * initStruct °üº¬I2CÏà¹ØÉ趨ֵµÄ½á¹¹Ìå +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: Ä£¿éÖ»Äܹ¤×÷ÓÚÖ÷»úģʽ +******************************************************************************************************************************************/ +void I2C_Init(I2C_TypeDef *I2Cx, I2C_InitStructure *initStruct) +{ + switch ((uint32_t)I2Cx) + { + case ((uint32_t)I2C0): + SYS->CLKEN |= (0x01 << SYS_CLKEN_I2C0_Pos); + break; + + case ((uint32_t)I2C1): + SYS->CLKEN |= (0x01 << SYS_CLKEN_I2C1_Pos); + break; + } + + I2C_Close(I2Cx); //һЩ¹Ø¼ü¼Ä´æÆ÷Ö»ÄÜÔÚI2C¹Ø±ÕʱÉèÖà + + if (initStruct->Master == 1) + { + I2Cx->CLKDIV = SystemCoreClock / 5 / initStruct->MstClk; + + I2Cx->MSTCMD = (I2Cx->MSTCMD & (~I2C_MSTCMD_IF_Msk)) | (1 << I2C_MSTCMD_IF_Pos); //ʹÄÜÖжÏ֮ǰÏÈÇå³ýÖжϱêÖ¾ + I2Cx->CTRL &= ~I2C_CTRL_MSTIE_Msk; + I2Cx->CTRL |= (initStruct->MstIEn << I2C_CTRL_MSTIE_Pos); + + switch ((uint32_t)I2Cx) + { + case ((uint32_t)I2C0): + if (initStruct->MstIEn) + { + NVIC_EnableIRQ(I2C0_IRQn); + } + else + { + NVIC_DisableIRQ(I2C0_IRQn); + } + break; + + case ((uint32_t)I2C1): + if (initStruct->MstIEn) + { + NVIC_EnableIRQ(I2C1_IRQn); + } + else + { + NVIC_DisableIRQ(I2C1_IRQn); + } + break; + } + } + else + { + I2Cx->SLVCR |= (1 << I2C_SLVCR_SLAVE_Pos); + + I2Cx->SLVCR &= ~(I2C_SLVCR_ADDR7b_Msk | I2C_SLVCR_ADDR_Msk); + I2Cx->SLVCR |= (1 << I2C_SLVCR_ACK_Pos) | + (initStruct->Addr7b << I2C_SLVCR_ADDR7b_Pos) | + (initStruct->SlvAddr << I2C_SLVCR_ADDR_Pos); + + I2Cx->SLVIF = I2C_SLVIF_RXEND_Msk | I2C_SLVIF_TXEND_Msk | I2C_SLVIF_STADET_Msk | I2C_SLVIF_STODET_Msk; //ÇåÖжϱêÖ¾ + I2Cx->SLVCR &= ~(I2C_SLVCR_IM_RXEND_Msk | I2C_SLVCR_IM_TXEND_Msk | I2C_SLVCR_IM_STADET_Msk | I2C_SLVCR_IM_STODET_Msk | + I2C_SLVCR_IM_RDREQ_Msk | I2C_SLVCR_IM_WRREQ_Msk); + I2Cx->SLVCR |= ((initStruct->SlvRxEndIEn ? 0 : 1) << I2C_SLVCR_IM_RXEND_Pos) | + ((initStruct->SlvTxEndIEn ? 0 : 1) << I2C_SLVCR_IM_TXEND_Pos) | + ((initStruct->SlvSTADetIEn ? 0 : 1) << I2C_SLVCR_IM_STADET_Pos) | + ((initStruct->SlvSTODetIEn ? 0 : 1) << I2C_SLVCR_IM_STODET_Pos) | + ((initStruct->SlvRdReqIEn ? 0 : 1) << I2C_SLVCR_IM_RDREQ_Pos) | + ((initStruct->SlvWrReqIEn ? 0 : 1) << I2C_SLVCR_IM_WRREQ_Pos); + + switch ((uint32_t)I2Cx) + { + case ((uint32_t)I2C0): + if (initStruct->SlvRxEndIEn | initStruct->SlvTxEndIEn | initStruct->SlvSTADetIEn | + initStruct->SlvSTODetIEn | initStruct->SlvRdReqIEn | initStruct->SlvWrReqIEn) + { + NVIC_EnableIRQ(I2C0_IRQn); + } + else + { + NVIC_DisableIRQ(I2C0_IRQn); + } + break; + + case ((uint32_t)I2C1): + if (initStruct->SlvRxEndIEn | initStruct->SlvTxEndIEn | initStruct->SlvSTADetIEn | + initStruct->SlvSTODetIEn | initStruct->SlvRdReqIEn | initStruct->SlvWrReqIEn) + { + NVIC_EnableIRQ(I2C1_IRQn); + } + else + { + NVIC_DisableIRQ(I2C1_IRQn); + } + break; + } + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: I2C_Open() +* ¹¦ÄÜ˵Ã÷: I2C´ò¿ª£¬ÔÊÐíÊÕ·¢ +* Êä Èë: I2C_TypeDef * I2Cx Ö¸¶¨Òª±»ÉèÖõÄI2C£¬ÓÐЧֵ°üÀ¨I2C0¡¢I2C1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void I2C_Open(I2C_TypeDef *I2Cx) +{ + I2Cx->CTRL |= (0x01 << I2C_CTRL_EN_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: I2C_Close() +* ¹¦ÄÜ˵Ã÷: I2C¹Ø±Õ£¬½ûÖ¹ÊÕ·¢ +* Êä Èë: I2C_TypeDef * I2Cx Ö¸¶¨Òª±»ÉèÖõÄI2C£¬ÓÐЧֵ°üÀ¨I2C0¡¢I2C1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void I2C_Close(I2C_TypeDef *I2Cx) +{ + I2Cx->CTRL &= ~I2C_CTRL_EN_Msk; +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_i2c.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_i2c.h new file mode 100644 index 0000000000..769f5a2b55 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_i2c.h @@ -0,0 +1,27 @@ +#ifndef __SWM320_I2C_H__ +#define __SWM320_I2C_H__ + +typedef struct +{ + uint8_t Master; //1 Ö÷»úģʽ + uint8_t Addr7b; //1 7λµØÖ· 0 10λµØÖ· + + uint32_t MstClk; //Ö÷»ú´«ÊäʱÖÓƵÂÊ + uint8_t MstIEn; //Ö÷»úģʽÖжÏʹÄÜ + + uint16_t SlvAddr; //´Ó»úµØÖ· + uint8_t SlvRxEndIEn; //´Ó»ú½ÓÊÕÍê³ÉÖжÏʹÄÜ + uint8_t SlvTxEndIEn; //´Ó»ú·¢ËÍÍê³ÉÖжÏʹÄÜ + uint8_t SlvSTADetIEn; //´Ó»ú¼ì²âµ½ÆðʼÖжÏʹÄÜ + uint8_t SlvSTODetIEn; //´Ó»ú¼ì²âµ½ÖÕÖ¹ÖжÏʹÄÜ + uint8_t SlvRdReqIEn; //´Ó»ú½ÓÊÕµ½¶ÁÇëÇóÖжÏʹÄÜ + uint8_t SlvWrReqIEn; //´Ó»ú½ÓÊÕµ½Ð´ÇëÇóÖжÏʹÄÜ +} I2C_InitStructure; + + +void I2C_Init(I2C_TypeDef *I2Cx, I2C_InitStructure *initStruct); + +void I2C_Open(I2C_TypeDef *I2Cx); +void I2C_Close(I2C_TypeDef *I2Cx); + +#endif //__SWM320_I2C_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_lcd.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_lcd.c new file mode 100644 index 0000000000..742c5b35dd --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_lcd.c @@ -0,0 +1,259 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_lcd.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄLCD¹¦ÄÜÇý¶¯¿â +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_lcd.h" + +#include + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: LCD_Init() +* ¹¦ÄÜ˵Ã÷: LCD³õʼ»¯ +* Êä Èë: LCD_TypeDef * LCDx Ö¸¶¨Òª±»ÉèÖõÄLCD£¬ÓÐЧֵ°üÀ¨LCD +* LCD_InitStructure * initStruct °üº¬LCDÏà¹ØÉ趨ֵµÄ½á¹¹Ìå +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void LCD_Init(LCD_TypeDef *LCDx, LCD_InitStructure *initStruct) +{ + switch ((uint32_t)LCDx) + { + case ((uint32_t)LCD): + SYS->CLKEN |= (0x01 << SYS_CLKEN_LCD_Pos); + break; + } + + if (initStruct->Interface == LCD_INTERFACE_RGB) + { + LCDx->START = (0 << LCD_START_MPUEN_Pos); + + if (initStruct->Dir == LCD_DIR_LANDSCAPE) + { + LCDx->CR0 = ((initStruct->HnPixel - 1) << LCD_CR0_HPIX_Pos) | + ((initStruct->VnPixel - 1) << LCD_CR0_VPIX_Pos) | + (initStruct->ClkAlways << LCD_CR0_DCLK_Pos) | + (initStruct->HsyncWidth << LCD_CR0_HLOW_Pos); + + LCDx->CR1 = (initStruct->Dir << LCD_CR1_DIRV_Pos) | + ((initStruct->Hfp - 1) << LCD_CR1_HFP_Pos) | + ((initStruct->Hbp - 1) << LCD_CR1_HBP_Pos) | + ((initStruct->Vfp - 1) << LCD_CR1_VFP_Pos) | + ((initStruct->Vbp - 1) << LCD_CR1_VBP_Pos) | + (initStruct->ClkDiv << LCD_CR1_DCLKDIV_Pos) | + (initStruct->SamplEdge << LCD_CR1_DCLKINV_Pos); + } + else + { + LCDx->CR0 = ((initStruct->HnPixel - 1) << LCD_CR0_VPIX_Pos) | + ((initStruct->VnPixel - 1) << LCD_CR0_HPIX_Pos) | + (initStruct->ClkAlways << LCD_CR0_DCLK_Pos) | + (initStruct->HsyncWidth << LCD_CR0_HLOW_Pos); + + LCDx->CR1 = (initStruct->Dir << LCD_CR1_DIRV_Pos) | + ((initStruct->Hfp - 1) << LCD_CR1_VFP_Pos) | + ((initStruct->Hbp - 1) << LCD_CR1_VBP_Pos) | + ((initStruct->Vfp - 1) << LCD_CR1_HFP_Pos) | + ((initStruct->Vbp - 1) << LCD_CR1_HBP_Pos) | + (initStruct->ClkDiv << LCD_CR1_DCLKDIV_Pos) | + (initStruct->SamplEdge << LCD_CR1_DCLKINV_Pos); + } + } + else if (initStruct->Interface == LCD_INTERFACE_I80) + { + LCDx->START = (1 << LCD_START_MPUEN_Pos); + + LCDx->CR1 = (1 << LCD_CR1_I80_Pos) | + (initStruct->T_CSf_WRf << LCD_CR1_TAS_Pos) | + (initStruct->T_WRnHold << LCD_CR1_TPWLW_Pos) | + (initStruct->T_WRr_CSr << LCD_CR1_TAH_Pos) | + (initStruct->T_CSr_CSf << LCD_CR1_TTAIL_Pos); + } + + LCDx->IE = 1; + LCDx->IF = 1; //Çå³ý±êÖ¾ + if (initStruct->IntEOTEn) LCD_INTEn(LCDx); + else LCD_INTDis(LCDx); + + switch ((uint32_t)LCDx) + { + case ((uint32_t)LCD): + if (initStruct->IntEOTEn) + { + NVIC_EnableIRQ(LCD_IRQn); + } + else + { + NVIC_DisableIRQ(LCD_IRQn); + } + break; + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: LCD_Start() +* ¹¦ÄÜ˵Ã÷: Æô¶¯Ò»´ÎÊý¾Ý´«Êä +* Êä Èë: LCD_TypeDef * LCDx Ö¸¶¨Òª±»ÉèÖõÄLCD£¬ÓÐЧֵ°üÀ¨LCD +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void LCD_Start(LCD_TypeDef *LCDx) +{ + LCDx->START |= (1 << LCD_START_GO_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: LCD_IsBusy() +* ¹¦ÄÜ˵Ã÷: ÊÇ·ñÕýÔÚ½øÐÐÊý¾Ý´«Êä +* Êä Èë: LCD_TypeDef * LCDx Ö¸¶¨Òª±»ÉèÖõÄLCD£¬ÓÐЧֵ°üÀ¨LCD +* Êä ³ö: uint32_t 1 ÕýÔÚ´«ÊäÊý¾Ý 0 Êý¾Ý´«ÊäÒÑÍê³É +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t LCD_IsBusy(LCD_TypeDef *LCDx) +{ + return (LCDx->START & LCD_START_GO_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: LCD_I80_WriteReg() +* ¹¦ÄÜ˵Ã÷: MPU½Ó¿Úʱ£¬Ð´¼Ä´æÆ÷ +* Êä Èë: LCD_TypeDef * LCDx Ö¸¶¨Òª±»ÉèÖõÄLCD£¬ÓÐЧֵ°üÀ¨LCD +* uint16_t reg ҪдµÄ¼Ä´æÆ÷ÆäʵµØÖ·£¬µØÖ·×ÔÔö +* uint16_t val[] ¼Ä´æÆ÷Öµ£¬Êý×éµØÖ·±ØÐë×Ô¶ÔÆë +* uint16_t cnt ҪдµÄ¼Ä´æÆ÷¸öÊý +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void LCD_I80_WriteReg(LCD_TypeDef *LCDx, uint16_t reg, uint16_t val[], uint16_t cnt) +{ + LCD->SRCADDR = (uint32_t)val; + LCD->CR0 &= ~LCD_CR0_DLEN_Msk; + LCD->CR0 |= ((cnt - 1) << LCD_CR0_DLEN_Pos); + + LCD->CR1 |= (1 << LCD_CR1_CMD_Pos); + LCD->CR1 &= ~LCD_CR1_REG_Msk; + LCD->CR1 |= (reg << LCD_CR1_REG_Pos); + + LCD_Start(LCDx); + while (LCD_IsBusy(LCDx)); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: LCD_I80_WriteOneReg() +* ¹¦ÄÜ˵Ã÷: MPU½Ó¿Úʱ£¬Ð´¼Ä´æÆ÷ +* Êä Èë: LCD_TypeDef * LCDx Ö¸¶¨Òª±»ÉèÖõÄLCD£¬ÓÐЧֵ°üÀ¨LCD +* uint16_t reg ҪдµÄ¼Ä´æÆ÷ÆäʵµØÖ· +* uint16_t val ¼Ä´æÆ÷Öµ +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void LCD_I80_WriteOneReg(LCD_TypeDef *LCDx, uint16_t reg, uint16_t val) +{ + uint16_t buf[1] __attribute__((aligned(4))); + + buf[0] = val; + + LCD_I80_WriteReg(LCDx, reg, buf, 1); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: LCD_I80_WriteData() +* ¹¦ÄÜ˵Ã÷: MPU½Ó¿Úʱ£¬Ð´Êý¾Ý +* Êä Èë: LCD_TypeDef * LCDx Ö¸¶¨Òª±»ÉèÖõÄLCD£¬ÓÐЧֵ°üÀ¨LCD +* uint16_t val[] ҪдµÄÊý¾Ý£¬Êý×éµØÖ·±ØÐë×Ô¶ÔÆë +* uint16_t cnt ҪдµÄÊý¾Ý¸öÊý +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void LCD_I80_WriteData(LCD_TypeDef *LCDx, uint16_t val[], uint16_t cnt) +{ + LCD->SRCADDR = (uint32_t)val; + LCD->CR0 &= ~LCD_CR0_DLEN_Msk; + LCD->CR0 |= ((cnt - 1) << LCD_CR0_DLEN_Pos); + + LCD->CR1 &= ~(1 << LCD_CR1_CMD_Pos); + + LCD_Start(LCDx); + while (LCD_IsBusy(LCDx)); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: LCD_I80_WriteOneData() +* ¹¦ÄÜ˵Ã÷: MPU½Ó¿Úʱ£¬Ð´Êý¾Ý +* Êä Èë: LCD_TypeDef * LCDx Ö¸¶¨Òª±»ÉèÖõÄLCD£¬ÓÐЧֵ°üÀ¨LCD +* uint16_t val ҪдµÄÊý¾Ý +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void LCD_I80_WriteOneData(LCD_TypeDef *LCDx, uint16_t val) +{ + uint16_t buf[1] __attribute__((aligned(4))); + + buf[0] = val; + + LCD_I80_WriteData(LCDx, buf, 2); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: LCD_INTEn() +* ¹¦ÄÜ˵Ã÷: LCDÖжÏʹÄÜ£¬Íê³ÉÖ¸¶¨³¤¶ÈµÄÊý¾Ý´«Êäʱ´¥·¢ÖÐ¶Ï +* Êä Èë: LCD_TypeDef * LCDx Ö¸¶¨Òª±»ÉèÖõÄLCD£¬ÓÐЧֵ°üÀ¨LCD +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void LCD_INTEn(LCD_TypeDef *LCDx) +{ + LCDx->IM = 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: LCD_INTDis() +* ¹¦ÄÜ˵Ã÷: LCDÖжϽûÖ¹£¬Íê³ÉÖ¸¶¨³¤¶ÈµÄÊý¾Ý´«Êäʱ²»´¥·¢ÖÐ¶Ï +* Êä Èë: LCD_TypeDef * LCDx Ö¸¶¨Òª±»ÉèÖõÄLCD£¬ÓÐЧֵ°üÀ¨LCD +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void LCD_INTDis(LCD_TypeDef *LCDx) +{ + LCDx->IM = 1; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: LCD_INTClr() +* ¹¦ÄÜ˵Ã÷: LCDÖжϱêÖ¾Çå³ý +* Êä Èë: LCD_TypeDef * LCDx Ö¸¶¨Òª±»ÉèÖõÄLCD£¬ÓÐЧֵ°üÀ¨LCD +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void LCD_INTClr(LCD_TypeDef *LCDx) +{ + LCDx->IF = 1; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: LCD_INTStat() +* ¹¦ÄÜ˵Ã÷: LCDÖжÏ״̬²éѯ +* Êä Èë: LCD_TypeDef * LCDx Ö¸¶¨Òª±»ÉèÖõÄLCD£¬ÓÐЧֵ°üÀ¨LCD +* Êä ³ö: uint32_t 1 Íê³ÉÖ¸¶¨³¤¶ÈµÄÊý¾Ý´«Êä 0 δÍê³ÉÖ¸¶¨³¤¶ÈµÄÊý¾Ý´«Êä +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t LCD_INTStat(LCD_TypeDef *LCDx) +{ + return (LCDx->IF & 0x01) ? 1 : 0; +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_lcd.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_lcd.h new file mode 100644 index 0000000000..0b9d9b53c3 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_lcd.h @@ -0,0 +1,96 @@ +#ifndef __SWM320_LCD_H__ +#define __SWM320_LCD_H__ + + +typedef struct +{ + uint8_t Interface; //LCDÆÁ½Ó¿Ú£ºLCD_INTERFACE_RGB¡¢LCD_INTERFACE_I80¡¢LCD_INTERFACE_M68 + + /* RGBͬ²½½Ó¿Ú²ÎÊý */ + uint8_t Dir; //LCD_DIR_LANDSCAPE ºáÆÁ LCD_DIR_PORTRAIT ÊúÆÁ + uint16_t HnPixel; //ˮƽ·½ÏòÏñËظöÊý£¬×î´óÈ¡Öµ1024 + uint16_t VnPixel; //´¹Ö±·½ÏòÏñËظöÊý£¬×î´óÈ¡Öµ 768 + uint8_t Hfp; //horizonal front porch£¬×î´óÈ¡Öµ32 + uint8_t Hbp; //horizonal back porch£¬ ×î´óÈ¡Öµ128 + uint8_t Vfp; //vertical front porch£¬ ×î´óÈ¡Öµ8 + uint8_t Vbp; //vertical back porch£¬ ×î´óÈ¡Öµ32 + uint8_t ClkDiv; //ϵͳʱÖÓ¾­ClkDiv·ÖƵºó²úÉúDOCCLK£¬0 2·ÖƵ 1 4·ÖƵ 2 6·ÖƵ ... ... 31 64·ÖƵ + uint8_t SamplEdge; //ÆÁÄ»ÔÚDOTCLKµÄÄĸö±ßÑزÉÑùÊý¾Ý£ºLCD_SAMPLEDGE_RISE¡¢LCD_SAMPLEDGE_FALL + uint8_t ClkAlways; //1 Ò»Ö±Êä³öDOTCLK 0 Ö»ÔÚ´«ÊäÊý¾ÝʱÊä³öDOTCLK + uint8_t HsyncWidth; //HSYNCµÍµçƽ³ÖÐø¶àÉÙ¸öDOTCLK£¬È¡Öµ£ºLCD_HSYNC_1DOTCLK¡¢LCD_HSYNC_2DOTCLK¡¢LCD_HSYNC_3DOTCLK¡¢LCD_HSYNC_4DOTCLK + + /* MPU£¨8080£©½Ó¿Ú²ÎÊý */ + uint8_t T_CSf_WRf; //CSnϽµÑص½WRnϽµÑصÄʱ¼ä£¬È¡Öµ0--3 + uint8_t T_WRnHold; //WRnµÍµçƽµÄ³ÖÐøʱ¼ä£¬ È¡Öµ0--7 + uint8_t T_WRr_CSr; //WRnÉÏÉýÑص½CSnÉÏÉýÑصÄʱ¼ä£¬È¡Öµ0--3 + uint8_t T_CSr_CSf; //CSnÉÏÉýÑص½CSnϽµÑصÄʱ¼ä£¬È¡Öµ0--7 + + uint8_t IntEOTEn; //End of Transter£¨´«ÊäÍê³É£©ÖжÏʹÄÜ +} LCD_InitStructure; + + +#define LCD_INTERFACE_RGB 0 +#define LCD_INTERFACE_I80 1 +#define LCD_INTERFACE_M68 2 + +#define LCD_DIR_LANDSCAPE 0 //ºáÆÁ +#define LCD_DIR_PORTRAIT 1 //ÊúÆÁ + +#define LCD_SAMPLEDGE_RISE 0 //ÆÁÄ»ÔÚDOTCLKµÄÉÏÉýÑزÉÑùÊý¾Ý +#define LCD_SAMPLEDGE_FALL 1 //ÆÁÄ»ÔÚDOTCLKµÄϽµÑزÉÑùÊý¾Ý + +#define LCD_HSYNC_1DOTCLK 0 //1¸öDOTCLK +#define LCD_HSYNC_2DOTCLK 1 +#define LCD_HSYNC_3DOTCLK 2 +#define LCD_HSYNC_4DOTCLK 3 + +#define LCD_CLKDIV_2 0 +#define LCD_CLKDIV_4 1 +#define LCD_CLKDIV_6 2 +#define LCD_CLKDIV_8 3 +#define LCD_CLKDIV_10 4 +#define LCD_CLKDIV_12 5 +#define LCD_CLKDIV_14 6 +#define LCD_CLKDIV_16 7 +#define LCD_CLKDIV_18 8 +#define LCD_CLKDIV_20 9 +#define LCD_CLKDIV_22 10 +#define LCD_CLKDIV_24 11 +#define LCD_CLKDIV_26 12 +#define LCD_CLKDIV_28 13 +#define LCD_CLKDIV_30 14 +#define LCD_CLKDIV_32 15 +#define LCD_CLKDIV_34 16 +#define LCD_CLKDIV_36 17 +#define LCD_CLKDIV_38 18 +#define LCD_CLKDIV_40 19 +#define LCD_CLKDIV_42 20 +#define LCD_CLKDIV_44 21 +#define LCD_CLKDIV_46 22 +#define LCD_CLKDIV_48 23 +#define LCD_CLKDIV_50 24 +#define LCD_CLKDIV_52 25 +#define LCD_CLKDIV_54 26 +#define LCD_CLKDIV_56 27 +#define LCD_CLKDIV_58 28 +#define LCD_CLKDIV_60 29 +#define LCD_CLKDIV_62 30 +#define LCD_CLKDIV_64 31 + + +void LCD_Init(LCD_TypeDef *LCDx, LCD_InitStructure *initStruct); +void LCD_Start(LCD_TypeDef *LCDx); +uint32_t LCD_IsBusy(LCD_TypeDef *LCDx); + +void LCD_I80_WriteReg(LCD_TypeDef *LCDx, uint16_t reg, uint16_t val[], uint16_t cnt); +void LCD_I80_WriteOneReg(LCD_TypeDef *LCDx, uint16_t reg, uint16_t val); +void LCD_I80_WriteData(LCD_TypeDef *LCDx, uint16_t data[], uint16_t cnt); +void LCD_I80_WriteOneData(LCD_TypeDef *LCDx, uint16_t val); + +void LCD_INTEn(LCD_TypeDef *LCDx); +void LCD_INTDis(LCD_TypeDef *LCDx); +void LCD_INTClr(LCD_TypeDef *LCDx); +uint32_t LCD_INTStat(LCD_TypeDef *LCDx); + + +#endif //__SWM320_LCD_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_norflash.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_norflash.c new file mode 100644 index 0000000000..0d183cee36 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_norflash.c @@ -0,0 +1,174 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_norflash.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄNOR FlashÇý¶¯³ÌÐò +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_norflash.h" + + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: NORFL_Init() +* ¹¦ÄÜ˵Ã÷: NOR Flash¿ØÖÆÆ÷³õʼ»¯ +* Êä Èë: NORFL_InitStructure * initStruct °üº¬NOR Flash¿ØÖÆÆ÷Ïà¹ØÉ趨ֵµÄ½á¹¹Ìå +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void NORFL_Init(NORFL_InitStructure *initStruct) +{ + uint32_t i; + + // ÅäÖÃSRAMÇ°ÐèҪˢÐÂÏÂSDRAM¿ØÖÆÆ÷ + do + { + SYS->CLKEN |= (1 << SYS_CLKEN_SDRAM_Pos); + + while (SDRAMC->REFDONE == 0); + SDRAMC->REFRESH &= ~(1 << SDRAMC_REFRESH_EN_Pos); + + for (i = 0; i < 1000; i++) __NOP(); + SYS->CLKEN &= ~(1 << SYS_CLKEN_SDRAM_Pos); + } + while (0); + + SYS->CLKEN |= (1 << SYS_CLKEN_NORFL_Pos); + + NORFLC->CR = ((initStruct->DataWidth == 8 ? 1 : 0) << NORFLC_CR_BYTEIF_Pos) | + (initStruct->WELowPulseTime << NORFLC_CR_WRTIME_Pos) | + (initStruct->OEPreValidTime << NORFLC_CR_RDTIME_Pos); + + NORFLC->IE = 3; + NORFLC->IF = 3; // Çå³ýÖжϱêÖ¾ + if (initStruct->OperFinishIEn) NORFLC->IM &= ~(1 << NORFLC_IM_FINISH_Pos); + else NORFLC->IM |= (1 << NORFLC_IM_FINISH_Pos); + if (initStruct->OperTimeoutIEn) NORFLC->IM &= ~(1 << NORFLC_IM_TIMEOUT_Pos); + else NORFLC->IM |= (1 << NORFLC_IM_TIMEOUT_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: NORFL_ChipErase() +* ¹¦ÄÜ˵Ã÷: NOR FlashÕûƬ²Á³ý +* Êä Èë: ÎÞ +* Êä ³ö: uint32_t 0 ²Á³ý³É¹¦ 1 ²Á³ý³¬Ê± +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t NORFL_ChipErase(void) +{ + uint32_t res; + + NORFLC->CMD = (NORFL_CMD_CHIP_ERASE << NORFLC_CMD_CMD_Pos); + + while (((NORFLC->IF & NORFLC_IF_FINISH_Msk) == 0) && + ((NORFLC->IF & NORFLC_IF_TIMEOUT_Msk) == 0)) __NOP(); + + if (NORFLC->IF & NORFLC_IF_FINISH_Msk) res = 0; + else res = 1; + + NORFLC->IF = NORFLC_IF_FINISH_Msk | NORFLC_IF_TIMEOUT_Msk; + + return res; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: NORFL_SectorErase() +* ¹¦ÄÜ˵Ã÷: NOR FlashÉÈÇø²Á³ý +* Êä Èë: uint32_t addr Òª²Á³ýÉÈÇøµÄÆðʼµØÖ· +* Êä ³ö: uint32_t 0 ²Á³ý³É¹¦ 1 ²Á³ý³¬Ê± +* ×¢ÒâÊÂÏî: MX29LV128DB Ç°8ÉÈÇøΪ8K¡¢ºó255ÉÈÇøΪ64K MX29LV128DT Ç°255ÉÈÇøΪ64K¡¢ºó8ÉÈÇøΪ8K +******************************************************************************************************************************************/ +uint32_t NORFL_SectorErase(uint32_t addr) +{ + uint32_t res; + + NORFLC->ADDR = addr; + NORFLC->CMD = (NORFL_CMD_SECTOR_ERASE << NORFLC_CMD_CMD_Pos); + + while (((NORFLC->IF & NORFLC_IF_FINISH_Msk) == 0) && + ((NORFLC->IF & NORFLC_IF_TIMEOUT_Msk) == 0)) __NOP(); + + if (NORFLC->IF & NORFLC_IF_FINISH_Msk) res = 0; + else res = 1; + + NORFLC->IF = NORFLC_IF_FINISH_Msk | NORFLC_IF_TIMEOUT_Msk; + + return res; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: NORFL_Write() +* ¹¦ÄÜ˵Ã÷: NOR Flashд +* Êä Èë: uint32_t addr Êý¾ÝҪдÈëµÄµØÖ· +* uint32_t data ҪдÈëµÄÊý¾Ý +* Êä ³ö: uint32_t 0 дÈë³É¹¦ 1 дÈ볬ʱ +* ×¢ÒâÊÂÏî: Ó²¼þÁ¬½Ó£¬Êý¾ÝÏßΪ16λʱ£¬°ë×ÖдÈ룻Êý¾ÝÏßΪ8λʱ£¬×Ö½ÚдÈë +******************************************************************************************************************************************/ +uint32_t NORFL_Write(uint32_t addr, uint32_t data) +{ + uint32_t res; + + NORFLC->ADDR = addr; + NORFLC->CMD = (NORFL_CMD_PROGRAM << NORFLC_CMD_CMD_Pos) | (data << NORFLC_CMD_DATA_Pos); + + while (((NORFLC->IF & NORFLC_IF_FINISH_Msk) == 0) && + ((NORFLC->IF & NORFLC_IF_TIMEOUT_Msk) == 0)) __NOP(); + + if (NORFLC->IF & NORFLC_IF_FINISH_Msk) res = 0; + else res = 1; + + NORFLC->IF = NORFLC_IF_FINISH_Msk | NORFLC_IF_TIMEOUT_Msk; + + return res; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: NORFL_Read() +* ¹¦ÄÜ˵Ã÷: NOR Flash¶Á +* Êä Èë: uint32_t addr Êý¾ÝÒª¶Á³öµÄµØÖ· +* Êä ³ö: uint32_t ¶Á³öµÄÊý¾Ý +* ×¢ÒâÊÂÏî: Ó²¼þÁ¬½Ó£¬Êý¾ÝÏßΪ16λʱ£¬°ë×Ö¶Á³ö£»Êý¾ÝÏßΪ8λʱ£¬×Ö½Ú¶Á³ö +******************************************************************************************************************************************/ +uint32_t NORFL_Read(uint32_t addr) +{ + NORFLC->ADDR = addr; + NORFLC->CMD = (NORFL_CMD_READ << NORFLC_CMD_CMD_Pos); + + return (NORFLC->CMD & NORFLC_CMD_DATA_Msk); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: NORFL_ReadID() +* ¹¦ÄÜ˵Ã÷: NOR Flash¶ÁID +* Êä Èë: uint32_t id_addr IDµØÖ·£¬´Ë²ÎÊýÊÇоƬÏà¹ØµÄ£¬Ã¿ÖÖоƬ¶¼²»Í¬ +* Êä ³ö: uint16_t ¶ÁÈ¡µ½µÄID +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint16_t NORFL_ReadID(uint32_t id_addr) +{ + uint16_t id; + + NORFLC->CMD = (NORFL_CMD_AUTO_SELECT << NORFLC_CMD_CMD_Pos); + + NORFLC->ADDR = id_addr; + NORFLC->CMD = (NORFL_CMD_READ << NORFLC_CMD_CMD_Pos); + + id = NORFLC->CMD & NORFLC_CMD_DATA_Msk; + + NORFLC->CMD = (NORFL_CMD_RESET << NORFLC_CMD_CMD_Pos); // Í˳öID¶Áȡģʽ + + return id; +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_norflash.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_norflash.h new file mode 100644 index 0000000000..fc859268fb --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_norflash.h @@ -0,0 +1,39 @@ +#ifndef __SWM320_NORFLASH_H__ +#define __SWM320_NORFLASH_H__ + +typedef struct +{ + uint8_t DataWidth; // 8¡¢16 + + uint8_t WELowPulseTime; // WE# pulse width£¬µ¥Î»ÎªÏµÍ³Ê±ÖÓÖÜÆÚ£¬×î´óֵΪ7 + uint8_t OEPreValidTime; // Valid data output after OE# low£¬µ¥Î»ÎªÏµÍ³Ê±ÖÓÖÜÆÚ£¬×î´óֵΪ15 + + uint8_t OperFinishIEn; // ²Ù×÷(дÈë¡¢²Á³ý)Íê³ÉÖжÏʹÄÜ + uint8_t OperTimeoutIEn; +} NORFL_InitStructure; + + + +void NORFL_Init(NORFL_InitStructure *initStruct); +uint32_t NORFL_ChipErase(void); +uint32_t NORFL_SectorErase(uint32_t addr); +uint32_t NORFL_Write(uint32_t addr, uint32_t data); +uint32_t NORFL_Read(uint32_t addr); +uint16_t NORFL_ReadID(uint32_t id_addr); + + +/* µ±Ç°°æ±¾×ÜÏ߶ÁÖ»Ö§³Ö×Ö¶Á +#define NORFL_Read8(addr) *((volatile uint8_t *)(NORFLM_BASE + addr)) +#define NORFL_Read16(addr) *((volatile uint16_t *)(NORFLM_BASE + addr)) */ +#define NORFL_Read32(addr) *((volatile uint32_t *)(NORFLM_BASE + addr)) + + + +#define NORFL_CMD_READ 0 +#define NORFL_CMD_RESET 1 +#define NORFL_CMD_AUTO_SELECT 2 +#define NORFL_CMD_PROGRAM 3 +#define NORFL_CMD_CHIP_ERASE 4 +#define NORFL_CMD_SECTOR_ERASE 5 + +#endif // __SWM320_NORFLASH_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_port.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_port.c new file mode 100644 index 0000000000..bc7a555a30 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_port.c @@ -0,0 +1,221 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_port.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄ¶Ë¿ÚÒý½Å¹¦ÄÜÑ¡Ôñ¿âº¯Êý +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_port.h" + + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: PORT_Init() +* ¹¦ÄÜ˵Ã÷: ¶Ë¿ÚÒý½Å¹¦ÄÜÑ¡Ôñ£¬¿ÉÓõŦÄܼû"SWM320_port.h"Îļþ +* Êä Èë: uint32_t PORTx Ö¸¶¨PORT¶Ë¿Ú£¬ÓÐЧֵ°üÀ¨PORTA¡¢PORTB¡¢PORTC¡¢PORTM¡¢PORTN¡¢PORTP +* uint32_t n Ö¸¶¨PORTÒý½Å£¬ÓÐЧֵ°üÀ¨PIN0¡¢PIN1¡¢PIN2¡¢... ... PIN22¡¢PIN23 +* uint32_t func Ö¸¶¨¶Ë¿ÚÒý½ÅÒªÉ趨µÄ¹¦ÄÜ£¬Æä¿ÉÈ¡Öµ¼û"SWM320_port.h"Îļþ +* uint32_t digit_in_en Êý×ÖÊäÈëʹÄÜ +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: µ±Òý½Å±êºÅnΪżÊýʱ£¬funcÈ¡ÖµÖ»ÄÜÊÇFUNMUX0¿ªÍ·µÄ£¬ÈçFUNMUX0_UART0_RXD +* µ±Òý½Å±êºÅnΪÆæÊýʱ£¬funcÈ¡ÖµÖ»ÄÜÊÇFUNMUX1¿ªÍ·µÄ£¬ÈçFUNMUX1_UART0_TXD +******************************************************************************************************************************************/ +void PORT_Init(uint32_t PORTx, uint32_t n, uint32_t func, uint32_t digit_in_en) +{ + switch ((uint32_t)PORTx) + { + case ((uint32_t)PORTA): + if (func > 99) + { + if (n < PIN6) + { + PORT->PORTA_MUX0 &= ~(0x1F << (n * 5)); + PORT->PORTA_MUX0 |= (func - 100) << (n * 5); + } + else if (n < PIN12) + { + PORT->PORTA_MUX1 &= ~(0x1F << ((n - 6) * 5)); + PORT->PORTA_MUX1 |= (func - 100) << ((n - 6) * 5); + } + } + + PORT->PORTA_SEL &= ~(0x03 << (n * 2)); + PORT->PORTA_SEL |= (func > 99 ? 1 : func) << (n * 2); + + PORT->PORTA_INEN &= ~(0x01 << n); + PORT->PORTA_INEN |= (digit_in_en << n); + break; + + case ((uint32_t)PORTB): + if (func > 99) + { + if (n < PIN6) + { + PORT->PORTB_MUX0 &= ~(0x1F << (n * 5)); + PORT->PORTB_MUX0 |= (func - 100) << (n * 5); + } + else if (n < PIN12) + { + PORT->PORTB_MUX1 &= ~(0x1F << ((n - 6) * 5)); + PORT->PORTB_MUX1 |= (func - 100) << ((n - 6) * 5); + } + } + + PORT->PORTB_SEL &= ~(0x03 << (n * 2)); + PORT->PORTB_SEL |= (func > 99 ? 1 : func) << (n * 2); + + PORT->PORTB_INEN &= ~(0x01 << n); + PORT->PORTB_INEN |= (digit_in_en << n); + break; + + case ((uint32_t)PORTC): + if (func > 99) + { + if (n < PIN6) + { + PORT->PORTC_MUX0 &= ~(0x1F << (n * 5)); + PORT->PORTC_MUX0 |= (func - 100) << (n * 5); + } + else if (n < PIN12) + { + PORT->PORTC_MUX1 &= ~(0x1F << ((n - 6) * 5)); + PORT->PORTC_MUX1 |= (func - 100) << ((n - 6) * 5); + } + } + + PORT->PORTC_SEL &= ~(0x03 << (n * 2)); + PORT->PORTC_SEL |= (func > 99 ? 1 : func) << (n * 2); + + PORT->PORTC_INEN &= ~(0x01 << n); + PORT->PORTC_INEN |= (digit_in_en << n); + break; + + case ((uint32_t)PORTM): + if (func > 99) + { + if (n < PIN6) + { + PORT->PORTM_MUX0 &= ~(0x1F << (n * 5)); + PORT->PORTM_MUX0 |= (func - 100) << (n * 5); + } + else if (n < PIN12) + { + PORT->PORTM_MUX1 &= ~(0x1F << ((n - 6) * 5)); + PORT->PORTM_MUX1 |= (func - 100) << ((n - 6) * 5); + } + else if (n < PIN18) + { + PORT->PORTM_MUX2 &= ~(0x1F << ((n - 12) * 5)); + PORT->PORTM_MUX2 |= (func - 100) << ((n - 12) * 5); + } + else if (n < PIN24) + { + PORT->PORTM_MUX3 &= ~(0x1F << ((n - 18) * 5)); + PORT->PORTM_MUX3 |= (func - 100) << ((n - 18) * 5); + } + } + + if (n < 16) + { + PORT->PORTM_SEL0 &= ~(0x03 << (n * 2)); + PORT->PORTM_SEL0 |= (func > 99 ? 1 : func) << (n * 2); + } + else + { + PORT->PORTM_SEL1 &= ~(0x03 << ((n - 16) * 2)); + PORT->PORTM_SEL1 |= (func > 99 ? 1 : func) << ((n - 16) * 2); + } + + PORT->PORTM_INEN &= ~(0x01 << n); + PORT->PORTM_INEN |= (digit_in_en << n); + break; + + case ((uint32_t)PORTN): + if (func > 99) + { + if (n < PIN6) + { + PORT->PORTN_MUX0 &= ~(0x1F << (n * 5)); + PORT->PORTN_MUX0 |= (func - 100) << (n * 5); + } + else if (n < PIN12) + { + PORT->PORTN_MUX1 &= ~(0x1F << ((n - 6) * 5)); + PORT->PORTN_MUX1 |= (func - 100) << ((n - 6) * 5); + } + else if (n < PIN18) + { + PORT->PORTN_MUX2 &= ~(0x1F << ((n - 12) * 5)); + PORT->PORTN_MUX2 |= (func - 100) << ((n - 12) * 5); + } + } + + if (n < 16) + { + PORT->PORTN_SEL0 &= ~(0x03 << (n * 2)); + PORT->PORTN_SEL0 |= (func > 99 ? 1 : func) << (n * 2); + } + else + { + PORT->PORTN_SEL1 &= ~(0x03 << ((n - 16) * 2)); + PORT->PORTN_SEL1 |= (func > 99 ? 1 : func) << ((n - 16) * 2); + } + + PORT->PORTN_INEN &= ~(0x01 << n); + PORT->PORTN_INEN |= (digit_in_en << n); + break; + + case ((uint32_t)PORTP): + if (func > 99) + { + if (n < PIN6) + { + PORT->PORTP_MUX0 &= ~(0x1F << (n * 5)); + PORT->PORTP_MUX0 |= (func - 100) << (n * 5); + } + else if (n < PIN12) + { + PORT->PORTP_MUX1 &= ~(0x1F << ((n - 6) * 5)); + PORT->PORTP_MUX1 |= (func - 100) << ((n - 6) * 5); + } + else if (n < PIN18) + { + PORT->PORTP_MUX2 &= ~(0x1F << ((n - 12) * 5)); + PORT->PORTP_MUX2 |= (func - 100) << ((n - 12) * 5); + } + else if (n < PIN24) + { + PORT->PORTP_MUX3 &= ~(0x1F << ((n - 18) * 5)); + PORT->PORTP_MUX3 |= (func - 100) << ((n - 18) * 5); + } + } + + if (n < 16) + { + PORT->PORTP_SEL0 &= ~(0x03 << (n * 2)); + PORT->PORTP_SEL0 |= (func > 99 ? 1 : func) << (n * 2); + } + else + { + PORT->PORTP_SEL1 &= ~(0x03 << ((n - 16) * 2)); + PORT->PORTP_SEL1 |= (func > 99 ? 1 : func) << ((n - 16) * 2); + } + + PORT->PORTP_INEN &= ~(0x01 << n); + PORT->PORTP_INEN |= (digit_in_en << n); + break; + } +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_port.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_port.h new file mode 100644 index 0000000000..ff0fd9cdc9 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_port.h @@ -0,0 +1,482 @@ +#ifndef __SWM320_PORT_H__ +#define __SWM320_PORT_H__ + +void PORT_Init(uint32_t PORTx, uint32_t n, uint32_t func, uint32_t digit_in_en); //¶Ë¿ÚÒý½Å¹¦ÄÜÑ¡Ôñ£¬Æä¿ÉÈ¡ÖµÈçÏ£º + +#define PORTA 0 +#define PORTB 1 +#define PORTC 2 +#define PORTM 3 +#define PORTN 4 +#define PORTP 5 + +#define PORTA_PIN0_GPIO 0 +#define PORTA_PIN0_FUNMUX 1 +#define PORTA_PIN0_SWCLK 2 + +#define PORTA_PIN1_GPIO 0 +#define PORTA_PIN1_FUNMUX 1 +#define PORTA_PIN1_SWDIO 2 + +#define PORTA_PIN2_GPIO 0 +#define PORTA_PIN2_FUNMUX 1 + +#define PORTA_PIN3_GPIO 0 +#define PORTA_PIN3_FUNMUX 1 + +#define PORTA_PIN4_GPIO 0 +#define PORTA_PIN4_FUNMUX 1 + +#define PORTA_PIN5_GPIO 0 +#define PORTA_PIN5_FUNMUX 1 + +#define PORTA_PIN6_GPIO 0 +#define PORTA_PIN6_FUNMUX 1 + +#define PORTA_PIN7_GPIO 0 +#define PORTA_PIN7_FUNMUX 1 + +#define PORTA_PIN8_GPIO 0 +#define PORTA_PIN8_FUNMUX 1 + +#define PORTA_PIN9_GPIO 0 +#define PORTA_PIN9_FUNMUX 1 +#define PORTA_PIN9_ADC0_IN7 3 + +#define PORTA_PIN10_GPIO 0 +#define PORTA_PIN10_FUNMUX 1 +#define PORTA_PIN10_ADC0_IN6 3 + +#define PORTA_PIN11_GPIO 0 +#define PORTA_PIN11_FUNMUX 1 +#define PORTA_PIN11_ADC0_IN5 3 + +#define PORTA_PIN12_GPIO 0 +#define PORTA_PIN12_ADC0_IN4 3 + + +#define PORTB_PIN0_GPIO 0 +#define PORTB_PIN0_FUNMUX 1 +#define PORTB_PIN0_SD_DETECT 2 + +#define PORTB_PIN1_GPIO 0 +#define PORTB_PIN1_FUNMUX 1 +#define PORTB_PIN1_SD_CLK 2 + +#define PORTB_PIN2_GPIO 0 +#define PORTB_PIN2_FUNMUX 1 +#define PORTB_PIN2_SD_CMD 2 + +#define PORTB_PIN3_GPIO 0 +#define PORTB_PIN3_FUNMUX 1 +#define PORTB_PIN3_SD_D0 2 + +#define PORTB_PIN4_GPIO 0 +#define PORTB_PIN4_FUNMUX 1 +#define PORTB_PIN4_SD_D1 2 + +#define PORTB_PIN5_GPIO 0 +#define PORTB_PIN5_FUNMUX 1 +#define PORTB_PIN5_SD_D2 2 + +#define PORTB_PIN6_GPIO 0 +#define PORTB_PIN6_FUNMUX 1 +#define PORTB_PIN6_SD_D3 2 + +#define PORTB_PIN7_GPIO 0 +#define PORTB_PIN7_FUNMUX 1 +#define PORTB_PIN7_SD_D4 2 + +#define PORTB_PIN8_GPIO 0 +#define PORTB_PIN8_FUNMUX 1 +#define PORTB_PIN8_SD_D5 2 + +#define PORTB_PIN9_GPIO 0 +#define PORTB_PIN9_FUNMUX 1 +#define PORTB_PIN9_SD_D6 2 + +#define PORTB_PIN10_GPIO 0 +#define PORTB_PIN10_FUNMUX 1 +#define PORTB_PIN10_SD_D7 2 + +#define PORTB_PIN11_GPIO 0 +#define PORTB_PIN11_FUNMUX 1 + +#define PORTB_PIN12_GPIO 0 + + +#define PORTC_PIN0_GPIO 0 +#define PORTC_PIN0_FUNMUX 1 + +#define PORTC_PIN1_GPIO 0 +#define PORTC_PIN1_FUNMUX 1 + +#define PORTC_PIN2_GPIO 0 +#define PORTC_PIN2_FUNMUX 1 + +#define PORTC_PIN3_GPIO 0 +#define PORTC_PIN3_FUNMUX 1 + +#define PORTC_PIN4_GPIO 0 +#define PORTC_PIN4_FUNMUX 1 +#define PORTC_PIN4_ADC1_IN3 3 + +#define PORTC_PIN5_GPIO 0 +#define PORTC_PIN5_FUNMUX 1 +#define PORTC_PIN5_ADC1_IN2 3 + +#define PORTC_PIN6_GPIO 0 +#define PORTC_PIN6_FUNMUX 1 +#define PORTC_PIN6_ADC1_IN1 3 + +#define PORTC_PIN7_GPIO 0 +#define PORTC_PIN7_FUNMUX 1 +#define PORTC_PIN7_ADC1_IN0 3 + + +#define PORTM_PIN0_GPIO 0 +#define PORTM_PIN0_FUNMUX 1 +#define PORTM_PIN0_NORFL_D15 2 + +#define PORTM_PIN1_GPIO 0 +#define PORTM_PIN1_FUNMUX 1 +#define PORTM_PIN1_NORFL_D14 2 + +#define PORTM_PIN2_GPIO 0 +#define PORTM_PIN2_FUNMUX 1 +#define PORTM_PIN2_NORFL_D13 2 + +#define PORTM_PIN3_GPIO 0 +#define PORTM_PIN3_FUNMUX 1 +#define PORTM_PIN3_NORFL_D12 2 + +#define PORTM_PIN4_GPIO 0 +#define PORTM_PIN4_FUNMUX 1 +#define PORTM_PIN4_NORFL_D11 2 + +#define PORTM_PIN5_GPIO 0 +#define PORTM_PIN5_FUNMUX 1 +#define PORTM_PIN5_NORFL_D10 2 + +#define PORTM_PIN6_GPIO 0 +#define PORTM_PIN6_FUNMUX 1 +#define PORTM_PIN6_NORFL_D9 2 + +#define PORTM_PIN7_GPIO 0 +#define PORTM_PIN7_FUNMUX 1 +#define PORTM_PIN7_NORFL_D8 2 + +#define PORTM_PIN8_GPIO 0 +#define PORTM_PIN8_FUNMUX 1 +#define PORTM_PIN8_NORFL_D7 2 + +#define PORTM_PIN9_GPIO 0 +#define PORTM_PIN9_FUNMUX 1 +#define PORTM_PIN9_NORFL_D6 2 + +#define PORTM_PIN10_GPIO 0 +#define PORTM_PIN10_FUNMUX 1 +#define PORTM_PIN10_NORFL_D5 2 + +#define PORTM_PIN11_GPIO 0 +#define PORTM_PIN11_FUNMUX 1 +#define PORTM_PIN11_NORFL_D4 2 + +#define PORTM_PIN12_GPIO 0 +#define PORTM_PIN12_FUNMUX 1 +#define PORTM_PIN12_NORFL_D3 2 + +#define PORTM_PIN13_GPIO 0 +#define PORTM_PIN13_FUNMUX 1 +#define PORTM_PIN13_NORFL_D2 2 + +#define PORTM_PIN14_GPIO 0 +#define PORTM_PIN14_FUNMUX 1 +#define PORTM_PIN14_NORFL_D1 2 + +#define PORTM_PIN15_GPIO 0 +#define PORTM_PIN15_FUNMUX 1 +#define PORTM_PIN15_NORFL_D0 2 + +#define PORTM_PIN16_GPIO 0 +#define PORTM_PIN16_FUNMUX 1 +#define PORTM_PIN16_NORFL_OEN 2 + +#define PORTM_PIN17_GPIO 0 +#define PORTM_PIN17_FUNMUX 1 +#define PORTM_PIN17_NORFL_WEN 2 + +#define PORTM_PIN18_GPIO 0 +#define PORTM_PIN18_FUNMUX 1 +#define PORTM_PIN18_NORFL_CSN 2 + +#define PORTM_PIN19_GPIO 0 +#define PORTM_PIN19_FUNMUX 1 +#define PORTM_PIN19_SDRAM_CSN 2 + +#define PORTM_PIN20_GPIO 0 +#define PORTM_PIN20_FUNMUX 1 +#define PORTM_PIN20_SRAM_CSN 2 + +#define PORTM_PIN21_GPIO 0 +#define PORTM_PIN21_FUNMUX 1 +#define PORTM_PIN21_SDRAM_CKE 2 + + +#define PORTN_PIN0_GPIO 0 +#define PORTN_PIN0_FUNMUX 1 +#define PORTN_PIN0_LCD_D0 2 +#define PORTN_PIN0_ADC1_IN4 3 + +#define PORTN_PIN1_GPIO 0 +#define PORTN_PIN1_FUNMUX 1 +#define PORTN_PIN1_LCD_D1 2 +#define PORTN_PIN1_ADC1_IN5 3 + +#define PORTN_PIN2_GPIO 0 +#define PORTN_PIN2_FUNMUX 1 +#define PORTN_PIN2_LCD_D2 2 +#define PORTN_PIN2_ADC1_IN6 3 + +#define PORTN_PIN3_GPIO 0 +#define PORTN_PIN3_FUNMUX 1 +#define PORTN_PIN3_LCD_D3 2 + +#define PORTN_PIN4_GPIO 0 +#define PORTN_PIN4_FUNMUX 1 +#define PORTN_PIN4_LCD_D4 2 + +#define PORTN_PIN5_GPIO 0 +#define PORTN_PIN5_FUNMUX 1 +#define PORTN_PIN5_LCD_D5 2 + +#define PORTN_PIN6_GPIO 0 +#define PORTN_PIN6_FUNMUX 1 +#define PORTN_PIN6_LCD_D6 2 + +#define PORTN_PIN7_GPIO 0 +#define PORTN_PIN7_FUNMUX 1 +#define PORTN_PIN7_LCD_D7 2 + +#define PORTN_PIN8_GPIO 0 +#define PORTN_PIN8_FUNMUX 1 +#define PORTN_PIN8_LCD_D8 2 + +#define PORTN_PIN9_GPIO 0 +#define PORTN_PIN9_FUNMUX 1 +#define PORTN_PIN9_LCD_D9 2 + +#define PORTN_PIN10_GPIO 0 +#define PORTN_PIN10_FUNMUX 1 +#define PORTN_PIN10_LCD_D10 2 + +#define PORTN_PIN11_GPIO 0 +#define PORTN_PIN11_FUNMUX 1 +#define PORTN_PIN11_LCD_D11 2 + +#define PORTN_PIN12_GPIO 0 +#define PORTN_PIN12_FUNMUX 1 +#define PORTN_PIN12_LCD_D12 2 + +#define PORTN_PIN13_GPIO 0 +#define PORTN_PIN13_FUNMUX 1 +#define PORTN_PIN13_LCD_D13 2 + +#define PORTN_PIN14_GPIO 0 +#define PORTN_PIN14_FUNMUX 1 +#define PORTN_PIN14_LCD_D14 2 + +#define PORTN_PIN15_GPIO 0 +#define PORTN_PIN15_FUNMUX 1 +#define PORTN_PIN15_LCD_D15 2 + +#define PORTN_PIN16_GPIO 0 +#define PORTN_PIN16_FUNMUX 1 +#define PORTN_PIN16_LCD_RD 2 +#define PORTN_PIN16_LCD_DOTCK 2 + +#define PORTN_PIN17_GPIO 0 +#define PORTN_PIN17_FUNMUX 1 +#define PORTN_PIN17_LCD_CS 2 +#define PORTN_PIN17_LCD_VSYNC 2 + +#define PORTN_PIN18_GPIO 0 +#define PORTN_PIN18_LCD_RS 2 +#define PORTN_PIN18_LCD_DATEN 2 //Data Enable + +#define PORTN_PIN19_GPIO 0 +#define PORTN_PIN19_LCD_WR 2 +#define PORTN_PIN19_LCD_HSYNC 2 + + +#define PORTP_PIN0_GPIO 0 +#define PORTP_PIN0_FUNMUX 1 +#define PORTP_PIN0_NORFL_A0 2 + +#define PORTP_PIN1_GPIO 0 +#define PORTP_PIN1_FUNMUX 1 +#define PORTP_PIN1_NORFL_A1 2 + +#define PORTP_PIN2_GPIO 0 +#define PORTP_PIN2_FUNMUX 1 +#define PORTP_PIN2_NORFL_A2 2 +#define PORTP_PIN2_SD_D7 3 + +#define PORTP_PIN3_GPIO 0 +#define PORTP_PIN3_FUNMUX 1 +#define PORTP_PIN3_NORFL_A3 2 +#define PORTP_PIN3_SD_D6 3 + +#define PORTP_PIN4_GPIO 0 +#define PORTP_PIN4_FUNMUX 1 +#define PORTP_PIN4_NORFL_A4 2 +#define PORTP_PIN4_SD_D5 3 + +#define PORTP_PIN5_GPIO 0 +#define PORTP_PIN5_FUNMUX 1 +#define PORTP_PIN5_NORFL_A5 2 +#define PORTP_PIN5_SD_D4 3 + +#define PORTP_PIN6_GPIO 0 +#define PORTP_PIN6_FUNMUX 1 +#define PORTP_PIN6_NORFL_A6 2 +#define PORTP_PIN6_SD_D3 3 + +#define PORTP_PIN7_GPIO 0 +#define PORTP_PIN7_FUNMUX 1 +#define PORTP_PIN7_NORFL_A7 2 +#define PORTP_PIN7_SD_D2 3 + +#define PORTP_PIN8_GPIO 0 +#define PORTP_PIN8_FUNMUX 1 +#define PORTP_PIN8_NORFL_A8 2 +#define PORTP_PIN8_SD_D1 3 + +#define PORTP_PIN9_GPIO 0 +#define PORTP_PIN9_FUNMUX 1 +#define PORTP_PIN9_NORFL_A9 2 +#define PORTP_PIN9_SD_D0 3 + +#define PORTP_PIN10_GPIO 0 +#define PORTP_PIN10_FUNMUX 1 +#define PORTP_PIN10_NORFL_A10 2 +#define PORTP_PIN10_SD_CMD 3 + +#define PORTP_PIN11_GPIO 0 +#define PORTP_PIN11_FUNMUX 1 +#define PORTP_PIN11_NORFL_A11 2 +#define PORTP_PIN11_SD_CLK 3 + +#define PORTP_PIN12_GPIO 0 +#define PORTP_PIN12_FUNMUX 1 +#define PORTP_PIN12_NORFL_A12 2 +#define PORTP_PIN12_SD_DETECT 3 + +#define PORTP_PIN13_GPIO 0 +#define PORTP_PIN13_FUNMUX 1 +#define PORTP_PIN13_NORFL_A13 2 +#define PORTP_PIN13_SDRAM_CLK 2 + +#define PORTP_PIN14_GPIO 0 +#define PORTP_PIN14_FUNMUX 1 +#define PORTP_PIN14_NORFL_A14 2 +#define PORTP_PIN14_SDRAM_CAS 2 + +#define PORTP_PIN15_GPIO 0 +#define PORTP_PIN15_FUNMUX 1 +#define PORTP_PIN15_NORFL_A15 2 +#define PORTP_PIN15_SDRAM_RAS 2 + +#define PORTP_PIN16_GPIO 0 +#define PORTP_PIN16_FUNMUX 1 +#define PORTP_PIN16_NORFL_A16 2 +#define PORTP_PIN16_SDRAM_LDQ 2 + +#define PORTP_PIN17_GPIO 0 +#define PORTP_PIN17_FUNMUX 1 +#define PORTP_PIN17_NORFL_A17 2 +#define PORTP_PIN17_SDRAM_UDQ 2 + +#define PORTP_PIN18_GPIO 0 +#define PORTP_PIN18_FUNMUX 1 +#define PORTP_PIN18_NORFL_A18 2 + +#define PORTP_PIN19_GPIO 0 +#define PORTP_PIN19_FUNMUX 1 +#define PORTP_PIN19_NORFL_A19 2 + +#define PORTP_PIN20_GPIO 0 +#define PORTP_PIN20_FUNMUX 1 +#define PORTP_PIN20_NORFL_A20 2 +#define PORTP_PIN20_SDRAM_BA0 2 + +#define PORTP_PIN21_GPIO 0 +#define PORTP_PIN21_FUNMUX 1 +#define PORTP_PIN21_NORFL_A21 2 +#define PORTP_PIN21_SDRAM_BA1 2 + +#define PORTP_PIN22_GPIO 0 +#define PORTP_PIN22_FUNMUX 1 +#define PORTP_PIN22_NORFL_A22 2 + +#define PORTP_PIN23_GPIO 0 +#define PORTP_PIN23_FUNMUX 1 +#define PORTP_PIN23_NORFL_A23 2 + + + +/* ÏÂÃæºê¶¨ÒåµÄȡֵȫ²¿ÔÚÕýÈ·ÖµµÄ»ù´¡ÉÏ¡°¼Ó100¡±£¬ÒÔÇø·ÖÉÏÃæºê¶¨ÒåµÄÖµ£¬´Ó¶ø·½±ã¿âº¯ÊýµÄ±àд*/ +/* ÏÂÃæÕâЩֵÊÇżÊý±àºÅÒý½ÅµÄ¹¦ÄÜÈ¡Öµ£¬ÈçPIN0¡¢PIN2¡¢... */ +#define FUNMUX0_UART0_RXD 100 +#define FUNMUX0_UART1_RXD 101 +#define FUNMUX0_UART2_RXD 102 +#define FUNMUX0_UART3_RXD 103 +#define FUNMUX0_I2C0_SCL 105 +#define FUNMUX0_I2C1_SCL 106 +#define FUNMUX0_PWM0A_OUT 107 +#define FUNMUX0_PWM2A_OUT 108 +#define FUNMUX0_PWM4A_OUT 109 +#define FUNMUX0_PWM0B_OUT 110 +#define FUNMUX0_PWM2B_OUT 111 +#define FUNMUX0_PWM4B_OUT 112 +#define FUNMUX0_PWM_BREAK 113 +#define FUNMUX0_TIMR0_IN 114 +#define FUNMUX0_TIMR2_IN 115 +#define FUNMUX0_CAN_RX 116 +#define FUNMUX0_SPI0_SSEL 117 +#define FUNMUX0_SPI0_MOSI 118 +#define FUNMUX0_SPI1_SSEL 119 +#define FUNMUX0_SPI1_MOSI 120 +#define FUNMUX0_UART0_CTS 121 +#define FUNMUX0_UART1_CTS 122 +#define FUNMUX0_UART2_CTS 123 +#define FUNMUX0_UART3_CTS 124 + +/* ÏÂÃæÕâЩֵÊÇÆæÊý±àºÅÒý½ÅµÄ¹¦ÄÜÈ¡Öµ£¬ÈçPIN1¡¢PIN3¡¢... */ +#define FUNMUX1_UART0_TXD 100 +#define FUNMUX1_UART1_TXD 101 +#define FUNMUX1_UART2_TXD 102 +#define FUNMUX1_UART3_TXD 103 +#define FUNMUX1_I2C0_SDA 105 +#define FUNMUX1_I2C1_SDA 106 +#define FUNMUX1_PWM1A_OUT 107 +#define FUNMUX1_PWM3A_OUT 108 +#define FUNMUX1_PWM5A_OUT 109 +#define FUNMUX1_PWM1B_OUT 110 +#define FUNMUX1_PWM3B_OUT 111 +#define FUNMUX1_PWM5B_OUT 112 +#define FUNMUX1_PULSE_IN 113 +#define FUNMUX1_TIMR1_IN 114 +#define FUNMUX1_TIMR3_IN 115 +#define FUNMUX1_CAN_TX 116 +#define FUNMUX1_SPI0_SCLK 117 +#define FUNMUX1_SPI0_MISO 118 +#define FUNMUX1_SPI1_SCLK 119 +#define FUNMUX1_SPI1_MISO 120 +#define FUNMUX1_UART0_RTS 121 +#define FUNMUX1_UART1_RTS 122 +#define FUNMUX1_UART2_RTS 123 +#define FUNMUX1_UART3_RTS 124 + + +#endif //__SWM320_PORT_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_pwm.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_pwm.c new file mode 100644 index 0000000000..454d19e197 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_pwm.c @@ -0,0 +1,744 @@ +/****************************************************************************************************************************************** +* 文件å称: SWM320_pwm.c +* 功能说明: SWM320å•ç‰‡æœºçš„PWM功能驱动库 +* 技术支æŒ: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* 注æ„事项: +* 版本日期: V1.1.0 2017å¹´10月25æ—¥ +* å‡çº§è®°å½•: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_pwm.h" + +/****************************************************************************************************************************************** +* 函数å称: PWM_Init() +* 功能说明: PWMåˆå§‹åŒ– +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* PWM_InitStructure * initStruct 包å«PWM相关设定值的结构体 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void PWM_Init(PWM_TypeDef *PWMx, PWM_InitStructure *initStruct) +{ + uint32_t bit_offset = 0; + + SYS->CLKEN |= (0x01 << SYS_CLKEN_PWM_Pos); + + SYS->CLKDIV &= ~SYS_CLKDIV_PWM_Msk; + SYS->CLKDIV |= (initStruct->clk_div << SYS_CLKDIV_PWM_Pos); + + PWM_Stop(PWMx, 1, 1); //一些关键寄存器åªèƒ½åœ¨PWMåœæ­¢æ—¶è®¾ç½® + + PWMx->MODE = initStruct->mode; + + PWMx->PERA = initStruct->cycleA; + PWMx->HIGHA = initStruct->hdutyA; + PWMx->DZA = initStruct->deadzoneA; + + PWMx->PERB = initStruct->cycleB; + PWMx->HIGHB = initStruct->hdutyB; + PWMx->DZB = initStruct->deadzoneB; + + PWMx->INIOUT &= ~(PWM_INIOUT_PWMA_Msk | PWM_INIOUT_PWMB_Msk); + PWMx->INIOUT |= (initStruct->initLevelA << PWM_INIOUT_PWMA_Pos) | + (initStruct->initLevelB << PWM_INIOUT_PWMB_Pos); + + PWMG->IM = 0x00000000; + + switch ((uint32_t)PWMx) + { + case ((uint32_t)PWM0): + bit_offset = 0; + break; + + case ((uint32_t)PWM1): + bit_offset = 2; + break; + + case ((uint32_t)PWM2): + bit_offset = 4; + break; + + case ((uint32_t)PWM3): + bit_offset = 6; + break; + + case ((uint32_t)PWM4): + bit_offset = 8; + break; + + case ((uint32_t)PWM5): + bit_offset = 10; + break; + } + + PWMG->IRS = ((0x01 << bit_offset) | (0x01 << (bit_offset + 1)) | (0x01 << (bit_offset + 12)) | (0x01 << (bit_offset + 13))); //清除中断标志 + PWMG->IE &= ~((0x01 << bit_offset) | (0x01 << (bit_offset + 1)) | (0x01 << (bit_offset + 12)) | (0x01 << (bit_offset + 13))); + PWMG->IE |= (initStruct->NCycleAIEn << bit_offset) | (initStruct->NCycleBIEn << (bit_offset + 1)) | + (initStruct->HEndAIEn << (bit_offset + 12)) | (initStruct->HEndBIEn << (bit_offset + 13)); + + if (initStruct->NCycleAIEn | initStruct->NCycleBIEn | initStruct->HEndAIEn | initStruct->HEndBIEn) + { + NVIC_EnableIRQ(PWM_IRQn); + } + else if ((PWMG->IE & (~((0x01 << bit_offset) | (0x01 << (bit_offset + 1)) | (0x01 << (bit_offset + 12)) | (0x01 << (bit_offset + 13))))) == 0) + { + NVIC_DisableIRQ(PWM_IRQn); + } +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_Start() +* 功能说明: å¯åŠ¨PWM,开始PWM输出 +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chA 0 通é“Aä¸å¯åŠ¨ 1 通é“Aå¯åŠ¨ +* uint32_t chB 0 通é“Bä¸å¯åŠ¨ 1 通é“Bå¯åŠ¨ +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void PWM_Start(PWM_TypeDef *PWMx, uint32_t chA, uint32_t chB) +{ + switch ((uint32_t)PWMx) + { + case ((uint32_t)PWM0): + PWMG->CHEN |= (chA << PWMG_CHEN_PWM0A_Pos) | (chB << PWMG_CHEN_PWM0B_Pos); + break; + + case ((uint32_t)PWM1): + PWMG->CHEN |= (chA << PWMG_CHEN_PWM1A_Pos) | (chB << PWMG_CHEN_PWM1B_Pos); + break; + + case ((uint32_t)PWM2): + PWMG->CHEN |= (chA << PWMG_CHEN_PWM2A_Pos) | (chB << PWMG_CHEN_PWM2B_Pos); + break; + + case ((uint32_t)PWM3): + PWMG->CHEN |= (chA << PWMG_CHEN_PWM3A_Pos) | (chB << PWMG_CHEN_PWM3B_Pos); + break; + + case ((uint32_t)PWM4): + PWMG->CHEN |= (chA << PWMG_CHEN_PWM4A_Pos) | (chB << PWMG_CHEN_PWM4B_Pos); + break; + + case ((uint32_t)PWM5): + PWMG->CHEN |= (chA << PWMG_CHEN_PWM5A_Pos) | (chB << PWMG_CHEN_PWM5B_Pos); + break; + } +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_Stop() +* 功能说明: 关闭PWM,åœæ­¢PWM输出 +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chA 0 通é“Aä¸å…³é—­ 1 通é“A关闭 +* uint32_t chB 0 通é“Bä¸å…³é—­ 1 通é“B关闭 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void PWM_Stop(PWM_TypeDef *PWMx, uint32_t chA, uint32_t chB) +{ + switch ((uint32_t)PWMx) + { + case ((uint32_t)PWM0): + PWMG->CHEN &= ~((chA << PWMG_CHEN_PWM0A_Pos) | (chB << PWMG_CHEN_PWM0B_Pos)); + break; + + case ((uint32_t)PWM1): + PWMG->CHEN &= ~((chA << PWMG_CHEN_PWM1A_Pos) | (chB << PWMG_CHEN_PWM1B_Pos)); + break; + + case ((uint32_t)PWM2): + PWMG->CHEN &= ~((chA << PWMG_CHEN_PWM2A_Pos) | (chB << PWMG_CHEN_PWM2B_Pos)); + break; + + case ((uint32_t)PWM3): + PWMG->CHEN &= ~((chA << PWMG_CHEN_PWM3A_Pos) | (chB << PWMG_CHEN_PWM3B_Pos)); + break; + + case ((uint32_t)PWM4): + PWMG->CHEN &= ~((chA << PWMG_CHEN_PWM4A_Pos) | (chB << PWMG_CHEN_PWM4B_Pos)); + break; + + case ((uint32_t)PWM5): + PWMG->CHEN &= ~((chA << PWMG_CHEN_PWM5A_Pos) | (chB << PWMG_CHEN_PWM5B_Pos)); + break; + } +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_SetCycle() +* 功能说明: 设置周期 +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chn 选择è¦è®¾ç½®å“ªä¸ªé€šé“,有效值:PWM_CH_Aã€PWM_CH_B +* uint16_t cycle è¦è®¾å®šçš„周期值 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void PWM_SetCycle(PWM_TypeDef *PWMx, uint32_t chn, uint16_t cycle) +{ + if (chn == PWM_CH_A) + PWMx->PERA = cycle; + else if (chn == PWM_CH_B) + PWMx->PERB = cycle; +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_GetCycle() +* 功能说明: 获å–周期 +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chn 选择è¦æŸ¥è¯¢å“ªä¸ªé€šé“,有效值:PWM_CH_Aã€PWM_CH_B +* 输 出: uint16_t 获å–到的周期值 +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint16_t PWM_GetCycle(PWM_TypeDef *PWMx, uint32_t chn) +{ + uint16_t cycle = 0; + + if (chn == PWM_CH_A) + cycle = PWMx->PERA; + else if (chn == PWM_CH_B) + cycle = PWMx->PERB; + + return cycle; +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_SetHDuty() +* 功能说明: 设置高电平时长 +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chn 选择è¦è®¾ç½®å“ªä¸ªé€šé“,有效值:PWM_CH_Aã€PWM_CH_B +* uint16_t hduty è¦è®¾å®šçš„高电平时长 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void PWM_SetHDuty(PWM_TypeDef *PWMx, uint32_t chn, uint16_t hduty) +{ + if (chn == PWM_CH_A) + PWMx->HIGHA = hduty; + else if (chn == PWM_CH_B) + PWMx->HIGHB = hduty; +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_GetHDuty() +* 功能说明: 获å–高电平时长 +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chn 选择è¦æŸ¥è¯¢å“ªä¸ªé€šé“,有效值:PWM_CH_Aã€PWM_CH_B +* 输 出: uint16_t 获å–到的高电平时长 +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint16_t PWM_GetHDuty(PWM_TypeDef *PWMx, uint32_t chn) +{ + uint16_t hduty = 0; + + if (chn == PWM_CH_A) + hduty = PWMx->HIGHA; + else if (chn == PWM_CH_B) + hduty = PWMx->HIGHB; + + return hduty; +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_SetDeadzone() +* 功能说明: 设置死区时长 +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chn 选择è¦è®¾ç½®å“ªä¸ªé€šé“,有效值:PWM_CH_Aã€PWM_CH_B +* uint8_t deadzone è¦è®¾å®šçš„死区时长 +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void PWM_SetDeadzone(PWM_TypeDef *PWMx, uint32_t chn, uint8_t deadzone) +{ + if (chn == PWM_CH_A) + PWMx->DZA = deadzone; + else if (chn == PWM_CH_B) + PWMx->DZB = deadzone; +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_GetDeadzone() +* 功能说明: 获å–死区时长 +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chn 选择è¦æŸ¥è¯¢å“ªä¸ªé€šé“,有效值:PWM_CH_Aã€PWM_CH_B +* 输 出: uint8_t 获å–到的死区时长 +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint8_t PWM_GetDeadzone(PWM_TypeDef *PWMx, uint32_t chn) +{ + uint8_t deadzone = 0; + + if (chn == PWM_CH_A) + deadzone = PWMx->DZA; + else if (chn == PWM_CH_B) + deadzone = PWMx->DZB; + + return deadzone; +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_IntNCycleEn() +* 功能说明: 新周期开始中断使能 +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chn 选择è¦è®¾ç½®å“ªä¸ªé€šé“,有效值:PWM_CH_Aã€PWM_CH_B +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void PWM_IntNCycleEn(PWM_TypeDef *PWMx, uint32_t chn) +{ + switch ((uint32_t)PWMx) + { + case ((uint32_t)PWM0): + if (chn == PWM_CH_A) + PWMG->IE |= (0x01 << PWMG_IE_NEWP0A_Pos); + else + PWMG->IE |= (0x01 << PWMG_IE_NEWP0B_Pos); + break; + + case ((uint32_t)PWM1): + if (chn == PWM_CH_A) + PWMG->IE |= (0x01 << PWMG_IE_NEWP1A_Pos); + else + PWMG->IE |= (0x01 << PWMG_IE_NEWP1B_Pos); + break; + + case ((uint32_t)PWM2): + if (chn == PWM_CH_A) + PWMG->IE |= (0x01 << PWMG_IE_NEWP2A_Pos); + else + PWMG->IE |= (0x01 << PWMG_IE_NEWP2B_Pos); + break; + + case ((uint32_t)PWM3): + if (chn == PWM_CH_A) + PWMG->IE |= (0x01 << PWMG_IE_NEWP3A_Pos); + else + PWMG->IE |= (0x01 << PWMG_IE_NEWP3B_Pos); + break; + + case ((uint32_t)PWM4): + if (chn == PWM_CH_A) + PWMG->IE |= (0x01 << PWMG_IE_NEWP4A_Pos); + else + PWMG->IE |= (0x01 << PWMG_IE_NEWP4B_Pos); + break; + + case ((uint32_t)PWM5): + if (chn == PWM_CH_A) + PWMG->IE |= (0x01 << PWMG_IE_NEWP5A_Pos); + else + PWMG->IE |= (0x01 << PWMG_IE_NEWP5B_Pos); + break; + } +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_IntNCycleDis() +* 功能说明: 新周期开始中断ç¦èƒ½ +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chn 选择è¦è®¾ç½®å“ªä¸ªé€šé“,有效值:PWM_CH_Aã€PWM_CH_B +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void PWM_IntNCycleDis(PWM_TypeDef *PWMx, uint32_t chn) +{ + switch ((uint32_t)PWMx) + { + case ((uint32_t)PWM0): + if (chn == PWM_CH_A) + PWMG->IE &= ~(0x01 << PWMG_IE_NEWP0A_Pos); + else + PWMG->IE &= ~(0x01 << PWMG_IE_NEWP0B_Pos); + break; + + case ((uint32_t)PWM1): + if (chn == PWM_CH_A) + PWMG->IE &= ~(0x01 << PWMG_IE_NEWP1A_Pos); + else + PWMG->IE &= ~(0x01 << PWMG_IE_NEWP1B_Pos); + break; + + case ((uint32_t)PWM2): + if (chn == PWM_CH_A) + PWMG->IE &= ~(0x01 << PWMG_IE_NEWP2A_Pos); + else + PWMG->IE &= ~(0x01 << PWMG_IE_NEWP2B_Pos); + break; + + case ((uint32_t)PWM3): + if (chn == PWM_CH_A) + PWMG->IE &= ~(0x01 << PWMG_IE_NEWP3A_Pos); + else + PWMG->IE &= ~(0x01 << PWMG_IE_NEWP3B_Pos); + break; + + case ((uint32_t)PWM4): + if (chn == PWM_CH_A) + PWMG->IE &= ~(0x01 << PWMG_IE_NEWP4A_Pos); + else + PWMG->IE &= ~(0x01 << PWMG_IE_NEWP4B_Pos); + break; + + case ((uint32_t)PWM5): + if (chn == PWM_CH_A) + PWMG->IE &= ~(0x01 << PWMG_IE_NEWP5A_Pos); + else + PWMG->IE &= ~(0x01 << PWMG_IE_NEWP5B_Pos); + break; + } +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_IntNCycleClr() +* 功能说明: 新周期开始中断标志清除 +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chn 选择è¦è®¾ç½®å“ªä¸ªé€šé“,有效值:PWM_CH_Aã€PWM_CH_B +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void PWM_IntNCycleClr(PWM_TypeDef *PWMx, uint32_t chn) +{ + switch ((uint32_t)PWMx) + { + case ((uint32_t)PWM0): + if (chn == PWM_CH_A) + PWMG->IRS = (0x01 << PWMG_IRS_NEWP0A_Pos); + else + PWMG->IRS = (0x01 << PWMG_IRS_NEWP0B_Pos); + break; + + case ((uint32_t)PWM1): + if (chn == PWM_CH_A) + PWMG->IRS = (0x01 << PWMG_IRS_NEWP1A_Pos); + else + PWMG->IRS = (0x01 << PWMG_IRS_NEWP1B_Pos); + break; + + case ((uint32_t)PWM2): + if (chn == PWM_CH_A) + PWMG->IRS = (0x01 << PWMG_IRS_NEWP2A_Pos); + else + PWMG->IRS = (0x01 << PWMG_IRS_NEWP2B_Pos); + break; + + case ((uint32_t)PWM3): + if (chn == PWM_CH_A) + PWMG->IRS = (0x01 << PWMG_IRS_NEWP3A_Pos); + else + PWMG->IRS = (0x01 << PWMG_IRS_NEWP3B_Pos); + break; + + case ((uint32_t)PWM4): + if (chn == PWM_CH_A) + PWMG->IRS = (0x01 << PWMG_IRS_NEWP4A_Pos); + else + PWMG->IRS = (0x01 << PWMG_IRS_NEWP4B_Pos); + break; + + case ((uint32_t)PWM5): + if (chn == PWM_CH_A) + PWMG->IRS = (0x01 << PWMG_IRS_NEWP5A_Pos); + else + PWMG->IRS = (0x01 << PWMG_IRS_NEWP5B_Pos); + break; + } +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_IntNCycleStat() +* 功能说明: 新周期开始中断是å¦å‘生 +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chn 选择è¦è®¾ç½®å“ªä¸ªé€šé“,有效值:PWM_CH_Aã€PWM_CH_B +* 输 出: uint32_t 1 新周期开始中断已å‘生 0 新周期开始中断未å‘生 +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t PWM_IntNCycleStat(PWM_TypeDef *PWMx, uint32_t chn) +{ + uint32_t int_stat = 0; + + switch ((uint32_t)PWMx) + { + case ((uint32_t)PWM0): + if (chn == PWM_CH_A) + int_stat = (PWMG->IF & PWMG_IF_NEWP0A_Msk); + else + int_stat = (PWMG->IF & PWMG_IF_NEWP0B_Msk); + break; + + case ((uint32_t)PWM1): + if (chn == PWM_CH_A) + int_stat = (PWMG->IF & PWMG_IF_NEWP1A_Msk); + else + int_stat = (PWMG->IF & PWMG_IF_NEWP1B_Msk); + break; + + case ((uint32_t)PWM2): + if (chn == PWM_CH_A) + int_stat = (PWMG->IF & PWMG_IF_NEWP2A_Msk); + else + int_stat = (PWMG->IF & PWMG_IF_NEWP2B_Msk); + break; + + case ((uint32_t)PWM3): + if (chn == PWM_CH_A) + int_stat = (PWMG->IF & PWMG_IF_NEWP3A_Msk); + else + int_stat = (PWMG->IF & PWMG_IF_NEWP3B_Msk); + break; + + case ((uint32_t)PWM4): + if (chn == PWM_CH_A) + int_stat = (PWMG->IF & PWMG_IF_NEWP4A_Msk); + else + int_stat = (PWMG->IF & PWMG_IF_NEWP4B_Msk); + break; + + case ((uint32_t)PWM5): + if (chn == PWM_CH_A) + int_stat = (PWMG->IF & PWMG_IF_NEWP5A_Msk); + else + int_stat = (PWMG->IF & PWMG_IF_NEWP5B_Msk); + break; + } + + return int_stat; +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_IntHEndEn() +* 功能说明: 高电平结æŸä¸­æ–­ä½¿èƒ½ +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chn 选择è¦è®¾ç½®å“ªä¸ªé€šé“,有效值:PWM_CH_Aã€PWM_CH_B +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void PWM_IntHEndEn(PWM_TypeDef *PWMx, uint32_t chn) +{ + switch ((uint32_t)PWMx) + { + case ((uint32_t)PWM0): + if (chn == PWM_CH_A) + PWMG->IE |= (0x01 << PWMG_IE_HEND0A_Pos); + else + PWMG->IE |= (0x01 << PWMG_IE_HEND0B_Pos); + break; + + case ((uint32_t)PWM1): + if (chn == PWM_CH_A) + PWMG->IE |= (0x01 << PWMG_IE_HEND1A_Pos); + else + PWMG->IE |= (0x01 << PWMG_IE_HEND1B_Pos); + break; + + case ((uint32_t)PWM2): + if (chn == PWM_CH_A) + PWMG->IE |= (0x01 << PWMG_IE_HEND2A_Pos); + else + PWMG->IE |= (0x01 << PWMG_IE_HEND2B_Pos); + break; + + case ((uint32_t)PWM3): + if (chn == PWM_CH_A) + PWMG->IE |= (0x01 << PWMG_IE_HEND3A_Pos); + else + PWMG->IE |= (0x01 << PWMG_IE_HEND3B_Pos); + break; + + case ((uint32_t)PWM4): + if (chn == PWM_CH_A) + PWMG->IE |= (0x01 << PWMG_IE_HEND4A_Pos); + else + PWMG->IE |= (0x01 << PWMG_IE_HEND4B_Pos); + break; + + case ((uint32_t)PWM5): + if (chn == PWM_CH_A) + PWMG->IE |= (0x01 << PWMG_IE_HEND5A_Pos); + else + PWMG->IE |= (0x01 << PWMG_IE_HEND5B_Pos); + break; + } +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_IntHEndDis() +* 功能说明: 高电平结æŸä¸­æ–­ç¦èƒ½ +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chn 选择è¦è®¾ç½®å“ªä¸ªé€šé“,有效值:PWM_CH_Aã€PWM_CH_B +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void PWM_IntHEndDis(PWM_TypeDef *PWMx, uint32_t chn) +{ + switch ((uint32_t)PWMx) + { + case ((uint32_t)PWM0): + if (chn == PWM_CH_A) + PWMG->IE &= ~(0x01 << PWMG_IE_HEND0A_Pos); + else + PWMG->IE &= ~(0x01 << PWMG_IE_HEND0B_Pos); + break; + + case ((uint32_t)PWM1): + if (chn == PWM_CH_A) + PWMG->IE &= ~(0x01 << PWMG_IE_HEND1A_Pos); + else + PWMG->IE &= ~(0x01 << PWMG_IE_HEND1B_Pos); + break; + + case ((uint32_t)PWM2): + if (chn == PWM_CH_A) + PWMG->IE &= ~(0x01 << PWMG_IE_HEND2A_Pos); + else + PWMG->IE &= ~(0x01 << PWMG_IE_HEND2B_Pos); + break; + + case ((uint32_t)PWM3): + if (chn == PWM_CH_A) + PWMG->IE &= ~(0x01 << PWMG_IE_HEND3A_Pos); + else + PWMG->IE &= ~(0x01 << PWMG_IE_HEND3B_Pos); + break; + + case ((uint32_t)PWM4): + if (chn == PWM_CH_A) + PWMG->IE &= ~(0x01 << PWMG_IE_HEND4A_Pos); + else + PWMG->IE &= ~(0x01 << PWMG_IE_HEND4B_Pos); + break; + + case ((uint32_t)PWM5): + if (chn == PWM_CH_A) + PWMG->IE &= ~(0x01 << PWMG_IE_HEND5A_Pos); + else + PWMG->IE &= ~(0x01 << PWMG_IE_HEND5B_Pos); + break; + } +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_IntHEndClr() +* 功能说明: 高电平结æŸä¸­æ–­æ ‡å¿—清除 +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chn 选择è¦è®¾ç½®å“ªä¸ªé€šé“,有效值:PWM_CH_Aã€PWM_CH_B +* 输 出: æ—  +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +void PWM_IntHEndClr(PWM_TypeDef *PWMx, uint32_t chn) +{ + switch ((uint32_t)PWMx) + { + case ((uint32_t)PWM0): + if (chn == PWM_CH_A) + PWMG->IRS = (0x01 << PWMG_IRS_HEND0A_Pos); + else + PWMG->IRS = (0x01 << PWMG_IRS_HEND0B_Pos); + break; + + case ((uint32_t)PWM1): + if (chn == PWM_CH_A) + PWMG->IRS = (0x01 << PWMG_IRS_HEND1A_Pos); + else + PWMG->IRS = (0x01 << PWMG_IRS_HEND1B_Pos); + break; + + case ((uint32_t)PWM2): + if (chn == PWM_CH_A) + PWMG->IRS = (0x01 << PWMG_IRS_HEND2A_Pos); + else + PWMG->IRS = (0x01 << PWMG_IRS_HEND2B_Pos); + break; + + case ((uint32_t)PWM3): + if (chn == PWM_CH_A) + PWMG->IRS = (0x01 << PWMG_IRS_HEND3A_Pos); + else + PWMG->IRS = (0x01 << PWMG_IRS_HEND3B_Pos); + break; + + case ((uint32_t)PWM4): + if (chn == PWM_CH_A) + PWMG->IRS = (0x01 << PWMG_IRS_HEND4A_Pos); + else + PWMG->IRS = (0x01 << PWMG_IRS_HEND4B_Pos); + break; + + case ((uint32_t)PWM5): + if (chn == PWM_CH_A) + PWMG->IRS = (0x01 << PWMG_IRS_HEND5A_Pos); + else + PWMG->IRS = (0x01 << PWMG_IRS_HEND5B_Pos); + break; + } +} + +/****************************************************************************************************************************************** +* 函数å称: PWM_IntHEndStat() +* 功能说明: 高电平结æŸä¸­æ–­æ˜¯å¦å‘生 +* 输 å…¥: PWM_TypeDef * PWMx 指定è¦è¢«è®¾ç½®çš„PWM,有效值包括PWM0ã€PWM1ã€PWM2ã€PWM3ã€PWM4ã€PWM5 +* uint32_t chn 选择è¦è®¾ç½®å“ªä¸ªé€šé“,有效值:PWM_CH_Aã€PWM_CH_B +* 输 出: uint32_t 1 高电平结æŸä¸­æ–­å·²å‘生 0 高电平结æŸä¸­æ–­æœªå‘生 +* 注æ„事项: æ—  +******************************************************************************************************************************************/ +uint32_t PWM_IntHEndStat(PWM_TypeDef *PWMx, uint32_t chn) +{ + uint32_t int_stat = 0; + + switch ((uint32_t)PWMx) + { + case ((uint32_t)PWM0): + if (chn == PWM_CH_A) + int_stat = (PWMG->IF & PWMG_IF_HEND0A_Msk); + else + int_stat = (PWMG->IF & PWMG_IF_HEND0B_Msk); + break; + + case ((uint32_t)PWM1): + if (chn == PWM_CH_A) + int_stat = (PWMG->IF & PWMG_IF_HEND1A_Msk); + else + int_stat = (PWMG->IF & PWMG_IF_HEND1B_Msk); + break; + + case ((uint32_t)PWM2): + if (chn == PWM_CH_A) + int_stat = (PWMG->IF & PWMG_IF_HEND2A_Msk); + else + int_stat = (PWMG->IF & PWMG_IF_HEND2B_Msk); + break; + + case ((uint32_t)PWM3): + if (chn == PWM_CH_A) + int_stat = (PWMG->IF & PWMG_IF_HEND3A_Msk); + else + int_stat = (PWMG->IF & PWMG_IF_HEND3B_Msk); + break; + + case ((uint32_t)PWM4): + if (chn == PWM_CH_A) + int_stat = (PWMG->IF & PWMG_IF_HEND4A_Msk); + else + int_stat = (PWMG->IF & PWMG_IF_HEND4B_Msk); + break; + + case ((uint32_t)PWM5): + if (chn == PWM_CH_A) + int_stat = (PWMG->IF & PWMG_IF_HEND5A_Msk); + else + int_stat = (PWMG->IF & PWMG_IF_HEND5B_Msk); + break; + } + + return int_stat; +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_pwm.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_pwm.h new file mode 100644 index 0000000000..888e0c5e39 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_pwm.h @@ -0,0 +1,57 @@ +#ifndef __SWM320_PWM_H__ +#define __SWM320_PWM_H__ + +typedef struct +{ + uint8_t clk_div; //PWM_CLKDIV_1ã€PWM_CLKDIV_8 + + uint8_t mode; //PWM_MODE_INDEPã€PWM_MODE_COMPLã€PWM_MODE_INDEP_CALIGNã€PWM_MODE_COMPL_CALIGN + + uint16_t cycleA; //A路周期 + uint16_t hdutyA; //Aè·¯å ç©ºæ¯” + uint16_t deadzoneA; //A路死区时长,å–值0--1023 + uint8_t initLevelA; //Aè·¯åˆå§‹è¾“出电平,0 低电平 1 高电平 + + uint16_t cycleB; //B路周期 + uint16_t hdutyB; //Bè·¯å ç©ºæ¯” + uint16_t deadzoneB; //B路死区时长,å–值0--1023 + uint8_t initLevelB; //Bè·¯åˆå§‹è¾“出电平,0 低电平 1 高电平 + + uint8_t HEndAIEn; //A路高电平结æŸä¸­æ–­ä½¿èƒ½ + uint8_t NCycleAIEn; //A路新周期开始中断使能 + uint8_t HEndBIEn; //B路高电平结æŸä¸­æ–­ä½¿èƒ½ + uint8_t NCycleBIEn; //B路新周期开始中断使能 +} PWM_InitStructure; + +#define PWM_CLKDIV_1 0 +#define PWM_CLKDIV_8 1 + +#define PWM_MODE_INDEP 0 //A路和B路为两路独立输出 +#define PWM_MODE_COMPL 1 //A路和B路为一路互补输出 +#define PWM_MODE_INDEP_CALIGN 3 //A路和Bè·¯ä¸ºä¸¤è·¯ç‹¬ç«‹è¾“å‡ºï¼Œä¸­å¿ƒå¯¹é½ +#define PWM_MODE_COMPL_CALIGN 4 //A路和Bè·¯ä¸ºä¸€è·¯äº’è¡¥è¾“å‡ºï¼Œä¸­å¿ƒå¯¹é½ + +#define PWM_CH_A 0 +#define PWM_CH_B 1 + +void PWM_Init(PWM_TypeDef *PWMx, PWM_InitStructure *initStruct); //PWMåˆå§‹åŒ– +void PWM_Start(PWM_TypeDef *PWMx, uint32_t chA, uint32_t chB); //å¯åŠ¨PWM,开始PWM输出 +void PWM_Stop(PWM_TypeDef *PWMx, uint32_t chA, uint32_t chB); //关闭PWM,åœæ­¢PWM输出 + +void PWM_SetCycle(PWM_TypeDef *PWMx, uint32_t chn, uint16_t cycle); //设置周期 +uint16_t PWM_GetCycle(PWM_TypeDef *PWMx, uint32_t chn); //获å–周期 +void PWM_SetHDuty(PWM_TypeDef *PWMx, uint32_t chn, uint16_t hduty); //设置高电平时长 +uint16_t PWM_GetHDuty(PWM_TypeDef *PWMx, uint32_t chn); //获å–高电平时长 +void PWM_SetDeadzone(PWM_TypeDef *PWMx, uint32_t chn, uint8_t deadzone); //设置死区时长 +uint8_t PWM_GetDeadzone(PWM_TypeDef *PWMx, uint32_t chn); //获å–死区时长 + +void PWM_IntNCycleEn(PWM_TypeDef *PWMx, uint32_t chn); //新周期开始中断使能 +void PWM_IntNCycleDis(PWM_TypeDef *PWMx, uint32_t chn); //新周期开始中断ç¦èƒ½ +void PWM_IntNCycleClr(PWM_TypeDef *PWMx, uint32_t chn); //新周期开始中断标志清除 +uint32_t PWM_IntNCycleStat(PWM_TypeDef *PWMx, uint32_t chn); //新周期开始中断是å¦å‘生 +void PWM_IntHEndEn(PWM_TypeDef *PWMx, uint32_t chn); //高电平结æŸä¸­æ–­ä½¿èƒ½ +void PWM_IntHEndDis(PWM_TypeDef *PWMx, uint32_t chn); //高电平结æŸä¸­æ–­ç¦èƒ½ +void PWM_IntHEndClr(PWM_TypeDef *PWMx, uint32_t chn); //高电平结æŸä¸­æ–­æ ‡å¿—清除 +uint32_t PWM_IntHEndStat(PWM_TypeDef *PWMx, uint32_t chn); //高电平结æŸä¸­æ–­æ˜¯å¦å‘生 + +#endif //__SWM320_PWM_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_rtc.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_rtc.c new file mode 100644 index 0000000000..60958799ed --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_rtc.c @@ -0,0 +1,413 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_rtc.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄRTCÇý¶¯¿â +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_rtc.h" + + +static uint32_t calcWeekDay(uint32_t year, uint32_t month, uint32_t date); +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_Init() +* ¹¦ÄÜ˵Ã÷: RTC³õʼ»¯ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬ÓÐЧֵ°üÀ¨RTC +* RTC_InitStructure * initStruct °üº¬RTCÏà¹ØÉ趨ֵµÄ½á¹¹Ìå +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_Init(RTC_TypeDef *RTCx, RTC_InitStructure *initStruct) +{ + SYS->CLKEN |= (1 << SYS_CLKEN_RTCBKP_Pos); + + SYS->LRCCR &= ~(1 << SYS_LRCCR_OFF_Pos); //RTCʹÓÃ32KHz RCʱÖÓ + + SYS->CLKEN |= (1 << SYS_CLKEN_RTC_Pos) | + ((uint32_t)1 << SYS_CLKEN_ALIVE_Pos); + + RTC_Stop(RTCx); + + while (RTCx->CFGABLE == 0); + + RTCx->MINSEC = (initStruct->Second << RTC_MINSEC_SEC_Pos) | + (initStruct->Minute << RTC_MINSEC_MIN_Pos); + + RTCx->DATHUR = (initStruct->Hour << RTC_DATHUR_HOUR_Pos) | + ((initStruct->Date - 1) << RTC_DATHUR_DATE_Pos); + + RTCx->MONDAY = (calcWeekDay(initStruct->Year, initStruct->Month, initStruct->Date) << RTC_MONDAY_DAY_Pos) | + ((initStruct->Month - 1) << RTC_MONDAY_MON_Pos); + + RTCx->YEAR = initStruct->Year - 1901; + + RTCx->LOAD = 1 << RTC_LOAD_TIME_Pos; + + RTCx->IF = 0x1F; + RTCx->IE = (initStruct->SecondIEn << RTC_IE_SEC_Pos) | + (initStruct->MinuteIEn << RTC_IE_MIN_Pos); + + if (initStruct->SecondIEn | initStruct->MinuteIEn) + { + NVIC_EnableIRQ(RTC_IRQn); + } + else + { + NVIC_DisableIRQ(RTC_IRQn); + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_Start() +* ¹¦ÄÜ˵Ã÷: Æô¶¯RTC +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_Start(RTC_TypeDef *RTCx) +{ + RTCx->EN = 1; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_Stop() +* ¹¦ÄÜ˵Ã÷: Í£Ö¹RTC +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_Stop(RTC_TypeDef *RTCx) +{ + RTCx->EN = 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_GetDateTime() +* ¹¦ÄÜ˵Ã÷: »ñÈ¡µ±Ç°µÄʱ¼äºÍÈÕÆÚ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬ÓÐЧֵ°üÀ¨RTC +* RTC_DateTime * dateTime »ñÈ¡µ½µÄʱ¼ä¡¢ÈÕÆÚÖµ´æÈë´ËÖ¸ÕëÖ¸ÏòµÄ½á¹¹Ìå +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_GetDateTime(RTC_TypeDef *RTCx, RTC_DateTime *dateTime) +{ + dateTime->Year = RTCx->YEAR + 1901; + dateTime->Month = ((RTCx->MONDAY & RTC_MONDAY_MON_Msk) >> RTC_MONDAY_MON_Pos) + 1; + dateTime->Date = ((RTCx->DATHUR & RTC_DATHUR_DATE_Msk) >> RTC_DATHUR_DATE_Pos) + 1; + dateTime->Day = 1 << ((RTCx->MONDAY & RTC_MONDAY_DAY_Msk) >> RTC_MONDAY_DAY_Pos); + dateTime->Hour = (RTCx->DATHUR & RTC_DATHUR_HOUR_Msk) >> RTC_DATHUR_HOUR_Pos; + dateTime->Minute = (RTCx->MINSEC & RTC_MINSEC_MIN_Msk) >> RTC_MINSEC_MIN_Pos; + dateTime->Second = (RTCx->MINSEC & RTC_MINSEC_SEC_Msk) >> RTC_MINSEC_SEC_Pos; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_AlarmSetup() +* ¹¦ÄÜ˵Ã÷: RTCÄÖÖÓÉ趨 +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬ÓÐЧֵ°üÀ¨RTC +* RTC_AlarmStructure * alarmStruct °üº¬RTCÄÖÖÓÉ趨ֵµÄ½á¹¹Ìå +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_AlarmSetup(RTC_TypeDef *RTCx, RTC_AlarmStructure *alarmStruct) +{ + while (RTCx->CFGABLE == 0); + + RTCx->MINSECAL = (alarmStruct->Second << RTC_MINSECAL_SEC_Pos) | + (alarmStruct->Minute << RTC_MINSECAL_MIN_Pos); + + RTCx->DAYHURAL = (alarmStruct->Hour << RTC_DAYHURAL_HOUR_Pos) | + (alarmStruct->Days << RTC_DAYHURAL_SUN_Pos); + + RTCx->LOAD = 1 << RTC_LOAD_ALARM_Pos; + while (RTCx->LOAD & RTC_LOAD_ALARM_Msk); + + RTCx->IF = (1 << RTC_IF_ALARM_Pos); + RTCx->IE &= ~RTC_IE_ALARM_Msk; + RTCx->IE |= (alarmStruct->AlarmIEn << RTC_IE_ALARM_Pos); + + if (alarmStruct->AlarmIEn) NVIC_EnableIRQ(RTC_IRQn); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: calcWeekDay() +* ¹¦ÄÜ˵Ã÷: ¼ÆËãÖ¸¶¨Äê¡¢Ô¡¢ÈÕÊÇÐÇÆÚ¼¸ +* Êä Èë: uint32_t year Äê +* uint32_t month Ô +* uint32_t date ÈÕ +* Êä ³ö: uint32_t 0 ÐÇÆÚÈÕ 1 ÐÇÆÚÒ» ... ... 6 ÐÇÆÚÁù +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +static uint32_t calcWeekDay(uint32_t year, uint32_t month, uint32_t date) +{ + uint32_t i, cnt = 0; + const uint32_t daysOfMonth[13] = {0, 31, 28, 31, 30, 31, 30, 31, 31, 30, 31, 30, 31}; + + for (i = 1; i < month; i++) cnt += daysOfMonth[i]; + + cnt += date; + + if ((year % 4 == 0) && ((year % 100 != 0) || (year % 400 == 0)) && (month >= 3)) cnt += 1; + + cnt += (year - 1901) * 365; + + for (i = 1901; i < year; i++) + { + if ((i % 4 == 0) && ((i % 100 != 0) || (i % 400 == 0))) cnt += 1; + } + + return (cnt + 1) % 7; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntSecondEn() +* ¹¦ÄÜ˵Ã÷: ÃëÖжÏʹÄÜ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntSecondEn(RTC_TypeDef *RTCx) +{ + RTCx->IE |= (1 << RTC_IE_SEC_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntSecondDis() +* ¹¦ÄÜ˵Ã÷: ÃëÖжϽûÖ¹ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntSecondDis(RTC_TypeDef *RTCx) +{ + RTCx->IE &= ~(1 << RTC_IE_SEC_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntSecondClr() +* ¹¦ÄÜ˵Ã÷: ÃëÖжϱêÖ¾Çå³ý +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntSecondClr(RTC_TypeDef *RTCx) +{ + RTCx->IF = (1 << RTC_IF_SEC_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntSecondStat() +* ¹¦ÄÜ˵Ã÷: ÃëÖжÏ״̬ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: uint32_t 1 ÃëÖжϷ¢Éú 0 ÃëÖжÏδ·¢Éú +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t RTC_IntSecondStat(RTC_TypeDef *RTCx) +{ + return (RTCx->IF & RTC_IF_SEC_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntMinuteEn() +* ¹¦ÄÜ˵Ã÷: ·ÖÖжÏʹÄÜ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntMinuteEn(RTC_TypeDef *RTCx) +{ + RTCx->IE |= (1 << RTC_IE_MIN_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntMinuteDis() +* ¹¦ÄÜ˵Ã÷: ·ÖÖжϽûÖ¹ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntMinuteDis(RTC_TypeDef *RTCx) +{ + RTCx->IE &= ~(1 << RTC_IE_MIN_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntMinuteClr() +* ¹¦ÄÜ˵Ã÷: ·ÖÖжϱêÖ¾Çå³ý +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntMinuteClr(RTC_TypeDef *RTCx) +{ + RTCx->IF = (1 << RTC_IF_MIN_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntMinuteStat() +* ¹¦ÄÜ˵Ã÷: ·ÖÖжÏ״̬ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: uint32_t 1 ·ÖÖжϷ¢Éú 0 ·ÖÖжÏδ·¢Éú +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t RTC_IntMinuteStat(RTC_TypeDef *RTCx) +{ + return (RTCx->IF & RTC_IF_MIN_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntHourEn() +* ¹¦ÄÜ˵Ã÷: ʱÖжÏʹÄÜ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntHourEn(RTC_TypeDef *RTCx) +{ + RTCx->IE |= (1 << RTC_IE_HOUR_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntHourDis() +* ¹¦ÄÜ˵Ã÷: ʱÖжϽûÖ¹ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntHourDis(RTC_TypeDef *RTCx) +{ + RTCx->IE &= ~(1 << RTC_IE_HOUR_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntHourClr() +* ¹¦ÄÜ˵Ã÷: ʱÖжϱêÖ¾Çå³ý +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntHourClr(RTC_TypeDef *RTCx) +{ + RTCx->IF = (1 << RTC_IF_HOUR_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntHourStat() +* ¹¦ÄÜ˵Ã÷: ʱÖжÏ״̬ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: uint32_t 1 ʱÖжϷ¢Éú 0 ʱÖжÏδ·¢Éú +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t RTC_IntHourStat(RTC_TypeDef *RTCx) +{ + return (RTCx->IF & RTC_IF_HOUR_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntDateEn() +* ¹¦ÄÜ˵Ã÷: ÈÕÖжÏʹÄÜ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntDateEn(RTC_TypeDef *RTCx) +{ + RTCx->IE |= (1 << RTC_IE_DATE_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntDateDis() +* ¹¦ÄÜ˵Ã÷: ÈÕÖжϽûÖ¹ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntDateDis(RTC_TypeDef *RTCx) +{ + RTCx->IE &= ~(1 << RTC_IE_DATE_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntDateClr() +* ¹¦ÄÜ˵Ã÷: ÈÕÖжϱêÖ¾Çå³ý +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntDateClr(RTC_TypeDef *RTCx) +{ + RTCx->IF = (1 << RTC_IF_DATE_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntDateStat() +* ¹¦ÄÜ˵Ã÷: ÈÕÖжÏ״̬ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: uint32_t 1 ÈÕÖжϷ¢Éú 0 ÈÕÖжÏδ·¢Éú +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t RTC_IntDateStat(RTC_TypeDef *RTCx) +{ + return (RTCx->IF & RTC_IF_DATE_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntAlarmEn() +* ¹¦ÄÜ˵Ã÷: ÄÖÖÓÖжÏʹÄÜ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntAlarmEn(RTC_TypeDef *RTCx) +{ + RTCx->IE |= (1 << RTC_IE_ALARM_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntAlarmDis() +* ¹¦ÄÜ˵Ã÷: ÄÖÖÓÖжϽûÖ¹ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntAlarmDis(RTC_TypeDef *RTCx) +{ + RTCx->IE &= ~(1 << RTC_IE_ALARM_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntAlarmClr() +* ¹¦ÄÜ˵Ã÷: ÄÖÖÓÖжϱêÖ¾Çå³ý +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void RTC_IntAlarmClr(RTC_TypeDef *RTCx) +{ + RTCx->IF = (1 << RTC_IF_ALARM_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: RTC_IntAlarmStat() +* ¹¦ÄÜ˵Ã÷: ÄÖÖÓÖжÏ״̬ +* Êä Èë: RTC_TypeDef * RTCx Ö¸¶¨Òª±»ÉèÖõÄRTC£¬¿ÉÈ¡Öµ°üÀ¨RTC +* Êä ³ö: uint32_t 1 ÄÖÖÓÖжϷ¢Éú 0 ÄÖÖÓÖжÏδ·¢Éú +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t RTC_IntAlarmStat(RTC_TypeDef *RTCx) +{ + return (RTCx->IF & RTC_IF_ALARM_Msk) ? 1 : 0; +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_rtc.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_rtc.h new file mode 100644 index 0000000000..8c5d6f5d63 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_rtc.h @@ -0,0 +1,76 @@ +#ifndef __SWM320_RTC_H__ +#define __SWM320_RTC_H__ + + +#define RTC_SUN 0x01 +#define RTC_MON 0x02 +#define RTC_TUE 0x04 +#define RTC_WED 0x08 +#define RTC_THU 0x10 +#define RTC_FRI 0x20 +#define RTC_SAT 0x40 + + +typedef struct +{ + uint16_t Year; + uint8_t Month; + uint8_t Date; + uint8_t Hour; + uint8_t Minute; + uint8_t Second; + uint8_t SecondIEn; + uint8_t MinuteIEn; +} RTC_InitStructure; + +typedef struct +{ + uint8_t Days; //RTC_SUN¡¢RTC_MON¡¢RTC_TUE¡¢RTC_WED¡¢RTC_THU¡¢RTC_FRI¡¢RTC_SAT¼°Æä»òÔËËã×éºÏ + uint8_t Hour; + uint8_t Minute; + uint8_t Second; + uint8_t AlarmIEn; +} RTC_AlarmStructure; + +typedef struct +{ + uint16_t Year; + uint8_t Month; + uint8_t Date; + uint8_t Day; //RTC_SUN¡¢RTC_MON¡¢RTC_TUE¡¢RTC_WED¡¢RTC_THU¡¢RTC_FRI¡¢RTC_SAT + uint8_t Hour; + uint8_t Minute; + uint8_t Second; +} RTC_DateTime; + +void RTC_Init(RTC_TypeDef *RTCx, RTC_InitStructure *initStruct); +void RTC_Start(RTC_TypeDef *RTCx); +void RTC_Stop(RTC_TypeDef *RTCx); + +void RTC_GetDateTime(RTC_TypeDef *RTCx, RTC_DateTime *dateTime); + +void RTC_AlarmSetup(RTC_TypeDef *RTCx, RTC_AlarmStructure *alarmStruct); + + +void RTC_IntSecondEn(RTC_TypeDef *RTCx); +void RTC_IntSecondDis(RTC_TypeDef *RTCx); +void RTC_IntSecondClr(RTC_TypeDef *RTCx); +uint32_t RTC_IntSecondStat(RTC_TypeDef *RTCx); +void RTC_IntMinuteEn(RTC_TypeDef *RTCx); +void RTC_IntMinuteDis(RTC_TypeDef *RTCx); +void RTC_IntMinuteClr(RTC_TypeDef *RTCx); +uint32_t RTC_IntMinuteStat(RTC_TypeDef *RTCx); +void RTC_IntHourEn(RTC_TypeDef *RTCx); +void RTC_IntHourDis(RTC_TypeDef *RTCx); +void RTC_IntHourClr(RTC_TypeDef *RTCx); +uint32_t RTC_IntHourStat(RTC_TypeDef *RTCx); +void RTC_IntDateEn(RTC_TypeDef *RTCx); +void RTC_IntDateDis(RTC_TypeDef *RTCx); +void RTC_IntDateClr(RTC_TypeDef *RTCx); +uint32_t RTC_IntDateStat(RTC_TypeDef *RTCx); +void RTC_IntAlarmEn(RTC_TypeDef *RTCx); +void RTC_IntAlarmDis(RTC_TypeDef *RTCx); +void RTC_IntAlarmClr(RTC_TypeDef *RTCx); +uint32_t RTC_IntAlarmStat(RTC_TypeDef *RTCx); + +#endif //__SWM320_RTC_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdio.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdio.c new file mode 100644 index 0000000000..305ab42733 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdio.c @@ -0,0 +1,436 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_sdio.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄSDIO½Ó¿ÚÇý¶¯¿â +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: ΪÁËͨÓÃÐÔ¡¢¼æÈÝÐÔ¡¢Ò×ÓÃÐÔ£¬Ö»Ö§³ÖÒÔ512×Ö½ÚΪµ¥Î»µÄ¶Áд +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_sdio.h" + + +SD_CardInfo SD_cardInfo; + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SDIO_Init() +* ¹¦ÄÜ˵Ã÷: SDIO¶ÁдSD¿¨³õʼ»¯£¬³õʼ»¯³É¸ßËÙ4Ïßģʽ¡¢¶ÁдÒÔ512×Ö½Ú´óС½øÐÐ +* Êä Èë: ÎÞ +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t SDIO_Init(void) +{ + uint32_t resp, resps[4]; + + SYS->CLKDIV &= ~SYS_CLKDIV_SDIO_Msk; + if (SystemCoreClock > 80000000) //SDIOʱÖÓÐèҪСÓÚ52MHz + SYS->CLKDIV |= (2 << SYS_CLKDIV_SDIO_Pos); //SDCLK = SYSCLK / 4 + else + SYS->CLKDIV |= (1 << SYS_CLKDIV_SDIO_Pos); //SDCLK = SYSCLK / 2 + + SYS->CLKEN |= (0x01 << SYS_CLKEN_SDIO_Pos); + + SDIO->CR2 = (1 << SDIO_CR2_RSTALL_Pos); + + SDIO->CR1 = (1 << SDIO_CR1_CDSRC_Pos) | + (0 << SDIO_CR1_8BIT_Pos) | + (0 << SDIO_CR1_4BIT_Pos) | + (1 << SDIO_CR1_PWRON_Pos) | + (7 << SDIO_CR1_VOLT_Pos); + + SDIO->CR2 = (1 << SDIO_CR2_CLKEN_Pos) | + (1 << SDIO_CR2_SDCLKEN_Pos) | + (calcSDCLKDiv(SD_CLK_400KHz) << SDIO_CR2_SDCLKDIV_Pos) | + (0xC << SDIO_CR2_TIMEOUT_Pos); + + while ((SDIO->CR2 & SDIO_CR2_CLKRDY_Msk) == 0); + + SDIO->IE = 0xFFFF01FF; + SDIO->IM = 0x00FF00FF; + + SDIO_SendCmd(SD_CMD_GO_IDLE_STATE, 0x00, SD_RESP_NO, 0, 0, 0); //CMD0: GO_IDLE_STATE + + + SDIO_SendCmd(SD_CMD_SEND_IF_COND, 0x1AA, SD_RESP_32b, &resp, 0, 0); //CMD8: SEND_IF_COND, ¼ì²â¹¤×÷µçѹ¡¢¼ì²âÊÇ·ñÖ§³ÖSD 2.0 + + if (resp == 0x1AA) SD_cardInfo.CardType = SDIO_STD_CAPACITY_SD_CARD_V2_0; + else SD_cardInfo.CardType = SDIO_STD_CAPACITY_SD_CARD_V1_1; + + + do //ACMD41: SD_CMD_SD_APP_OP_COND + { + SDIO_SendCmd(SD_CMD_APP_CMD, 0x00, SD_RESP_32b, &resp, 0, 0); + + if (resp != 0x120) return SD_RES_ERR; //²»ÊÇSD¿¨£¬¿ÉÄÜÊÇMMC¿¨ + + if (SD_cardInfo.CardType == SDIO_STD_CAPACITY_SD_CARD_V2_0) + SDIO_SendCmd(SD_CMD_SD_APP_OP_COND, 0x80100000 | 0x40000000, SD_RESP_32b, &resp, 0, 0); + else + SDIO_SendCmd(SD_CMD_SD_APP_OP_COND, 0x80100000 | 0x00000000, SD_RESP_32b, &resp, 0, 0); + } + while (((resp >> 31) & 0x01) == 0); //ÉϵçûÍê³Éʱresp[31] == 0 + + if (((resp >> 30) & 0x01) == 1) SD_cardInfo.CardType = SDIO_HIGH_CAPACITY_SD_CARD; + + + SDIO_SendCmd(SD_CMD_ALL_SEND_CID, 0x00, SD_RESP_128b, resps, 0, 0); //CMD2: SD_CMD_ALL_SEND_CID£¬»ñÈ¡CID + + parseCID(resps); + + + SDIO_SendCmd(SD_CMD_SET_REL_ADDR, 0x00, SD_RESP_32b, &resp, 0, 0); //CMD3: SD_CMD_SET_REL_ADDR£¬ÉèÖÃRCA + + SD_cardInfo.RCA = resp >> 16; + + + SDIO_SendCmd(SD_CMD_SEND_CSD, SD_cardInfo.RCA << 16, SD_RESP_128b, resps, 0, 0); //CMD9: SD_CMD_SEND_CSD£¬»ñÈ¡CSD + + parseCSD(resps); + + if (SD_cardInfo.CardBlockSize < 0x200) return SD_RES_ERR; //±¾Çý¶¯Ö»Ö§³ÖÒÔ512×Ö½ÚΪµ¥Î»µÄ¶Áд£¬ËùÒÔ×î´ó¶Áдµ¥Î»±ØÐ벻СÓÚ512 + + + SDIO->CR2 &= ~(SDIO_CR2_SDCLKEN_Msk | SDIO_CR2_SDCLKDIV_Msk); + SDIO->CR2 |= (1 << SDIO_CR2_SDCLKEN_Pos) | + (calcSDCLKDiv(SD_CLK_20MHz) << SDIO_CR2_SDCLKDIV_Pos); //³õʼ»¯Íê³É£¬SDCLKÇл»µ½¸ßËÙ + + + SDIO_SendCmd(SD_CMD_SEL_DESEL_CARD, SD_cardInfo.RCA << 16, SD_RESP_32b_busy, &resp, 0, 0); //CMD7: Ñ¡Öп¨£¬´ÓStandyģʽ½øÈëTransferģʽ + + + SDIO_SendCmd(SD_CMD_APP_CMD, SD_cardInfo.RCA << 16, SD_RESP_32b, &resp, 0, 0); + + SDIO_SendCmd(SD_CMD_APP_SD_SET_BUSWIDTH, SD_BUSWIDTH_4b, SD_RESP_32b, &resp, 0, 0); //Çл»³É4λ×ÜÏßģʽ + + SDIO->CR1 |= (1 << SDIO_CR1_4BIT_Pos); + + + SDIO_SendCmd(SD_CMD_SET_BLOCKLEN, 512, SD_RESP_32b, &resp, 0, 0); //¹Ì¶¨¿é´óСλ512×Ö½Ú + + SDIO->BLK = 512; + + return SD_RES_OK; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SDIO_BlockWrite() +* ¹¦ÄÜ˵Ã÷: ÏòSD¿¨Ð´ÈëÊý¾Ý +* Êä Èë: uint32_t block_addr SD¿¨¿éµØÖ·£¬Ã¿¿é512×Ö½Ú +* uint32_t buff[] ҪдÈëµÄÊý¾Ý +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SDIO_BlockWrite(uint32_t block_addr, uint32_t buff[]) +{ + uint32_t i, resp, addr; + + if (SD_cardInfo.CardType == SDIO_HIGH_CAPACITY_SD_CARD) addr = block_addr; + else addr = block_addr * 512; + + SDIO_SendCmd(SD_CMD_WRITE_SINGLE_BLOCK, addr, SD_RESP_32b, &resp, 1, 0); + + while ((SDIO->IF & SDIO_IF_BUFWRRDY_Msk) == 0); + SDIO->IF = SDIO_IF_BUFWRRDY_Msk; + + for (i = 0; i < 512 / 4; i++) SDIO->DATA = buff[i]; + + SDIO->IF = SDIO_IF_TRXDONE_Msk; //?? Õâ¸ö±ØÐëÓÐ + while ((SDIO->IF & SDIO_IF_TRXDONE_Msk) == 0); + SDIO->IF = SDIO_IF_TRXDONE_Msk; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SDIO_BlockRead() +* ¹¦ÄÜ˵Ã÷: ´ÓSD¿¨¶Á³öÊý¾Ý +* Êä Èë: uint32_t block_addr SD¿¨¿éµØÖ·£¬Ã¿¿é512×Ö½Ú +* uint32_t buff[] ¶Á³öµÄÊý¾Ý +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SDIO_BlockRead(uint32_t block_addr, uint32_t buff[]) +{ + uint32_t i, resp, addr; + + if (SD_cardInfo.CardType == SDIO_HIGH_CAPACITY_SD_CARD) addr = block_addr; + else addr = block_addr * 512; + + SDIO_SendCmd(SD_CMD_READ_SINGLE_BLOCK, addr, SD_RESP_32b, &resp, 1, 1); + + while ((SDIO->IF & SDIO_IF_BUFRDRDY_Msk) == 0); + SDIO->IF = SDIO_IF_BUFRDRDY_Msk; + + for (i = 0; i < 512 / 4; i++) buff[i] = SDIO->DATA; + + while ((SDIO->IF & SDIO_IF_TRXDONE_Msk) == 0); + SDIO->IF = SDIO_IF_TRXDONE_Msk; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SDIO_SendCmd() +* ¹¦ÄÜ˵Ã÷: SDIOÏòSD¿¨·¢ËÍÃüÁî +* Êä Èë: uint32_t cmd ÃüÁîË÷Òý +* uint32_t arg ÃüÁî²ÎÊý +* uint32_t resp_type ÏìÓ¦ÀàÐÍ£¬È¡ÖµSD_RESP_NO¡¢SD_RESP_32b¡¢SD_RESP_128b¡¢SD_RESP_32b_busy +* uint32_t *resp_data ÏìÓ¦ÄÚÈÝ +* uint32_t have_data ÊÇ·ñÓÐÊý¾Ý´«Êä +* uint32_t data_read 1 ¶ÁSD¿¨ 0 дSD¿¨ +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SDIO_SendCmd(uint32_t cmd, uint32_t arg, uint32_t resp_type, uint32_t *resp_data, uint32_t have_data, uint32_t data_read) +{ + SDIO->ARG = arg; + SDIO->CMD = (cmd << SDIO_CMD_CMDINDX_Pos) | + (0 << SDIO_CMD_CMDTYPE_Pos) | + (have_data << SDIO_CMD_HAVEDATA_Pos) | + (0 << SDIO_CMD_IDXCHECK_Pos) | + (0 << SDIO_CMD_CRCCHECK_Pos) | + (resp_type << SDIO_CMD_RESPTYPE_Pos) | + (0 << SDIO_CMD_MULTBLK_Pos) | + (data_read << SDIO_CMD_DIRREAD_Pos) | + (0 << SDIO_CMD_BLKCNTEN_Pos); + + while ((SDIO->IF & SDIO_IF_CMDDONE_Msk) == 0); + SDIO->IF = SDIO_IF_CMDDONE_Msk; + + if (resp_type == SD_RESP_32b) + { + resp_data[0] = SDIO->RESP[0]; + } + else if (resp_type == SD_RESP_128b) + { + //¼Ä´æÆ÷Öн«CID/CSD[127-8]ÒÀ´Î´æ·ÅÔÚÁËRESP3-0[119-0]£¬×îµÍλµÄCRC±»¶ªµô + //¶Á³öÊý¾Ýʱµ÷ÕûÁË˳Ðò£¬½«CID/CSD[127-8]´æ·ÅÔÚresp_data0-3[127-8]£¬×îµÍ8λÌî³ä0x00 + resp_data[0] = (SDIO->RESP[3] << 8) + ((SDIO->RESP[2] >> 24) & 0xFF); + resp_data[1] = (SDIO->RESP[2] << 8) + ((SDIO->RESP[1] >> 24) & 0xFF); + resp_data[2] = (SDIO->RESP[1] << 8) + ((SDIO->RESP[0] >> 24) & 0xFF); + resp_data[3] = (SDIO->RESP[0] << 8) + 0x00; + } +} + + +void parseCID(uint32_t CID_Tab[4]) +{ + uint8_t tmp = 0; + + /*!< Byte 0 */ + tmp = (uint8_t)((CID_Tab[0] & 0xFF000000) >> 24); + SD_cardInfo.SD_cid.ManufacturerID = tmp; + + /*!< Byte 1 */ + tmp = (uint8_t)((CID_Tab[0] & 0x00FF0000) >> 16); + SD_cardInfo.SD_cid.OEM_AppliID = tmp << 8; + + /*!< Byte 2 */ + tmp = (uint8_t)((CID_Tab[0] & 0x000000FF00) >> 8); + SD_cardInfo.SD_cid.OEM_AppliID |= tmp; + + /*!< Byte 3 */ + tmp = (uint8_t)(CID_Tab[0] & 0x000000FF); + SD_cardInfo.SD_cid.ProdName1 = tmp << 24; + + /*!< Byte 4 */ + tmp = (uint8_t)((CID_Tab[1] & 0xFF000000) >> 24); + SD_cardInfo.SD_cid.ProdName1 |= tmp << 16; + + /*!< Byte 5 */ + tmp = (uint8_t)((CID_Tab[1] & 0x00FF0000) >> 16); + SD_cardInfo.SD_cid.ProdName1 |= tmp << 8; + + /*!< Byte 6 */ + tmp = (uint8_t)((CID_Tab[1] & 0x0000FF00) >> 8); + SD_cardInfo.SD_cid.ProdName1 |= tmp; + + /*!< Byte 7 */ + tmp = (uint8_t)(CID_Tab[1] & 0x000000FF); + SD_cardInfo.SD_cid.ProdName2 = tmp; + + /*!< Byte 8 */ + tmp = (uint8_t)((CID_Tab[2] & 0xFF000000) >> 24); + SD_cardInfo.SD_cid.ProdRev = tmp; + + /*!< Byte 9 */ + tmp = (uint8_t)((CID_Tab[2] & 0x00FF0000) >> 16); + SD_cardInfo.SD_cid.ProdSN = tmp << 24; + + /*!< Byte 10 */ + tmp = (uint8_t)((CID_Tab[2] & 0x0000FF00) >> 8); + SD_cardInfo.SD_cid.ProdSN |= tmp << 16; + + /*!< Byte 11 */ + tmp = (uint8_t)(CID_Tab[2] & 0x000000FF); + SD_cardInfo.SD_cid.ProdSN |= tmp << 8; + + /*!< Byte 12 */ + tmp = (uint8_t)((CID_Tab[3] & 0xFF000000) >> 24); + SD_cardInfo.SD_cid.ProdSN |= tmp; + + /*!< Byte 13 */ + tmp = (uint8_t)((CID_Tab[3] & 0x00FF0000) >> 16); + SD_cardInfo.SD_cid.Reserved1 |= (tmp & 0xF0) >> 4; + SD_cardInfo.SD_cid.ManufactDate = (tmp & 0x0F) << 8; + + /*!< Byte 14 */ + tmp = (uint8_t)((CID_Tab[3] & 0x0000FF00) >> 8); + SD_cardInfo.SD_cid.ManufactDate |= tmp; +} + +void parseCSD(uint32_t CSD_Tab[4]) +{ + uint8_t tmp = 0; + + /*!< Byte 0 */ + tmp = (uint8_t)((CSD_Tab[0] & 0xFF000000) >> 24); + SD_cardInfo.SD_csd.CSDStruct = (tmp & 0xC0) >> 6; + SD_cardInfo.SD_csd.SysSpecVersion = (tmp & 0x3C) >> 2; + SD_cardInfo.SD_csd.Reserved1 = tmp & 0x03; + + /*!< Byte 1 */ + tmp = (uint8_t)((CSD_Tab[0] & 0x00FF0000) >> 16); + SD_cardInfo.SD_csd.TAAC = tmp; + + /*!< Byte 2 */ + tmp = (uint8_t)((CSD_Tab[0] & 0x0000FF00) >> 8); + SD_cardInfo.SD_csd.NSAC = tmp; + + /*!< Byte 3 */ + tmp = (uint8_t)(CSD_Tab[0] & 0x000000FF); + SD_cardInfo.SD_csd.MaxBusClkFrec = tmp; + + /*!< Byte 4 */ + tmp = (uint8_t)((CSD_Tab[1] & 0xFF000000) >> 24); + SD_cardInfo.SD_csd.CardComdClasses = tmp << 4; + + /*!< Byte 5 */ + tmp = (uint8_t)((CSD_Tab[1] & 0x00FF0000) >> 16); + SD_cardInfo.SD_csd.CardComdClasses |= (tmp & 0xF0) >> 4; + SD_cardInfo.SD_csd.RdBlockLen = tmp & 0x0F; + + /*!< Byte 6 */ + tmp = (uint8_t)((CSD_Tab[1] & 0x0000FF00) >> 8); + SD_cardInfo.SD_csd.PartBlockRead = (tmp & 0x80) >> 7; + SD_cardInfo.SD_csd.WrBlockMisalign = (tmp & 0x40) >> 6; + SD_cardInfo.SD_csd.RdBlockMisalign = (tmp & 0x20) >> 5; + SD_cardInfo.SD_csd.DSRImpl = (tmp & 0x10) >> 4; + SD_cardInfo.SD_csd.Reserved2 = 0; /*!< Reserved */ + + if ((SD_cardInfo.CardType == SDIO_STD_CAPACITY_SD_CARD_V1_1) || + (SD_cardInfo.CardType == SDIO_STD_CAPACITY_SD_CARD_V2_0)) + { + SD_cardInfo.SD_csd.DeviceSize = (tmp & 0x03) << 10; + + /*!< Byte 7 */ + tmp = (uint8_t)(CSD_Tab[1] & 0x000000FF); + SD_cardInfo.SD_csd.DeviceSize |= (tmp) << 2; + + /*!< Byte 8 */ + tmp = (uint8_t)((CSD_Tab[2] & 0xFF000000) >> 24); + SD_cardInfo.SD_csd.DeviceSize |= (tmp & 0xC0) >> 6; + + SD_cardInfo.SD_csd.MaxRdCurrentVDDMin = (tmp & 0x38) >> 3; + SD_cardInfo.SD_csd.MaxRdCurrentVDDMax = (tmp & 0x07); + + /*!< Byte 9 */ + tmp = (uint8_t)((CSD_Tab[2] & 0x00FF0000) >> 16); + SD_cardInfo.SD_csd.MaxWrCurrentVDDMin = (tmp & 0xE0) >> 5; + SD_cardInfo.SD_csd.MaxWrCurrentVDDMax = (tmp & 0x1C) >> 2; + SD_cardInfo.SD_csd.DeviceSizeMul = (tmp & 0x03) << 1; + /*!< Byte 10 */ + tmp = (uint8_t)((CSD_Tab[2] & 0x0000FF00) >> 8); + SD_cardInfo.SD_csd.DeviceSizeMul |= (tmp & 0x80) >> 7; + + SD_cardInfo.CardCapacity = (SD_cardInfo.SD_csd.DeviceSize + 1) ; + SD_cardInfo.CardCapacity *= (1 << (SD_cardInfo.SD_csd.DeviceSizeMul + 2)); + SD_cardInfo.CardBlockSize = 1 << (SD_cardInfo.SD_csd.RdBlockLen); + SD_cardInfo.CardCapacity *= SD_cardInfo.CardBlockSize; + } + else if (SD_cardInfo.CardType == SDIO_HIGH_CAPACITY_SD_CARD) + { + /*!< Byte 7 */ + tmp = (uint8_t)(CSD_Tab[1] & 0x000000FF); + SD_cardInfo.SD_csd.DeviceSize = (tmp & 0x3F) << 16; + + /*!< Byte 8 */ + tmp = (uint8_t)((CSD_Tab[2] & 0xFF000000) >> 24); + + SD_cardInfo.SD_csd.DeviceSize |= (tmp << 8); + + /*!< Byte 9 */ + tmp = (uint8_t)((CSD_Tab[2] & 0x00FF0000) >> 16); + + SD_cardInfo.SD_csd.DeviceSize |= (tmp); + + /*!< Byte 10 */ + tmp = (uint8_t)((CSD_Tab[2] & 0x0000FF00) >> 8); + + SD_cardInfo.CardCapacity = (SD_cardInfo.SD_csd.DeviceSize + 1) * 512 * 1024; + SD_cardInfo.CardBlockSize = 512; + } + + SD_cardInfo.SD_csd.EraseGrSize = (tmp & 0x40) >> 6; + SD_cardInfo.SD_csd.EraseGrMul = (tmp & 0x3F) << 1; + + /*!< Byte 11 */ + tmp = (uint8_t)(CSD_Tab[2] & 0x000000FF); + SD_cardInfo.SD_csd.EraseGrMul |= (tmp & 0x80) >> 7; + SD_cardInfo.SD_csd.WrProtectGrSize = (tmp & 0x7F); + + /*!< Byte 12 */ + tmp = (uint8_t)((CSD_Tab[3] & 0xFF000000) >> 24); + SD_cardInfo.SD_csd.WrProtectGrEnable = (tmp & 0x80) >> 7; + SD_cardInfo.SD_csd.ManDeflECC = (tmp & 0x60) >> 5; + SD_cardInfo.SD_csd.WrSpeedFact = (tmp & 0x1C) >> 2; + SD_cardInfo.SD_csd.MaxWrBlockLen = (tmp & 0x03) << 2; + + /*!< Byte 13 */ + tmp = (uint8_t)((CSD_Tab[3] & 0x00FF0000) >> 16); + SD_cardInfo.SD_csd.MaxWrBlockLen |= (tmp & 0xC0) >> 6; + SD_cardInfo.SD_csd.WriteBlockPaPartial = (tmp & 0x20) >> 5; + SD_cardInfo.SD_csd.Reserved3 = 0; + SD_cardInfo.SD_csd.ContentProtectAppli = (tmp & 0x01); + + /*!< Byte 14 */ + tmp = (uint8_t)((CSD_Tab[3] & 0x0000FF00) >> 8); + SD_cardInfo.SD_csd.FileFormatGrouop = (tmp & 0x80) >> 7; + SD_cardInfo.SD_csd.CopyFlag = (tmp & 0x40) >> 6; + SD_cardInfo.SD_csd.PermWrProtect = (tmp & 0x20) >> 5; + SD_cardInfo.SD_csd.TempWrProtect = (tmp & 0x10) >> 4; + SD_cardInfo.SD_csd.FileFormat = (tmp & 0x0C) >> 2; + SD_cardInfo.SD_csd.ECC = (tmp & 0x03); +} + +uint32_t calcSDCLKDiv(uint32_t freq_sel) +{ + uint32_t regdiv = 0; + uint32_t clkdiv = 0; + + if (((SYS->CLKDIV & SYS_CLKDIV_SDIO_Msk) >> SYS_CLKDIV_SDIO_Pos) == 1) + clkdiv = SystemCoreClock / 2 / ((freq_sel == SD_CLK_400KHz) ? 300000 : 15000000); + else if (((SYS->CLKDIV & SYS_CLKDIV_SDIO_Msk) >> SYS_CLKDIV_SDIO_Pos) == 2) + clkdiv = SystemCoreClock / 4 / ((freq_sel == SD_CLK_400KHz) ? 300000 : 15000000); + + if (clkdiv > 128) regdiv = 0x80; + else if (clkdiv > 64) regdiv = 0x40; + else if (clkdiv > 32) regdiv = 0x20; + else if (clkdiv > 16) regdiv = 0x10; + else if (clkdiv > 8) regdiv = 0x08; + else if (clkdiv > 4) regdiv = 0x04; + else if (clkdiv > 2) regdiv = 0x02; + else if (clkdiv > 1) regdiv = 0x01; + else regdiv = 0x00; + + return regdiv; +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdio.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdio.h new file mode 100644 index 0000000000..1df6602159 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdio.h @@ -0,0 +1,139 @@ +#ifndef __SWM320_SDIO_H__ +#define __SWM320_SDIO_H__ + + +#define SD_CMD_GO_IDLE_STATE ((uint8_t)0) +#define SD_CMD_SEND_OP_COND ((uint8_t)1) +#define SD_CMD_ALL_SEND_CID ((uint8_t)2) +#define SD_CMD_SET_REL_ADDR ((uint8_t)3) +#define SD_CMD_SET_DSR ((uint8_t)4) +#define SD_CMD_HS_SWITCH ((uint8_t)6) +#define SD_CMD_SEL_DESEL_CARD ((uint8_t)7) +#define SD_CMD_SEND_IF_COND ((uint8_t)8) +#define SD_CMD_SEND_CSD ((uint8_t)9) +#define SD_CMD_SEND_CID ((uint8_t)10) +#define SD_CMD_STOP_TRANSMISSION ((uint8_t)12) +#define SD_CMD_SEND_STATUS ((uint8_t)13) +#define SD_CMD_SET_BLOCKLEN ((uint8_t)16) +#define SD_CMD_READ_SINGLE_BLOCK ((uint8_t)17) +#define SD_CMD_READ_MULT_BLOCK ((uint8_t)18) +#define SD_CMD_WRITE_SINGLE_BLOCK ((uint8_t)24) +#define SD_CMD_WRITE_MULT_BLOCK ((uint8_t)25) +#define SD_CMD_PROG_CID ((uint8_t)26) +#define SD_CMD_PROG_CSD ((uint8_t)27) +#define SD_CMD_APP_CMD ((uint8_t)55) + +/*Following commands are SD Card Specific commands. + SDIO_APP_CMD should be sent before sending these commands. */ +#define SD_CMD_APP_SD_SET_BUSWIDTH ((uint8_t)6) +#define SD_CMD_SD_APP_STAUS ((uint8_t)13) +#define SD_CMD_SD_APP_SEND_NUM_WRITE_BLOCKS ((uint8_t)22) +#define SD_CMD_SD_APP_OP_COND ((uint8_t)41) +#define SD_CMD_SD_APP_SET_CLR_CARD_DETECT ((uint8_t)42) +#define SD_CMD_SD_APP_SEND_SCR ((uint8_t)51) +#define SD_CMD_SDIO_RW_DIRECT ((uint8_t)52) +#define SD_CMD_SDIO_RW_EXTENDED ((uint8_t)53) + + +#define SD_RESP_NO 0 //0 ÎÞÏìÓ¦ +#define SD_RESP_32b 2 //2 32λÏìÓ¦ +#define SD_RESP_128b 1 //1 128λÏìÓ¦ +#define SD_RESP_32b_busy 3 //3 32λÏìÓ¦£¬check Busy after response + +#define SD_CLK_400KHz 0 +#define SD_CLK_20MHz 1 + +#define SD_BUSWIDTH_1b 0 +#define SD_BUSWIDTH_4b 2 + +#define SD_RES_OK 0 +#define SD_RES_ERR 1 + + +typedef struct +{ + __IO uint8_t CSDStruct; // CSD structure + __IO uint8_t SysSpecVersion; // System specification version + __IO uint8_t Reserved1; // Reserved + __IO uint8_t TAAC; // Data read access-time 1 + __IO uint8_t NSAC; // Data read access-time 2 in CLK cycles + __IO uint8_t MaxBusClkFrec; // Max. bus clock frequency + __IO uint16_t CardComdClasses; //< Card command classes + __IO uint8_t RdBlockLen; // Max. read data block length + __IO uint8_t PartBlockRead; // Partial blocks for read allowed + __IO uint8_t WrBlockMisalign; // Write block misalignment + __IO uint8_t RdBlockMisalign; // Read block misalignment + __IO uint8_t DSRImpl; // DSR implemented + __IO uint8_t Reserved2; // Reserved + __IO uint32_t DeviceSize; // Device Size + __IO uint8_t MaxRdCurrentVDDMin; // Max. read current @ VDD min + __IO uint8_t MaxRdCurrentVDDMax; // Max. read current @ VDD max + __IO uint8_t MaxWrCurrentVDDMin; // Max. write current @ VDD min + __IO uint8_t MaxWrCurrentVDDMax; // Max. write current @ VDD max + __IO uint8_t DeviceSizeMul; // Device size multiplier + __IO uint8_t EraseGrSize; // Erase group size + __IO uint8_t EraseGrMul; // Erase group size multiplier + __IO uint8_t WrProtectGrSize; // Write protect group size + __IO uint8_t WrProtectGrEnable; // Write protect group enable + __IO uint8_t ManDeflECC; // Manufacturer default ECC + __IO uint8_t WrSpeedFact; // Write speed factor + __IO uint8_t MaxWrBlockLen; // Max. write data block length + __IO uint8_t WriteBlockPaPartial; // Partial blocks for write allowed + __IO uint8_t Reserved3; // Reserded + __IO uint8_t ContentProtectAppli; // Content protection application + __IO uint8_t FileFormatGrouop; // File format group + __IO uint8_t CopyFlag; // Copy flag (OTP) + __IO uint8_t PermWrProtect; // Permanent write protection + __IO uint8_t TempWrProtect; // Temporary write protection + __IO uint8_t FileFormat; // File Format + __IO uint8_t ECC; // ECC code +} SD_CSD; + +typedef struct +{ + __IO uint8_t ManufacturerID; // ManufacturerID + __IO uint16_t OEM_AppliID; // OEM/Application ID + __IO uint32_t ProdName1; // Product Name part1 + __IO uint8_t ProdName2; // Product Name part2 + __IO uint8_t ProdRev; // Product Revision + __IO uint32_t ProdSN; // Product Serial Number + __IO uint8_t Reserved1; // Reserved1 + __IO uint16_t ManufactDate; // Manufacturing Date +} SD_CID; + + +#define SDIO_STD_CAPACITY_SD_CARD_V1_1 ((uint32_t)0x00000000) +#define SDIO_STD_CAPACITY_SD_CARD_V2_0 ((uint32_t)0x00000001) +#define SDIO_HIGH_CAPACITY_SD_CARD ((uint32_t)0x00000002) +#define SDIO_MULTIMEDIA_CARD ((uint32_t)0x00000003) +#define SDIO_SECURE_DIGITAL_IO_CARD ((uint32_t)0x00000004) +#define SDIO_HIGH_SPEED_MULTIMEDIA_CARD ((uint32_t)0x00000005) +#define SDIO_SECURE_DIGITAL_IO_COMBO_CARD ((uint32_t)0x00000006) +#define SDIO_HIGH_CAPACITY_MMC_CARD ((uint32_t)0x00000007) + + +typedef struct +{ + SD_CSD SD_csd; + SD_CID SD_cid; + uint64_t CardCapacity; // Card Capacity + uint32_t CardBlockSize; // Card Block Size + uint16_t RCA; + uint8_t CardType; +} SD_CardInfo; + + +extern SD_CardInfo SD_cardInfo; + +uint32_t SDIO_Init(void); +void SDIO_BlockWrite(uint32_t block_addr, uint32_t buff[]); +void SDIO_BlockRead(uint32_t block_addr, uint32_t buff[]); + +void SDIO_SendCmd(uint32_t cmd, uint32_t arg, uint32_t resp_type, uint32_t *resp_data, uint32_t have_data, uint32_t data_read); + +void parseCID(uint32_t CID_Tab[4]); +void parseCSD(uint32_t CID_Tab[4]); + +uint32_t calcSDCLKDiv(uint32_t freq_sel); + +#endif //__SWM320_SDIO_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdram.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdram.c new file mode 100644 index 0000000000..f07af4ee51 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdram.c @@ -0,0 +1,58 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_sdram.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄSDRAMÇý¶¯³ÌÐò +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_sdram.h" + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SDRAM_Init() +* ¹¦ÄÜ˵Ã÷: SDRAM¿ØÖÆÆ÷³õʼ»¯ +* Êä Èë: SDRAM_InitStructure * initStruct °üº¬NOR Flash¿ØÖÆÆ÷Ïà¹ØÉ趨ֵµÄ½á¹¹Ìå +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SDRAM_Init(SDRAM_InitStructure *initStruct) +{ + SYS->CLKEN |= (1 << SYS_CLKEN_SDRAM_Pos); + + SYS->CLKDIV &= ~SYS_CLKDIV_SDRAM_Msk; + SYS->CLKDIV |= (1 << SYS_CLKDIV_SDRAM_Pos); //2·ÖƵ + + SDRAMC->CR0 = (2 << SDRAMC_CR0_BURSTLEN_Pos) | //2 Burst LengthΪ4 + (2 << SDRAMC_CR0_CASDELAY_Pos); + + SDRAMC->CR1 = (initStruct->CellSize << SDRAMC_CR1_CELLSIZE_Pos) | + ((initStruct->CellWidth == 16 ? 0 : 1) << SDRAMC_CR1_CELL32BIT_Pos) | + (initStruct->CellBank << SDRAMC_CR1_BANK_Pos) | + ((initStruct->DataWidth == 16 ? 0 : 1) << SDRAMC_CR1_32BIT_Pos) | + (7 << SDRAMC_CR1_TMRD_Pos) | + (3 << SDRAMC_CR1_TRRD_Pos) | + (7 << SDRAMC_CR1_TRAS_Pos) | + (8 << SDRAMC_CR1_TRC_Pos) | + (3 << SDRAMC_CR1_TRCD_Pos) | + (3 << SDRAMC_CR1_TRP_Pos); + + SDRAMC->LATCH = 0x02; + + SDRAMC->REFRESH = (1 << SDRAMC_REFRESH_EN_Pos) | + (0x0FA << SDRAMC_REFRESH_RATE_Pos); + + while (SDRAMC->REFDONE == 0); +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdram.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdram.h new file mode 100644 index 0000000000..c10d82fc12 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_sdram.h @@ -0,0 +1,23 @@ +#ifndef __SWM320_SDRAM_H__ +#define __SWM320_SDRAM_H__ + +typedef struct +{ + uint8_t DataWidth; // 16¡¢32 + + uint8_t CellSize; // SDRAM¿ÅÁ£µÄÈÝÁ¿ + uint8_t CellBank; // SDRAM¿ÅÁ£Óм¸¸öbank + uint8_t CellWidth; // SDRAM¿ÅÁ£µÄλ¿í 16¡¢32 +} SDRAM_InitStructure; + +#define SDRAM_CELLSIZE_16Mb 3 +#define SDRAM_CELLSIZE_64Mb 0 +#define SDRAM_CELLSIZE_128Mb 1 +#define SDRAM_CELLSIZE_256Mb 2 + +#define SDRAM_CELLBANK_2 0 +#define SDRAM_CELLBANK_4 1 + +void SDRAM_Init(SDRAM_InitStructure *initStruct); + +#endif //__SWM320_SDRAM_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_spi.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_spi.c new file mode 100644 index 0000000000..6c88ada224 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_spi.c @@ -0,0 +1,447 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_spi.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄSPI¹¦ÄÜÇý¶¯¿â +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_spi.h" + + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_Init() +* ¹¦ÄÜ˵Ã÷: SPIͬ²½´®Ðнӿڳõʼ»¯£¬°üÀ¨Ö¡³¤¶ÈÉ趨¡¢Ê±ÐòÉ趨¡¢ËÙ¶ÈÉ趨¡¢ÖжÏÉ趨¡¢FIFO´¥·¢É趨 +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* SPI_InitStructure * initStruct °üº¬SPIÏà¹ØÉ趨ֵµÄ½á¹¹Ìå +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_Init(SPI_TypeDef *SPIx, SPI_InitStructure *initStruct) +{ + switch ((uint32_t)SPIx) + { + case ((uint32_t)SPI0): + SYS->CLKEN |= (0x01 << SYS_CLKEN_SPI0_Pos); + break; + + case ((uint32_t)SPI1): + SYS->CLKEN |= (0x01 << SYS_CLKEN_SPI0_Pos); //ÓëSPI0ʹÓÃͬһλʱÖÓʹÄÜ + break; + } + + SPI_Close(SPIx); //һЩ¹Ø¼ü¼Ä´æÆ÷Ö»ÄÜÔÚSPI¹Ø±ÕʱÉèÖà + + SPIx->CTRL &= ~(SPI_CTRL_FFS_Msk | SPI_CTRL_CPHA_Msk | SPI_CTRL_CPOL_Msk | + SPI_CTRL_SIZE_Msk | SPI_CTRL_MSTR_Msk | SPI_CTRL_CLKDIV_Msk | SPI_CTRL_SSN_H_Msk); + SPIx->CTRL |= (initStruct->FrameFormat << SPI_CTRL_FFS_Pos) | + (initStruct->SampleEdge << SPI_CTRL_CPHA_Pos) | + (initStruct->IdleLevel << SPI_CTRL_CPOL_Pos) | + ((initStruct->WordSize - 1) << SPI_CTRL_SIZE_Pos) | + (initStruct->Master << SPI_CTRL_MSTR_Pos) | + (initStruct->clkDiv << SPI_CTRL_CLKDIV_Pos) | + (0 << SPI_CTRL_SSN_H_Pos); + + SPIx->IF = (0x01 << SPI_IF_RFOVF_Pos); //Çå³ýÖжϱêÖ¾ + SPIx->IE &= ~(SPI_IE_RFHF_Msk | SPI_IE_TFHF_Msk | SPI_IE_FTC_Msk); + SPIx->IE |= (initStruct->RXHFullIEn << SPI_IE_RFHF_Pos) | + (initStruct->TXEmptyIEn << SPI_IE_TFHF_Pos) | + (initStruct->TXCompleteIEn << SPI_IE_FTC_Pos); + + switch ((uint32_t)SPIx) + { + case ((uint32_t)SPI0): + if (initStruct->RXHFullIEn | initStruct->TXEmptyIEn | initStruct->TXCompleteIEn) + { + NVIC_EnableIRQ(SPI0_IRQn); + } + else + { + NVIC_DisableIRQ(SPI0_IRQn); + } + break; + + case ((uint32_t)SPI1): + if (initStruct->RXHFullIEn | initStruct->TXEmptyIEn | initStruct->TXCompleteIEn) + { + NVIC_EnableIRQ(SPI1_IRQn); + } + else + { + NVIC_DisableIRQ(SPI1_IRQn); + } + break; + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_Open() +* ¹¦ÄÜ˵Ã÷: SPI´ò¿ª£¬ÔÊÐíÊÕ·¢ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_Open(SPI_TypeDef *SPIx) +{ + SPIx->CTRL |= (0x01 << SPI_CTRL_EN_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_Close() +* ¹¦ÄÜ˵Ã÷: SPI¹Ø±Õ£¬½ûÖ¹ÊÕ·¢ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_Close(SPI_TypeDef *SPIx) +{ + SPIx->CTRL &= ~SPI_CTRL_EN_Msk; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_Read() +* ¹¦ÄÜ˵Ã÷: ¶ÁÈ¡Ò»¸öÊý¾Ý +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: uint32_t ¶ÁÈ¡µ½µÄÊý¾Ý +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t SPI_Read(SPI_TypeDef *SPIx) +{ + return SPIx->DATA; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_Write() +* ¹¦ÄÜ˵Ã÷: дÈëÒ»¸öÊý¾Ý +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* uint32_t ҪдÈëµÄÊý¾Ý +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_Write(SPI_TypeDef *SPIx, uint32_t data) +{ + SPIx->DATA = data; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_WriteWithWait() +* ¹¦ÄÜ˵Ã÷: дÈëÒ»¸öÊý¾Ý²¢µÈ´ýÊý¾ÝÍêÈ«·¢ËͳöÈ¥ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1¡¢SPI1 +* uint32_t ҪдÈëµÄÊý¾Ý +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_WriteWithWait(SPI_TypeDef *SPIx, uint32_t data) +{ + SPIx->STAT |= (1 << SPI_STAT_WTC_Pos); + + SPIx->DATA = data; + + while ((SPIx->STAT & SPI_STAT_WTC_Msk) == 0); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_ReadWrite() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍÒ»¸öÊý¾Ý£¬²¢·µ»Ø·¢Ë͹ý³ÌÖнÓÊÕµ½µÄ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* uint32_t data Òª·¢Ë͵ÄÊý¾Ý +* Êä ³ö: uint32_t ½ÓÊÕµ½µÄÊý¾Ý +* ×¢ÒâÊÂÏî: ¶ÔÓÚͬһ¸öSPIÄ£¿é£¬´Ëº¯Êý²»Ó¦ÓëSPI_Write()»ì×ÅÓã¬ÒòΪSPI_Write()²»Çå³ýSPI_STAT_RFNE״̬ +******************************************************************************************************************************************/ +uint32_t SPI_ReadWrite(SPI_TypeDef *SPIx, uint32_t data) +{ + SPIx->DATA = data; + while (!(SPIx->STAT & SPI_STAT_RFNE_Msk)); + + return SPIx->DATA; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_IsRXEmpty() +* ¹¦ÄÜ˵Ã÷: ½ÓÊÕFIFOÊÇ·ñ¿Õ£¬Èç¹û²»¿ÕÔò¿ÉÒÔ¼ÌÐøSPI_Read() +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: uint32_t 1 ½ÓÊÕFIFO¿Õ 0 ½ÓÊÕFIFO·Ç¿Õ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t SPI_IsRXEmpty(SPI_TypeDef *SPIx) +{ + return (SPIx->STAT & SPI_STAT_RFNE_Msk) ? 0 : 1; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_IsTXFull() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFOÊÇ·ñÂú£¬Èç¹û²»ÂúÔò¿ÉÒÔ¼ÌÐøSPI_Write() +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: uint32_t 1 ·¢ËÍFIFOÂú 0 ·¢ËÍFIFO²»Âú +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t SPI_IsTXFull(SPI_TypeDef *SPIx) +{ + return (SPIx->STAT & SPI_STAT_TFNF_Msk) ? 0 : 1; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_IsTXEmpty() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFOÊÇ·ñ¿Õ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: uint32_t 1 ·¢ËÍFIFO¿Õ 0 ·¢ËÍFIFO·Ç¿Õ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t SPI_IsTXEmpty(SPI_TypeDef *SPIx) +{ + return (SPIx->STAT & SPI_STAT_TFE_Msk) ? 1 : 0; +} + + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTRXHalfFullEn() +* ¹¦ÄÜ˵Ã÷: ½ÓÊÕFIFO°ëÂúÖжÏʹÄÜ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_INTRXHalfFullEn(SPI_TypeDef *SPIx) +{ + SPIx->IE |= (0x01 << SPI_IE_RFHF_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTRXHalfFullDis() +* ¹¦ÄÜ˵Ã÷: ½ÓÊÕFIFO°ëÂúÖжϽûÖ¹ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_INTRXHalfFullDis(SPI_TypeDef *SPIx) +{ + SPIx->IE &= ~(0x01 << SPI_IE_RFHF_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTRXHalfFullStat() +* ¹¦ÄÜ˵Ã÷: ½ÓÊÕFIFO°ëÂúÖжÏ״̬ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: uint32_t 1 ½ÓÊÕFIFO´ïµ½°ëÂú 0 ½ÓÊÕFIFOδ´ïµ½°ëÂú +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t SPI_INTRXHalfFullStat(SPI_TypeDef *SPIx) +{ + return (SPIx->IF & SPI_IF_RFHF_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTRXFullEn() +* ¹¦ÄÜ˵Ã÷: ½ÓÊÕFIFOÂúÖжÏʹÄÜ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_INTRXFullEn(SPI_TypeDef *SPIx) +{ + SPIx->IE |= (0x01 << SPI_IE_RFF_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTRXFullDis() +* ¹¦ÄÜ˵Ã÷: ½ÓÊÕFIFOÂúÖжϽûÖ¹ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_INTRXFullDis(SPI_TypeDef *SPIx) +{ + SPIx->IE &= ~(0x01 << SPI_IE_RFF_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTRXFullStat() +* ¹¦ÄÜ˵Ã÷: ½ÓÊÕFIFOÂúÖжÏ״̬ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: uint32_t 1 ½ÓÊÕFIFOÂú 0 ½ÓÊÕFIFOδÂú +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t SPI_INTRXFullStat(SPI_TypeDef *SPIx) +{ + return (SPIx->IF & SPI_IF_RFF_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTRXOverflowEn() +* ¹¦ÄÜ˵Ã÷: ½ÓÊÕFIFOÒç³öÖжÏʹÄÜ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_INTRXOverflowEn(SPI_TypeDef *SPIx) +{ + SPIx->IE |= (0x01 << SPI_IE_RFOVF_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTRXOverflowDis() +* ¹¦ÄÜ˵Ã÷: ½ÓÊÕFIFOÒç³öÖжϽûÖ¹ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_INTRXOverflowDis(SPI_TypeDef *SPIx) +{ + SPIx->IE &= ~(0x01 << SPI_IE_RFOVF_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTRXOverflowClr() +* ¹¦ÄÜ˵Ã÷: ½ÓÊÕFIFOÒç³öÖжϱêÖ¾Çå³ý +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_INTRXOverflowClr(SPI_TypeDef *SPIx) +{ + SPIx->IF = (0x01 << SPI_IF_RFOVF_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTRXOverflowStat() +* ¹¦ÄÜ˵Ã÷: ½ÓÊÕFIFOÒç³öÖжÏ״̬ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: uint32_t 1 ½ÓÊÕFIFOÒç³ö 0 ½ÓÊÕFIFOδÒç³ö +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t SPI_INTRXOverflowStat(SPI_TypeDef *SPIx) +{ + return (SPIx->IF & SPI_IF_RFOVF_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTTXHalfFullEn() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFO°ëÂúÖжÏʹÄÜ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_INTTXHalfFullEn(SPI_TypeDef *SPIx) +{ + SPIx->IE |= (0x01 << SPI_IE_TFHF_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTTXHalfFullDis() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFO°ëÂúÖжϽûÖ¹ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_INTTXHalfFullDis(SPI_TypeDef *SPIx) +{ + SPIx->IE &= ~(0x01 << SPI_IE_TFHF_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTTXHalfFullStat() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFO°ëÂúÖжÏ״̬ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: uint32_t 1 ·¢ËÍFIFO´ïµ½°ëÂú 0 ·¢ËÍFIFOδ´ïµ½°ëÂú +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t SPI_INTTXHalfFullStat(SPI_TypeDef *SPIx) +{ + return (SPIx->IF & SPI_IF_TFHF_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTTXEmptyEn() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFO¿ÕÖжÏʹÄÜ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_INTTXEmptyEn(SPI_TypeDef *SPIx) +{ + SPIx->IE |= (0x01 << SPI_IE_TFE_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTTXEmptyDis() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFO¿ÕÖжϽûÖ¹ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_INTTXEmptyDis(SPI_TypeDef *SPIx) +{ + SPIx->IE &= ~(0x01 << SPI_IE_TFE_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTTXEmptyStat() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFO¿ÕÖжÏ״̬ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: uint32_t 1 ·¢ËÍFIFO¿Õ 0 ·¢ËÍFIFO·Ç¿Õ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t SPI_INTTXEmptyStat(SPI_TypeDef *SPIx) +{ + return (SPIx->IF & SPI_IF_TFE_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTTXCompleteEn() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFO¿ÕÇÒ·¢ËÍÒÆλ¼Ä´æÆ÷¿ÕÖжÏʹÄÜ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_INTTXCompleteEn(SPI_TypeDef *SPIx) +{ + SPIx->IE |= (0x01 << SPI_IE_FTC_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTTXCompleteDis() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFO¿ÕÇÒ·¢ËÍÒÆλ¼Ä´æÆ÷¿ÕÖжϽûÖ¹ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_INTTXCompleteDis(SPI_TypeDef *SPIx) +{ + SPIx->IE &= ~(0x01 << SPI_IE_FTC_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTTXCompleteClr() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFO¿ÕÇÒ·¢ËÍÒÆλ¼Ä´æÆ÷¿ÕÖжÏ״̬Çå³ý +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void SPI_INTTXCompleteClr(SPI_TypeDef *SPIx) +{ + SPIx->IF = (1 << SPI_IF_FTC_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: SPI_INTTXCompleteStat() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFO¿ÕÇÒ·¢ËÍÒÆλ¼Ä´æÆ÷¿ÕÖжÏ״̬ +* Êä Èë: SPI_TypeDef * SPIx Ö¸¶¨Òª±»ÉèÖõÄSPI£¬ÓÐЧֵ°üÀ¨SPI0¡¢SPI1 +* Êä ³ö: uint32_t 1 ·¢ËÍFIFO¿ÕÇÒ·¢ËÍÒÆλ¼Ä´æÆ÷¿Õ 0 ·¢ËÍFIFO»ò·¢ËÍÒÆλ¼Ä´æÆ÷·Ç¿Õ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t SPI_INTTXCompleteStat(SPI_TypeDef *SPIx) +{ + return (SPIx->IF & SPI_IF_FTC_Msk) ? 1 : 0; +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_spi.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_spi.h new file mode 100644 index 0000000000..3230c4a774 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_spi.h @@ -0,0 +1,75 @@ +#ifndef __SWM320_SPI_H__ +#define __SWM320_SPI_H__ + +typedef struct +{ + uint8_t FrameFormat; //Ö¡¸ñʽ£ºSPI_FORMAT_SPI¡¢SPI_FORMAT_TI_SSI + uint8_t SampleEdge; //ÔÚSPIÖ¡¸ñʽÏ£¬Ñ¡ÔñÊý¾Ý²ÉÑù±ßÑØ£ºSPI_FIRST_EDGE¡¢SPI_SECOND_EDGE + uint8_t IdleLevel; //ÔÚSPIÖ¡¸ñʽÏ£¬Ñ¡Ôñ¿ÕÏÐʱ£¨ÎÞÊý¾Ý´«Êäʱ£©Ê±ÖÓÏߵĵçƽ£ºSPI_LOW_LEVEL¡¢SPI_HIGH_LEVEL + uint8_t WordSize; //×Ö³¤¶È, ÓÐЧֵ4-16 + uint8_t Master; //1 Ö÷»úģʽ 0 ´Ó»úģʽ + uint8_t clkDiv; //SPI_CLK = SYS_CLK / clkDiv£¬ÓÐЧֵ£ºSPI_CLKDIV_4¡¢SPI_CLKDIV_8¡¢... ... ¡¢SPI_CLKDIV_512 + + uint8_t RXHFullIEn; //½ÓÊÕFIFO°ëÂúÖжÏʹÄÜ + uint8_t TXEmptyIEn; //·¢ËÍFIFO ¿ÕÖжÏʹÄÜ + uint8_t TXCompleteIEn; //·¢ËÍFIFO ¿ÕÇÒ·¢ËÍÒÆλ¼Ä´æÆ÷¿ÕÖжÏʹÄÜ +} SPI_InitStructure; + +#define SPI_FORMAT_SPI 0 //Motorola SPI ¸ñʽ +#define SPI_FORMAT_TI_SSI 1 //TI SSI ¸ñʽ + +#define SPI_FIRST_EDGE 0 //µÚÒ»¸öʱÖÓÑØ¿ªÊ¼²ÉÑù +#define SPI_SECOND_EDGE 1 //µÚ¶þ¸öʱÖÓÑØ¿ªÊ¼²ÉÑù + +#define SPI_LOW_LEVEL 0 //¿ÕÏÐʱʱÖÓÏß±£³ÖµÍµçƽ +#define SPI_HIGH_LEVEL 1 //¿ÕÏÐʱʱÖÓÏß±£³Ö¸ßµçƽ + +#define SPI_CLKDIV_4 0 +#define SPI_CLKDIV_8 1 +#define SPI_CLKDIV_16 2 +#define SPI_CLKDIV_32 3 +#define SPI_CLKDIV_64 4 +#define SPI_CLKDIV_128 5 +#define SPI_CLKDIV_256 6 +#define SPI_CLKDIV_512 7 + + + +void SPI_Init(SPI_TypeDef *SPIx, SPI_InitStructure *initStruct); //SPI³õʼ»¯ +void SPI_Open(SPI_TypeDef *SPIx); //SPI´ò¿ª£¬ÔÊÐíÊÕ·¢ +void SPI_Close(SPI_TypeDef *SPIx); //SPI¹Ø±Õ£¬½ûÖ¹ÊÕ·¢ + +uint32_t SPI_Read(SPI_TypeDef *SPIx); +void SPI_Write(SPI_TypeDef *SPIx, uint32_t data); +void SPI_WriteWithWait(SPI_TypeDef *SPIx, uint32_t data); +uint32_t SPI_ReadWrite(SPI_TypeDef *SPIx, uint32_t data); + +uint32_t SPI_IsRXEmpty(SPI_TypeDef *SPIx); //½ÓÊÕFIFOÊÇ·ñ¿Õ£¬Èç¹û²»¿ÕÔò¿ÉÒÔ¼ÌÐøSPI_Read() +uint32_t SPI_IsTXFull(SPI_TypeDef *SPIx); //·¢ËÍFIFOÊÇ·ñÂú£¬Èç¹û²»ÂúÔò¿ÉÒÔ¼ÌÐøSPI_Write() +uint32_t SPI_IsTXEmpty(SPI_TypeDef *SPIx); //·¢ËÍFIFOÊÇ·ñ¿Õ + + +void SPI_INTRXHalfFullEn(SPI_TypeDef *SPIx); +void SPI_INTRXHalfFullDis(SPI_TypeDef *SPIx); +uint32_t SPI_INTRXHalfFullStat(SPI_TypeDef *SPIx); +void SPI_INTRXFullEn(SPI_TypeDef *SPIx); +void SPI_INTRXFullDis(SPI_TypeDef *SPIx); +uint32_t SPI_INTRXFullStat(SPI_TypeDef *SPIx); +void SPI_INTRXOverflowEn(SPI_TypeDef *SPIx); +void SPI_INTRXOverflowDis(SPI_TypeDef *SPIx); +void SPI_INTRXOverflowClr(SPI_TypeDef *SPIx); +uint32_t SPI_INTRXOverflowStat(SPI_TypeDef *SPIx); + +void SPI_INTTXHalfFullEn(SPI_TypeDef *SPIx); +void SPI_INTTXHalfFullDis(SPI_TypeDef *SPIx); +uint32_t SPI_INTTXHalfFullStat(SPI_TypeDef *SPIx); +void SPI_INTTXEmptyEn(SPI_TypeDef *SPIx); +void SPI_INTTXEmptyDis(SPI_TypeDef *SPIx); +uint32_t SPI_INTTXEmptyStat(SPI_TypeDef *SPIx); +void SPI_INTTXCompleteEn(SPI_TypeDef *SPIx); +void SPI_INTTXCompleteDis(SPI_TypeDef *SPIx); +void SPI_INTTXCompleteClr(SPI_TypeDef *SPIx); +uint32_t SPI_INTTXCompleteStat(SPI_TypeDef *SPIx); + + +#endif //__SWM320_SPI_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_timr.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_timr.c new file mode 100644 index 0000000000..769cc2e779 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_timr.c @@ -0,0 +1,381 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_timr.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄ¼ÆÊýÆ÷/¶¨Ê±Æ÷¹¦ÄÜÇý¶¯¿â +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_timr.h" + + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: TIMR_Init() +* ¹¦ÄÜ˵Ã÷: TIMR¶¨Ê±Æ÷/¼ÆÊýÆ÷³õʼ»¯ +* Êä Èë: TIMR_TypeDef * TIMRx Ö¸¶¨Òª±»ÉèÖõĶ¨Ê±Æ÷£¬ÓÐЧֵ°üÀ¨TIMR0¡¢TIMR1¡¢TIMR2¡¢TIMR3¡¢TIMR4¡¢TIMR5 +* uint32_t mode TIMR_MODE_TIMER ¶¨Ê±Æ÷ģʽ TIMR_MODE_COUNTER ¼ÆÊýÆ÷ģʽ +* uint32_t period ¶¨Ê±/¼ÆÊýÖÜÆÚ +* uint32_t int_en ÖжÏʹÄÜ +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void TIMR_Init(TIMR_TypeDef *TIMRx, uint32_t mode, uint32_t period, uint32_t int_en) +{ + SYS->CLKEN |= (0x01 << SYS_CLKEN_TIMR_Pos); + + TIMR_Stop(TIMRx); //һЩ¹Ø¼ü¼Ä´æÆ÷Ö»ÄÜÔÚ¶¨Ê±Æ÷ֹͣʱÉèÖà + + TIMRx->CTRL &= ~TIMR_CTRL_CLKSRC_Msk; + TIMRx->CTRL |= mode << TIMR_CTRL_CLKSRC_Pos; + + TIMRx->LDVAL = period; + + switch ((uint32_t)TIMRx) + { + case ((uint32_t)TIMR0): + TIMRG->IF = (1 << TIMRG_IF_TIMR0_Pos); //ʹÄÜÖжÏÇ°Çå³ýÖжϱêÖ¾ + TIMRG->IE &= ~TIMRG_IE_TIMR0_Msk; + TIMRG->IE |= (int_en << TIMRG_IE_TIMR0_Pos); + + if (int_en) NVIC_EnableIRQ(TIMR0_IRQn); + break; + + case ((uint32_t)TIMR1): + TIMRG->IF = (1 << TIMRG_IF_TIMR1_Pos); + TIMRG->IE &= ~TIMRG_IE_TIMR1_Msk; + TIMRG->IE |= (int_en << TIMRG_IE_TIMR1_Pos); + + if (int_en) NVIC_EnableIRQ(TIMR1_IRQn); + break; + + case ((uint32_t)TIMR2): + TIMRG->IF = (1 << TIMRG_IF_TIMR2_Pos); + TIMRG->IE &= ~TIMRG_IE_TIMR2_Msk; + TIMRG->IE |= (int_en << TIMRG_IE_TIMR2_Pos); + + if (int_en) NVIC_EnableIRQ(TIMR2_IRQn); + break; + + case ((uint32_t)TIMR3): + TIMRG->IF = (1 << TIMRG_IF_TIMR3_Pos); + TIMRG->IE &= ~TIMRG_IE_TIMR3_Msk; + TIMRG->IE |= (int_en << TIMRG_IE_TIMR3_Pos); + + if (int_en) NVIC_EnableIRQ(TIMR3_IRQn); + break; + + case ((uint32_t)TIMR4): + TIMRG->IF = (1 << TIMRG_IF_TIMR4_Pos); + TIMRG->IE &= ~TIMRG_IE_TIMR4_Msk; + TIMRG->IE |= (int_en << TIMRG_IE_TIMR4_Pos); + + if (int_en) NVIC_EnableIRQ(TIMR4_IRQn); + break; + + case ((uint32_t)TIMR5): + TIMRG->IF = (1 << TIMRG_IF_TIMR5_Pos); + TIMRG->IE &= ~TIMRG_IE_TIMR5_Msk; + TIMRG->IE |= (int_en << TIMRG_IE_TIMR5_Pos); + + if (int_en) NVIC_EnableIRQ(TIMR5_IRQn); + break; + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: TIMR_Start() +* ¹¦ÄÜ˵Ã÷: Æô¶¯¶¨Ê±Æ÷£¬´Ó³õʼֵ¿ªÊ¼¼Æʱ/¼ÆÊý +* Êä Èë: TIMR_TypeDef * TIMRx Ö¸¶¨Òª±»ÉèÖõĶ¨Ê±Æ÷£¬¿ÉÈ¡Öµ°üÀ¨TIMR0¡¢TIMR1¡¢TIMR2¡¢TIMR3¡¢TIMR4¡¢TIMR5 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void TIMR_Start(TIMR_TypeDef *TIMRx) +{ + TIMRx->CTRL |= TIMR_CTRL_EN_Msk; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: TIMR_Stop() +* ¹¦ÄÜ˵Ã÷: Í£Ö¹¶¨Ê±Æ÷ +* Êä Èë: TIMR_TypeDef * TIMRx Ö¸¶¨Òª±»ÉèÖõĶ¨Ê±Æ÷£¬¿ÉÈ¡Öµ°üÀ¨TIMR0¡¢TIMR1¡¢TIMR2¡¢TIMR3¡¢TIMR4¡¢TIMR5 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void TIMR_Stop(TIMR_TypeDef *TIMRx) +{ + TIMRx->CTRL &= ~TIMR_CTRL_EN_Msk; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: TIMR_Halt() +* ¹¦ÄÜ˵Ã÷: ÔÝÍ£¶¨Ê±Æ÷£¬¼ÆÊýÖµ±£³Ö²»±ä +* Êä Èë: TIMR_TypeDef * TIMRx Ö¸¶¨Òª±»ÉèÖõĶ¨Ê±Æ÷£¬¿ÉÈ¡Öµ°üÀ¨TIMR0¡¢TIMR1¡¢TIMR2¡¢TIMR3¡¢TIMR4¡¢TIMR5 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void TIMR_Halt(TIMR_TypeDef *TIMRx) +{ + switch ((uint32_t)TIMRx) + { + case ((uint32_t)TIMR0): + TIMRG->HALT |= (0x01 << TIMRG_HALT_TIMR0_Pos); + break; + + case ((uint32_t)TIMR1): + TIMRG->HALT |= (0x01 << TIMRG_HALT_TIMR1_Pos); + break; + + case ((uint32_t)TIMR2): + TIMRG->HALT |= (0x01 << TIMRG_HALT_TIMR2_Pos); + break; + + case ((uint32_t)TIMR3): + TIMRG->HALT |= (0x01 << TIMRG_HALT_TIMR3_Pos); + break; + + case ((uint32_t)TIMR4): + TIMRG->HALT |= (0x01 << TIMRG_HALT_TIMR4_Pos); + break; + + case ((uint32_t)TIMR5): + TIMRG->HALT |= (0x01 << TIMRG_HALT_TIMR5_Pos); + break; + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: TIMR_Resume() +* ¹¦ÄÜ˵Ã÷: »Ö¸´¶¨Ê±Æ÷£¬´ÓÔÝÍ£´¦¼ÌÐø¼ÆÊý +* Êä Èë: TIMR_TypeDef * TIMRx Ö¸¶¨Òª±»ÉèÖõĶ¨Ê±Æ÷£¬¿ÉÈ¡Öµ°üÀ¨TIMR0¡¢TIMR1¡¢TIMR2¡¢TIMR3¡¢TIMR4¡¢TIMR5 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void TIMR_Resume(TIMR_TypeDef *TIMRx) +{ + switch ((uint32_t)TIMRx) + { + case ((uint32_t)TIMR0): + TIMRG->HALT &= ~(0x01 << TIMRG_HALT_TIMR0_Pos); + break; + + case ((uint32_t)TIMR1): + TIMRG->HALT &= ~(0x01 << TIMRG_HALT_TIMR1_Pos); + break; + + case ((uint32_t)TIMR2): + TIMRG->HALT &= ~(0x01 << TIMRG_HALT_TIMR2_Pos); + break; + + case ((uint32_t)TIMR3): + TIMRG->HALT &= ~(0x01 << TIMRG_HALT_TIMR3_Pos); + break; + + case ((uint32_t)TIMR4): + TIMRG->HALT &= ~(0x01 << TIMRG_HALT_TIMR4_Pos); + break; + + case ((uint32_t)TIMR5): + TIMRG->HALT &= ~(0x01 << TIMRG_HALT_TIMR5_Pos); + break; + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: TIMR_SetPeriod() +* ¹¦ÄÜ˵Ã÷: ÉèÖö¨Ê±/¼ÆÊýÖÜÆÚ +* Êä Èë: TIMR_TypeDef * TIMRx Ö¸¶¨Òª±»ÉèÖõĶ¨Ê±Æ÷£¬¿ÉÈ¡Öµ°üÀ¨TIMR0¡¢TIMR1¡¢TIMR2¡¢TIMR3¡¢TIMR4¡¢TIMR5 +* uint32_t period ¶¨Ê±/¼ÆÊýÖÜÆÚ +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void TIMR_SetPeriod(TIMR_TypeDef *TIMRx, uint32_t period) +{ + TIMRx->LDVAL = period; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: TIMR_GetPeriod() +* ¹¦ÄÜ˵Ã÷: »ñÈ¡¶¨Ê±/¼ÆÊýÖÜÆÚ +* Êä Èë: TIMR_TypeDef * TIMRx Ö¸¶¨Òª±»ÉèÖõĶ¨Ê±Æ÷£¬¿ÉÈ¡Öµ°üÀ¨TIMR0¡¢TIMR1¡¢TIMR2¡¢TIMR3¡¢TIMR4¡¢TIMR5 +* Êä ³ö: uint32_t µ±Ç°¶¨Ê±/¼ÆÊýÖÜÆÚ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t TIMR_GetPeriod(TIMR_TypeDef *TIMRx) +{ + return TIMRx->LDVAL; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: TIMR_GetCurValue() +* ¹¦ÄÜ˵Ã÷: »ñÈ¡µ±Ç°¼ÆÊýÖµ +* Êä Èë: TIMR_TypeDef * TIMRx Ö¸¶¨Òª±»ÉèÖõĶ¨Ê±Æ÷£¬¿ÉÈ¡Öµ°üÀ¨TIMR0¡¢TIMR1¡¢TIMR2¡¢TIMR3¡¢TIMR4¡¢TIMR5 +* Êä ³ö: uint32_t µ±Ç°¼ÆÊýÖµ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t TIMR_GetCurValue(TIMR_TypeDef *TIMRx) +{ + return TIMRx->CVAL; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: TIMR_INTEn() +* ¹¦ÄÜ˵Ã÷: ʹÄÜÖÐ¶Ï +* Êä Èë: TIMR_TypeDef * TIMRx Ö¸¶¨Òª±»ÉèÖõĶ¨Ê±Æ÷£¬¿ÉÈ¡Öµ°üÀ¨TIMR0¡¢TIMR1¡¢TIMR2¡¢TIMR3¡¢TIMR4¡¢TIMR5 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void TIMR_INTEn(TIMR_TypeDef *TIMRx) +{ + switch ((uint32_t)TIMRx) + { + case ((uint32_t)TIMR0): + TIMRG->IE |= (0x01 << TIMRG_IE_TIMR0_Pos); + NVIC_EnableIRQ(TIMR0_IRQn); + break; + + case ((uint32_t)TIMR1): + TIMRG->IE |= (0x01 << TIMRG_IE_TIMR1_Pos); + NVIC_EnableIRQ(TIMR1_IRQn); + break; + + case ((uint32_t)TIMR2): + TIMRG->IE |= (0x01 << TIMRG_IE_TIMR2_Pos); + NVIC_EnableIRQ(TIMR2_IRQn); + break; + + case ((uint32_t)TIMR3): + TIMRG->IE |= (0x01 << TIMRG_IE_TIMR3_Pos); + NVIC_EnableIRQ(TIMR3_IRQn); + break; + + case ((uint32_t)TIMR4): + TIMRG->IE |= (0x01 << TIMRG_IE_TIMR4_Pos); + NVIC_EnableIRQ(TIMR4_IRQn); + break; + + case ((uint32_t)TIMR5): + TIMRG->IE |= (0x01 << TIMRG_IE_TIMR5_Pos); + NVIC_EnableIRQ(TIMR5_IRQn); + break; + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: TIMR_INTDis() +* ¹¦ÄÜ˵Ã÷: ½ûÄÜÖÐ¶Ï +* Êä Èë: TIMR_TypeDef * TIMRx Ö¸¶¨Òª±»ÉèÖõĶ¨Ê±Æ÷£¬¿ÉÈ¡Öµ°üÀ¨TIMR0¡¢TIMR1¡¢TIMR2¡¢TIMR3¡¢TIMR4¡¢TIMR5 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void TIMR_INTDis(TIMR_TypeDef *TIMRx) +{ + switch ((uint32_t)TIMRx) + { + case ((uint32_t)TIMR0): + TIMRG->IE &= ~(0x01 << TIMRG_IE_TIMR0_Pos); + break; + + case ((uint32_t)TIMR1): + TIMRG->IE &= ~(0x01 << TIMRG_IE_TIMR1_Pos); + break; + + case ((uint32_t)TIMR2): + TIMRG->IE &= ~(0x01 << TIMRG_IE_TIMR2_Pos); + break; + + case ((uint32_t)TIMR3): + TIMRG->IE &= ~(0x01 << TIMRG_IE_TIMR3_Pos); + break; + + case ((uint32_t)TIMR4): + TIMRG->IE &= ~(0x01 << TIMRG_IE_TIMR4_Pos); + break; + + case ((uint32_t)TIMR5): + TIMRG->IE &= ~(0x01 << TIMRG_IE_TIMR5_Pos); + break; + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: TIMR_INTClr() +* ¹¦ÄÜ˵Ã÷: Çå³ýÖжϱêÖ¾ +* Êä Èë: TIMR_TypeDef * TIMRx Ö¸¶¨Òª±»ÉèÖõĶ¨Ê±Æ÷£¬¿ÉÈ¡Öµ°üÀ¨TIMR0¡¢TIMR1¡¢TIMR2¡¢TIMR3¡¢TIMR4¡¢TIMR5 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void TIMR_INTClr(TIMR_TypeDef *TIMRx) +{ + switch ((uint32_t)TIMRx) + { + case ((uint32_t)TIMR0): + TIMRG->IF = (0x01 << TIMRG_IF_TIMR0_Pos); + break; + + case ((uint32_t)TIMR1): + TIMRG->IF = (0x01 << TIMRG_IF_TIMR1_Pos); + break; + + case ((uint32_t)TIMR2): + TIMRG->IF = (0x01 << TIMRG_IF_TIMR2_Pos); + break; + + case ((uint32_t)TIMR3): + TIMRG->IF = (0x01 << TIMRG_IF_TIMR3_Pos); + break; + + case ((uint32_t)TIMR4): + TIMRG->IF = (0x01 << TIMRG_IF_TIMR4_Pos); + break; + + case ((uint32_t)TIMR5): + TIMRG->IF = (0x01 << TIMRG_IF_TIMR5_Pos); + break; + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: TIMR_INTStat() +* ¹¦ÄÜ˵Ã÷: »ñÈ¡ÖжÏ״̬ +* Êä Èë: TIMR_TypeDef * TIMRx Ö¸¶¨Òª±»ÉèÖõĶ¨Ê±Æ÷£¬¿ÉÈ¡Öµ°üÀ¨TIMR0¡¢TIMR1¡¢TIMR2¡¢TIMR3¡¢TIMR4¡¢TIMR5 +* Êä ³ö: uint32_t 0 TIMRxδ²úÉúÖÐ¶Ï 1 TIMRx²úÉúÁËÖÐ¶Ï +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t TIMR_INTStat(TIMR_TypeDef *TIMRx) +{ + switch ((uint32_t)TIMRx) + { + case ((uint32_t)TIMR0): + return (TIMRG->IF & TIMRG_IF_TIMR0_Msk) ? 1 : 0; + + case ((uint32_t)TIMR1): + return (TIMRG->IF & TIMRG_IF_TIMR1_Msk) ? 1 : 0; + + case ((uint32_t)TIMR2): + return (TIMRG->IF & TIMRG_IF_TIMR2_Msk) ? 1 : 0; + + case ((uint32_t)TIMR3): + return (TIMRG->IF & TIMRG_IF_TIMR3_Msk) ? 1 : 0; + + case ((uint32_t)TIMR4): + return (TIMRG->IF & TIMRG_IF_TIMR4_Msk) ? 1 : 0; + + case ((uint32_t)TIMR5): + return (TIMRG->IF & TIMRG_IF_TIMR5_Msk) ? 1 : 0; + } + + return 0; +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_timr.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_timr.h new file mode 100644 index 0000000000..f92c0eaa73 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_timr.h @@ -0,0 +1,23 @@ +#ifndef __SWM320_TIMR_H__ +#define __SWM320_TIMR_H__ + +#define TIMR_MODE_TIMER 0 +#define TIMR_MODE_COUNTER 1 + +void TIMR_Init(TIMR_TypeDef *TIMRx, uint32_t mode, uint32_t period, uint32_t int_en); //¶¨Ê±Æ÷/¼ÆÊýÆ÷³õʼ»¯ +void TIMR_Start(TIMR_TypeDef *TIMRx); //Æô¶¯¶¨Ê±Æ÷£¬´Ó³õʼֵ¿ªÊ¼¼Æʱ/¼ÆÊý +void TIMR_Stop(TIMR_TypeDef *TIMRx); //Í£Ö¹¶¨Ê±Æ÷ +void TIMR_Halt(TIMR_TypeDef *TIMRx); //ÔÝÍ£¶¨Ê±Æ÷£¬¼ÆÊýÖµ±£³Ö²»±ä +void TIMR_Resume(TIMR_TypeDef *TIMRx); //»Ö¸´¶¨Ê±Æ÷£¬´ÓÔÝÍ£´¦¼ÌÐø¼ÆÊý + +void TIMR_SetPeriod(TIMR_TypeDef *TIMRx, uint32_t period); //ÉèÖö¨Ê±/¼ÆÊýÖÜÆÚ +uint32_t TIMR_GetPeriod(TIMR_TypeDef *TIMRx); //»ñÈ¡¶¨Ê±/¼ÆÊýÖÜÆÚ +uint32_t TIMR_GetCurValue(TIMR_TypeDef *TIMRx); //»ñÈ¡µ±Ç°¼ÆÊýÖµ + +void TIMR_INTEn(TIMR_TypeDef *TIMRx); //ʹÄÜÖÐ¶Ï +void TIMR_INTDis(TIMR_TypeDef *TIMRx); //½ûÄÜÖÐ¶Ï +void TIMR_INTClr(TIMR_TypeDef *TIMRx); //Çå³ýÖжϱêÖ¾ +uint32_t TIMR_INTStat(TIMR_TypeDef *TIMRx); //»ñÈ¡ÖжÏ״̬ + + +#endif //__SWM320_TIMR_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_uart.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_uart.c new file mode 100644 index 0000000000..46242efa01 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_uart.c @@ -0,0 +1,543 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_uart.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄUART´®¿Ú¹¦ÄÜÇý¶¯¿â +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: ûÓбàдLIN¹¦ÄÜÏà¹ØµÄº¯Êý +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_uart.h" + + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_Init() +* ¹¦ÄÜ˵Ã÷: UART´®¿Ú³õʼ»¯ +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* UART_InitStructure * initStruct °üº¬UART´®¿ÚÏà¹ØÉ趨ֵµÄ½á¹¹Ìå +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_Init(UART_TypeDef *UARTx, UART_InitStructure *initStruct) +{ + switch ((uint32_t)UARTx) + { + case ((uint32_t)UART0): + SYS->CLKEN |= (0x01 << SYS_CLKEN_UART0_Pos); + break; + + case ((uint32_t)UART1): + SYS->CLKEN |= (0x01 << SYS_CLKEN_UART1_Pos); + break; + + case ((uint32_t)UART2): + SYS->CLKEN |= (0x01 << SYS_CLKEN_UART2_Pos); + break; + + case ((uint32_t)UART3): + SYS->CLKEN |= (0x01 << SYS_CLKEN_UART3_Pos); + break; + } + + UART_Close(UARTx); //һЩ¹Ø¼ü¼Ä´æÆ÷Ö»ÄÜÔÚ´®¿Ú¹Ø±ÕʱÉèÖà + + UARTx->CTRL |= (0x01 << UART_CTRL_BAUDEN_Pos); + UARTx->BAUD &= ~UART_BAUD_BAUD_Msk; + UARTx->BAUD |= ((SystemCoreClock / 16 / initStruct->Baudrate - 1) << UART_BAUD_BAUD_Pos); + + UARTx->CTRL &= ~(UART_CTRL_DATA9b_Msk | UART_CTRL_PARITY_Msk | UART_CTRL_STOP2b_Msk); + UARTx->CTRL |= (initStruct->DataBits << UART_CTRL_DATA9b_Pos) | + (initStruct->Parity << UART_CTRL_PARITY_Pos) | + (initStruct->StopBits << UART_CTRL_STOP2b_Pos); + + UARTx->FIFO &= ~(UART_FIFO_RXTHR_Msk | UART_FIFO_TXTHR_Msk); + UARTx->FIFO |= (initStruct->RXThreshold << UART_FIFO_RXTHR_Pos) | + (initStruct->TXThreshold << UART_FIFO_TXTHR_Pos); + + UARTx->CTRL &= ~UART_CTRL_TOTIME_Msk; + UARTx->CTRL |= (initStruct->TimeoutTime << UART_CTRL_TOTIME_Pos); + + UARTx->CTRL &= ~(UART_CTRL_RXIE_Msk | UART_CTRL_TXIE_Msk | UART_CTRL_TOIE_Msk); + UARTx->CTRL |= (initStruct->RXThresholdIEn << UART_CTRL_RXIE_Pos) | + (initStruct->TXThresholdIEn << UART_CTRL_TXIE_Pos) | + (initStruct->TimeoutIEn << UART_CTRL_TOIE_Pos); + + switch ((uint32_t)UARTx) + { + case ((uint32_t)UART0): + if (initStruct->RXThresholdIEn | initStruct->TXThresholdIEn | initStruct->TimeoutIEn) + { + NVIC_EnableIRQ(UART0_IRQn); + } + else + { + NVIC_DisableIRQ(UART0_IRQn); + } + break; + + case ((uint32_t)UART1): + if (initStruct->RXThresholdIEn | initStruct->TXThresholdIEn | initStruct->TimeoutIEn) + { + NVIC_EnableIRQ(UART1_IRQn); + } + else + { + NVIC_DisableIRQ(UART1_IRQn); + } + break; + + case ((uint32_t)UART2): + if (initStruct->RXThresholdIEn | initStruct->TXThresholdIEn | initStruct->TimeoutIEn) + { + NVIC_EnableIRQ(UART2_IRQn); + } + else + { + NVIC_DisableIRQ(UART2_IRQn); + } + break; + + case ((uint32_t)UART3): + if (initStruct->RXThresholdIEn | initStruct->TXThresholdIEn | initStruct->TimeoutIEn) + { + NVIC_EnableIRQ(UART3_IRQn); + } + else + { + NVIC_DisableIRQ(UART3_IRQn); + } + break; + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_Open() +* ¹¦ÄÜ˵Ã÷: UART´®¿Ú´ò¿ª +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_Open(UART_TypeDef *UARTx) +{ + UARTx->CTRL |= (0x01 << UART_CTRL_EN_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_Close() +* ¹¦ÄÜ˵Ã÷: UART´®¿Ú¹Ø±Õ +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_Close(UART_TypeDef *UARTx) +{ + UARTx->CTRL &= ~(0x01 << UART_CTRL_EN_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_WriteByte() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍÒ»¸ö×Ö½ÚÊý¾Ý +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬¿ÉÈ¡Öµ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3¡¢UART4 +* uint8_t data Òª·¢Ë͵Ä×Ö½Ú +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_WriteByte(UART_TypeDef *UARTx, uint8_t data) +{ + UARTx->DATA = data; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_ReadByte() +* ¹¦ÄÜ˵Ã÷: ¶ÁÈ¡Ò»¸ö×Ö½ÚÊý¾Ý£¬²¢Ö¸³öÊý¾ÝÊÇ·ñValid +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬¿ÉÈ¡Öµ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3¡¢UART4 +* uint32_t * data ½ÓÊÕµ½µÄÊý¾Ý +* Êä ³ö: uint32_t 0 ÎÞ´íÎó UART_ERR_PARITY ÆæżУÑé´íÎó +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t UART_ReadByte(UART_TypeDef *UARTx, uint32_t *data) +{ + uint32_t reg = UARTx->DATA; + + *data = (reg & UART_DATA_DATA_Msk); + + if (reg & UART_DATA_PAERR_Msk) return UART_ERR_PARITY; + + return 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_IsTXBusy() +* ¹¦ÄÜ˵Ã÷: UARTÊÇ·ñÕýÔÚ·¢ËÍÊý¾Ý +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: uint32_t 1 UARTÕýÔÚ·¢ËÍÊý¾Ý 0 Êý¾ÝÒÑ·¢Íê +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t UART_IsTXBusy(UART_TypeDef *UARTx) +{ + return (UARTx->CTRL & UART_CTRL_TXIDLE_Msk) ? 0 : 1; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_IsRXFIFOEmpty() +* ¹¦ÄÜ˵Ã÷: ½ÓÊÕFIFOÊÇ·ñΪ¿Õ£¬Èç¹û²»¿ÕÔò˵Ã÷ÆäÖÐÓÐÊý¾Ý¿ÉÒÔ¶ÁÈ¡ +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: uint32_t 1 ½ÓÊÕFIFO¿Õ 0 ½ÓÊÕFIFO·Ç¿Õ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t UART_IsRXFIFOEmpty(UART_TypeDef *UARTx) +{ + return (UARTx->CTRL & UART_CTRL_RXNE_Msk) ? 0 : 1; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_IsTXFIFOFull() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFOÊÇ·ñΪÂú£¬Èç¹û²»ÂúÔò¿ÉÒÔ¼ÌÐøÏòÆäÖÐдÈëÊý¾Ý +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: uint32_t 1 ·¢ËÍFIFOÂú 0 ·¢ËÍFIFO²»Âú +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t UART_IsTXFIFOFull(UART_TypeDef *UARTx) +{ + return (UARTx->CTRL & UART_CTRL_TXFF_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_SetBaudrate() +* ¹¦ÄÜ˵Ã÷: ÉèÖò¨ÌØÂÊ +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* uint32_t baudrate ÒªÉèÖõIJ¨ÌØÂÊ +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ²»ÒªÔÚ´®¿Ú¹¤×÷ʱ¸ü¸Ä²¨ÌØÂÊ£¬Ê¹Óô˺¯ÊýÇ°ÇëÏȵ÷ÓÃUART_Close()¹Ø±Õ´®¿Ú +******************************************************************************************************************************************/ +void UART_SetBaudrate(UART_TypeDef *UARTx, uint32_t baudrate) +{ + UARTx->BAUD &= ~UART_BAUD_BAUD_Msk; + UARTx->BAUD |= ((SystemCoreClock / 16 / baudrate) << UART_BAUD_BAUD_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_GetBaudrate() +* ¹¦ÄÜ˵Ã÷: ²éѯ²¨ÌØÂÊ +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: uint32_t µ±Ç°²¨ÌØÂÊ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t UART_GetBaudrate(UART_TypeDef *UARTx) +{ + return (UARTx->BAUD & UART_BAUD_BAUD_Msk); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_CTSConfig() +* ¹¦ÄÜ˵Ã÷: UART CTSÁ÷¿ØÅäÖà +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* uint32_t enable 1 ʹÄÜCTSÁ÷¿Ø 0 ½ûÖ¹CTSÁ÷¿Ø +* uint32_t polarity 0 CTSÊäÈëΪµÍ±íʾ¿ÉÒÔ·¢ËÍÊý¾Ý 1 CTSÊäÈëΪ¸ß±íʾ¿ÉÒÔ·¢ËÍÊý¾Ý +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_CTSConfig(UART_TypeDef *UARTx, uint32_t enable, uint32_t polarity) +{ + UARTx->CTSCR &= ~(UART_CTSCR_EN_Msk | UART_CTSCR_POL_Msk); + UARTx->CTSCR |= (enable << UART_CTSCR_EN_Pos) | + (polarity << UART_CTSCR_POL_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_CTSLineState() +* ¹¦ÄÜ˵Ã÷: UART CTSÏßµ±Ç°×´Ì¬ +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: uint32_t 0 CTSÏßµ±Ç°ÎªµÍµçƽ 1 CTSÏßµ±Ç°Îª¸ßµçƽ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t UART_CTSLineState(UART_TypeDef *UARTx) +{ + return (UARTx->CTSCR & UART_CTSCR_STAT_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_RTSConfig() +* ¹¦ÄÜ˵Ã÷: UART RTSÁ÷¿ØÅäÖà +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* uint32_t enable 1 ʹÄÜRTSÁ÷¿Ø 0 ½ûÖ¹RTSÁ÷¿Ø +* uint32_t polarity 0 RTSÊä³öµÍ±íʾ¿ÉÒÔ½ÓÊÕÊý¾Ý 1 RTSÊä³ö¸ß±íʾ¿ÉÒÔ½ÓÊÕÊý¾Ý +* uint32_t threshold RTSÁ÷¿ØµÄ´¥·¢ãÐÖµ£¬¿ÉÈ¡ÖµUART_RTS_1BYTE¡¢UART_RTS_2BYTE¡¢UART_RTS_4BYTE¡¢UART_RTS_6BYTE +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_RTSConfig(UART_TypeDef *UARTx, uint32_t enable, uint32_t polarity, uint32_t threshold) +{ + UARTx->RTSCR &= ~(UART_RTSCR_EN_Msk | UART_RTSCR_POL_Msk | UART_RTSCR_THR_Msk); + UARTx->RTSCR |= (enable << UART_RTSCR_EN_Pos) | + (polarity << UART_RTSCR_POL_Pos) | + (threshold << UART_RTSCR_THR_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_RTSLineState() +* ¹¦ÄÜ˵Ã÷: UART RTSÏßµ±Ç°×´Ì¬ +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: uint32_t 0 RTSÏßµ±Ç°ÎªµÍµçƽ 1 RTSÏßµ±Ç°Îª¸ßµçƽ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t UART_RTSLineState(UART_TypeDef *UARTx) +{ + return (UARTx->RTSCR & UART_RTSCR_STAT_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_LINConfig() +* ¹¦ÄÜ˵Ã÷: UART LIN¹¦ÄÜÅäÖà +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* uint32_t detectedIEn ¼ì²âµ½BreakÖжÏʹÄÜ +* uint32_t generatedIEn Break·¢ËÍÍê³ÉÖжÏʹÄÜ +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_LINConfig(UART_TypeDef *UARTx, uint32_t detectedIEn, uint32_t generatedIEn) +{ + UARTx->LINCR &= ~(UART_LINCR_BRKDETIE_Msk | UART_LINCR_GENBRKIE_Msk); + UARTx->LINCR |= (detectedIEn << UART_LINCR_BRKDETIE_Pos) | + (generatedIEn << UART_LINCR_GENBRKIE_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_LINGenerate() +* ¹¦ÄÜ˵Ã÷: UART LIN²úÉú/·¢ËÍBreak +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_LINGenerate(UART_TypeDef *UARTx) +{ + UARTx->LINCR |= (1 << UART_LINCR_GENBRK_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_LINIsDetected() +* ¹¦ÄÜ˵Ã÷: UART LINÊÇ·ñ¼ì²âµ½Break +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: uint32_t 1 ¼ì²âµ½LIN Break 0 δ¼ì²âµ½LIN Break +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t UART_LINIsDetected(UART_TypeDef *UARTx) +{ + return (UARTx->LINCR & UART_LINCR_BRKDETIE_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_LINIsGenerated() +* ¹¦ÄÜ˵Ã÷: UART LIN BreakÊÇ·ñ·¢ËÍÍê³É +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: uint32_t 1 LIN Break ·¢ËÍÍê³É 0 LIN Break·¢ËÍδÍê³É +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t UART_LINIsGenerated(UART_TypeDef *UARTx) +{ + return (UARTx->LINCR & UART_LINCR_GENBRKIF_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_ABRStart() +* ¹¦ÄÜ˵Ã÷: UART ×Ô¶¯²¨ÌØÂʼì²â¿ªÊ¼ +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* uint32_t detectChar ÓÃÓÚ×Ô¶¯¼ì²â¡¢¼ÆË㲨ÌØÂʵļì²â×Ö·û +* 8λÊý¾Ýʱ¿ÉÈ¡Öµ£º0xFF¡¢0xFE¡¢0xF8¡¢0x80£¬·Ö±ð±íʾ·¢ËÍ·½±ØÐë·¢ËÍ0xFF¡¢0xFE¡¢0xF8¡¢0x80 +* 9λÊý¾Ýʱ¿ÉÈ¡Öµ£º0x1FF¡¢0x1FE¡¢0x1F8¡¢0x180£¬·Ö±ð±íʾ·¢ËÍ·½±ØÐë·¢ËÍ0x1FF¡¢0x1FE¡¢0x1F8¡¢0x180 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ×Ô¶¯²¨ÌØÂʼì²âʱ²»ÄÜ¿ªÆôÆæżУÑé +******************************************************************************************************************************************/ +void UART_ABRStart(UART_TypeDef *UARTx, uint32_t detectChar) +{ + uint32_t bits; + + if ((detectChar == 0xFF) || (detectChar == 0x1FF)) bits = 0; + else if ((detectChar == 0xFE) || (detectChar == 0x1FE)) bits = 1; + else if ((detectChar == 0xF8) || (detectChar == 0x1F8)) bits = 2; + else if ((detectChar == 0x80) || (detectChar == 0x180)) bits = 3; + else while (1); + + UARTx->BAUD &= ~(UART_BAUD_ABREN_Msk | UART_BAUD_ABRBIT_Msk); + UARTx->BAUD |= (1 << UART_BAUD_ABREN_Pos) | + (bits << UART_BAUD_ABRBIT_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_ABRIsDone() +* ¹¦ÄÜ˵Ã÷: UART ×Ô¶¯²¨ÌØÂÊÊÇ·ñÍê³É +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: uint32_t 0 δÍê³É UART_ABR_RES_OK ÒÑÍê³É£¬Çҳɹ¦ UART_ABR_RES_ERR ÒÑÍê³É£¬µ«Ê§°Ü¡¢³ö´í +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t UART_ABRIsDone(UART_TypeDef *UARTx) +{ + if (UARTx->BAUD & UART_BAUD_ABREN_Msk) + { + return 0; + } + else if (UARTx->BAUD & UART_BAUD_ABRERR_Msk) + { + return UART_ABR_RES_ERR; + } + else + { + return UART_ABR_RES_OK; + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_INTRXThresholdEn() +* ¹¦ÄÜ˵Ã÷: µ±RX FIFOÖÐÊý¾Ý¸öÊý >= RXThresholdʱ ´¥·¢ÖÐ¶Ï +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_INTRXThresholdEn(UART_TypeDef *UARTx) +{ + UARTx->CTRL |= (0x01 << UART_CTRL_RXIE_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_INTRXThresholdDis() +* ¹¦ÄÜ˵Ã÷: µ±RX FIFOÖÐÊý¾Ý¸öÊý >= RXThresholdʱ ²»´¥·¢ÖÐ¶Ï +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_INTRXThresholdDis(UART_TypeDef *UARTx) +{ + UARTx->CTRL &= ~(0x01 << UART_CTRL_RXIE_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_INTRXThresholdStat() +* ¹¦ÄÜ˵Ã÷: ÊÇ·ñRX FIFOÖÐÊý¾Ý¸öÊý >= RXThreshold +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: uint32_t 1 RX FIFOÖÐÊý¾Ý¸öÊý >= RXThreshold 0 RX FIFOÖÐÊý¾Ý¸öÊý < RXThreshold +* ×¢ÒâÊÂÏî: RXIF = RXTHRF & RXIE +******************************************************************************************************************************************/ +uint32_t UART_INTRXThresholdStat(UART_TypeDef *UARTx) +{ + return (UARTx->BAUD & UART_BAUD_RXIF_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_INTTXThresholdEn() +* ¹¦ÄÜ˵Ã÷: µ±TX FIFOÖÐÊý¾Ý¸öÊý <= TXThresholdʱ ´¥·¢ÖÐ¶Ï +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_INTTXThresholdEn(UART_TypeDef *UARTx) +{ + UARTx->CTRL |= (0x01 << UART_CTRL_TXIE_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_INTTXThresholdDis() +* ¹¦ÄÜ˵Ã÷: µ±TX FIFOÖÐÊý¾Ý¸öÊý <= TXThresholdʱ ²»´¥·¢ÖÐ¶Ï +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_INTTXThresholdDis(UART_TypeDef *UARTx) +{ + UARTx->CTRL &= ~(0x01 << UART_CTRL_TXIE_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_INTTXThresholdStat() +* ¹¦ÄÜ˵Ã÷: ÊÇ·ñTX FIFOÖÐÊý¾Ý¸öÊý <= TXThreshold +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: uint32_t 1 TX FIFOÖÐÊý¾Ý¸öÊý <= TXThreshold 0 TX FIFOÖÐÊý¾Ý¸öÊý > TXThreshold +* ×¢ÒâÊÂÏî: TXIF = TXTHRF & TXIE +******************************************************************************************************************************************/ +uint32_t UART_INTTXThresholdStat(UART_TypeDef *UARTx) +{ + return (UARTx->BAUD & UART_BAUD_TXIF_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_INTTimeoutEn() +* ¹¦ÄÜ˵Ã÷: ½ÓÊÕ·¢Éú³¬Ê±Ê± ´¥·¢ÖÐ¶Ï +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_INTTimeoutEn(UART_TypeDef *UARTx) +{ + UARTx->CTRL |= (0x01 << UART_CTRL_TOIE_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_INTTimeoutDis() +* ¹¦ÄÜ˵Ã÷: ½ÓÊÕ·¢Éú³¬Ê±Ê± ²»´¥·¢ÖÐ¶Ï +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_INTTimeoutDis(UART_TypeDef *UARTx) +{ + UARTx->CTRL &= ~(0x01 << UART_CTRL_TOIE_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_INTTimeoutStat() +* ¹¦ÄÜ˵Ã÷: ÊÇ·ñ·¢ÉúÁ˽ÓÊÕ³¬Ê±£¬¼´³¬¹ý TimeoutTime/(Baudrate/10) ÃëûÓÐÔÚRXÏßÉϽÓÊÕµ½Êý¾Ýʱ´¥·¢ÖÐ¶Ï +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: uint32_t 1 ·¢ÉúÁ˽ÓÊÕ³¬Ê± 0 δ·¢Éú½ÓÊÕ³¬Ê± +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t UART_INTTimeoutStat(UART_TypeDef *UARTx) +{ + return (UARTx->BAUD & UART_BAUD_TOIF_Msk) ? 1 : 0; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_INTTXDoneEn() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFO¿ÕÇÒ·¢ËÍÒÆλ¼Ä´æÆ÷¿ÕÖжÏʹÄÜ +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_INTTXDoneEn(UART_TypeDef *UARTx) +{ + UARTx->CTRL |= (0x01 << UART_CTRL_TXDOIE_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_INTTXDoneDis() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFO¿ÕÇÒ·¢ËÍÒÆλ¼Ä´æÆ÷¿ÕÖжϽûÖ¹ +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void UART_INTTXDoneDis(UART_TypeDef *UARTx) +{ + UARTx->CTRL &= ~(0x01 << UART_CTRL_TXDOIE_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: UART_INTTXDoneStat() +* ¹¦ÄÜ˵Ã÷: ·¢ËÍFIFO¿ÕÇÒ·¢ËÍÒÆλ¼Ä´æÆ÷¿ÕÖжÏ״̬ +* Êä Èë: UART_TypeDef * UARTx Ö¸¶¨Òª±»ÉèÖõÄUART´®¿Ú£¬ÓÐЧֵ°üÀ¨UART0¡¢UART1¡¢UART2¡¢UART3 +* Êä ³ö: uint32_t 1 ·¢ËÍFIFO¿ÕÇÒ·¢ËÍÒÆλ¼Ä´æÆ÷¿Õ 0 ·¢ËÍFIFO»ò·¢ËÍÒÆλ¼Ä´æÆ÷δ¿Õ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t UART_INTTXDoneStat(UART_TypeDef *UARTx) +{ + return (UARTx->BAUD & UART_BAUD_TXDOIF_Msk) ? 1 : 0; +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_uart.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_uart.h new file mode 100644 index 0000000000..1bc2ad3edc --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_uart.h @@ -0,0 +1,95 @@ +#ifndef __SWM320_UART_H__ +#define __SWM320_UART_H__ + +typedef struct +{ + uint32_t Baudrate; + + uint8_t DataBits; //Êý¾ÝλλÊý£¬¿ÉÈ¡ÖµUART_DATA_8BIT¡¢UART_DATA_9BIT + + uint8_t Parity; //ÆæżУÑé룬¿ÉÈ¡ÖµUART_PARITY_NONE¡¢UART_PARITY_ODD¡¢UART_PARITY_EVEN¡¢UART_PARITY_ONE¡¢UART_PARITY_ZERO + + uint8_t StopBits; //ֹͣλλÊý£¬¿ÉÈ¡ÖµUART_STOP_1BIT¡¢UART_STOP_2BIT + + uint8_t RXThreshold; //È¡Öµ0--7 + uint8_t RXThresholdIEn; //µ±RX FIFOÖÐÊý¾Ý¸öÊý >= RXThresholdʱ´¥·¢ÖÐ¶Ï + + uint8_t TXThreshold; //È¡Öµ0--7 + uint8_t TXThresholdIEn; //µ±TX FIFOÖÐÊý¾Ý¸öÊý <= TXThresholdʱ´¥·¢ÖÐ¶Ï + + uint8_t TimeoutTime; //³¬Ê±Ê±³¤ = TimeoutTime/(Baudrate/10) Ãë + uint8_t TimeoutIEn; //³¬Ê±Öжϣ¬³¬¹ý TimeoutTime/(Baudrate/10) ÃëûÓÐÔÚRXÏßÉϽÓÊÕµ½Êý¾Ýʱ´¥·¢ÖÐ¶Ï +} UART_InitStructure; + + +#define UART_DATA_8BIT 0 +#define UART_DATA_9BIT 1 + +#define UART_PARITY_NONE 0 +#define UART_PARITY_ODD 1 +#define UART_PARITY_EVEN 3 +#define UART_PARITY_ONE 5 +#define UART_PARITY_ZERO 7 + +#define UART_STOP_1BIT 0 +#define UART_STOP_2BIT 1 + +#define UART_RTS_1BYTE 0 +#define UART_RTS_2BYTE 1 +#define UART_RTS_4BYTE 2 +#define UART_RTS_6BYTE 3 + +#define UART_ABR_RES_OK 1 +#define UART_ABR_RES_ERR 2 + +#define UART_ERR_FRAME 1 +#define UART_ERR_PARITY 2 +#define UART_ERR_NOISE 3 + + +void UART_Init(UART_TypeDef *UARTx, UART_InitStructure *initStruct); //UART´®¿Ú³õʼ»¯ +void UART_Open(UART_TypeDef *UARTx); +void UART_Close(UART_TypeDef *UARTx); + +void UART_WriteByte(UART_TypeDef *UARTx, uint8_t data); //·¢ËÍÒ»¸ö×Ö½ÚÊý¾Ý +uint32_t UART_ReadByte(UART_TypeDef *UARTx, uint32_t *data); //¶ÁÈ¡Ò»¸ö×Ö½ÚÊý¾Ý£¬²¢Ö¸³öÊý¾ÝÊÇ·ñValid + +uint32_t UART_IsTXBusy(UART_TypeDef *UARTx); +uint32_t UART_IsRXFIFOEmpty(UART_TypeDef *UARTx); //½ÓÊÕFIFOÊÇ·ñ¿Õ£¬Èç¹û²»¿ÕÔò¿ÉÒÔ¼ÌÐøUART_ReadByte() +uint32_t UART_IsTXFIFOFull(UART_TypeDef *UARTx); //·¢ËÍFIFOÊÇ·ñÂú£¬Èç¹û²»ÂúÔò¿ÉÒÔ¼ÌÐøUART_WriteByte() + + +void UART_SetBaudrate(UART_TypeDef *UARTx, uint32_t baudrate); //ÉèÖò¨ÌØÂÊ +uint32_t UART_GetBaudrate(UART_TypeDef *UARTx); //»ñÈ¡µ±Ç°Ê¹ÓõIJ¨ÌØÂÊ + +void UART_CTSConfig(UART_TypeDef *UARTx, uint32_t enable, uint32_t polarity); +uint32_t UART_CTSLineState(UART_TypeDef *UARTx); + +void UART_RTSConfig(UART_TypeDef *UARTx, uint32_t enable, uint32_t polarity, uint32_t threshold); +uint32_t UART_RTSLineState(UART_TypeDef *UARTx); + +void UART_LINConfig(UART_TypeDef *UARTx, uint32_t detectedIEn, uint32_t generatedIEn); +void UART_LINGenerate(UART_TypeDef *UARTx); +uint32_t UART_LINIsDetected(UART_TypeDef *UARTx); +uint32_t UART_LINIsGenerated(UART_TypeDef *UARTx); + +void UART_ABRStart(UART_TypeDef *UARTx, uint32_t detectChar); +uint32_t UART_ABRIsDone(UART_TypeDef *UARTx); + + +void UART_INTRXThresholdEn(UART_TypeDef *UARTx); +void UART_INTRXThresholdDis(UART_TypeDef *UARTx); +uint32_t UART_INTRXThresholdStat(UART_TypeDef *UARTx); +void UART_INTTXThresholdEn(UART_TypeDef *UARTx); +void UART_INTTXThresholdDis(UART_TypeDef *UARTx); +uint32_t UART_INTTXThresholdStat(UART_TypeDef *UARTx); +void UART_INTTimeoutEn(UART_TypeDef *UARTx); +void UART_INTTimeoutDis(UART_TypeDef *UARTx); +uint32_t UART_INTTimeoutStat(UART_TypeDef *UARTx); + +void UART_INTTXDoneEn(UART_TypeDef *UARTx); +void UART_INTTXDoneDis(UART_TypeDef *UARTx); +uint32_t UART_INTTXDoneStat(UART_TypeDef *UARTx); + + +#endif //__SWM320_UART_H__ diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_wdt.c b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_wdt.c new file mode 100644 index 0000000000..04faf947d7 --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_wdt.c @@ -0,0 +1,126 @@ +/****************************************************************************************************************************************** +* ÎļþÃû³Æ: SWM320_wdt.c +* ¹¦ÄÜ˵Ã÷: SWM320µ¥Æ¬»úµÄWDT¿´ÃŹ·¹¦ÄÜÇý¶¯¿â +* ¼¼ÊõÖ§³Ö: http://www.synwit.com.cn/e/tool/gbook/?bid=1 +* ×¢ÒâÊÂÏî: +* °æ±¾ÈÕÆÚ: V1.1.0 2017Äê10ÔÂ25ÈÕ +* Éý¼¶¼Ç¼: +* +* +******************************************************************************************************************************************* +* @attention +* +* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS WITH CODING INFORMATION +* REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. AS A RESULT, SYNWIT SHALL NOT BE HELD LIABLE +* FOR ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE CONTENT +* OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONN- +* -ECTION WITH THEIR PRODUCTS. +* +* COPYRIGHT 2012 Synwit Technology +*******************************************************************************************************************************************/ +#include "SWM320.h" +#include "SWM320_wdt.h" + + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: WDT_Init() +* ¹¦ÄÜ˵Ã÷: WDT¿´ÃŹ·³õʼ»¯ +* Êä Èë: WDT_TypeDef * WDTx Ö¸¶¨Òª±»ÉèÖõĿ´ÃŹ·£¬ÓÐЧֵ°üÀ¨WDT +* uint32_t peroid È¡Öµ0--4294967295£¬µ¥Î»Îªµ¥Æ¬»úϵͳʱÖÓÖÜÆÚ +* uint32_t mode WDT_MODE_RESET ³¬Ê±²úÉú¸´Î» WDT_MODE_INTERRUPT ³¬Ê±²úÉúÖÐ¶Ï +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ¸´Î»Ê¹ÄÜʱÖжϲ»Æð×÷Óã¬ÒòΪ¼ÆÊýÖÜÆÚ½áÊøʱоƬֱ½Ó¸´Î»ÁË£¬ÎÞ·¨ÏìÓ¦ÖÐ¶Ï +******************************************************************************************************************************************/ +void WDT_Init(WDT_TypeDef *WDTx, uint32_t peroid, uint32_t mode) +{ + SYS->CLKEN |= (0x01 << SYS_CLKEN_WDT_Pos); + + WDT_Stop(WDTx); //ÉèÖÃÇ°ÏÈ¹Ø±Õ + + WDTx->LOAD = peroid; + + if (mode == WDT_MODE_RESET) + { + NVIC_DisableIRQ(WDT_IRQn); + + WDTx->CR |= (1 << WDT_CR_RSTEN_Pos); + } + else //mode == WDT_MODE_INTERRUPT + { + NVIC_EnableIRQ(WDT_IRQn); + + WDTx->CR &= ~(1 << WDT_CR_RSTEN_Pos); + } +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: WDT_Start() +* ¹¦ÄÜ˵Ã÷: Æô¶¯Ö¸¶¨WDT£¬¿ªÊ¼µ¹¼Æʱ +* Êä Èë: WDT_TypeDef * WDTx Ö¸¶¨Òª±»ÉèÖõĿ´ÃŹ·£¬ÓÐЧֵ°üÀ¨WDT +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void WDT_Start(WDT_TypeDef *WDTx) +{ + WDTx->CR |= (0x01 << WDT_CR_EN_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: WDT_Stop() +* ¹¦ÄÜ˵Ã÷: ¹Ø±ÕÖ¸¶¨WDT£¬Í£Ö¹µ¹¼Æʱ +* Êä Èë: WDT_TypeDef * WDTx Ö¸¶¨Òª±»ÉèÖõĿ´ÃŹ·£¬ÓÐЧֵ°üÀ¨WDT +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void WDT_Stop(WDT_TypeDef *WDTx) +{ + WDTx->CR &= ~(0x01 << WDT_CR_EN_Pos); +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: WDT_Feed() +* ¹¦ÄÜ˵Ã÷: ι¹·£¬ÖØдÓ×°ÔØÖµ¿ªÊ¼µ¹¼Æʱ +* Êä Èë: WDT_TypeDef * WDTx Ö¸¶¨Òª±»ÉèÖõĿ´ÃŹ·£¬ÓÐЧֵ°üÀ¨WDT +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void WDT_Feed(WDT_TypeDef *WDTx) +{ + WDTx->FEED = 0x55; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: WDT_GetValue() +* ¹¦ÄÜ˵Ã÷: »ñÈ¡Ö¸¶¨¿´ÃŹ·¶¨Ê±Æ÷µÄµ±Ç°µ¹¼Æʱֵ +* Êä Èë: WDT_TypeDef * WDTx Ö¸¶¨Òª±»ÉèÖõĿ´ÃŹ·£¬ÓÐЧֵ°üÀ¨WDT +* Êä ³ö: int32_t ¿´ÃŹ·µ±Ç°¼ÆÊýÖµ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +int32_t WDT_GetValue(WDT_TypeDef *WDTx) +{ + return WDTx->VALUE; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: WDT_INTClr() +* ¹¦ÄÜ˵Ã÷: ÖжϱêÖ¾Çå³ý +* Êä Èë: WDT_TypeDef * WDTx Ö¸¶¨Òª±»ÉèÖõĿ´ÃŹ·£¬ÓÐЧֵ°üÀ¨WDT +* Êä ³ö: ÎÞ +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +void WDT_INTClr(WDT_TypeDef *WDTx) +{ + WDTx->IF = 1; +} + +/****************************************************************************************************************************************** +* º¯ÊýÃû³Æ: WDT_INTStat() +* ¹¦ÄÜ˵Ã÷: ÖжÏ״̬²éѯ +* Êä Èë: WDT_TypeDef * WDTx Ö¸¶¨Òª±»ÉèÖõĿ´ÃŹ·£¬ÓÐЧֵ°üÀ¨WDT +* Êä ³ö: int32_t 1 ·¢ÉúÖжÏÒç³ö 0 δ·¢ÉúÖжÏÒç³ö +* ×¢ÒâÊÂÏî: ÎÞ +******************************************************************************************************************************************/ +uint32_t WDT_INTStat(WDT_TypeDef *WDTx) +{ + return WDTx->IF; +} diff --git a/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_wdt.h b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_wdt.h new file mode 100644 index 0000000000..fd435c170e --- /dev/null +++ b/bsp/swm320-lq100/Libraries/SWM320_StdPeriph_Driver/SWM320_wdt.h @@ -0,0 +1,19 @@ +#ifndef __SWM320_WDT_H__ +#define __SWM320_WDT_H__ + +#define WDT_MODE_RESET 0 +#define WDT_MODE_INTERRUPT 1 + +void WDT_Init(WDT_TypeDef *WDTx, uint32_t peroid, uint32_t mode); //WDT¿´ÃŹ·³õʼ»¯ +void WDT_Start(WDT_TypeDef *WDTx); //Æô¶¯Ö¸¶¨WDT£¬¿ªÊ¼µ¹¼Æʱ +void WDT_Stop(WDT_TypeDef *WDTx); //¹Ø±ÕÖ¸¶¨WDT£¬Í£Ö¹µ¹¼Æʱ + +void WDT_Feed(WDT_TypeDef *WDTx); //ι¹·£¬ÖØдÓ×°ÔØÖµ¿ªÊ¼µ¹¼Æʱ + +int32_t WDT_GetValue(WDT_TypeDef *WDTx); //»ñÈ¡Ö¸¶¨¿´ÃŹ·¶¨Ê±Æ÷µÄµ±Ç°µ¹¼Æʱֵ + + +void WDT_INTClr(WDT_TypeDef *WDTx); //ÖжϱêÖ¾Çå³ý +uint32_t WDT_INTStat(WDT_TypeDef *WDTx); //ÖжÏ״̬²éѯ + +#endif //__SWM320_WDT_H__ diff --git a/bsp/swm320-lq100/README.md b/bsp/swm320-lq100/README.md new file mode 100644 index 0000000000..99d45c461c --- /dev/null +++ b/bsp/swm320-lq100/README.md @@ -0,0 +1,126 @@ +# SWXT-LQ100-32102 V1.1 æ¿çº§æ”¯æŒåŒ… 说明 + +标签: SYNWITã€Cortex-M4ã€SWM320VET7ã€å›½äº§MCU + +--- + +## 1. 简介 + +本文档为 SWXT-LQ100-32102 V1.1 çš„ BSP(æ¿çº§æ”¯æŒåŒ…) 说明。 + +通过阅读本文档,开å‘者å¯ä»¥å¿«é€Ÿåœ°ä¸Šæ‰‹è¯¥ BSP,将 RT-Thread è¿è¡Œåœ¨å¼€å‘æ¿ä¸Šã€‚ + +### 1.1 å¼€å‘æ¿ä»‹ç» + +SWXT-LQ100-32102 V1.1 å¼€å‘æ¿ç”±åŽèŠ¯å¾®ç‰¹æ供,å¯æ»¡è¶³åŸºç¡€æµ‹è¯•åŠé«˜ç«¯å¼€å‘需求。 + +å¼€å‘æ¿å¤–观如下图所示: + +SWXT_LQ100-32102 V1.1 + +![SWXT-LQ100-32102](figures/SWXT-LQ100-32102.jpg "SWXT-LQ100-32102 V1.1") + +SWXT-LQ100-32102 V1.1 å¼€å‘æ¿æ¿è½½èµ„æºå¦‚下: + +- MCU:SWM320VET7-50 ARM 32-bit Cortex-M4,主频 120MHz,512KB FLASH ,128KB SRAM,2.2~3.6V +- 常用外设 + - LED:1 个,D2 红绿è“三色LED + - 按键:3 个,K1ã€K2ã€K3 + - Nor Flash S29GL128M + - SRAM IS62WV51216BLL +- 常用接å£ï¼šUSB打å°æŽ¥å£ï¼ŒTFT LCD接å£ï¼ŒSDå¡æŽ¥å£ +- 调试接å£ï¼šSWD + +更多详细信æ¯è¯·å’¨è¯¢[åŽèŠ¯å¾®ç‰¹æŠ€æœ¯æ”¯æŒ][5] + +### 1.2 MCU 简介 + +SWM320VET7 是 SYNWIT å…¬å¸çš„一款é¢å‘工业控制ã€ç™½è‰²å®¶ç”µã€ç”µæœºé©±åŠ¨ç­‰é¢†åŸŸçš„芯片。包括如下硬件特性: + +| 硬件 | æè¿° | +| -- | -- | +|芯片型å·| SWM320VET7 | +|CPU| ARM Cortex-M4 | +|主频| 120MHz | +|片内SRAM| 128KB | +|片内Flash| 512KB | + +## 2. 编译说明 + +本 BSP 为开å‘者æä¾› MDK5 工程。下é¢ä»¥ MDK5 å¼€å‘环境为例,介ç»å¦‚何将系统è¿è¡Œèµ·æ¥ã€‚ + +åŒå‡» project.uvprojx 文件,打开 MDK5 工程,编译并下载程åºåˆ°å¼€å‘æ¿ã€‚ + +> 工程默认é…置使用 Jlink 仿真器下载程åºï¼Œåœ¨é€šè¿‡ Jlink 连接开å‘æ¿åˆ° PC 的基础上,点击下载按钮å³å¯ä¸‹è½½ç¨‹åºåˆ°å¼€å‘æ¿ + +推è熟悉 RT_Thread 的用户使用[env工具][1],å¯ä»¥åœ¨console下进入到 `bsp/swm320-lq100` 目录中,è¿è¡Œä»¥ä¸‹å‘½ä»¤ï¼š + +`scons --target=mdk5` + +æ¥ç¼–译这个æ¿çº§æ”¯æŒåŒ…。如果编译正确无误,会产生rtthread.elfã€rtthread.bin文件。其中 rtthread.bin å¯ä»¥çƒ§å†™åˆ°è®¾å¤‡ä¸­è¿›è¡Œè¿è¡Œã€‚ + +## 3. 烧写åŠæ‰§è¡Œ + +### 3.1 硬件连接 + +- 使用 USB B-Type æ•°æ®çº¿è¿žæŽ¥å¼€å‘æ¿åˆ° PC(注æ„:需è¦ä¸‹è½½å®‰è£…串å£é©±åŠ¨æ”¯æŒCH340芯片,使用 MDK5 需è¦å®‰è£… SWM320 相关的 pack)。 + + > USB B-Type æ•°æ®çº¿ç”¨äºŽä¸²å£é€šè®¯ï¼ŒåŒæ—¶ä¾›ç”µ + +- 使用 Jlink 连接开å‘æ¿åˆ° PC ï¼ˆéœ€è¦ Jlink 驱动) + +连接好串å£ï¼Œä½¿ç”¨115200-N-8-1çš„é…置方å¼è¿žæŽ¥åˆ°è®¾å¤‡ä¸Šã€‚串å£å¼•è„šæ˜¯ï¼š`[PA2/PA3]` + +当使用 [env工具][1] 正确编译产生出rtthread.bin映åƒæ–‡ä»¶åŽï¼Œå¯ä»¥ä½¿ç”¨ ISP çš„æ–¹å¼æ¥çƒ§å†™åˆ°è®¾å¤‡ä¸­ã€‚ + +**建议使用 keil 软件直接下载**。ISP 下载较å¤æ‚。 + +### 3.2 è¿è¡Œç»“æžœ + +如果编译 & 烧写无误,当å¤ä½è®¾å¤‡åŽï¼Œä¼šåœ¨ä¸²å£ä¸Šçœ‹åˆ°æ¿å­ä¸Šçš„è“色LEDé—ªçƒã€‚串å£æ‰“å°RT-Threadçš„å¯åŠ¨logoä¿¡æ¯ï¼š + +``` + \ | / +- RT - Thread Operating System + / | \ 4.0.0 build Dec 11 2018 + 2006 - 2018 Copyright by rt-thread team +msh /> +``` + +## 4. 驱动支æŒæƒ…况åŠè®¡åˆ’ + +|**æ¿è½½å¤–设** |**支æŒæƒ…况**|**备注** | +| ----------------- | :----------: | ----------------------- | +| Nor Flash | æ”¯æŒ | | +| SDIO TF å¡ | æš‚ä¸æ”¯æŒ | | +| SRAM | æ”¯æŒ | | +| TFT-LCD | æš‚ä¸æ”¯æŒ | å³å°†æ”¯æŒ | +|**片上外设** |**支æŒæƒ…况** |**备注** | +| GPIO | æ”¯æŒ | PIN:1...100 | +| UART | æ”¯æŒ | UART0 / UART1 / UART2 / UART3 | +| SPI | æ”¯æŒ | SPI0 / SPI1 | +| I2C | æ”¯æŒ | I2C0 IO模拟 | +| ADC | æš‚ä¸æ”¯æŒ | å³å°†æ”¯æŒ | +| PWM | æ”¯æŒ | PWM0 / PWM1 /PWM2 /PWM3 其余两个个åŽç»­è¡¥å…… | +| IWG | æ”¯æŒ | | +| TIMER | æš‚ä¸æ”¯æŒ | | +| RTC | æ”¯æŒ | | +| CAN | æš‚ä¸æ”¯æŒ | | +|**æ¿å¤–外设** |**支æŒæƒ…况**|**备注** | +| Arduino æ‰©å±•æŽ¥å£ | æš‚ä¸æ”¯æŒ | | + +## 5. è”ç³»äººä¿¡æ¯ + +维护人: + +-[Zohar_Lee](https://github.com/zohar123) email: lizhh@synwit.cn + +## 6. å‚考 + +- 芯片[SWM320系列 æ•°æ®æ‰‹å†Œ][4] + + [1]: https://www.rt-thread.org/page/download.html + [2]: http://www.synwit.cn/Public/Uploads/2018-11-05/5bdfea74d5712.pdf + [3]: http://www.synwit.cn/Public/Uploads/2018-11-01/5bdab8ad2e5b9.pdf + [4]: http://www.synwit.cn/Public/Uploads/2018-11-05/5bdff49b396d1.pdf + [5]: http://www.synwit.cn/support.html diff --git a/bsp/swm320-lq100/SConscript b/bsp/swm320-lq100/SConscript new file mode 100644 index 0000000000..1b1c7506a4 --- /dev/null +++ b/bsp/swm320-lq100/SConscript @@ -0,0 +1,11 @@ +from building import * + +cwd = GetCurrentDir() + +objs = [] +list = os.listdir(cwd) +for d in list: + path = os.path.join(cwd, d) + if os.path.isfile(os.path.join(path, 'SConscript')): + objs = objs + SConscript(os.path.join(d, 'SConscript')) +Return('objs') diff --git a/bsp/swm320-lq100/SConstruct b/bsp/swm320-lq100/SConstruct new file mode 100644 index 0000000000..c848dd104e --- /dev/null +++ b/bsp/swm320-lq100/SConstruct @@ -0,0 +1,39 @@ +import os +import sys +import rtconfig + +if os.getenv('RTT_ROOT'): + RTT_ROOT = os.getenv('RTT_ROOT') +else: + RTT_ROOT = os.path.normpath(os.getcwd() + '/../..') + +sys.path = sys.path + [os.path.join(RTT_ROOT, 'tools')] +try: + from building import * +except: + print('Cannot found RT-Thread root directory, please check RTT_ROOT') + print(RTT_ROOT) + exit(-1) + +TARGET = 'rtthread.' + rtconfig.TARGET_EXT + +env = Environment(tools = ['mingw'], + AS = rtconfig.AS, ASFLAGS = rtconfig.AFLAGS, + CC = rtconfig.CC, CCFLAGS = rtconfig.CFLAGS, + AR = rtconfig.AR, ARFLAGS = '-rc', + LINK = rtconfig.LINK, LINKFLAGS = rtconfig.LFLAGS) +env.PrependENVPath('PATH', rtconfig.EXEC_PATH) + +if rtconfig.PLATFORM == 'iar': + env.Replace(CCCOM = ['$CC $CCFLAGS $CPPFLAGS $_CPPDEFFLAGS $_CPPINCFLAGS -o $TARGET $SOURCES']) + env.Replace(ARFLAGS = ['']) + env.Replace(LINKCOM = ['$LINK $SOURCES $LINKFLAGS -o $TARGET --map project.map']) + +Export('RTT_ROOT') +Export('rtconfig') + +# prepare building environment +objs = PrepareBuilding(env, RTT_ROOT, has_libcpu=False) + +# make a building +DoBuilding(TARGET, objs) diff --git a/bsp/swm320-lq100/applications/SConscript b/bsp/swm320-lq100/applications/SConscript new file mode 100644 index 0000000000..6452d39145 --- /dev/null +++ b/bsp/swm320-lq100/applications/SConscript @@ -0,0 +1,9 @@ +from building import * + +cwd = GetCurrentDir() +CPPPATH = [cwd, str(Dir('#'))] +src = Glob('*.c') + +group = DefineGroup('Applications', src, depend = [''], CPPPATH = CPPPATH) + +Return('group') diff --git a/bsp/swm320-lq100/applications/main.c b/bsp/swm320-lq100/applications/main.c new file mode 100644 index 0000000000..61d90bd901 --- /dev/null +++ b/bsp/swm320-lq100/applications/main.c @@ -0,0 +1,27 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-12-10 Zohar_Lee first version + */ + +#include +#include + +#define LED4_PIN 100 + +int main(void) +{ + /* user app entry */ + rt_pin_mode(LED4_PIN, PIN_MODE_OUTPUT); + while (1) + { + rt_pin_write(LED4_PIN, !rt_pin_read(LED4_PIN)); + rt_thread_mdelay(1000); + } + + return 0; +} diff --git a/bsp/swm320-lq100/drivers/Kconfig b/bsp/swm320-lq100/drivers/Kconfig new file mode 100644 index 0000000000..4d0d68d8eb --- /dev/null +++ b/bsp/swm320-lq100/drivers/Kconfig @@ -0,0 +1,154 @@ +menu "Hardware Drivers Config" + + menu "On-chip Peripheral Drivers" + + config BSP_USING_GPIO + bool "Enable GPIO" + select RT_USING_PIN + default y + + menu "UART Drivers" + + config BSP_USING_UART0 + bool "Enable UART0 PA2/3(R/T)" + select RT_USING_SERIAL + default y + + config BSP_USING_UART1 + bool "Enable UART1 PC2/3(R/T)" + select RT_USING_SERIAL + default n + + config BSP_USING_UART2 + bool "Enable UART2 PC4/5(R/T)" + select RT_USING_SERIAL + default n + + config BSP_USING_UART3 + bool "Enable UART3 PC6/7(R/T)" + select RT_USING_SERIAL + default n + + endmenu + + menu "SPI Drivers" + + config BSP_USING_SPI0 + bool "Enable SPI0 BUS PC4/5/6(C/O/I)" + select RT_USING_SPI + select RT_USING_PIN + default n + + config BSP_USING_SPI1 + bool "Enable SPI1 BUS PM5/C2/C3(C/O/I)" + select RT_USING_SPI + select RT_USING_PIN + default n + + endmenu + + menu "I2C Drivers" + + menuconfig BSP_USING_I2C + bool "Enable I2C BUS" + select RT_USING_I2C + select RT_USING_PIN + select RT_USING_I2C_BITOPS + default n + + if BSP_USING_I2C + + config BSP_I2C_SCL + int "I2C SCL Pin index" + default 98 + + config BSP_I2C_SDA + int "I2C SDA Pin index" + default 99 + + config BSP_I2C_BUS_NAME + string "i2c bus name" + default "i2c0" + + endif + + endmenu + + menu "PWM module" + + config BSP_USING_PWM0 + bool "Using PWM0 PA4/10(A/B)" + select RT_USING_PWM + default n + + config BSP_USING_PWM1 + bool "Using PWM1 PA5/9(A/B)" + select RT_USING_PWM + default n + + config BSP_USING_PWM2 + bool "Using PWM2 PP0/2(A/B)" + select RT_USING_PWM + default n + + config BSP_USING_PWM3 + bool "Using PWM3 PP1/3(A/B)" + select RT_USING_PWM + default n + + endmenu + + menu "RTC module" + comment "RTC SET" + + config BSP_USING_RTC + bool "Using RTC" + select RT_USING_RTC + default n + + endmenu + + config BSP_USING_WDT + + bool "Enable Watch Dog" + select RT_USING_WDT + default n + + endmenu + + menu "Onboard Peripheral Drivers" + + menuconfig BSP_USING_EXT_SRAM + bool "Enable external sram" + select RT_USING_MEMHEAP + select RT_USING_MEMHEAP_AS_HEAP + default n + + if BSP_USING_EXT_SRAM + config BSP_EXT_SRAM_SIZE + hex "external sram size" + default 0x100000 + endif + + menuconfig BSP_USING_NOR_FLASH + bool "Enable mtd nor flash" + select RT_USING_MTD_NOR + select PKG_USING_FTL_SRC + default n + + if BSP_USING_NOR_FLASH + config BSP_NOR_FLASH_SIZE + hex "mtd nor flash size" + default 0x1000000 + config BSP_NOR_FLASH_SECTOR_SIZE + hex "mtd nor flsah sector" + default 0x10000 + endif + + endmenu + + menu "Offboard Peripheral Drivers" + + endmenu + +endmenu diff --git a/bsp/swm320-lq100/drivers/SConscript b/bsp/swm320-lq100/drivers/SConscript new file mode 100644 index 0000000000..cb44d26f74 --- /dev/null +++ b/bsp/swm320-lq100/drivers/SConscript @@ -0,0 +1,52 @@ +# RT-Thread building script for component + +from building import * + +cwd = GetCurrentDir() + +# add the general drivers. +src = Split(""" +board.c +""") + +# add gpio driver code +if GetDepend(['BSP_USING_GPIO']): + src += ['drv_gpio.c'] + +# add serial driver code +if GetDepend('BSP_USING_UART0') or GetDepend('BSP_USING_UART1') or GetDepend('BSP_USING_UART2') or GetDepend('BSP_USING_UART3'): + src += ['drv_uart.c'] + +# add spi driver code +if GetDepend('BSP_USING_SPI0') or GetDepend('BSP_USING_SPI1'): + src += ['drv_spi.c'] + +# add i2c driver code +if GetDepend(['BSP_USING_I2C']): + src += ['drv_i2c.c'] + +# add sram driver code +if GetDepend(['BSP_USING_EXT_SRAM']): + src += ['drv_sram.c'] + +# add nor flash driver code +if GetDepend(['BSP_USING_NOR_FLASH']): + src += ['drv_nor_flash.c'] + +# add pwm driver code +if GetDepend('BSP_USING_PWM0') or GetDepend('BSP_USING_PWM1') or GetDepend('BSP_USING_PWM2') or GetDepend('BSP_USING_PWM3'): + src += ['drv_pwm.c'] + +# add rtc driver code +if GetDepend(['BSP_USING_RTC']): + src += ['drv_rtc.c'] + +# add hwtimer driver code +if GetDepend(['BSP_USING_WDT']): + src += ['drv_iwg.c'] + +CPPPATH = [cwd] + +group = DefineGroup('Drivers', src, depend = [''], CPPPATH = CPPPATH) + +Return('group') diff --git a/bsp/swm320-lq100/drivers/board.c b/bsp/swm320-lq100/drivers/board.c new file mode 100644 index 0000000000..36e6a8f4ea --- /dev/null +++ b/bsp/swm320-lq100/drivers/board.c @@ -0,0 +1,54 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-05-31 ZYH first version + * 2018-12-10 Zohar_Lee format file + */ + +#include +#if defined(BSP_USING_EXT_SRAM) && defined(RT_USING_MEMHEAP_AS_HEAP) + static struct rt_memheap system_heap; +#endif +static void bsp_clock_config(void) +{ + SystemInit(); + SysTick_Config(SystemCoreClock / RT_TICK_PER_SECOND); + SysTick->CTRL |= 0x00000004UL; +} +void SysTick_Handler(void) +{ + /* enter interrupt */ + rt_interrupt_enter(); + + rt_tick_increase(); + + /* leave interrupt */ + rt_interrupt_leave(); +} +#ifdef BSP_USING_EXT_SRAM + extern int rt_hw_sram_init(void); +#endif +void rt_hw_board_init() +{ + bsp_clock_config(); + +#ifdef BSP_USING_EXT_SRAM + rt_hw_sram_init(); +#endif +#if defined(BSP_USING_EXT_SRAM) && defined(RT_USING_MEMHEAP_AS_HEAP) + rt_system_heap_init((void *)EXT_SRAM_BEGIN, (void *)EXT_SRAM_END); + rt_memheap_init(&system_heap, "sram", (void *)HEAP_BEGIN, HEAP_SIZE); +#elif defined(RT_USING_HEAP) + rt_system_heap_init((void *)HEAP_BEGIN, (void *)HEAP_END); +#endif +#ifdef RT_USING_COMPONENTS_INIT + rt_components_board_init(); +#endif +#ifdef RT_USING_CONSOLE + rt_console_set_device(RT_CONSOLE_DEVICE_NAME); +#endif +} diff --git a/bsp/swm320-lq100/drivers/board.h b/bsp/swm320-lq100/drivers/board.h new file mode 100644 index 0000000000..0a525be35b --- /dev/null +++ b/bsp/swm320-lq100/drivers/board.h @@ -0,0 +1,40 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-05-31 ZYH first version + * 2018-12-10 Zohar_Lee format file + */ + +#ifndef BOARD_H__ +#define BOARD_H__ +#include +#include +#define SRAM_BASE 0x20000000 +#define SRAM_SIZE 0x20000 + +#ifdef BSP_USING_EXT_SRAM + #define EXT_SRAM_BASE SRAMM_BASE + #define EXT_SRAM_SIZE BSP_EXT_SRAM_SIZE + #define EXT_SRAM_BEGIN EXT_SRAM_BASE + #define EXT_SRAM_END (EXT_SRAM_BASE + EXT_SRAM_SIZE) +#endif + +#define SRAM_END (SRAM_BASE + SRAM_SIZE * 1024UL) +#ifdef __CC_ARM + extern int Image$$RW_IRAM1$$ZI$$Limit; + #define HEAP_BEGIN ((void *)&Image$$RW_IRAM1$$ZI$$Limit) +#elif __ICCARM__ + #pragma section = "HEAP" + #define HEAP_BEGIN (__segment_end("HEAP")) +#else + extern int __bss_end; + #define HEAP_BEGIN ((void *)&__bss_end) +#endif +#define HEAP_END SRAM_END +#define HEAP_SIZE (HEAP_END - (rt_uint32_t)HEAP_BEGIN) +extern void rt_hw_board_init(void); +#endif diff --git a/bsp/swm320-lq100/drivers/drv_gpio.c b/bsp/swm320-lq100/drivers/drv_gpio.c new file mode 100644 index 0000000000..b6f7244a94 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_gpio.c @@ -0,0 +1,599 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-05-31 ZYH first version + * 2018-12-10 Zohar_Lee ä¿®å¤bug + */ + +#include +#include +#include +#include +#include +#include +#include + +typedef void (*pin_callback_t)(void *args); +struct pin +{ + uint32_t package_index; + const char *name; + GPIO_TypeDef *port; + uint32_t group_index; + IRQn_Type irq; + rt_uint32_t irq_mode; + pin_callback_t callback; + void *callback_args; +}; +typedef struct pin pin_t; + +#define SWM32_PIN(a, b, c, d) \ + { \ + a, #b, GPIO##c, d, GPIO##c##_IRQn \ + } +#define GPIO0 ((GPIO_TypeDef *)(0)) +#define GPIO0_IRQn (GPIOA0_IRQn) + +const static pin_t swm32_pin_map[] = +{ + SWM32_PIN(0, None, 0, 0), + SWM32_PIN(1, ADC0 CH3, 0, 0), + SWM32_PIN(2, ADC0 REFP, 0, 0), + SWM32_PIN(3, Cap0, 0, 0), + SWM32_PIN(4, B12, B, 12), + SWM32_PIN(5, RTC VDD, 0, 0), + SWM32_PIN(6, N14, N, 14), + SWM32_PIN(7, N13, N, 13), + SWM32_PIN(8, N12, N, 12), + SWM32_PIN(9, N11, N, 11), + SWM32_PIN(10, VDD 3.3V, 0, 0), + SWM32_PIN(11, VSS 3.3V, 0, 0), + SWM32_PIN(12, Cap 2, 0, 0), + SWM32_PIN(13, N9, N, 9), + SWM32_PIN(14, N10, N, 10), + SWM32_PIN(15, Cap 1, 0, 0), + SWM32_PIN(16, AVSS, 0, 0), + SWM32_PIN(17, AVDD, 0, 0), + SWM32_PIN(18, N2, N, 2), + SWM32_PIN(19, N1, N, 1), + SWM32_PIN(20, N0, N, 0), + SWM32_PIN(21, C4, C, 4), + SWM32_PIN(22, C5, C, 5), + SWM32_PIN(23, C6, C, 6), + SWM32_PIN(24, C7, C, 7), + SWM32_PIN(25, C2, C, 2), + SWM32_PIN(26, C3, C, 3), + SWM32_PIN(27, XHIN, 0, 0), + SWM32_PIN(28, XHOUT, 0, 0), + SWM32_PIN(29, RESET, 0, 0), + SWM32_PIN(30, M2, M, 2), + SWM32_PIN(31, M3, M, 3), + SWM32_PIN(32, M4, M, 4), + SWM32_PIN(33, M5, M, 5), + SWM32_PIN(34, M6, M, 6), + SWM32_PIN(35, M7, M, 7), + SWM32_PIN(36, M8, M, 8), + SWM32_PIN(37, M9, M, 9), + SWM32_PIN(38, M10, M, 10), + SWM32_PIN(39, M11, M, 11), + SWM32_PIN(40, M12, M, 12), + SWM32_PIN(41, M13, M, 13), + SWM32_PIN(42, M14, M, 14), + SWM32_PIN(43, M15, M, 15), + SWM32_PIN(44, M16, M, 16), + SWM32_PIN(45, M17, M, 17), + SWM32_PIN(46, M18, M, 18), + SWM32_PIN(47, M19, M, 19), + SWM32_PIN(48, M20, M, 20), + SWM32_PIN(49, M21, M, 21), + SWM32_PIN(50, VDDIO, 0, 0), + SWM32_PIN(51, M1, M, 1), + SWM32_PIN(52, M0, M, 0), + SWM32_PIN(53, P0, P, 0), + SWM32_PIN(54, P1, P, 1), + SWM32_PIN(55, P2, P, 2), + SWM32_PIN(56, P3, P, 3), + SWM32_PIN(57, P4, P, 4), + SWM32_PIN(58, P5, P, 5), + SWM32_PIN(59, P6, P, 6), + SWM32_PIN(60, P7, P, 7), + SWM32_PIN(61, P8, P, 8), + SWM32_PIN(62, P9, P, 9), + SWM32_PIN(63, P10, P, 10), + SWM32_PIN(64, P11, P, 11), + SWM32_PIN(65, P12, P, 12), + SWM32_PIN(66, P13, P, 13), + SWM32_PIN(67, P14, P, 14), + SWM32_PIN(68, P15, P, 15), + SWM32_PIN(69, P16, P, 16), + SWM32_PIN(70, P17, P, 17), + SWM32_PIN(71, P18, P, 18), + SWM32_PIN(72, P19, P, 19), + SWM32_PIN(73, P20, P, 20), + SWM32_PIN(74, P21, P, 21), + SWM32_PIN(75, P22, P, 22), + SWM32_PIN(76, P23, P, 23), + SWM32_PIN(77, B0, B, 0), + SWM32_PIN(78, A0, A, 0), + SWM32_PIN(79, A1, A, 1), + SWM32_PIN(80, A2, A, 2), + SWM32_PIN(81, A3, A, 3), + SWM32_PIN(82, A4, A, 4), + SWM32_PIN(83, A5, A, 5), + SWM32_PIN(84, VSSIO, 0, 0), + SWM32_PIN(85, C1, C, 1), + SWM32_PIN(86, N19, N, 19), + SWM32_PIN(87, N18, N, 18), + SWM32_PIN(88, N17, N, 17), + SWM32_PIN(89, N16, N, 16), + SWM32_PIN(90, N15, N, 15), + SWM32_PIN(91, N8, N, 8), + SWM32_PIN(92, N7, N, 7), + SWM32_PIN(93, N6, N, 6), + SWM32_PIN(94, N5, N, 5), + SWM32_PIN(95, N4, N, 4), + SWM32_PIN(96, N3, N, 3), + SWM32_PIN(97, A9, A, 9), + SWM32_PIN(98, A10, A, 10), + SWM32_PIN(99, A11, A, 11), + SWM32_PIN(100, A12, A, 12) +}; +#define ITEM_NUM(items) sizeof(items) / sizeof(items[0]) +static pin_t *get_pin(uint8_t pin) +{ + pin_t *index; + if (pin < ITEM_NUM(swm32_pin_map)) + { + index = (pin_t *)&swm32_pin_map[pin]; + if (index->port == GPIO0) + index = RT_NULL; + } + else + { + index = RT_NULL; + } + return index; +}; + +static void swm320_pin_write(rt_device_t dev, rt_base_t pin, rt_base_t value) +{ + pin_t *index; + index = get_pin(pin); + if (index == RT_NULL) + { + return; + } + if (value) + { + GPIO_SetBit(index->port, index->group_index); + } + else + { + GPIO_ClrBit(index->port, index->group_index); + } +} + +static int swm320_pin_read(rt_device_t dev, rt_base_t pin) +{ + pin_t *index; + index = get_pin(pin); + if (index == RT_NULL) + { + return PIN_LOW; + } + return GPIO_GetBit(index->port, index->group_index); +} + +static void swm320_pin_mode(rt_device_t dev, rt_base_t pin, rt_base_t mode) +{ + pin_t *index; + int dir = 0; + int pull_up = 0; + int pull_down = 0; + index = get_pin(pin); + if (index == RT_NULL) + { + return; + } + /* Configure GPIO_InitStructure */ + if (mode == PIN_MODE_OUTPUT) + { + /* output setting */ + dir = 1; + } + else if (mode == PIN_MODE_INPUT) + { + /* input setting: not pull. */ + dir = 0; + } + else if (mode == PIN_MODE_INPUT_PULLUP) + { + /* input setting: pull up. */ + dir = 0; + pull_up = 1; + } + else if (mode == PIN_MODE_INPUT_PULLDOWN) + { + /* input setting: pull down. */ + dir = 0; + pull_down = 1; + } + else if (mode == PIN_MODE_OUTPUT_OD) + { + /* output setting: od. */ + dir = 1; + pull_up = 1; + } + GPIO_Init(index->port, index->group_index, dir, pull_up, pull_down); +} + +static rt_err_t swm320_pin_attach_irq(struct rt_device *device, + rt_int32_t pin, + rt_uint32_t mode, + pin_callback_t cb, + void *args) +{ + pin_t *index; + rt_base_t level; + index = get_pin(pin); + if (index == RT_NULL) + { + return RT_EINVAL; + } + level = rt_hw_interrupt_disable(); + index->callback = cb; + index->callback_args = args; + index->irq_mode = mode; + + rt_hw_interrupt_enable(level); + return RT_EOK; +} + +static rt_err_t swm320_pin_detach_irq(struct rt_device *device, rt_int32_t pin) +{ + pin_t *index; + rt_base_t level; + index = get_pin(pin); + if (index == RT_NULL) + { + return RT_EINVAL; + } + level = rt_hw_interrupt_disable(); + index->callback = 0; + index->callback_args = 0; + index->irq_mode = 0; + rt_hw_interrupt_enable(level); + return RT_EOK; +} + +static rt_err_t swm320_pin_irq_enable(struct rt_device *device, + rt_base_t pin, + rt_uint32_t enabled) +{ + pin_t *index; + rt_base_t level = 0; + index = get_pin(pin); + if (index == RT_NULL) + { + return RT_EINVAL; + } + if (enabled == PIN_IRQ_ENABLE) + { + + switch (index->irq_mode) + { + case PIN_IRQ_MODE_RISING: + GPIO_Init(index->port, index->group_index, 0, 0, 1); + EXTI_Init(index->port, index->group_index, EXTI_RISE_EDGE); + break; + case PIN_IRQ_MODE_FALLING: + GPIO_Init(index->port, index->group_index, 0, 1, 0); + EXTI_Init(index->port, index->group_index, EXTI_FALL_EDGE); + break; + case PIN_IRQ_MODE_RISING_FALLING: + GPIO_Init(index->port, index->group_index, 0, 1, 1); + EXTI_Init(index->port, index->group_index, EXTI_BOTH_EDGE); + break; + case PIN_IRQ_MODE_HIGH_LEVEL: + GPIO_Init(index->port, index->group_index, 0, 0, 1); + EXTI_Init(index->port, index->group_index, EXTI_HIGH_LEVEL); + break; + case PIN_IRQ_MODE_LOW_LEVEL: + GPIO_Init(index->port, index->group_index, 0, 1, 0); + EXTI_Init(index->port, index->group_index, EXTI_LOW_LEVEL); + break; + default: + rt_hw_interrupt_enable(level); + return RT_EINVAL; + } + + level = rt_hw_interrupt_disable(); + NVIC_EnableIRQ(index->irq); + EXTI_Open(index->port, index->group_index); + rt_hw_interrupt_enable(level); + } + else if (enabled == PIN_IRQ_DISABLE) + { + NVIC_DisableIRQ(index->irq); + EXTI_Close(index->port, index->group_index); + } + else + { + return RT_ENOSYS; + } + return RT_EOK; +} + +const static struct rt_pin_ops swm320_pin_ops = +{ + swm320_pin_mode, + swm320_pin_write, + swm320_pin_read, + swm320_pin_attach_irq, + swm320_pin_detach_irq, + swm320_pin_irq_enable +}; + +int rt_hw_pin_init(void) +{ + int result; + result = rt_device_pin_register("pin", &swm320_pin_ops, RT_NULL); + return result; +} +INIT_BOARD_EXPORT(rt_hw_pin_init); + +void GPIOA_Handler(void) +{ + static int gpio[24]; + int index = 0; + static int init = 0; + pin_t *pin; + /* enter interrupt */ + rt_interrupt_enter(); + if (init == 0) + { + init = 1; + for (pin = (pin_t *)&swm32_pin_map[1]; + pin->package_index < ITEM_NUM(swm32_pin_map); + pin++) + { + if (pin->port == GPIOA) + { + gpio[index] = pin->package_index; + index++; + RT_ASSERT(index <= 24) + } + } + } + for (index = 0; index < 24; index++) + { + pin = get_pin(gpio[index]); + if (index != RT_NULL) + { + if (EXTI_State(pin->port, pin->group_index)) + { + EXTI_Clear(pin->port, pin->group_index); + if (pin->callback) + { + pin->callback(pin->callback_args); + } + } + } + } + /* leave interrupt */ + rt_interrupt_leave(); +} + +void GPIOB_Handler(void) +{ + static int gpio[24]; + int index = 0; + static int init = 0; + pin_t *pin; + /* enter interrupt */ + rt_interrupt_enter(); + if (init == 0) + { + init = 1; + for (pin = (pin_t *)&swm32_pin_map[1]; + pin->package_index < ITEM_NUM(swm32_pin_map); + pin++) + { + if (pin->port == GPIOB) + { + gpio[index] = pin->package_index; + index++; + RT_ASSERT(index <= 24) + } + } + } + for (index = 0; index < 24; index++) + { + pin = get_pin(gpio[index]); + if (index != RT_NULL) + { + if (EXTI_State(pin->port, pin->group_index)) + { + EXTI_Clear(pin->port, pin->group_index); + if (pin->callback) + { + pin->callback(pin->callback_args); + } + } + } + } + /* leave interrupt */ + rt_interrupt_leave(); +} + +void GPIOC_Handler(void) +{ + static int gpio[24]; + int index = 0; + static int init = 0; + pin_t *pin; + /* enter interrupt */ + rt_interrupt_enter(); + if (init == 0) + { + init = 1; + for (pin = (pin_t *)&swm32_pin_map[1]; + pin->package_index < ITEM_NUM(swm32_pin_map); + pin++) + { + if (pin->port == GPIOC) + { + gpio[index] = pin->package_index; + index++; + RT_ASSERT(index <= 24) + } + } + } + for (index = 0; index < 24; index++) + { + pin = get_pin(gpio[index]); + if (index != RT_NULL) + { + if (EXTI_State(pin->port, pin->group_index)) + { + EXTI_Clear(pin->port, pin->group_index); + if (pin->callback) + { + pin->callback(pin->callback_args); + } + } + } + } + /* leave interrupt */ + rt_interrupt_leave(); +} + +void GPIOM_Handler(void) +{ + static int gpio[24]; + int index = 0; + static int init = 0; + pin_t *pin; + /* enter interrupt */ + rt_interrupt_enter(); + if (init == 0) + { + init = 1; + for (pin = (pin_t *)&swm32_pin_map[1]; + pin->package_index < ITEM_NUM(swm32_pin_map); + pin++) + { + if (pin->port == GPIOM) + { + gpio[index] = pin->package_index; + index++; + RT_ASSERT(index <= 24) + } + } + } + for (index = 0; index < 24; index++) + { + pin = get_pin(gpio[index]); + if (index != RT_NULL) + { + if (EXTI_State(pin->port, pin->group_index)) + { + EXTI_Clear(pin->port, pin->group_index); + if (pin->callback) + { + pin->callback(pin->callback_args); + } + } + } + } + /* leave interrupt */ + rt_interrupt_leave(); +} + +void GPION_Handler(void) +{ + static int gpio[24]; + int index = 0; + static int init = 0; + pin_t *pin; + /* enter interrupt */ + rt_interrupt_enter(); + if (init == 0) + { + init = 1; + for (pin = (pin_t *)&swm32_pin_map[1]; + pin->package_index < ITEM_NUM(swm32_pin_map); + pin++) + { + if (pin->port == GPION) + { + gpio[index] = pin->package_index; + index++; + RT_ASSERT(index <= 24) + } + } + } + for (index = 0; index < 24; index++) + { + pin = get_pin(gpio[index]); + if (index != RT_NULL) + { + if (EXTI_State(pin->port, pin->group_index)) + { + EXTI_Clear(pin->port, pin->group_index); + if (pin->callback) + { + pin->callback(pin->callback_args); + } + } + } + } + /* leave interrupt */ + rt_interrupt_leave(); +} + +void GPIOP_Handler(void) +{ + static int gpio[24]; + int index = 0; + static int init = 0; + pin_t *pin; + /* enter interrupt */ + rt_interrupt_enter(); + if (init == 0) + { + init = 1; + for (pin = (pin_t *)&swm32_pin_map[1]; + pin->package_index < ITEM_NUM(swm32_pin_map); + pin++) + { + if (pin->port == GPIOP) + { + gpio[index] = pin->package_index; + index++; + RT_ASSERT(index <= 24) + } + } + } + for (index = 0; index < 24; index++) + { + pin = get_pin(gpio[index]); + if (index != RT_NULL) + { + if (EXTI_State(pin->port, pin->group_index)) + { + EXTI_Clear(pin->port, pin->group_index); + if (pin->callback) + { + pin->callback(pin->callback_args); + } + } + } + } + /* leave interrupt */ + rt_interrupt_leave(); +} diff --git a/bsp/swm320-lq100/drivers/drv_gpio.h b/bsp/swm320-lq100/drivers/drv_gpio.h new file mode 100644 index 0000000000..4993272726 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_gpio.h @@ -0,0 +1,16 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-12-10 Zohar_Lee first version + */ + +#ifndef DRV_GPIO_H__ +#define DRV_GPIO_H__ + +int rt_hw_pin_init(void); + +#endif diff --git a/bsp/swm320-lq100/drivers/drv_i2c.c b/bsp/swm320-lq100/drivers/drv_i2c.c new file mode 100644 index 0000000000..322d735a68 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_i2c.c @@ -0,0 +1,70 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-05-31 ZYH first version + * 2018-12-10 Zohar_Lee format file + */ + +#include +#include +#include +#include + +static void drv_set_sda(void *data, rt_int32_t state) +{ + rt_pin_mode(BSP_I2C_SDA, PIN_MODE_OUTPUT); + rt_pin_write(BSP_I2C_SDA, state); +} + +static void drv_set_scl(void *data, rt_int32_t state) +{ + rt_pin_mode(BSP_I2C_SCL, PIN_MODE_OUTPUT); + rt_pin_write(BSP_I2C_SCL, state); +} + +static rt_int32_t drv_get_sda(void *data) +{ + rt_pin_mode(BSP_I2C_SDA, PIN_MODE_INPUT_PULLUP); + return rt_pin_read(BSP_I2C_SDA); +} + +static rt_int32_t drv_get_scl(void *data) +{ + rt_pin_mode(BSP_I2C_SCL, PIN_MODE_INPUT_PULLUP); + return rt_pin_read(BSP_I2C_SCL); +} + +static void drv_udelay(rt_uint32_t us) +{ + int i = (SystemCoreClock / 4000000 * us); + while (i) + { + i--; + } +} + +static const struct rt_i2c_bit_ops drv_bit_ops = +{ + RT_NULL, + drv_set_sda, + drv_set_scl, + drv_get_sda, + drv_get_scl, + drv_udelay, + 1, + 100 +}; + +int rt_hw_i2c_init(void) +{ + static struct rt_i2c_bus_device i2c2_bus; + rt_memset((void *)&i2c2_bus, 0, sizeof(struct rt_i2c_bus_device)); + i2c2_bus.priv = (void *)&drv_bit_ops; + rt_i2c_bit_add_bus(&i2c2_bus, BSP_I2C_BUS_NAME); + return RT_EOK; +} +INIT_DEVICE_EXPORT(rt_hw_i2c_init); diff --git a/bsp/swm320-lq100/drivers/drv_i2c.h b/bsp/swm320-lq100/drivers/drv_i2c.h new file mode 100644 index 0000000000..01cbcd7669 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_i2c.h @@ -0,0 +1,16 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-12-10 Zohar_Lee first version + */ + +#ifndef DRV_I2C_H__ +#define DRV_I2C_H__ + +int rt_hw_i2c_init(void); + +#endif diff --git a/bsp/swm320-lq100/drivers/drv_iwg.c b/bsp/swm320-lq100/drivers/drv_iwg.c new file mode 100644 index 0000000000..c8cd408a08 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_iwg.c @@ -0,0 +1,77 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-12-10 Zohar_Lee first version + */ + +#include +#include "rtthread.h" +#include "rtdevice.h" + +static rt_err_t swm320_wdt_init(rt_watchdog_t *wdt) +{ + WDT_Init(WDT, SystemCoreClock / 2, WDT_MODE_INTERRUPT); + + return RT_EOK; +} + +static rt_err_t swm320_wdt_control(rt_watchdog_t *wdt, int cmd, void *arg) +{ + switch (cmd) + { + case RT_DEVICE_CTRL_WDT_GET_TIMEOUT: + *(uint32_t *)arg = WDT->LOAD; + break; + case RT_DEVICE_CTRL_WDT_SET_TIMEOUT: + WDT_Stop(WDT); + WDT->LOAD = SystemCoreClock / 1000 * (*(uint32_t *)arg); + break; + case RT_DEVICE_CTRL_WDT_GET_TIMELEFT: + *(uint32_t *)arg = WDT_GetValue(WDT); + break; + case RT_DEVICE_CTRL_WDT_KEEPALIVE: + WDT_Feed(WDT); + break; + case RT_DEVICE_CTRL_WDT_START: + WDT_Start(WDT); + break; + case RT_DEVICE_CTRL_WDT_STOP: + WDT_Stop(WDT); + break; + default: + break; + } + + return RT_EOK; +} + +rt_watchdog_t swm320_wdt; +const static struct rt_watchdog_ops swm320_wdt_ops = +{ + swm320_wdt_init, + swm320_wdt_control +}; + +int rt_hw_wdt_init(void) +{ + rt_err_t result = RT_EOK; + + swm320_wdt.ops = &swm320_wdt_ops; + + result = rt_hw_watchdog_register(&swm320_wdt, + "iwg", + RT_DEVICE_FLAG_RDWR, + WDT); + + return result; +} +INIT_BOARD_EXPORT(rt_hw_wdt_init); + +void WDT_Handler(void) +{ + WDT_INTClr(WDT); +} diff --git a/bsp/swm320-lq100/drivers/drv_iwg.h b/bsp/swm320-lq100/drivers/drv_iwg.h new file mode 100644 index 0000000000..f4e85df8a7 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_iwg.h @@ -0,0 +1,16 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-12-10 Zohar_Lee first version + */ + +#ifndef DRV_IWG_H__ +#define DRV_IWG_H__ + +int rt_hw_wdt_init(void); + +#endif diff --git a/bsp/swm320-lq100/drivers/drv_nor_flash.c b/bsp/swm320-lq100/drivers/drv_nor_flash.c new file mode 100644 index 0000000000..7acfc64088 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_nor_flash.c @@ -0,0 +1,125 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-05-31 ZYH first version + * 2018-12-10 Zohar_Lee format file + */ + +#include +#include +#include +#include +#include + +#define BLOCK_SIZE (64 * 1024) +#define FLASH_SIZE (BSP_NOR_FLASH_SIZE) +#define BLOCK_COUNTER (FLASH_SIZE / BLOCK_SIZE) + +static struct rt_mutex flash_lock; + +/* RT-Thread MTD device interface */ +static long swm320_read_id(struct rt_mtd_nor_device *device) +{ + return 0xdeadbeef; +} + +static rt_size_t swm320_read(struct rt_mtd_nor_device *device, + rt_off_t position, + rt_uint8_t *data, + rt_size_t size) +{ + rt_mutex_take(&flash_lock, RT_WAITING_FOREVER); + memcpy(data, ((const void *)(NORFLM_BASE + position)), size); + rt_mutex_release(&flash_lock); + return size; +} + +static rt_size_t swm320_write(struct rt_mtd_nor_device *device, + rt_off_t position, + const rt_uint8_t *data, + rt_size_t size) +{ + rt_size_t i; + const rt_uint16_t *hwdata = (const rt_uint16_t *)data; + rt_mutex_take(&flash_lock, RT_WAITING_FOREVER); + for (i = 0; i < size / 2; i++) + { + NORFL_Write(position, hwdata[i]); + position += 2; + } + rt_mutex_release(&flash_lock); + return size; +} + +static rt_err_t swm320_erase_block(struct rt_mtd_nor_device *device, + rt_off_t offset, + rt_uint32_t length) +{ + rt_mutex_take(&flash_lock, RT_WAITING_FOREVER); + NORFL_SectorErase(offset); + rt_mutex_release(&flash_lock); + return RT_EOK; +} + +const static struct rt_mtd_nor_driver_ops mtd_ops = +{ + swm320_read_id, + swm320_read, + swm320_write, + swm320_erase_block +}; + +static rt_err_t hw_init() +{ + NORFL_InitStructure NORFL_InitStruct; + PORT->PORTP_SEL0 = 0xAAAAAAAA; //PP0-23 => ADDR0-23 + PORT->PORTP_SEL1 = 0xAAAA; + + PORT->PORTM_SEL0 = 0xAAAAAAAA; //PM0-15 => DATA15-0 + PORT->PORTM_INEN = 0xFFFF; + + PORT->PORTM_SEL1 = 0x2AA; //PM16 => OEN, PM17 => WEN, PM18 => NORFL_CSN,PM19 => SDRAM_CSN, PM20 => SRAM_CSN, PM21 => SDRAM_CKE + + NORFL_InitStruct.DataWidth = 16; + NORFL_InitStruct.WELowPulseTime = 5; + NORFL_InitStruct.OEPreValidTime = 12; + NORFL_InitStruct.OperFinishIEn = 0; + NORFL_InitStruct.OperTimeoutIEn = 0; + NORFL_Init(&NORFL_InitStruct); + return RT_EOK; +} +static struct rt_mtd_nor_device mtd; +int rt_hw_norflash_init(void) +{ + hw_init(); + /* set page size and block size */ + mtd.block_size = BLOCK_SIZE; /* 64kByte */ + mtd.ops = &mtd_ops; + + /* initialize mutex */ + if (rt_mutex_init(&flash_lock, "nor", RT_IPC_FLAG_FIFO) != RT_EOK) + { + rt_kprintf("init sd lock mutex failed\n"); + return -RT_ERROR; + } + mtd.block_start = 0; + mtd.block_end = BLOCK_COUNTER; + + /* register MTD device */ + rt_mtd_nor_register_device("nor", &mtd); + return RT_EOK; +} +INIT_DEVICE_EXPORT(rt_hw_norflash_init); + +#ifdef RT_USING_FINSH +#include +void nor_erase(void) +{ + NORFL_ChipErase(); +} +MSH_CMD_EXPORT(nor_erase, erase all block in SPI flash); +#endif diff --git a/bsp/swm320-lq100/drivers/drv_nor_flash.h b/bsp/swm320-lq100/drivers/drv_nor_flash.h new file mode 100644 index 0000000000..86260be87e --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_nor_flash.h @@ -0,0 +1,16 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-12-10 Zohar_Lee first version + */ + +#ifndef DRV_NOR_FLASH_H__ +#define DRV_NOR_FLASH_H__ + +int rt_hw_norflash_init(void); + +#endif diff --git a/bsp/swm320-lq100/drivers/drv_pwm.c b/bsp/swm320-lq100/drivers/drv_pwm.c new file mode 100644 index 0000000000..3d6f9203ef --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_pwm.c @@ -0,0 +1,190 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-12-10 Zohar_Lee first version + */ + +#include +#include +#include +#include + +#define SWM320_PWM_DEVICE(pwm) (struct swm320_pwm_dev *)(pwm) + +#define SWM320_PWM_TIMER_SET(time) ((time) / 1000.0 * 120) + +struct swm320_pwm_dev +{ + struct rt_device_pwm parent; + PWM_TypeDef *pwm_periph; +}; + +static rt_err_t swm320_pwm_enable(void *user_data, + struct rt_pwm_configuration *cfg, + rt_bool_t enable) +{ + rt_err_t ret = RT_EOK; + + if (RT_TRUE == enable) + { + if (2 == cfg->channel) + { + PWM_Start((PWM_TypeDef *)user_data, 1, 1); + } + if (1 == cfg->channel) + { + PWM_Start((PWM_TypeDef *)user_data, 0, 1); + } + if (0 == cfg->channel) + { + PWM_Start((PWM_TypeDef *)user_data, 1, 0); + } + if (3 == cfg->channel) + { + PWM_Start((PWM_TypeDef *)user_data, 0, 0); + } + } + else if (RT_FALSE == enable) + { + if (2 == cfg->channel) + { + PWM_Stop((PWM_TypeDef *)user_data, 1, 1); + } + if (1 == cfg->channel) + { + PWM_Stop((PWM_TypeDef *)user_data, 0, 1); + } + if (0 == cfg->channel) + { + PWM_Stop((PWM_TypeDef *)user_data, 1, 0); + } + if (3 == cfg->channel) + { + PWM_Stop((PWM_TypeDef *)user_data, 0, 0); + } + } + else + { + ret = RT_ERROR; + } + + return ret; +} + +static rt_err_t swm320_pwm_control(struct rt_device_pwm *device, + int cmd, + void *arg) +{ + rt_err_t ret = RT_EOK; + struct swm320_pwm_dev *pwm = SWM320_PWM_DEVICE(device->parent.user_data); + struct rt_pwm_configuration *cfg = (struct rt_pwm_configuration *)arg; + + RT_ASSERT(pwm != RT_NULL); + + switch (cmd) + { + case PWM_CMD_ENABLE: + + ret = swm320_pwm_enable((void *)pwm->pwm_periph, cfg, RT_TRUE); + break; + case PWM_CMD_DISABLE: + + ret = swm320_pwm_enable((void *)pwm->pwm_periph, cfg, RT_FALSE); + break; + case PWM_CMD_SET: + PWM_SetHDuty(pwm->pwm_periph, + cfg->channel, + SWM320_PWM_TIMER_SET(cfg->pulse)); + PWM_SetCycle(pwm->pwm_periph, + cfg->channel, + SWM320_PWM_TIMER_SET(cfg->period)); + break; + case PWM_CMD_GET: + cfg->pulse = PWM_GetHDuty(pwm->pwm_periph, cfg->channel); + break; + default: + break; + } + + return ret; +} + +const static struct rt_pwm_ops swm320_pwm_ops = +{ + swm320_pwm_control +}; + +int rt_hw_pwm_init(void) +{ + rt_err_t ret = RT_EOK; + PWM_InitStructure PWM_initStruct; + + PWM_initStruct.clk_div = PWM_CLKDIV_1; /* F_PWM = 120M/1 = 120M */ + PWM_initStruct.mode = PWM_MODE_INDEP; /* A路和B路独立输出 */ + PWM_initStruct.cycleA = SWM320_PWM_TIMER_SET(1000); + PWM_initStruct.hdutyA = SWM320_PWM_TIMER_SET(500); + PWM_initStruct.initLevelA = 1; + PWM_initStruct.cycleB = SWM320_PWM_TIMER_SET(1000); + PWM_initStruct.hdutyB = SWM320_PWM_TIMER_SET(250); + PWM_initStruct.initLevelB = 1; + PWM_initStruct.HEndAIEn = 0; + PWM_initStruct.NCycleAIEn = 0; + PWM_initStruct.HEndBIEn = 0; + PWM_initStruct.NCycleBIEn = 0; + +#ifdef BSP_USING_PWM0 + static struct swm320_pwm_dev pwm_dev0; + pwm_dev0.pwm_periph = PWM0; + PWM_Init(pwm_dev0.pwm_periph, &PWM_initStruct); + PORT_Init(PORTA, PIN4, FUNMUX0_PWM0A_OUT, 0); + PORT_Init(PORTA, PIN10, FUNMUX0_PWM0B_OUT, 0); + ret = rt_device_pwm_register(&pwm_dev0.parent, + "pwm0", + &swm320_pwm_ops, + &pwm_dev0); + +#endif + +#ifdef BSP_USING_PWM1 + static struct swm320_pwm_dev pwm_dev1; + pwm_dev1.pwm_periph = PWM1; + PWM_Init(pwm_dev1.pwm_periph, &PWM_initStruct); + PORT_Init(PORTA, PIN5, FUNMUX1_PWM1A_OUT, 0); + PORT_Init(PORTA, PIN9, FUNMUX1_PWM1B_OUT, 0); + ret = rt_device_pwm_register(&pwm_dev1.parent, + "pwm1", + &swm320_pwm_ops, + &pwm_dev1); +#endif + +#ifdef BSP_USING_PWM2 + static struct swm320_pwm_dev pwm_dev2; + pwm_dev2.pwm_periph = PWM2; + PWM_Init(pwm_dev2.pwm_periph, &PWM_initStruct); + PORT_Init(PORTP, PIN0, FUNMUX0_PWM2A_OUT, 0); + PORT_Init(PORTP, PIN2, FUNMUX0_PWM2B_OUT, 0); + ret = rt_device_pwm_register(&pwm_dev2.parent, + "pwm2", + &swm320_pwm_ops, + &pwm_dev2); +#endif + +#ifdef BSP_USING_PWM3 + static struct swm320_pwm_dev pwm_dev3; + pwm_dev3.pwm_periph = PWM3; + PWM_Init(pwm_dev3.pwm_periph, &PWM_initStruct); + PORT_Init(PORTP, PIN1, FUNMUX1_PWM3A_OUT, 0); + PORT_Init(PORTP, PIN3, FUNMUX1_PWM3B_OUT, 0); + ret = rt_device_pwm_register(&pwm_dev3.parent, + "pwm3", + &swm320_pwm_ops, + &pwm_dev3); +#endif + + return ret; +} +INIT_DEVICE_EXPORT(rt_hw_pwm_init); diff --git a/bsp/swm320-lq100/drivers/drv_pwm.h b/bsp/swm320-lq100/drivers/drv_pwm.h new file mode 100644 index 0000000000..94bbf0c750 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_pwm.h @@ -0,0 +1,16 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-12-10 Zohar_Lee first version + */ + +#ifndef DRV_PWM_H__ +#define DRV_PWM_H__ + +int rt_hw_pwm_init(void); + +#endif diff --git a/bsp/swm320-lq100/drivers/drv_rtc.c b/bsp/swm320-lq100/drivers/drv_rtc.c new file mode 100644 index 0000000000..51597ba73d --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_rtc.c @@ -0,0 +1,177 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-12-10 Zohar_Lee first version + */ + +#include +#include +#include +#include +#include +#include + +/** + * This function will get the weed day from a date. + * + * @param year the year of time + * @param month the month of time + * @param date the date of time + * + * @return the week day 0 ~ 6 : sun ~ sat + * + * @note No + */ +static uint32_t calcWeekDay(uint32_t year, uint32_t month, uint32_t date) +{ + uint32_t i, cnt = 0; + const uint32_t daysOfMonth[13] = {0, 31, 28, 31, 30, 31, 30, 31, 31, 30, 31, 30, 31}; + + for (i = 1; i < month; i++) + cnt += daysOfMonth[i]; + + cnt += date; + + if ((year % 4 == 0) && ((year % 100 != 0) || (year % 400 == 0)) && + (month >= 3)) + cnt += 1; + + cnt += (year - 1901) * 365; + + for (i = 1901; i < year; i++) + { + if ((i % 4 == 0) && ((i % 100 != 0) || (i % 400 == 0))) + cnt += 1; + } + + return (cnt + 1) % 7; +} + +static void RTC_SetDateTime(RTC_TypeDef *RTCx, RTC_DateTime *dateTime) +{ + RTC_Stop(RTCx); + + while (RTCx->CFGABLE == 0); + + RTCx->MINSEC = (dateTime->Second << RTC_MINSEC_SEC_Pos) | + (dateTime->Minute << RTC_MINSEC_MIN_Pos); + + RTCx->DATHUR = (dateTime->Hour << RTC_DATHUR_HOUR_Pos) | + ((dateTime->Date - 1) << RTC_DATHUR_DATE_Pos); + + RTCx->MONDAY = (calcWeekDay(dateTime->Year, dateTime->Month, dateTime->Date) + << RTC_MONDAY_DAY_Pos) | + ((dateTime->Month - 1) << RTC_MONDAY_MON_Pos); + + RTCx->YEAR = dateTime->Year - 1901; + + RTCx->LOAD = 1 << RTC_LOAD_TIME_Pos; + + RTC_Start(RTC); +} + +static rt_err_t swm320_rtc_control(rt_device_t dev, int cmd, void *args) +{ + rt_err_t result = RT_EOK; + + struct tm time_temp; + struct tm *pNow; + RTC_DateTime dateTime; + + switch (cmd) + { + case RT_DEVICE_CTRL_RTC_GET_TIME: + RTC_GetDateTime(RTC, &dateTime); + time_temp.tm_sec = dateTime.Second; + time_temp.tm_min = dateTime.Minute; + time_temp.tm_hour = dateTime.Hour; + time_temp.tm_mday = dateTime.Date; + time_temp.tm_mon = dateTime.Month - 1; + time_temp.tm_year = dateTime.Year - 1900; + *((time_t *)args) = mktime(&time_temp); + break; + case RT_DEVICE_CTRL_RTC_SET_TIME: + rt_enter_critical(); + /* converts calendar time time into local time. */ + pNow = localtime((const time_t *)args); + /* copy the statically located variable */ + memcpy(&time_temp, pNow, sizeof(struct tm)); + /* unlock scheduler. */ + rt_exit_critical(); + + dateTime.Hour = time_temp.tm_hour; + dateTime.Minute = time_temp.tm_min; + dateTime.Second = time_temp.tm_sec; + dateTime.Year = time_temp.tm_year + 1900; + dateTime.Month = time_temp.tm_mon + 1; + dateTime.Date = time_temp.tm_mday; + RTC_SetDateTime(RTC, &dateTime); + break; + case RT_DEVICE_CTRL_RTC_GET_ALARM: + + break; + case RT_DEVICE_CTRL_RTC_SET_ALARM: + + break; + default: + break; + } + + return result; +} + +#ifdef RT_USING_DEVICE_OPS +const static struct rt_device_ops swm320_rtc_ops = +{ + RT_NULL, + RT_NULL, + RT_NULL, + RT_NULL, + RT_NULL, + swm320_rtc_control +}; +#endif + +int rt_hw_rtc_init(void) +{ + rt_err_t ret = RT_EOK; + static struct rt_device rtc_dev; + RTC_InitStructure RTC_initStruct; + + RTC_initStruct.Year = 2018; + RTC_initStruct.Month = 1; + RTC_initStruct.Date = 1; + RTC_initStruct.Hour = 12; + RTC_initStruct.Minute = 0; + RTC_initStruct.Second = 0; + RTC_initStruct.SecondIEn = 0; + RTC_initStruct.MinuteIEn = 0; + RTC_Init(RTC, &RTC_initStruct); + RTC_Start(RTC); + + rtc_dev.type = RT_Device_Class_RTC; + rtc_dev.rx_indicate = RT_NULL; + rtc_dev.tx_complete = RT_NULL; + +#ifdef RT_USING_DEVICE_OPS + rtc_dev.ops = &swm320_rtc_ops; +#else + rtc_dev.init = RT_NULL; + rtc_dev.open = RT_NULL; + rtc_dev.close = RT_NULL; + rtc_dev.read = RT_NULL; + rtc_dev.write = RT_NULL; + rtc_dev.control = swm320_rtc_control; +#endif + + rtc_dev.user_data = RTC; + + ret = rt_device_register(&rtc_dev, "rtc", RT_DEVICE_FLAG_RDWR); + + return ret; +} +INIT_DEVICE_EXPORT(rt_hw_rtc_init); diff --git a/bsp/swm320-lq100/drivers/drv_rtc.h b/bsp/swm320-lq100/drivers/drv_rtc.h new file mode 100644 index 0000000000..f72d3d3d94 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_rtc.h @@ -0,0 +1,16 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-12-10 Zohar_Lee first version + */ + +#ifndef DRV_RTC_H__ +#define DRV_RTC_H__ + +int rt_hw_rtc_init(void); + +#endif diff --git a/bsp/swm320-lq100/drivers/drv_spi.c b/bsp/swm320-lq100/drivers/drv_spi.c new file mode 100644 index 0000000000..e766f0ea81 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_spi.c @@ -0,0 +1,288 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-05-31 ZYH first version + * 2018-12-10 Zohar_Lee format file + */ + +#include +#include +#include +#include +#include +#include + +#define SPIRXEVENT 0x01 +#define SPITXEVENT 0x02 +#define SPITIMEOUT 2 +#define SPICRCEN 0 + +struct swm320_spi +{ + SPI_TypeDef *swm320_spi; + struct rt_spi_configuration *cfg; +}; + +static rt_err_t swm320_spi_init(SPI_TypeDef *spix, + struct rt_spi_configuration *cfg) +{ + SPI_InitStructure SPI_initStruct; + if (cfg->mode & RT_SPI_SLAVE) + { + SPI_initStruct.Master = 0; + } + else + { + SPI_initStruct.Master = 1; + } + if (cfg->mode & RT_SPI_3WIRE) + { + return RT_EINVAL; + } + if (cfg->data_width == 8) + { + SPI_initStruct.WordSize = 8; + } + else if (cfg->data_width == 16) + { + SPI_initStruct.WordSize = 16; + } + else + { + return RT_EINVAL; + } + if (cfg->mode & RT_SPI_CPHA) + { + SPI_initStruct.SampleEdge = SPI_SECOND_EDGE; + } + else + { + SPI_initStruct.SampleEdge = SPI_FIRST_EDGE; + } + if (cfg->mode & RT_SPI_CPOL) + { + SPI_initStruct.IdleLevel = SPI_HIGH_LEVEL; + } + else + { + SPI_initStruct.IdleLevel = SPI_LOW_LEVEL; + } + if (cfg->max_hz >= SystemCoreClock / 4) + { + SPI_initStruct.clkDiv = SPI_CLKDIV_4; + } + else if (cfg->max_hz >= SystemCoreClock / 8) + { + SPI_initStruct.clkDiv = SPI_CLKDIV_8; + } + else if (cfg->max_hz >= SystemCoreClock / 16) + { + SPI_initStruct.clkDiv = SPI_CLKDIV_16; + } + else if (cfg->max_hz >= SystemCoreClock / 32) + { + SPI_initStruct.clkDiv = SPI_CLKDIV_32; + } + else if (cfg->max_hz >= SystemCoreClock / 64) + { + SPI_initStruct.clkDiv = SPI_CLKDIV_64; + } + else if (cfg->max_hz >= SystemCoreClock / 128) + { + SPI_initStruct.clkDiv = SPI_CLKDIV_128; + } + else if (cfg->max_hz >= SystemCoreClock / 256) + { + SPI_initStruct.clkDiv = SPI_CLKDIV_256; + } + else + { + /* min prescaler 512 */ + SPI_initStruct.clkDiv = SPI_CLKDIV_512; + } + SPI_initStruct.FrameFormat = SPI_FORMAT_SPI; + SPI_initStruct.RXHFullIEn = 0; + SPI_initStruct.TXEmptyIEn = 0; + SPI_initStruct.TXCompleteIEn = 0; + SPI_Init(spix, &SPI_initStruct); + SPI_Open(spix); + return RT_EOK; +} + +#define SPISTEP(datalen) (((datalen) == 8) ? 1 : 2) +#define SPISEND_1(reg, ptr, datalen) \ + do \ + { \ + if (datalen == 8) \ + { \ + (reg) = *(rt_uint8_t *)(ptr); \ + } \ + else \ + { \ + (reg) = *(rt_uint16_t *)(ptr); \ + } \ + } while (0) +#define SPIRECV_1(reg, ptr, datalen) \ + do \ + { \ + if (datalen == 8) \ + { \ + *(rt_uint8_t *)(ptr) = (reg); \ + } \ + else \ + { \ + *(rt_uint16_t *)(ptr) = reg; \ + } \ + } while (0) + +static rt_err_t spitxrx1b(struct swm320_spi *hspi, void *rcvb, const void *sndb) +{ + rt_uint32_t padrcv = 0; + rt_uint32_t padsnd = 0xFF; + if (!rcvb && !sndb) + { + return RT_ERROR; + } + if (!rcvb) + { + rcvb = &padrcv; + } + if (!sndb) + { + sndb = &padsnd; + } + while (SPI_IsTXFull(hspi->swm320_spi)); + SPISEND_1(hspi->swm320_spi->DATA, sndb, hspi->cfg->data_width); + while (SPI_IsRXEmpty(hspi->swm320_spi)); + SPIRECV_1(hspi->swm320_spi->DATA, rcvb, hspi->cfg->data_width); + return RT_EOK; +} + +static rt_uint32_t swm320_spi_xfer(struct rt_spi_device *device, + struct rt_spi_message *message) +{ + rt_err_t res; + struct swm320_spi *hspi = (struct swm320_spi *)device->bus->parent.user_data; + struct swm320_spi_cs *cs = device->parent.user_data; + const rt_uint8_t *sndb = message->send_buf; + rt_uint8_t *rcvb = message->recv_buf; + rt_int32_t length = message->length; + RT_ASSERT(device != RT_NULL); + RT_ASSERT(device->bus != RT_NULL); + RT_ASSERT(device->bus->parent.user_data != RT_NULL); + if (message->cs_take) + { + rt_pin_write(cs->pin, 0); + } + while (length) + { + res = spitxrx1b(hspi, rcvb, sndb); + if (rcvb) + { + rcvb += SPISTEP(hspi->cfg->data_width); + } + if (sndb) + { + sndb += SPISTEP(hspi->cfg->data_width); + } + if (res != RT_EOK) + { + break; + } + length--; + } + /* Wait until Busy flag is reset before disabling SPI */ + while (!SPI_IsTXEmpty(hspi->swm320_spi) && !SPI_IsRXEmpty(hspi->swm320_spi)); + if (message->cs_release) + { + rt_pin_write(cs->pin, 1); + } + return message->length - length; +} + +static rt_err_t swm320_spi_configure(struct rt_spi_device *device, + struct rt_spi_configuration *configuration) +{ + struct swm320_spi *hspi = (struct swm320_spi *)device->bus->parent.user_data; + hspi->cfg = configuration; + return swm320_spi_init(hspi->swm320_spi, configuration); +} +const static struct rt_spi_ops swm320_spi_ops = +{ + .configure = swm320_spi_configure, + .xfer = swm320_spi_xfer, +}; + +#ifdef BSP_USING_SPI0 + static struct rt_spi_bus swm320_spi_bus0; + static struct swm320_spi swm320_spi0; +#endif //BSP_USING_SPI0 + +#ifdef BSP_USING_SPI1 + static struct rt_spi_bus swm320_spi_bus1; + static struct swm320_spi swm320_spi1; +#endif //BSP_USING_SPI1 + +static int swm320_spi_register_bus(SPI_TypeDef *SPIx, const char *name) +{ + struct rt_spi_bus *spi_bus; + struct swm320_spi *swm320_spi; + if (SPIx == SPI0) + { + PORT_Init(PORTC, PIN5, FUNMUX1_SPI0_SCLK, 0); + PORT_Init(PORTC, PIN6, FUNMUX0_SPI0_MOSI, 0); + PORT_Init(PORTC, PIN7, FUNMUX1_SPI0_MISO, 1); + spi_bus = &swm320_spi_bus0; + swm320_spi = &swm320_spi0; + } + else if (SPIx == SPI1) + { + PORT_Init(PORTM, PIN5, FUNMUX1_SPI1_SCLK, 0); + PORT_Init(PORTC, PIN2, FUNMUX0_SPI1_MOSI, 0); + PORT_Init(PORTC, PIN3, FUNMUX1_SPI1_MISO, 1); + spi_bus = &swm320_spi_bus1; + swm320_spi = &swm320_spi1; + } + else + { + return -1; + } + swm320_spi->swm320_spi = SPIx; + spi_bus->parent.user_data = swm320_spi; + return rt_spi_bus_register(spi_bus, name, &swm320_spi_ops); +} + +//cannot be used before completion init +static rt_err_t swm320_spi_bus_attach_device(rt_uint32_t pin, + const char *bus_name, + const char *device_name) +{ + struct rt_spi_device *spi_device = (struct rt_spi_device *)rt_malloc(sizeof(struct rt_spi_device)); + RT_ASSERT(spi_device != RT_NULL); + struct swm320_spi_cs *cs_pin = (struct swm320_spi_cs *)rt_malloc(sizeof(struct swm320_spi_cs)); + RT_ASSERT(cs_pin != RT_NULL); + cs_pin->pin = pin; + rt_pin_mode(pin, PIN_MODE_OUTPUT); + rt_pin_write(pin, 1); + return rt_spi_bus_attach_device(spi_device, + device_name, + bus_name, + (void *)cs_pin); +} + +int rt_hw_spi_init(void) +{ + int result = 0; +#ifdef BSP_USING_SPI0 + result = swm320_spi_register_bus(SPI0, "spi0"); +#endif +#ifdef BSP_USING_SPI1 + result = swm320_spi_register_bus(SPI1, "spi1"); +#endif + return result; +} +INIT_BOARD_EXPORT(rt_hw_spi_init); diff --git a/bsp/swm320-lq100/drivers/drv_spi.h b/bsp/swm320-lq100/drivers/drv_spi.h new file mode 100644 index 0000000000..7e5366ab58 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_spi.h @@ -0,0 +1,27 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-12-10 Zohar_Lee first version + */ + +#ifndef DRV_SPI_H__ +#define DRV_SPI_H__ + +#include + +struct swm320_spi_cs +{ + rt_uint32_t pin; +}; + +//cannot be used before completion init +static rt_err_t swm320_spi_bus_attach_device(rt_uint32_t pin, + const char *bus_name, + const char *device_name); +int rt_hw_spi_init(void); + +#endif diff --git a/bsp/swm320-lq100/drivers/drv_sram.c b/bsp/swm320-lq100/drivers/drv_sram.c new file mode 100644 index 0000000000..8b9be8f0d4 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_sram.c @@ -0,0 +1,47 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-05-31 ZYH first version + * 2018-12-10 Zohar_Lee format file + */ + +#include +#include +#include +#include +#include + +int rt_hw_sram_init(void) +{ + int i; + PORT->PORTP_SEL0 = 0xAAAAAAAA; /* PP0-23 => ADDR0-23 */ + PORT->PORTP_SEL1 = 0xAAAA; + PORT->PORTM_SEL0 = 0xAAAAAAAA; /* PM0-15 => DATA15-0 */ + PORT->PORTM_INEN |= 0xFFFF; + PORT->PORTM_SEL1 = 0x2AA; /* PM16 => OENã€PM17 => WENã€PM18 => NORFL_CSNã€PM19 => SDRAM_CSNã€PM20 => SRAM_CSNã€PM21 => SDRAM_CKE */ + + /* é…ç½®SRAMå‰éœ€è¦åˆ·æ–°ä¸‹SDRAM控制器 */ + + SYS->CLKEN |= (1 << SYS_CLKEN_SDRAM_Pos); + + while (SDRAMC->REFDONE == 0) + ; + SDRAMC->REFRESH &= ~(1 << SDRAMC_REFRESH_EN_Pos); + + for (i = 0; i < 1000; i++) + { + } + SYS->CLKEN &= ~(1 << SYS_CLKEN_SDRAM_Pos); + + SYS->CLKEN |= (1 << SYS_CLKEN_RAMC_Pos); + + SRAMC->CR = (9 << SRAMC_CR_RWTIME_Pos) | + (0 << SRAMC_CR_BYTEIF_Pos) | // 16ä½æŽ¥å£ + (0 << SRAMC_CR_HBLBDIS_Pos); // 使能字节ã€åŠå­—访问 + + return 0; +} diff --git a/bsp/swm320-lq100/drivers/drv_sram.h b/bsp/swm320-lq100/drivers/drv_sram.h new file mode 100644 index 0000000000..5f81319733 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_sram.h @@ -0,0 +1,16 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-12-10 Zohar_Lee first version + */ + +#ifndef DRV_SRAM_H__ +#define DRV_SRAM_H__ + +int rt_hw_sram_init(void); + +#endif diff --git a/bsp/swm320-lq100/drivers/drv_uart.c b/bsp/swm320-lq100/drivers/drv_uart.c new file mode 100644 index 0000000000..fe02c4da55 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_uart.c @@ -0,0 +1,260 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-05-31 ZYH first version + * 2018-12-10 Zohar_Lee format file + */ + +#include +#include +#include +#include +#include + +struct swm320_uart +{ + UART_TypeDef *uart; + IRQn_Type irq; +}; + +static rt_err_t swm320_uart_configure(struct rt_serial_device *serial, + struct serial_configure *cfg) +{ + struct swm320_uart *uart; + UART_InitStructure UART_initStruct; + RT_ASSERT(serial != RT_NULL); + RT_ASSERT(cfg != RT_NULL); + uart = (struct swm320_uart *)serial->parent.user_data; + NVIC_DisableIRQ(uart->irq); + UART_initStruct.Baudrate = cfg->baud_rate; + UART_initStruct.RXThreshold = 1; + UART_initStruct.RXThresholdIEn = 1; + UART_initStruct.TXThresholdIEn = 0; + UART_initStruct.TimeoutTime = 10; + UART_initStruct.TimeoutIEn = 0; + switch (cfg->data_bits) + { + case DATA_BITS_9: + UART_initStruct.DataBits = UART_DATA_9BIT; + break; + default: + UART_initStruct.DataBits = UART_DATA_8BIT; + break; + } + switch (cfg->stop_bits) + { + case STOP_BITS_2: + UART_initStruct.StopBits = UART_STOP_2BIT; + break; + default: + UART_initStruct.StopBits = UART_STOP_1BIT; + break; + } + switch (cfg->parity) + { + case PARITY_ODD: + UART_initStruct.Parity = UART_PARITY_ODD; + break; + case PARITY_EVEN: + UART_initStruct.Parity = UART_PARITY_EVEN; + break; + default: + UART_initStruct.Parity = UART_PARITY_NONE; + break; + } + UART_Init(uart->uart, &UART_initStruct); + UART_Open(uart->uart); + return RT_EOK; +} + +static rt_err_t swm320_uart_control(struct rt_serial_device *serial, + int cmd, void *arg) +{ + struct swm320_uart *uart; + RT_ASSERT(serial != RT_NULL); + uart = (struct swm320_uart *)serial->parent.user_data; + switch (cmd) + { + case RT_DEVICE_CTRL_CLR_INT: + /* disable rx irq */ + NVIC_DisableIRQ(uart->irq); + break; + case RT_DEVICE_CTRL_SET_INT: + /* enable rx irq */ + NVIC_EnableIRQ(uart->irq); + break; + } + return RT_EOK; +} + +static int swm320_uart_putc(struct rt_serial_device *serial, char c) +{ + struct swm320_uart *uart; + RT_ASSERT(serial != RT_NULL); + uart = (struct swm320_uart *)serial->parent.user_data; + while (UART_IsTXBusy(uart->uart)); + uart->uart->DATA = c; + return 1; +} + +static int swm320_uart_getc(struct rt_serial_device *serial) +{ + int ch; + struct swm320_uart *uart; + RT_ASSERT(serial != RT_NULL); + uart = (struct swm320_uart *)serial->parent.user_data; + ch = -1; + if (UART_IsRXFIFOEmpty(uart->uart) == 0) + { + UART_ReadByte(uart->uart, (uint32_t *)&ch); + } + return ch; +} + +static const struct rt_uart_ops swm320_uart_ops = +{ + swm320_uart_configure, + swm320_uart_control, + swm320_uart_putc, + swm320_uart_getc, +}; + +#if defined(BSP_USING_UART0) +/* UART0 device driver structure */ +static struct swm320_uart uart0; +static struct rt_serial_device serial0; +void UART0_Handler(void) +{ + /* enter interrupt */ + rt_interrupt_enter(); + + /* UART in mode Receiver */ + if (UART_INTRXThresholdStat(uart0.uart) || UART_INTTimeoutStat(uart0.uart)) + { + rt_hw_serial_isr(&serial0, RT_SERIAL_EVENT_RX_IND); + } + /* leave interrupt */ + rt_interrupt_leave(); +} +#endif /* BSP_USING_UART0 */ + +#if defined(BSP_USING_UART1) +/* UART1 device driver structure */ +static struct swm320_uart uart1; +static struct rt_serial_device serial1; +void UART1_Handler(void) +{ + /* enter interrupt */ + rt_interrupt_enter(); + + /* UART in mode Receiver */ + if (UART_INTRXThresholdStat(uart1.uart) || UART_INTTimeoutStat(uart1.uart)) + { + rt_hw_serial_isr(&serial1, RT_SERIAL_EVENT_RX_IND); + } + /* leave interrupt */ + rt_interrupt_leave(); +} +#endif /* BSP_USING_UART1 */ + +#if defined(BSP_USING_UART2) +/* UART2 device driver structure */ +static struct swm320_uart uart2; +static struct rt_serial_device serial2; +void UART2_Handler(void) +{ + /* enter interrupt */ + rt_interrupt_enter(); + + /* UART in mode Receiver */ + if (UART_INTRXThresholdStat(uart2.uart) || UART_INTTimeoutStat(uart2.uart)) + { + rt_hw_serial_isr(&serial2, RT_SERIAL_EVENT_RX_IND); + } + /* leave interrupt */ + rt_interrupt_leave(); +} +#endif /* BSP_USING_UART2 */ + +#if defined(BSP_USING_UART3) +/* UART3 device driver structure */ +static struct swm320_uart uart3; +static struct rt_serial_device serial3; +void UART3_Handler(void) +{ + /* enter interrupt */ + rt_interrupt_enter(); + + /* UART in mode Receiver */ + if (UART_INTRXThresholdStat(uart3.uart) || UART_INTTimeoutStat(uart3.uart)) + { + rt_hw_serial_isr(&serial3, RT_SERIAL_EVENT_RX_IND); + } + /* leave interrupt */ + rt_interrupt_leave(); +} +#endif /* BSP_USING_UART3 */ + +int rt_hw_uart_init(void) +{ + struct swm320_uart *uart; + struct serial_configure config = RT_SERIAL_CONFIG_DEFAULT; +#ifdef BSP_USING_UART0 + PORT_Init(PORTA, PIN2, FUNMUX0_UART0_RXD, 1); + PORT_Init(PORTA, PIN3, FUNMUX1_UART0_TXD, 0); + uart = &uart0; + uart->uart = UART0; + uart->irq = UART0_IRQn; + serial0.ops = &swm320_uart_ops; + serial0.config = config; + /* register UART0 device */ + rt_hw_serial_register(&serial0, "uart0", + RT_DEVICE_FLAG_RDWR | RT_DEVICE_FLAG_INT_RX, + uart); +#endif /* BSP_USING_UART0 */ +#ifdef BSP_USING_UART1 + PORT_Init(PORTC, PIN2, FUNMUX0_UART1_RXD, 1); + PORT_Init(PORTC, PIN3, FUNMUX1_UART1_TXD, 0); + uart = &uart1; + uart->uart = UART1; + uart->irq = UART1_IRQn; + serial1.ops = &swm320_uart_ops; + serial1.config = config; + /* register UART1 device */ + rt_hw_serial_register(&serial1, "uart1", + RT_DEVICE_FLAG_RDWR | RT_DEVICE_FLAG_INT_RX, + uart); +#endif /* BSP_USING_UART1 */ +#ifdef BSP_USING_UART2 + PORT_Init(PORTC, PIN4, FUNMUX0_UART2_RXD, 1); + PORT_Init(PORTC, PIN5, FUNMUX1_UART2_TXD, 0); + uart = &uart2; + uart->uart = UART2; + uart->irq = UART2_IRQn; + serial2.ops = &swm320_uart_ops; + serial2.config = config; + /* register UART2 device */ + rt_hw_serial_register(&serial2, "uart2", + RT_DEVICE_FLAG_RDWR | RT_DEVICE_FLAG_INT_RX, + uart); +#endif /* BSP_USING_UART2 */ +#ifdef BSP_USING_UART3 + PORT_Init(PORTC, PIN6, FUNMUX0_UART3_RXD, 1); + PORT_Init(PORTC, PIN7, FUNMUX1_UART3_TXD, 0); + uart = &uart3; + uart->uart = UART3; + uart->irq = UART3_IRQn; + serial3.ops = &swm320_uart_ops; + serial3.config = config; + /* register UART3 device */ + rt_hw_serial_register(&serial3, "uart3", + RT_DEVICE_FLAG_RDWR | RT_DEVICE_FLAG_INT_RX, + uart); +#endif /* BSP_USING_UART3 */ + return 0; +} +INIT_BOARD_EXPORT(rt_hw_uart_init); diff --git a/bsp/swm320-lq100/drivers/drv_uart.h b/bsp/swm320-lq100/drivers/drv_uart.h new file mode 100644 index 0000000000..67193a45d4 --- /dev/null +++ b/bsp/swm320-lq100/drivers/drv_uart.h @@ -0,0 +1,16 @@ +/* + * Copyright (c) 2006-2018, Synwit Technology Co.,Ltd. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2018-12-10 Zohar_Lee first version + */ + +#ifndef DRV_UART_H__ +#define DRV_UART_H__ + +int rt_hw_uart_init(void); + +#endif diff --git a/bsp/swm320-lq100/drivers/linker_scripts/link.icf b/bsp/swm320-lq100/drivers/linker_scripts/link.icf new file mode 100644 index 0000000000..4d4eb64623 --- /dev/null +++ b/bsp/swm320-lq100/drivers/linker_scripts/link.icf @@ -0,0 +1,62 @@ +/*###ICF### Section handled by ICF editor, don't touch! ****/ +/*-Editor annotation file-*/ +/* IcfEditorFile="$TOOLKIT_DIR$\config\ide\IcfEditor\cortex_v1_4.xml" */ +/*-Specials-*/ +define symbol __ICFEDIT_intvec_start__ = 0x00000000; +/*-Memory Regions-*/ +define symbol __ICFEDIT_region_IROM1_start__ = 0x00000000; +define symbol __ICFEDIT_region_IROM1_end__ = 0x0007FFFF; +define symbol __ICFEDIT_region_IROM2_start__ = 0x0; +define symbol __ICFEDIT_region_IROM2_end__ = 0x0; +define symbol __ICFEDIT_region_EROM1_start__ = 0x0; +define symbol __ICFEDIT_region_EROM1_end__ = 0x0; +define symbol __ICFEDIT_region_EROM2_start__ = 0x0; +define symbol __ICFEDIT_region_EROM2_end__ = 0x0; +define symbol __ICFEDIT_region_EROM3_start__ = 0x0; +define symbol __ICFEDIT_region_EROM3_end__ = 0x0; +define symbol __ICFEDIT_region_IRAM1_start__ = 0x20000000; +define symbol __ICFEDIT_region_IRAM1_end__ = 0x2001FFFF; +define symbol __ICFEDIT_region_IRAM2_start__ = 0x0; +define symbol __ICFEDIT_region_IRAM2_end__ = 0x0; +define symbol __ICFEDIT_region_ERAM1_start__ = 0x0; +define symbol __ICFEDIT_region_ERAM1_end__ = 0x0; +define symbol __ICFEDIT_region_ERAM2_start__ = 0x0; +define symbol __ICFEDIT_region_ERAM2_end__ = 0x0; +define symbol __ICFEDIT_region_ERAM3_start__ = 0x0; +define symbol __ICFEDIT_region_ERAM3_end__ = 0x0; +/*-Sizes-*/ +define symbol __ICFEDIT_size_cstack__ = 0x1000; +define symbol __ICFEDIT_size_proc_stack__ = 0x0; +define symbol __ICFEDIT_size_heap__ = 0x400; +/**** End of ICF editor section. ###ICF###*/ + +define memory mem with size = 4G; +define region IROM_region = mem:[from __ICFEDIT_region_IROM1_start__ to __ICFEDIT_region_IROM1_end__] + | mem:[from __ICFEDIT_region_IROM2_start__ to __ICFEDIT_region_IROM2_end__]; +define region EROM_region = mem:[from __ICFEDIT_region_EROM1_start__ to __ICFEDIT_region_EROM1_end__] + | mem:[from __ICFEDIT_region_EROM2_start__ to __ICFEDIT_region_EROM2_end__] + | mem:[from __ICFEDIT_region_EROM3_start__ to __ICFEDIT_region_EROM3_end__]; +define region IRAM_region = mem:[from __ICFEDIT_region_IRAM1_start__ to __ICFEDIT_region_IRAM1_end__] + | mem:[from __ICFEDIT_region_IRAM2_start__ to __ICFEDIT_region_IRAM2_end__]; +define region ERAM_region = mem:[from __ICFEDIT_region_ERAM1_start__ to __ICFEDIT_region_ERAM1_end__] + | mem:[from __ICFEDIT_region_ERAM2_start__ to __ICFEDIT_region_ERAM2_end__] + | mem:[from __ICFEDIT_region_ERAM3_start__ to __ICFEDIT_region_ERAM3_end__]; + +define block CSTACK with alignment = 8, size = __ICFEDIT_size_cstack__ { }; +define block PROC_STACK with alignment = 8, size = __ICFEDIT_size_proc_stack__ { }; +define block HEAP with alignment = 8, size = __ICFEDIT_size_heap__ { }; + +do not initialize { section .noinit }; +initialize by copy { readwrite }; +if (isdefinedsymbol(__USE_DLIB_PERTHREAD)) +{ + // Required in a multi-threaded application + initialize by copy with packing = none { section __DLIB_PERTHREAD }; +} + +place at address mem:__ICFEDIT_intvec_start__ { readonly section .intvec }; + +place in IROM_region { readonly }; +place in EROM_region { readonly section application_specific_ro }; +place in IRAM_region { readwrite, block CSTACK, block PROC_STACK, block HEAP }; +place in ERAM_region { readwrite section application_specific_rw }; \ No newline at end of file diff --git a/bsp/swm320-lq100/drivers/linker_scripts/link.lds b/bsp/swm320-lq100/drivers/linker_scripts/link.lds new file mode 100644 index 0000000000..2f6896cfb3 --- /dev/null +++ b/bsp/swm320-lq100/drivers/linker_scripts/link.lds @@ -0,0 +1,137 @@ +/* Program Entry, set to mark it as "used" and avoid gc */ +MEMORY +{ + CODE (rx) : ORIGIN = 0x00000000, LENGTH = 512k /* 1024KB flash */ + DATA (rw) : ORIGIN = 0x20000000, LENGTH = 128k /* 128K sram */ +} +ENTRY(Reset_Handler) +_system_stack_size = 0x200; + +SECTIONS +{ + .text : + { + . = ALIGN(4); + _stext = .; + KEEP(*(.isr_vector)) /* Startup code */ + . = ALIGN(4); + *(.text) /* remaining code */ + *(.text.*) /* remaining code */ + *(.rodata) /* read-only data (constants) */ + *(.rodata*) + *(.glue_7) + *(.glue_7t) + *(.gnu.linkonce.t*) + + /* section information for finsh shell */ + . = ALIGN(4); + __fsymtab_start = .; + KEEP(*(FSymTab)) + __fsymtab_end = .; + . = ALIGN(4); + __vsymtab_start = .; + KEEP(*(VSymTab)) + __vsymtab_end = .; + . = ALIGN(4); + + /* section information for initial. */ + . = ALIGN(4); + __rt_init_start = .; + KEEP(*(SORT(.rti_fn*))) + __rt_init_end = .; + . = ALIGN(4); + + . = ALIGN(4); + _etext = .; + } > CODE = 0 + + /* .ARM.exidx is sorted, so has to go in its own output section. */ + __exidx_start = .; + .ARM.exidx : + { + *(.ARM.exidx* .gnu.linkonce.armexidx.*) + + /* This is used by the startup in order to initialize the .data secion */ + _sidata = .; + } > CODE + __exidx_end = .; + + /* .data section which is used for initialized data */ + + .data : AT (_sidata) + { + . = ALIGN(4); + /* This is used by the startup in order to initialize the .data secion */ + _sdata = . ; + + *(.data) + *(.data.*) + *(.gnu.linkonce.d*) + + . = ALIGN(4); + /* This is used by the startup in order to initialize the .data secion */ + _edata = . ; + } >DATA + + .stack : + { + . = . + _system_stack_size; + . = ALIGN(4); + _estack = .; + } >DATA + + __bss_start = .; + .bss : + { + . = ALIGN(4); + /* This is used by the startup in order to initialize the .bss secion */ + _sbss = .; + + *(.bss) + *(.bss.*) + *(COMMON) + + . = ALIGN(4); + /* This is used by the startup in order to initialize the .bss secion */ + _ebss = . ; + + *(.bss.init) + } > DATA + __bss_end = .; + + _end = .; + + /* Stabs debugging sections. */ + .stab 0 : { *(.stab) } + .stabstr 0 : { *(.stabstr) } + .stab.excl 0 : { *(.stab.excl) } + .stab.exclstr 0 : { *(.stab.exclstr) } + .stab.index 0 : { *(.stab.index) } + .stab.indexstr 0 : { *(.stab.indexstr) } + .comment 0 : { *(.comment) } + /* DWARF debug sections. + * Symbols in the DWARF debugging sections are relative to the beginning + * of the section so we begin them at 0. */ + /* DWARF 1 */ + .debug 0 : { *(.debug) } + .line 0 : { *(.line) } + /* GNU DWARF 1 extensions */ + .debug_srcinfo 0 : { *(.debug_srcinfo) } + .debug_sfnames 0 : { *(.debug_sfnames) } + /* DWARF 1.1 and DWARF 2 */ + .debug_aranges 0 : { *(.debug_aranges) } + .debug_pubnames 0 : { *(.debug_pubnames) } + /* DWARF 2 */ + .debug_info 0 : { *(.debug_info .gnu.linkonce.wi.*) } + .debug_abbrev 0 : { *(.debug_abbrev) } + .debug_line 0 : { *(.debug_line) } + .debug_frame 0 : { *(.debug_frame) } + .debug_str 0 : { *(.debug_str) } + .debug_loc 0 : { *(.debug_loc) } + .debug_macinfo 0 : { *(.debug_macinfo) } + /* SGI/MIPS DWARF 2 extensions */ + .debug_weaknames 0 : { *(.debug_weaknames) } + .debug_funcnames 0 : { *(.debug_funcnames) } + .debug_typenames 0 : { *(.debug_typenames) } + .debug_varnames 0 : { *(.debug_varnames) } +} diff --git a/bsp/swm320-lq100/drivers/linker_scripts/link.sct b/bsp/swm320-lq100/drivers/linker_scripts/link.sct new file mode 100644 index 0000000000..c7363fec45 --- /dev/null +++ b/bsp/swm320-lq100/drivers/linker_scripts/link.sct @@ -0,0 +1,15 @@ +; ************************************************************* +; *** Scatter-Loading Description File generated by uVision *** +; ************************************************************* + +LR_IROM1 0x00000000 0x00080000 { ; load region size_region + ER_IROM1 0x00000000 0x00080000 { ; load address = execution address + *.o (RESET, +First) + *(InRoot$$Sections) + .ANY (+RO) + } + RW_IRAM1 0x20000000 0x00020000 { ; RW data + .ANY (+RW +ZI) + } +} + diff --git a/bsp/swm320-lq100/figures/SWXT-LQ100-32102.jpg b/bsp/swm320-lq100/figures/SWXT-LQ100-32102.jpg new file mode 100644 index 0000000000000000000000000000000000000000..87e92b7e16576b226dc47707f37dc1cc00403307 GIT binary patch literal 560656 zcmeEtWmp`~^XC#ENRXhx-GaM2!7aGELl$=r1PBBtxVuA;#e)P7?gWR$39`6zOTO~^ z-#z!@z z++T8F_&-zsgW+@wUICuZ4`<`(2(93`p>{wQ7odrmiKCIYvyGLtD?mm=OiNN008m%b zVG>hdWrZ>Vp8MdBR)6Y$j*#&&vqBd(4t6>TR#r)9t;+VEjqfc0z|P9X%g@Hn&&Eo| z#=+0U$Ir$F05HIy{yF;}fkA2iSO@zqDDz*e@R{O2mVT!F3&WfP0I`6-G-qXJV~vIV z$7)#hSh#<&bsWrJJTNa{0dX(>mFXJ~13>;uf7nm)|Di|5!~RSE8V~m`&WMNqw{1Z@ z!r%Hp{SuG(pB|1!`Wxdx>B#@`SH%1N(ZL)V9QEMl+`pJCKK@@!m-CNZ zY^ zWET7tna^ZE0qoyxpE2A&`oq01fd89L2&KRLFFgPB!!!Rs{qT(c>4#^G{9pJ{{)6|4 z0nd>R^YjFMjvv4aQ(b^S+RtZL4_(dwXVLOMqd|F%p7{ZAG0Ok|?Q1A5{j(3QHMWNW~c^|qmKG!cuh%x`BLwEn^{Vf0axWL~vR3-rCKmLR60RTu)hUd`yqu)Q- z@&(j`(186zhw2RYHxoWH{+kKmOa7Ay;lKUYO!xxkpKAfW3EF3WXFU9&=MzH9f0whK z=ARY;5&*=PFA-kCBO)LmAR!?lqhO<=yn2O#kBNnjO-w*aLQFtJL`KC-OGd#+Nkl}) z^Olj7jgym;l$KA3mtByVgOmMP2n-Su63QzSJXBOXc5)(e_W$kj)Cs^q1b70>U}4As zFEC(WF<_p00Hjc(;h`hW^Puy0fhICI_?HNXNXW0C3?S&B0Rs#B0uB})9u8_OjNfyj zgTsKwBxe(QiKSwKK;eYV{xL2Wky5;-3rBV0go?w|IS2_E_YEFC0W}RR-CKH2E^Z!P zK7NUJl2Xz#vT|zb8k$<#I=W`&7M51lHnuLVZtfnQUf#iFw(u82mXoH9a#sH~(v4eFMC?wY{^uw+}fzJHNQR zy1u!6whNkp{xa)d%l-$uFraq5fP;gDLwL3e=7k4zg~fn_Cue(!DW-y8;)F%P{t*#d zJTAAU3yG3L^#sS%c>)=iigTSB@@(24%l_943;I8@>|ewFW7h%z1r~aVV!&bmL;&Z+ z621I1sh*dOjWH+(R|!88zo8*+zQw^QYA)RG6EpLiVy9z7<~I)L46IxsDGL*-XVp$q zDy~zVj;ktA!lvs2Z{dVKa@B)oil(Znf#gY;Xunp6;AIewF(S{VxJIi+!*cFo2*k`7 zotBrn3E!B%O`;0z9Z)yH}c6r+$YeKX!r+p=hBLSJj$2W=-@gwi9Vi z6j`^k9%h~8(Rl(?*#=zNs$a(xj#7CZf{1GZC-K%u>8Mb$)1zfbKbPH>+b<2>-e5wI z7*0D5whh1ZFMM#83T0SbVZK;q)P}|1Jibw`*@2W~Z&=2C%dTA|au<+(9MKD~(m3iM zJ!SO@VOSVDc>=J1%Eoj}W=hwaIPdCz6jH8%v?rGsO50!>N}a~TxW4DN!e2d96NJ~6 z90V0#%$Jz$jmo_h3aHvqcfG;e4BdHHVEB9}_yp(|2xzQEd6$aJ`~&83w}0gcK%o3$ z@KP^zWadZEV4(SrC&25@t3AUJNwxi-tLQ8@Bsd822SAZ8sgK3J61+c4P4-{f^$Y40 zG~|MTwtUo$pfje8(<)~3Uj)3T*US4FMlqG15j$<8+1)MGpK@j$IKTV4M$Yzx0(T z6~}Wl7pS$!0&{q7JJg~XbUk(VZMQYpIQ5AOoU&-&gbQuHlcg>nqSPsmAB}xu#OOf} zAaz`;Qic`W86Bd@F2;U)*;H)W|8p?}>9we`xHIWNkaybLuNk}BBa6egw02JbO^TMl zCDDmchB1}S2LX*CN#zDQ_4n!F$fK+XMamadx6`XsI{~$hDkas3dWR&pxa>KPJCBbn zPXH`QU&wJ55KLnusOZ?A0Y~g|PmwMia-*K`x*WCh%SOSUF&_$-w#IUb1?uRN8hzf}Q4l zgXoVE!8Nx&Z;xu4BwUXfQZX$o9AZ=^I5D_n8nAQU_*d=2IqOjmfRb3>8oQD=8Y+); ztDTR|w4NSc6H!~;*AKW%jWD6=U-7Ak9G!W&4t~Uc`ru_aGm~)o1PF3f0aaGKdGpq+ zc$h-VcZ8jkONmtmD^?q@M)w4;;$w=72!EYIDRQK7S=S9Z$yrP6>guoAZYWx*uD;le z)`iFo0-Y}g?}TOo8^*UBxQAT&ex4%Xg)TkBDDV)y5ZL(UtHl|&?}*^4X(y8!Pq!ZV zLTi$SY^O>IT?pYt+pvag6O+N19;!G-0x0bX5Xu7@($lX0PX1B5N~ErG=pAKOZ0uc1 z_IuG$FTTqPx^#;kU>^_6*mrlaW)rvHPXNkj8)=+kSD$+|X~wq{pD$~JL`LbVvxLB7 zg24U=W+hhE6nxSpBf0%U(|XVe4!GAcF3$eZdI`V4IGfc}=nBOg92e-EKi4Z;!N_>$ zFC7+Cl*OHIXZL6o0-9;1NB{x}A5zG>F<%i`O2K8PCrkl|yp0d`bPHQolr27t+gxfP zf1w-{jOqG7w020lO=aH)=<^b2-qTD-Q=LDzRfz~|0g+7TbrHxrco8KC#)Zm6yMO$a z!AeWHZF2B2A#wxcivuKTxqlDp?ZF%@mLX?lQ$3uH46zqaGU?YKKA8Smt(;1tfeqH^ zGk5|>v;*IbaP_5KF)=oZ_7k~C(*X-pOkx3IubYX23KLvjtIvJW8={VER#i~U5_kgK z9?%nTyKa~kG%L0WxJ}bUpDCazHr^};8& zOerWSK*8k5`qc0{GCD3M(}K55mh&*6EwUZkfX~N(pn2FcNXDLXnI3$|gSFhoNXwxx zX}0sh%tPtks!^0xSumfy4{_}HO{CkVmSKDE>hQMa<^J--v96soO|tc&z4JKvBjr6s z#7+M0D1-hKGgkn?h=qOUzieaDGG9EN{ZG2x^O?LdMIpGPdjl zF7}~0da9in!Ra6O3k+|x`tmW$Y+(Sg`2hz;p=3^qG& zqH#3FMFuWQt7$3Y$YE@~Q}5PHl;p@0!P=Gvd6|QnzY4mvex`y!(nQV9@a=rfnvXzB zI~UdXeF|qiNtWpATgpDjiQ`hqJ{}m(3icRw+=_R@M(nPrwtLjwncLL?W3QbwXrGt) z$Z%g>L-w$1A)9SA1lSBO150`Q!gFm#rcJ_(P?|`Y`N!^&Mo_cG*s_3gft5o*dR9tb zY=5_2K5`1uU{T7i3y=2jwFV>Ren+<$s>7`T{Vb(bwoq@PtFjvKSmayy#z-`DzN+cz zl4j)B?%hG zV*~Q9%!89CVJWi+#{@;39!hZw>Xzk_Rr*^1OpP}M#%8b~yhJq!%?I&*yrWJ|PXMH? zJ6>6()!`$cZ95temD($(37v1*mV{T-5fQaHUaAj4A~-{nZlZmW3)E6ZRm-RLJ@G|` z6=6ysKWVjt+-@;}8~KREgKuP+lI#BITb@nz)+w`34fBD>%|@)oBm(AVQ{PIal-=|* zg)y1}UI9f$LF$x#7}>K%^e%~2`m!q{>I};E`FD+&A8*y8$_-^PB5kis(5V%t3rL4m zew)p_D1B?2`FMQx)-PTl2qiuoiD(C*dO89Ggo&iHPL$(T|E*8jojrF^UMx#vrPbBYMLF)N&iW23luzbTJ>|9u<$v9;x4gX);{=kN{Om!~a@=(k zqjHAX=ewY&=Jh5qr?ya8Jrr()rd)v$FV~?MjJ@9xF_&LL?o_)unda<|UK~oZ;&QjI{$7?{>JI=DkOX&<2>OZ(8?xH%NpI5MBaG;-}i$djx6Ma5Evz4JC_ z?hx)=QfTkiMN+=t`^6rJ&~VNcFds&Y?LM0ZjXzKepUm8%-Ox;@A+2AdrVjWO1+03OyJFy~T5syD+>mxVke_<&9 zrKKXEt|w=T*tAS0@y+S@W=)IAZrWKlQ|T+V-nYeNez0<&%!GmTcfAK&PLohpN5mcc zodczbDix-*{@*G|i3uM@tl=saI~NE&UIluoWNc!L+O?N`m$V;VFIcVf&|M}uE07YY z`QWei1R#N2i7aDleQ}xL6(Kgzmr3iip?OcPemc2j2IGhbuRKCFNcC|FQY~cHzMb4EZ7QYI3yDg;^d3-;1c8_+Z&bHv$|IUwK%e?tc zm$AVPPd)sjM4&rLWDP#w} zghbA{*+t0$XY!+$b5#krXwmxdT@r?f08S4xog2B6oEHR)x2dRv;sZkslbQ(Il+>2#BkYS}^3|M?bJilq!z6 z!GEhzW_(l1AM884`c{NR0bR{dHaFMB6`P@%S_P?Zhjt6#!AFcv3gF>jdX;8*?^08%9(CW?&7> zciw}DzLv)L9~<(xF$1sO8vGFLGVmnJRtWOE=hp%fuof&t?=b03mL()Y{rLji;q_KT zs3IPd2gl{-`QGhLwSpN=C8w@?NX5RceJSj!Ama&Rvk1e>&N#WR$W*yO>h&fx_BwNBzEWef&G&j56;qyHW~7$SnjD z89-sgpT~Bjh*ir;EHF$Bbd_~*2r&nVOI1z>>#desF&>rI=xXB^@6fC}#uW-+`xj8k zoV}g^S;k=4Vr6d)w`$Uqy*(-EHtTKoiHb+-uZUj(L>b1W5hpSF>%tU^^3&gBD2L^* zT%h;k_ha&tZL-YSc@~1BoyA%#S1nA)n95q}C8%}M@b!V-;6`71-zFgPT9!nrZ zd*kjh$hUWFAfKL_zNtGf*IYkS{4GL0Q+#UZ9R>w?BsXh*qM>XbpS|Zn+Lt~8Q|lG> z=?|2tN0|7IwKAP`=CFgr%7Sx=(Y3V~59rDW!glu^hP|lF0WfKlx^3a!ks!RM?f>7FT&p-RiC!*L!0D5;9H#cT%Vuge6i8>#7&~a zHOrO*QxI~VK@92_6MAv#%f zqzkyktfj3YG9MwM2@rWs8#DP`rty$`*K3f)N<`}>E5?cWQ<}!-PxGx6Xtg~F^fGuo zvZU;XcT4uvxA(1{ebkq^KnD{d3IXw2iv{Xd$(<4J%+o zb;*5$1NNijXLZmK)2G#HA*w4~(y!<*?XzI%cckoQ=>kQO2*ZE#@tHb1dsP|{*d(Qi ze5w9WQN*sB6Sd*~;l7ff3IFKjZ}gmM0h05G3xRLW4(Xd#yB(%BZs;Ix?gdf?Vvl15 zvwe=)R+{Tzw=(!|?P)8slb-kCTOry&qhsKx&^P{2$|-at?DgSWkyBjic7Maj9j)Id z*JBExHyBE0ZH;PFOwD*l2Jj(qW_wyxx2=y2#m_=>dmkrfft+ARBJh#E_L5%Dp*1tK$*^N9pM#4YIj50 z1K|-9>ht1tM> zr>ti%@D}gf;HgQGr{;NqEsR=DqV`w7yc=o5bpx-)7^?$wYB$#afP;AegB9K=LnbnN z25IX}+HF?YLt@dQp*e5Hi(YS<_1I?Ggg}>%AN#4!yBQ;u$Li+KbgC^A^Yd7)(uY(& z_r3bO6CoME6ikFJk-evzhT_r?<38~vw%K?Dx$h;bFocTZEj}I*8fb{iw#M?rqnIIU zC}$v8vXMk%V7=pGKwH4Cyte#tR$+>cSCAe#g2fRAr&(GqNO=h#r@V3twIo(6KI%C^d{-&Xvxb6d_c z`B`mK^`CnxNeZz~E7DQS9I54w(9qnYn;5bXuR14A6pLcYR=bIBk??gqBuTJY*%{5Y z;a)qhJrduN#C&)N6CUlOPdqp^s88b`k?7QQYfDQX{)XlF=65biN<$}ELh{f~@F*Wi z7|xLXwc)D#R26eoRZ$ji?59&x4S~-;>g*j_n*v1#yT&TL&LQ#6^@&Fw>OZ<9mM8lu z+1tuAxtJH_O{A0ngCc=&kJxFROgKf;rh|;5!s>3cwgE_oS(gL9ljfIB+5Vui@)0Th;SgD=G8JhrkFp^j0geVK&x&OY zi)q)^OzsJkWVIW)xbs1l)>3eRz4zr6PPgKMO=g`|uyl%oXTSZ0aB2We2Er{)<_~U} zI|Z0ibYgEX!~Dg)%YlaMbjPXJ)hjodvod1~9+m*9 zKU|o1Y;Txed*jf z4J`y&RI-#IxK*!~&M_N4f4XMr=>+myH1J6E^9|`s=?A^m6Ib+?+P6eZP9kW*J(Tficd4=hocpJ~+t_%cq}i9$ z6`Uyd&8Vvl^6pUbKG-I{%K@8u5ymHuPH;X*3uxjkuDYx}0l_HrpTe z*ZMjG#f~#bKFvD$)+$UIo?7=Rhqlxg2KW&i5e0=Y+|C?0d}_Q4y_^3^(rUW%1Q4$} zt~&5ni`j3{-6^tJP~ax;xfS$> zf{1|l%<;ch{><&b)xhq;!chGGxg7xL|M|I{2k(=MqwJU2M40`U{fJhLiRxYv*Edq$ zIAcVYV<6p0Hzcz9rs;UFo z1x{P5sxW+u^dTZ4(kON8>F2X7=scHw@mAUtBr~(aem{=jcxAuL0&4(Hlf=DleiwyN1s-&Yg#6v}&0B;xi z;k}ONFy?Kt*ux~^s>oA)xBT|9+|$MzAm@@SN0n7fzgO1JglheM`3~D3jC=I28#(~j zz%kZ7$1>z%SJ!8vG$k8y>Hbo*uux96{da za~6BmB8kva>0>iC$`MF8+;PO>bkKp^66G$2>HMl!Asfp~5%s8{Wl<-#lD>M0DiDFB znKaXh`^1LbplqpZvFtvwb0?lu}VLrs^=j_IqgV=xRvy4?joL$GA!g+|ESb0@7+Y3GsSAm@<5I; zr&Zi8-!2v`{`^URi~4oF(K}+pS7bv`KnVh0c(_$A>+sSnekrQm7uZcFq<%H9sXq5e zTaQ_NOTJW?V%0Q88e6au%nx+AjQ07iyRtD$7*j9)1Zd;kI_u&+a$5XuEdg;k%wkAa zGFn;UNDOi;q(~fFzWMwkbeAKNRBi)!uV;IcB8t;*lw67FnLqR$xnaux#1$#wP;T9F zWWb+$#h@Uvp$>2Ues}3*zeL)1(w7OEQsKCTEW7AsXq!HEm z4RKnEO=lFzHmLYHo(YE2mj&Klt3fqoQhJ@mK zG#|c(MoMvtI?#|~R7&K*wfU2SZ9Bs($@c#23LAB1{gFvxr|PfH0U<}HsT?lm^b$MW z>aYu9afwU4OlFya5S7PEeU_j6n^+l-TqNN|2UnzMTy|eRgU>2-`Cbcr{H`ln8TgXj z15LO?u3rm+GSKko6pDn$lNgJU;tdh~J%T+Ws69K!gXCD~zHRLu%Gj|&qZ$oT!5>NUE)OGMWf@wr9C7A7}> zK~6~uO+NbNloM%^M8S0nGba{7MVpdkyd4s=fe8Sit9@l>LHwO=bA3~gEbi=s1+ti7 z%6jV)AjCu@v`yB`eq5GU(_9B*CKNk*Cz?aOKdepCg;1ffR$m#PMKC0ETGdg&tokfJ z72Tz%&H+Q-W^3T2;&bs=UQI4QvhMfE54tvBBbp6aqwSk-T~aF|%VS`jSpq}Q%a0|x zUZqr5lYM&}+zJ9N8GbI;8)eyW*THfv(S(%}!#dzIvjE0C@cmWs$T*+MyrfmBt)o}4 zX2PI5^>~e-w|{maukHx(fq>l`)*E1YzzWa;unBWs;fM9Zdponpz!sN3k{al*BM(?& zW$3)5?eHb|*>vsUyFh6FJ=H!XQsxODyyA5*w{NqQPOJpV^$dOo2`vPARC6zW{%C<2 zF4#v&@63;peKuRS`K@EJSi5kJN=G~l`3$;+hEOS@eMnmDTTtB{mqD}Z^z zLeX41k#w2O$q?7f)G|^;T|DxQ=g*I;b7=^sGSys?;RP|;&O-xyO!a8q?iJ}Hda6^o zvdP*yOgCm^_OKoZs|ytpYltQ%hZh;~jE9a8PBr%R-wh2q$r+eKry1wO{4>OQYdu&x zT@IJwRh7V{;ozh7RCOY7wes}zz5o`gJ6OFz+zoFFw_@^LSKYTJn9o;a=s#POzZuD{ zeepS{w8h6;_G0U)QW)mOMd^=(iS!ti{_awFV);{%Uq+t2-!WYY>1AHSwPLXt9V+!G zHoPgCPFVAY8FH*43#Vd5)Sk5AZ#UQ0eb6-Ebg&vxMBc{#IqA%(rAd1r4trP*vM&&^ zFy{;7P`az>-uUKJFgA|3*||066VWFD(J`UG7$jao}S5QZ6>P}#T3Wd(jDHAyG!s~M3)QJLm6U@TS>CG zD}Qvve|bW*3lozWl#L*+D??&afv7VhBQ zQo*6Sq)c7j6w8K51|LSuisx_o%}oS$&v6K^Uh~FOzm;@@a{IwHYVKqG8S+iot5)~r ze*0s6Z|FrQ@Oh;PVwq{F$vun0Kmdfks#-gX6*@aFw#j-6O4{XWFDsiYCx68}>qZIZ z6TFI(UUqFyY^~GJlT5MrBTh1_72DX)+wDNz>I5}&vt9qAzac;fVKTFGzIA#|y@i9O zB$ATS*R~U`*A??#Ib#0@p%(}zj;U|{23aGZwX<3zdEGJ@yR}hvpld-{M7Pr6#6gjX z3bL%+{PHmJ7ilsG;64H-#0{Vk7#JU5*9sV3-X|~V7OGB0J-+Sh(-r%;8qpiPzMMFX z)U1(&cgX-dKB|&TXNSrh?nzf z?slefrs^O73&@^}*_aNxb=`Ej*0fKxI7?0LX;k&{vZT<5IT%y9)+oN#DAHFH-g02l zax_-{>1WF;jJBIhAi0)=>t&_P;N|RCyvRG&p(6Uaa``*xw=?0a5o;(K-l>qwu>q!M z5@MD?KJm0u#^Yz;Ry?2DDt3>aa zt>R|k>0sx3oaXoJo&^mMrfGK%xyH+HtRL?|3Ha^{?!kvy#npu_4Nm|#&RqxORGN5h zV(nA(9R;IcT<#574_QJ{bbwimt|?cQpjG9NgY8JE&9{1tz@T4!lU|!UR68`#OTorA zi@ITfxpF4^7}1B+$ac%s;bZKGH#1dx`hZ&Vl*1}XrY-69`_EVVOvlW5sM*=WJ0xFG z_BUH*3!1IxRHKSX{j|Lzmz$|9^JaWqO6U8`G^3oQWC&fNmj!0wJG$(`8aHmYaqrSM zVoRNlH5`Orf$HPB9bDWOy{zI@)w4||lS_!Bvmq|p-;>|RYoGV&`FQwvDybAF(3j#- zR;xPu@lli&M}zD@M)iJKe1u7&IUhtGY&VbEk&O)cel{wUHxera@K>$Ea?uC`fT~Pm zh)BQ3j3$Pa?#_`2%vFuFj!=I;{n4)5Pb=QEX6_vzm$yPSo7nF6;UspPDatt{YK4h9R;;_4r3OY(( zt1-7T{U8r9scA}*yeS~}*WJj0pmhi~0r?uS&fciS+b;3Pgs+V5uYdTxuIH|fZ2ACB z8WUSB-j;+XF7s6nsqB_5Sf&+>cckaOPPC%lOZd%$dkHC;l<lxSaa*|QV&_Ix z|6XUp{_uV~+9IB||2DsqvdUYF9NJXZ^pJe~2(P4zIfe4Ph&H-?w$kAtihB?3@N-CA z^ffFeru#93R=%lK-Gt2`<%V(q#oH08z0 z$qD^i(y=7Lm8&)?eS@5re%>5a%Ij&>CZu7GK=8k)TD+DCHx zrz60}?W8s}5<3!(<%C4*+9m-+vnnSRDb33yH54I-t#}V!MU>OIC-%uDcyqsN7~>PZ z@tg6Ub0wBsi->(ucMzUkk(q<>sG3MyW4@=aliez+;k3{b_|$Zuxqd=imGh|I@^w;{ zV6C=Yk5pmuRc7losdSJKWO1>A9VH(9=iADYE9vHs#D}VqvG>IRM%`T9zwU)XCy0T^ z)%g54&e(qbx;3OBEKK-@Osdr4VOWKoSMmmMRhg&oYA@#&Q7D?7noNqvP(3pVUD_shMsw-G^dDAv%JVWw1j00#yj zCpmUhENONjiBvTHytczC2v~ehVYv+21oHP`|h1Ai{Rn8XyOl z4?s3t$Sd2|!l=k*){roM|NwwCK zm~f_Psm9n%z%R0BA#e3LQaplFDTkV+9=x-=x>HS`d&B6rdc%#=L{@}JBy86k1$m>1 zdDqbg@AW*|LUHU9U^;Ihn%O@{sLqnu{LCL@{d$c3xX*M>?lnQ;lPuW;PXMocktr_A zIWT;7(xfc+@^|*{Hn})Qt}JhK#S6H;cfXK&P4onyFZQ7QqT)ec4qU5p8f%aQ()NvT zzw$eDUTmck4=9xCAUgLcy-Z52W%rj8H68TWX!W6v-=BP!PW~RNHM&272^4^9!eP~R zC4>#V|AmD#DyRB(tjN51hzJ#l^mhHd=usBUK2$%0!kGkdFSKzSDXdPmOl&oY#87pQ}xfeAfnPJSvXZ%26YVWj-@><{)_7QvRTEE3QQY0Js=wSPG!F!6g zzVa1$<;=;@NtbX4GI=Ou+Hk9RWR87hPRu;hlGHq)#)i>!-@v44l z%^ucLF1|OaY53L>uA{@8jM>EZ!Hjsn)mMAsh;zFU7~fe8I~;>gIZnEx`jQbk$-FM> zS(k0kkLHS#S|WV7$v+1 zMF)d>#R=b;gwfBn7xwql$=P`4Ivb&ETrD?#_%0a_PEt88VY(2>yXwUJK@=}Ixzj3m zoSD^o->KvEhVbpE*%2KTRiWJ4U$n$y9UyF58vU?!`y3!uTl5Clt-L(>RqIcx`^vq! zxroGjz6#q$Oapl@6!tn?x;WxMcNmw>Di9cg(-1{HQ}`)*yr!|aQ3w1|R20}ug(ihK z=ARK!Q|>9RI~zZzS_6uzv?pIO)9Mx-y2}3m-sx91bkalItn_8$)P0$2=R%v#HQwVK zSsm-S>G1?On4xRO)$fGZsoBo)C?IK}-D5>{ut&3v;Y{f%magv3;XVN@!kKYD=#5am zCi2iEmJ+gUOVT~p_0g@&uWO{Op-d^q2 z6Q-i#9Ggou4h7d6!*?cKFkzJU2=;z z{spixzN*XjZy?0eQP>`_rQs>CO`&k^0OKH=may(hE$zwQ8dXVz<*$F}e0@C?>#rYk z=@jFC{z=N0sc^q)N_MNTGmO$OCBR=S`)3yN;F%C^qYpRhG|1 zr4P#|9^K4y9!2l+ZC1_G`QWe64B3DV{URc%<4$-GC>TAp42=8oJ8DdFhw_B4h%(s# zL2UAT{?NP*lv-hB8L=VJq8fD%cR?>;HCt%|tMo~cy5&b%o3XJy4o&$9Jx`NHV{5ki z_^?i}IZ4XxY(R|g%c1o#z@+ zrrv<2o=)X)<=T?Dc-NcZ52t*5`Y#ap)~+qC4*50)lJ-}WKep7zW8nu$Y%shxqZ9}R zd;;!G#rkMLzuLz+$76ZKAH$*u0Y&q~AOj4V3<2Pyc;4#N;}767b3z2T=uA6ii)NJ? zFi!^vy+@BH#P#^2om=C!3(FU>NY*ES`3>o?x-k7il|O^6mWcJ#`QLULsiPW5!PFZCq z?4@yn<9VLHKs|N#1Yi|x@>P2%B3ZC=ayx0q&U9~w zBU)10=tDp66TK>n^06@|Dvszbf1Gk|l@X@!e7U^11Ci@TGE6sgPQ?n9&skMD;bl({llx>;hd1}SCl#O*X6&HdHB7+{Wa zxq&H34Eg#Eo43D5vGmxkGHVGbYBu@xuQBcy`&POvs+OWc?J$J==q13mN> z`(Fis3ndN1E^Q#7dl3lh^#w#+Gm`$@kK-eNY~S*A*Pw9w#lB{;Q!mR%e<|^P@5~QY zvsXk`#oqdd2agh!qxO7eM=M;Bk7Z-Q4A&w0k9N|Qp#7Eo9TlGv`}Jh_${zbxBeJt5 z-p>A$EXDWJ=R@Bqe$uoxrcF3VFECx5r%`6G-^LV5uHVV~sqU{xwlzjEGvm&%%Em3n zr5K~ltBuJS8%|$zU@Z<=Ij4ox&w8jNu}P))SfQww!l5;d`6KS6B`67#%?XO3Fu03M zGOcJtu*%27fX_!vuWOM6ajbAQr@UeZHs7w`Ve(&<8v-C7<`#bQXtw0*4v(kn*=QOu z<)Uy0qDiqM;e)Fy$!ZeZD(pOdaPed*_H9V@-8k*jD-z1hIM}~)u|4K&RC>A50~|ZA z1d{$BU6@^{pFMjwlq(YFEZeEM^ahaCwr1>dsLqANc+ZpXSG#|(Z5PgD7R_zM&X>QS z&wl}62tJZ|k)j4+yi$@fRD;wGH^PcLdHT<=&+E?nbBX*W~ zg8EA(E)u*qV!b;@;Mbe@y)W1Mn?wl*p4HbwM)j%_m+AY1;}J{BJ#YKW%RQF7xT+%7 z0-04e@0;v#w3{6M`5G^;vc-NPr+v2J#l{*NbhStCtGty1tt8X25{k$jn3z4q)~WN0 zhy{6{@J5AAews$l*#ueKzcVawCvwwvhZxB$VPs^_ui) zueq-<1TDG3wgYm3Ss}vhaoRoZGnc!|f0XKupWhUvQE=k}Ni*dg8VkG4v#ZsmKHnV( zrx+Za(;NUVVT>pj_%by|JScAGQ^l1I60OT#75x<%*y~~L4i<@G<--?#vtrlcU$|`-ubr8@5kcNKOql2% zJT?-XC0%JTcyeHvt{l3|C7)flkOa%C>8#{$%GOG9u|P_C?Z1G+bj<2+hc&B6v_>hSGM5&c@qqM zrWKwcb8@_<>>;rD8dp`v8MN-G9;l6SzC5k2xd43$^*f8rHNhfudCgvB$+A^eFkt;b zr^>vpJw%0A6Yo?fJF=0?Y)fRaV($rn!6^VF+2A9=;z_|)YdDQO|3>;dRmf6oEA;jEOoYJQU|uy~Rz5*Je5KiTA`|F0Qgk zx?k1n{PN;&|W#vD$u*Wl8ta2@`G=69ds<1t$~DvS~ggd+^*O)Q=*e5 z(#QyTkt+WT6s&C1+URmXe#pSW1bPt&IymUN-_tik8pCs2G}3yD7QEi0k6dqjr#Hf} z{uVXHp0i6xhTfC*{Q;CGBRJNmU0{BwYEH^`d0)yZxb5)zI(tlqVrm7OGH_|rtlc)M zKk8n?_)fQvxWbFtgJ<0^wbV0Nelo{~s;zF3;0SiqpvO$aqk2z^xyqHZMm`+G0KXEk z>k(9)VT|IjQ%DiR^$n8!nT68*ajY{E8l;7szT&g56CX!_E1NNUhF@NmT9Fj2D8yDM zenlJ3qi~i03EiG)7|I`P&F!K>A5b5^H~vvz zIjXVmet1`Kxn~$vVND<$SEguxrRjX*MVLpS@JNwcH+ep@FhYfU)SdXjUN@Hn`ULYT zDwozRqgVY2fPD0*LEYakPiSehR1YLuCq{I?NA<=TnAp70VA{4=s|&Ox1Y*7GXi^py z@X+5ZeIM|k4#MAIy|=4>v%jJ+k`R2?GH>*SK-a)xfejSuJl|C{dDhLZIQq5q5V&CO zEVh7L<`gKcup^Rbx$OB$tR-oQE3rHP-+|HD8kVcgp>WBdVh&TfIe822;TTf#K`P70y+!O3G}Jz^4$iYz&6;?}$=y_>_j!;Dy?97zvwkDg4!5 zIKQ`adT|F1Zq}6Tdax+qRLy11Yj7 zfm}V#;y)Dk=lO^>gS$$ZA2GFuTMJrR;+BqpTQV+L-|0x>)IB+*)CU`bx9^Jiu+b5A zowaa6#JZnQ@!2`5sT_8G zlOQJ>#m!E%@Il<|nAP9IIpMwx}B4aD3E4~rb zldNCn?t0;gi!C*(edU8i*HsI@42q(q*Dwhk2Y(~zmU3Nv!F({&^IXPW?;2HZ;_Ji? zOd}0V``%W$xgVmQ-O^K2svP82By#$id8khtfU3-0zQGCWmi5?8T!sr_`OJhAVTYfZ z4lmcIn*#l3%|+m<(79e~AV}L&-VoGe8GYk*7zilWTw$8ExY6vIFWY=WC?=e7RkZ9m zr2&$lTCkixSIbbTp|qF)O)r%@7S>$_MTGhoVM($ize$=u`blqR6d_lYuq%aEP)UI zjiT#tWb^H!`lD4XQY~tyHCwYbHBwc(q^0(%y=reFL5rFxirQ6sZ?V;kP0iXQ_Dswm z(l6g1knz0FeeZMcx#yhc6${gN9dX?7N|-z81*>~Dq28++*Py zs79!l+Dl_p;c>@OG*qW++Q6sSU~JD-di*TIO!*I)?fYKOD!P|BRFbM}zFLS=VY!z8 z*#CQg-JCyknKMeiH2~`xLo{uaZ7q!H%0iQPA+KxwBs6WMrv~xP-6GY@lo94To{WeVV~$Lg7Faib!J5~j<#(lTbCYP< z13jcF-+!r1#i6EFGrj;>{Li26wUi_l7QP1KvM`Khp?$HLLU;(L*lE~TYUSf&V?U+_ z??fG1V;bO~p>r8IWYWhdz^bDJ#x~{I-zOm{QzV-7&sNR*mLGZF8^4=ztlp&zd%)Wp z@f9LkYU~m!9&dSR_{JJIi$5{-#q$YJe%>@vK>Hj)lpe_52 z>RtJ=(YVA_-h5#4nLRt@A4%o=T~QoZZ_@hMGTH-^*P{$Z&$L7mSa$-_UDB2Xka7C? zD#U!*p%m-Bu5^4snv-i5D#gm9fk}8%I22}%3ubt7QJzzX)7H>E=0w<;cQmqmCIs>Q zgoOpXxnQ1=3I}M3*n`97l_v>t=?eV|ul|g6I{fU3yPT8TJy z`f$Sf^sA3_pJOJnGo8NCeqN1}ayvq}eHd z8B%!!^NK@WPB~$<`^L26n4{Mb@KK4wL#@B-j0~>Tl2I_*iB z=)#k)tidq{9Vl;kr8*!@Qr2t%Y4i0NVdG{QJE8Mjsh&8FCT6aI&ti` z)>I95?FSEs#Q`f}?>l>SW}spQ%ezjl?nRuA>OWIEX>z1U-48P20s>|LkpzIlgvk!V z+|hx#ANlT$BeldgfYm6V!hsv-R0wu!LDbUmyOEp-AE??~|09WNxZ5OQueq5FeFr!4DPIdTeh8Yb>3hKt z^it=e=`558YNxUIIwIaCT1@scJYlhu-AsjHU(0B6BXtlbf`UwVW0QqLK8_q^kKfm; zlv$g(^+Z{;g+DiZe`R+(l)8IG-153x&!;xCR(Vo6*SGonrxK76VquddU6$J#Z=|PE z4{MK)p|f1^+5-JJE!IJuSLWYjEpLu0*SE%iX}?(a!(-NJ|E|;g{k)gZ92=hP|H)dU zq|PcE=4hRwS36&-=>?}Nvm1(4?Pta1-SLL^UK5x9K!c(#ZB%NmwfOwtL?R1dM!`bx z76Y=0U)HB<*qYZ~3jYu|uYMXlKyuR0_{8oTHSctLZO*&`Rc~(()bNw^`$nP^<6dsX z-aO@G{lK?7I)pfVGW1WL$tcUa&?mU?J*}1mW%D|R!>W=*Ll zLNX%ND}DMVd}69BTZMJLIo)xn_As1Q8Ck?0y)!W-lUkbmc~8nsT1|6&rN#=x~?lD;Pluw>VS7oN8AQ% ze`aUPrn!vL9(KbxbdAIBw}2*+>=weh*}CQHB0RQ}-v)JaevnhQiHYTP?q=#w538|UZ-x$GgG zAN^J@VfHEa=MyIEzRrMhMeLcR>>r@H#vwrke9M})HCnl&vFCMwZ||?zVo0_FW_7N8 zvxSs#kXCx98-)(4Wc1bS@C(1bJyq=eyWPoAuLImW|B-NK*w|T!=Uho!P}2W_{aQ4A zkPt3YV0#(v=9v|cc*dQM(nxm>a<)v^^^s<-oma?^2*kLL_`$lsUsDLuxS%cNNfmZd zdKGe?aoB$%RK8ha*aKvoI3H~PfNgWkTG(ZA0ipVUT>AH@vHL-1`L9#aB=}n}jcnRk zCfY>p{6KKY`Ad3@)~$QEZJ+}w2hbb*J`JhC%Cx(n+jRZra#EtzJyq>H$3WEqwAGHM zoo}Q9Z(8YaUJ}a4`52ITm+=#cvRp}i=4L)D*KB~bylc4PF=gXrkSOlMuEO3j^F&?g zdX|V013kF~BZn1&JR`2vE{5fz=+l8%s@V0O1OL-T!#_SQKDx0<-7Zgp)`FD*EL#X! zF?r6JvVF1X@LnUk&%@T%vQ_;S@e5JnLSNa~XKAcV*=1go)+elZ@&#2e4cC5+RwDLdz-dEMEWwhR_KiRu9s4{OwjBjb-U!ZE(vB*19*z7 zw6(ep8XUS?L@?jx*WV?9vQiOFY%QPj#{~nsB&p6mYKpBkV@zBMYRDD16OCrDuA4Z^ zPsZ)iSLH?CBO3C&tx)|Gn_v6QU)*H0mm?38wj6h5OLgO8K54AK; z%t?Nq7i@m?*fD9IX9;P@$cW6Z;3;hCdT# zo?K_XN~4Mih^bms+^;lx^cqa=1Av%up+~~T7sB+BvRtLYQ$rPUZ^mK>lY}j#x2fP zKt%u0Kwg%wADFmyOQ8R*&JG=*!SsGU8i7aqLxuJ2ncuW9nfZMp6bG~Iw+<%Sa=Sq^ z;^C75hwSy~UePE2bv$vwotJEr*TC$J=j&Tzoi>G%^_1jpjVTbsQ~iG#sIxCvGFo#E zHX>P@uJpNV&}|;>8xpB!^MxMS8)NyB@35|4%fwpzlV!XmDgTkQB=DSYbdtCnjC#l^ zk$C;_5m$ylvkR_NkK706KP^1pY4Mxnt&C2rS>pz`y678btwyV3ggbXGN;>dQn4DZ~5WME0jM2BR zY@39!iH6B^X{aUjKH)B}t297g^bQvl)t9)~@i19bundJf>rTzQ^NULx6&ZQ$K-;`( zTwwmfU+3^y8siw2CM#T`$){}kdazV>P4Iu~8vr`1x44|*Wk;HMWMOSkK8AXc^f)nl z>y>%Vj4=dM-I0`PWxwXa@U%|_YM-*E98UixjzX7XpL6eMqVa|gwOQn{X;`2t8cvfI?s;3hOZ{`OAhO;y%C{s zf}9DJ%e1$gT>1VFRA6veLj^$U0!gAXPMvVEMx7$wt$Ofu{xi!tTip@vgOOZ~itq{9 zLXEs*C+Kmqvv5UgO9*wq0HZQ;{Esiz^<8aUSnecbO@!h>^8=qP7J_*a)2%<|5TS`9 z^zpnjZO)=6rDWFqF|#&g*gj4B?$)*#gp zQEK}YNt_^vl6GZZI-iwWIpq=CYR;fDN&Gj!5<~0e6wZGno`nDq6g1P;Q5lYam>c{@Qs3(-31jAWKIBkJ9QIj##wz+Y1x=N4pF`AEE05}WL)&La9mNPPxDFliDHl|&+8CHz?O~zwpOFP#vWgslmlVOpYHf=T8_)_ z!y{j^yNWXHR5T#|FRqi`U(I}K&dRPUMKZ<){MYt;QAI5e@(y!K zA?7(+tH#b6n~)=i-P8Px%1SI6+qlI3D*i2feTLk99KgG;*ANp9>}upLsD>+2u|#M- zx{DkHJ|R8Zdo`L)c$7))VGVzWi2nc=mZl^h56SMz12b<=z8OYna36iYw=Q^^$@X!0 zW&{B8rXbY6b~3+j+@t4Y$eGCS3Kns^r_|$XqrZ-DbAcjQ_F*o&Y zTr~}HqSyp?(Z^&A8FDL>p0^mxo=CKZG_#d@W00Do(}ubMhbsw=L_%g~rhFiLX~Zo` zuRmmkO;*#6cfj3txCFG7NICtNNO^7 zT?jkAaqlm-!im>CYz~`zcF>Zx<_vO}`SHS-FZq53hNM*ET6utibib@(i8g}}UaA@9 z9Is|Q6hI=RXl@Eq=k*3%94eF#G*m& z=J^%kz&&qgkfSMq*Va`@5ku=#jZ-Z1Z?3^7q7^CL%QqYQAe=Ijq?6x;haV-uptbgeBx)^i!F|l z*|dnuB|j_izybOmOa7;Xy?yF&D>f`;2w30<1VHE7$@PioFIG9k$@L$JoY9qBMnHmN zd(*W)L^}DPHJir%p)*TeKz+l69BfAC1FsLvU4A}WFZTVa&?6Gy_!`@O+;z-2fZmh?Q75s_`=QKJhU;%>-KXvK@PQ$S zA`!l0CLoiaozp|feTFu;f-Ff&KfuU&()a=X?IQ(3{blq?6?~c&VE@0uW;ANgYvX zu)cUb0aQ0|#I4kU5En*Q2huSsNw8GKt*aw}&iY?ly@U>^fRj+8F? zXd4=1Q#70H7pbF+8LzZEEGvWVa@ApNx-6jGj4aik65;A!cc8qaGUZtK+ODG=Zfbi! z`|r!T*u8T*UD91;-t#0PQZvz1*QBt*ci|Y^_YxMZLoj+q>5qJ`RM0OLNq@C7Oeg^t7vO3&v0S}3xqWEojv;Pk<^~Feb;^%ZS)90D zEB6wSuaIhnyJbJ)RLmTb&Dq${+O}z+`nUZLAins~)qw`~ z-_+`ecQ1khv<)CWlP-8s?i_bf1uNQ*d1b9K4{4wMBMEuIf=*QWVam#qML8u7pf)ln zN9{kV!wwEz_jj6>rS8>bNB~~T?dD}uy9$ZflljiRUv@w+H(q{z+V46WZ`dq^v=?{h z^r_Ym!}Lsi;~L(&$+skw3RX$-;jP6TE+8_a zo>`|#2e&$&wndlsEqoS{z$C-jeGPOvzlq?YX z{22TT=GK-Mm^;C|R_4whUzfn?@#3k%b>r#PbZK@3O>~pXo7p?ka>j)Wl~1w>_c&IW z1>{-v_IlY^(`HtG7)jR~SlLMZwm7jXKHZ{w4aPm^>27rCj_*E@ z5%l}p?e`lkE$-H>m)%W%A;M*pwybd^7Yc~@wd);LU>p0_g}m`3>=i14EBOHsX|3%-`x| z&-o$ipTky#@G<#mtF!nv_;Sba)xEv7@))7@R>uR1h0dk4LiEvp4)b7Q$7xc6WbJKF z=VSjB)6wq%qV5QTHs? zjz)K)i%gc59gRMrq_PH`JQ#XBB8J}|^^a+t)${!Ry`2Tw>W-)9=1 zn)Ij)%B^g(8GHe4-TbD!t|9zkVP$qc*+KYW1$98iufqmUwu%e?tb_F|nlIS~i!z;uq&27VCjqL^7@3DwJUfo}{I$}A|t?8U@xS32m z(jU*OEZTUh>UoS%JS|!jQ%ZN)t>qjNYf1HKkU|DT*~l=!QxL4%R_^ivH|=!LZp`5; zKjS4O;ln@O1iF*H@?vBjrw+gUDD%bues3FqduBT10A<3#Jlg25-%0UQuz#7|sOY#> z^y>mV+?BUEuDp7iZuLx}OOoZbTmaO2GQ4xDM{@rlXCSh7GKXvzSe#vrh&x@_6VT8~ zP(?R3-*=~Ufz617G5{dXS7G9Z-_(mN!g3ArQkA=7?Bq%@D{42TvhJ&{^xIc`DJX&0 zUB`Vpti%FsUW-NKo9v=Dj|qXN9YgydP<%u7P)@U&oeLdBSAHh%jKFc}dn$*K z8}5rYPIEckXC*Dqg<7#r*gI_ZQwyMN_U*uE?dHZf{r7XyogZn?U14Hq`U#SD$@vtQ4bF-rxOua=t`Z< z&VpnQfXUzEW0A8FRwE$j4-(~A(S!*+565IhdwU0AW%}ODtJGaORqg^BMadYqA19M0 zj@tht(cj6hU$-fRsy+glrL_m7n`~^ zgH{(S*ctp@fdFYAINSOk%pXeU+S|LN&|1gLYW@-L)r7pv0y`7~M{@$62Lz3rO$HCT{^Vx~D_UL~ND%WyOHf#9$tsW)g zAy?CYQxS+WSmS-bK_#B_4BX@U9{1T4zHy93gmA2X?C<8>It7M_nHhCjjtETG5qSr^ zx#~YLZXTlM(O2-#dXpd02A%pE8xiq2@%V|AmkD=A!HQX5rlY}OGwa#XDCe!>+v#V( zndV}ggSPr^2SJ0Vusp`Haa-8Sd?>{IBl!)omMia~FPH@E0aKgW-DR%QlI+_0?A(}acx@+{t@TkM!c+!hS2pE&}g<}}&`sJfbQ;^5B${x?< zh+Z~YKRDNI61VlctRMPu`AO~n9d~ft9e2Hq{i?{ctG3)4bb&KDiEH%VZ9q*37nz=p zHDhGA{8$$kP`TWXi zU)sARh&ImHaj1(wi>G=Bsy({~fl;2ec$qJ~ZtLsU%gUyztA1iy($dlNpxkOLQ?kwO zLg+-42s+e)2|-XHJbd9m@q80^-*>JRKbu zU$B(k`%{YL21mSv(AY>KSS2mf+G(r5R?RP{$YweD;j9!1Fi;bX5dE)?joD4;BynGE z18y>%UGw71qq70VKw(mCN&xCs$psAaVQjAD0~WZzjmup7*sxvIO;=w(^pW=y&qj3d zR@P-@Us0>HEby7D+Citc1xrrT4CGiAGC;N{CqX(XAU+{`XFA&}E?+bp0N?yT+?%{*r zO4Z@q*CQtn)Bn1#EtM!Z+dk2@c(P8SXbmvq?LaXS>w(skH3HEX<7+ifz4#4eY~~WQ zS_P{u5Ah-PG!q{K@8V^OBxeZ*Gu~r(dYyCc?`6>_0S{Z9{^+iYp6mk4|2lYF#L^!p zp@oZ0Y{2C-M)PyOir|i075nd6B|2ea13`Pl!UI+B@_lykAIb2|JM3dTeeHSRd&+nd zznP6(malj|w>+re2d1$^!u`(}wq22OS1h%2J{TWuN)D|BfM+@c3$GdUZsucPb^b-k zLGoN{90F4|RdWAGGM#|b9_wv8YWUepmZRQJR?q|S3s@&eTL`w=Y);CVrY#1H94t|< z#H%{To$bTWd)Tcz#g0IXDJ(R{-FeMCGLankB2m3Mf@j5h+3AEqt)S>rnUySBY^83; z#`r?71+J6T7DTL0P^>oT%jPire<0LztChdzzW7I{ptR$uo{VCJ4ZK_;NxJ0v{WJio!eQ=?S}Orj7g^cCKdRw2GC6WAP135uf76 zhy`<{3SM!)+!fYZiHmHC)_+et2Y5<|V1i#ODW@R;SP(msyy^yE2ZEx)pQH?62R04C$}`M_Npj zyi}f%^E4C_jfCIAagoyHhY7@F0&Y8loge`*VW=yj>On9 ziM@9|XJsCOcvj}o*{WK|u|RC_CWsm_%f1JaawTkw!43$cndF%At%W$;qST1?s%&OZ z3bB&`ojk_BF_}BXKfVOo0da!5brOG&Bht-mgNEoPqe%e z;L3O)lIfjdJBsC0ioIkAORlDJ>B7Oc!b*JXQyD3jj|pVNc=bS+VeJ#IQ!ase=CDo& z!jnajGT8lAQpen%PJ26mO-5LfHVC6%87X;h7;=C0?uCa($|xAUb?-6^;-4SVxcDj% zm>v%%4$?C7cnQactV0XZV-w|Wahmw(BiN>gyx5pEfiY+W1IRlBtyXlVx6 z_?)-0rZv8J*!?3BMBhLLOiZ&6FH?pHw@zb`L;(#7bKMLMwvy^oPV`tA-7M84W|jB2 z>0+u_yH$p1=(Z`fncQW@>?kQJEBom`64Mso{v(}vyx~O5wUM$mc=W9M*Qm`c*l0jkuv)ibzkgk zLtFrR@mKnF(4p*V-)>+Wta@a&kIER8v>Ebi+ry-{VoPDsDjB=Le@O1Zg*tm?+7TA#A-eou=Wo~~z#$On|#+}bl zQ0E)HXx5E4WA-WJO{a?W4ruv(9GI^V`E6upG?sugx_oIbGE3kDY1kI4q0 z=W8oqF0OIfb*?-yoTlYXueTd`n|3n%<h&?yxqjC|%8t8IQ#VITP||6wp;WnSdh9WC z@7xT1VRes0ep^#IK6P&F+8*!HcA1YQl(QWcFl+oDFNgFzkB82}m}WO4P&{Abo7YvG z$F}I)?U#+vTRd{eJB1np?7D=b*TSaNYmj>TVj^+tmifB7yy$S@`3h0zG<;AFa|Xiy z^cR3437iUXus{0*SA0ks*G*Ns%&t-r;W=)|(|b<#{b%BD{XDC*AUDMja!bn^OE*nX1fS42lh0?0V7+}dSy7%$2 z$h23Gm2P7#=By!!#PDggAtOg?(|lfaS7P7e!ol8Z6NVUSrSPJi=}>LG$;9@ViLtTq zGfd+?QIe&pn@cnsxNuFy2#g&lVmqyuczT5xYOOxs{89!z;4Ohp|07|^VYbB8qPH8e zUhj$A!tYhxR1zLI0BbM$GCjMl$RhxErR^5;cjuk~Z^O$y3+YL2h)fN ziNc-U`cxPA|Gh3Q_Cul`Wi0va^(9uiiki2=3PiaSolxM%)|Xj=*pEibuTh(w^p2?r230c zt^EBa-P88Un(@<8E72&&ynOB{0bk$Qh7N!1XJWu;g&6lP^AdptSL5bSfWp)dE?cvV z2w0aU>dsOh(M3Z9hP|>m9if;nTku>iiB9IJ2*d>F=hb{-hy6!fJe%ZIZye0|<_JGf z!8KFpn%!)zCX9J+pORUk+8ES&G~M^M3X1wICP@c`O11GFZ%QG z>SpdqUZkIk=(sL_?%jq^{4Kj5v**Ke5K$41XUz#>uC48!>szyFrS7ZW8{^Z|lI<8e z1$(}|v=guAAKqvyk?g;WTMeK|n~*kRbSBe3?-MZ|`623ehn7X;*(u|?u0Dp7gYWAs z2gny*?v>ClK@k5CyOX`4dy^euti+@u+AFs?>WwESg_Rlj+9l|eza-MCYlHd+I0^=r z{qQSEaK(X~JKblyj_tV$LErVy<2Wv3ZmmYMi2Sh7-G(ZRJjL9}xciSp3DNiR(yzQP zriCkS{hua9Rg znEODWyCj}DWNm-S@W$8LBZbQc*Ru1h1K+l&>W$c6XX^xu?xfw&xQ0N15`zs`F{$g} zGBuD9Apl+C9_Pe!yBUnBzMdr;G@oF#q~c#jl3*TTOBQz@7Fe3^@Aqy5qiq z=6Sj&OB8cBx%@wpt%+8^8=O$C@sD_ouy1EVjkB#dz}lTio1DBCui7LZg3ZpbSox|y zQ?169i3g8qzWyUw-sNqD=Q| zPNOVt2w{+KFE(Vwt<=7tL5|L6|JR_G{JI@~6#dU0eETs;I!&trsa1rTtO$oo*^gNWJyToEyMOTlgh<*!o zjdLk-)W-iv$}jZ~Av6&}gWCV=i>jfHzGs^9tfH(s{^(`9D>RHi!!nkB=% z=H9R#a^W#&n3xE1VS{+ZL*VMn+kYegfkWfrz|t^(z%WXW64|aQEqzfD>LDA<9OoIf z7Zsu#Qz9+AMKpKWUU{EG9H7B&EQfv1%X;8zE^!I9!ik=2Za+!Sip$#>?S}`;n_80= z7;F}c3WCgirMIqhU0H+KsenrKpJCC*n*Ty zE@KXq-X7B;=7a46*u*M;@*TdvKM{ml4UEX8CVGTRopK}Mm=Y$xZzg-j22R8LQ-Iw| zoT{bgZo7p~=*+*L5>fKcs{(u=>=E1A%_=oay(-J<9|^-b7s6W5m1;oebN2f_e4-$_d;XJq?96pIsBNa450c$Ik+wa_)^<#Cq`OaS zCwQN3HX?|sUf{B#bB@D{Q5FX`*(j*qvnHVW`O%!Jab?c|-#~-#2y@e-aQ&SL3Vb8p z3AuVn*X(-Q8<4VJUsT@Fs{u@x4)uNln-%fAx`!Gr?vhfE6{;a#A+@mf$%nndH9SnM zp$R>G0fMx@SRuk5Cz1@QRar=`c(ZbyH$8j7?Qf`Y*lwdm8r3rN=*ii+xPd3KT$A-X-0_6pd85y#C8R4$I9sb# zUw-2uqn;9xZYKyeJl`TVTl!=Dv_#9MaS9dBQK?-AavcfZeyP>$t+!;VuaBiTL-brZ zjM)c=TI7&YMekvONn;~Ot?wgOG++DWR7`GZJt)oaJc6(DYL;^Hw|b5~^U?$IqIcb+ zZtd&;_>uCn^SoC)pEqKb1yu%q;C&f^7@wjnjS)m4tBw>#l`o9Wx+ZZT`$edLq4+9odVJYH(@H;M8UgIlhLy;p(tZ_P3g>RH|tcWz~Q&gU)W zXpKt-`W6cgUm^+jWPcs~hLVKD%{Yx{Hl7~m0EeUSnYb3JitIu)U&`v?SpnGJhxclk&dTFAuAXo zQ1}W%J0}j-eUO7&P49wO~3>E`_c{-#;Ve5SvtvVHe##RYvWXFU~4?=5l91CCbf$&e`4O!e4VGfQn`b-{TE>`anMfnatD)f;^v8vMT(t|MXI&KrjifZb-Wq+dnPh5rA8SX@0Xw3 z*G;+}UlP4+>6mC8wSMV&I1=psHqZ7_dH!Bu6l~|;nbm86KADog#S?j^Dfb;oHF8ik z-e0kkQEI91falvS#yv9!yH!?@5zY>4hc4`H>4pC$c6su&ySTb2&4eAMczezSj*~~5 zq_l-Dk{pcE-JU0vz1j1DdN;phZ)5qir|Q~_W_#J5SJnS1nr+G*t-0Pd6GBuD= zcc|K`wSVOq*|W>IedZ{dLw1OFnxDyFunSCg> zB6ROp8v~Y`tIc*)&CN%z{#^JvN*LGO^HAz;i7_K_rtoH&9V-U7?v2$Q8(eVDJ~=YA zxl*CLUL*e$OvkXTX@QICErdyEOhxJ+BKc@qul2I`=$}_c-Vo8?4U&XZm_T-`_x!O1+DE#U!e#;^e9mMu=#5R{f9Tg{9uQVe*ks znJDD7FWm(A=w-^ijfIl;*YS?sx-)_sqzCX5%B`rnd6#;3-phdGEf?WUtCNzKU$6_m zYhKUYYeeN}!iLS41~&SCQgxPs4)%>^Gt*SUju)JiK^{UTIL{ughJv5byl2BpFq-As z!_zjiPRW51a8sj1HY@W~O^}yggFI9@ z+0lVHciK-Va~dGc+T=>fdl(wd;$geX=FR!QCWZm=RsPtb;aZnY?Je#S#v{AT+-u$8 z;Z_oBEBwggl;i`iW29TVt=jbx9|(+n^U^`YKT7Z=X}rq$S)l1iuIoMv`+VAUd;xa( zZr|R3@OZIjuX@@in>UH(>P^2HEEVv44_)cI{SJgOnX0xbm*uGRh@fyg{kts3T00Kz z-0MIZ*Vr@_j;?a zy!6NDIHAvLKQN|mj8(v1_W93nb;RCmsPJ@^7gsh;JChX-+a41$ru5d1Y8I0ZY|+F8 zUEzK?Z7PHk%yf|FzB%PcB6B}0O~mWe_;NuS^`%A^ZNF|#8(rjm@PNn>ZXwtnq=Rh| zd`FLdG#vDm{+%msi`8wd^Q@~r`fwy0MGmT4iJ7Qf{QGv#u3(M4$*IL>RL%xb8A3I5K>0L|M`*UL{OShU>= z`l;eeo+9QUmWmuAK$ARRw{F7O2_MpBT#T**33n~7IUzDPE%L&+#O^GK2otBE#|ng* zuZE`g-TB0O+zveJ8t&kO?+)yJ6E^<|YUsc}WIl)Bc&>rCN@K*I`Vqcs>Su>5+Mx%B zM>Mii+W)n`N4PA+wvuK|uOx5b0;G!8u#a16{&?F(r(1iMSS(^1w2dxnG2BOQ5L9>* zVDn3T&0M_9gv%#-<**-BW1RZsgvE~9m2fDTQ~Tq@?&kWL;(T1GGTgYq={wC3t+w+* zBPE+>{5P4n=?!^$Eak&o;{o>2*3W4-iljOK$@0u|(9_Wp+Xr#61&As@_?3w(p+YMI z@^^%2IrehGIe%&6P#Wz%;tRSb&+3@XEYG>k_6W07vdgsfRY-fZ@76Q0_?ULjsjf*a zi`&n%t7xM7A(RL2mL~2yeyX$;?ET80IQicBko8}5y~AsvkvzY~fYdhKF>q$3av=G> zi2s#OU)1I>wc zf@)h=9&}U@p80`Pm~N7j^2~Uf7dc1VzNw~%j@=u*vz@jb0qLC{y+CQzc>Zem7$l03`mNiN0vqzSd91()_h(`#?PmcA-t zgC->lvcs+r&F``q4rO1PGVvUhNfY8L& z=bpc^T6~YrqP&*A>*5ixkYm~?Bt~kh?Oy)n((#qs(1?<2beReMJ9bahsj#&g9_>E9?3h; zmaIu4PE=WDP1oS_w>lhPAz5TqI9Kdv6`XsyABUb|r9;AQ@>QUTF@Gx~z2eEM?cVd} zPeN}E7~!iDCYVzUnWS2$FW-d8Tg3f7!$0>beU_--+-z@=;DCP68W$2xxzz!K1zZj* zs~qqS(P$TnRpz78G)x6%W+&_8&wpsKzx!ErnlqSu?y7%fa-~AJ*MW`h1Tl3u${c9? z_Iil1%z6hdV0p#@BF3T7zr$;QG%Eq{P66pT= zyMLbVQV$h(9)4s`Mzh?Odw#nerw>3*N1*=;!&KxHm>6}6wGc)eAnFX(8HMaGe!Wk) z7tYsc6_1Kpb}Y78ENlcODRB;8+)hfBS!c0q!qRLOtft4#>?yZVm+@!vRu@el{MjEH zUztxK+;dtTn?sy`#<T3uk-eP^2fX~A`D}Tm{+Vj?% zO6Wtp!A)&2F8qPx+2#$8BwE2HYQI=eHT(fjRlbFH+T62nV+c4c)I;#^EF3rqEVtFW zI=NaMy5WX>_^6~_C#`{aY)<6Ua73JxY#V#gcN9Kj#yi1GWKMa9C&)=2h{n#AGmErK zByOyIF9L*uKT22L!Agc!w$h;ZG9|U^6DF=^6e%%7GdwIbNY)@?b5Gr|TAKZ+!>;sF zR(iEf@lEz?<605LQishQ%kSCQgEsN%#j+QS>(pANg?^_*>iNF?t9WRw#5e+|)^NM$GRp@&WUnnn3Hs%dq8KPTyWnqQ2yu$X5KG zpcIrpUFo?hZQV8#wVxisGVO0}x6Dx)kPk!I3n)@1kJ-rav0wBE$-B2WYzNK?ynSo+ zYw95PbFqNgS44?l*X-NIYfx$`Q(o;1dYbbZ9}u9=$`iIZedb#C%rfXoUpEIAcrC&8 z3BNKJBj;F~T&g(HV}Us>E(Haii7|#OUB(1V^o9IlYx}+V1ujfU;=lQwEeNT2v|~EJ z=5XtJYyQVrR(3M4+KbPg0asJZ7m`+STlG{-D(`itJHA%ZcHbAhwwo+hu6?xpeMyMZ zjED%_(LHqG=49n!$XmQ+dD05LPy8dkG#IE4>EguP`cQRHpX+H}L z0?<;$w^ndo=TcbxJJ%caAV7Zox~d!%)j}G zG!5{b!*=KtVvLjBstG!~N;JMh`TM~WMf-YEXPOPv_^me9J&TDo@ZA*^H`jJkNvGKA z3~bu!3Q8A%_f887&W!vYdjy9A9xKWO1|pYosatg%pldNu5j{Vy${pXv3s|g)B7Mcb z`4$W_8q}mc6q_nE87E*mBgJ_vsA5E5qocBd*$4UQI zPrvx}+E_YHnmui)uUoiVX`Dj$f@f#XY%s{8scIrLoBFP&Gj&GeTR$Hx!!^N-w(C+Ex^;eJ z#aH-8rk^zZK#w;=XR~jLh{~t91H@8A>_@us&Pe=$ikBQiqj~P5RQ=}L@mXBRX4{VJt6*WtIeO1zYa@} z5*%G-fF!T+#)pQMdMjjj9MS{*%x9`K(8_}=4wPzC#f^+5rdRMhnw1$_8hd@oyc~4j z-tx|i{(+NwR-+QJ9=QRV!_cK-$k>VEGV_#7_rlf2RsLn!MRJ=nIK27ek-f+>MjeA5 z5kN1wHKNjOE@y!2RzOa{>j016Uedz&U+MwAgr%UevefvkmTPNx!UGIwN$g+J$4W{o`xnwxA>jqoL#XAZ3!(nnTyaq(56~vS z3rce?<(qA(G4&5o;GdIl7>9GZ^B|Z=5K|eBrf%ZAql!?DT-EWs)&`LW({G2xd#U== z>TE~(#jm1=nb1!4lWFN~ETLMv+J97T7fwfU+DV+`ek`4=@&IvRI!g)&8x8WxtFEOe z&<|WZt0RK@wuolnU5kOpRLKpuKL^@3Z~SdZ`Qgo70(-c28RN4znFIDc!M9%(S)VaZ zy{0^j>@-nxGR{mgI`Psy2yjaykyrbPkmD;f`)Uze%4x%J_UHU|)k5~%_XeFEWnFXQ z1ojoWsT|qk@M<;E0CgQbNZzgtKUP>d?j&z?A;$Fq>@(RYHV z+gU%km1-r)^+(@Jn1yqAqb$7_SL=N@H)i2>;MHo!aXc-$(QLJ-CWZSy5^vJ~NI391 z?U@6K#y@L5Y$hH|HUsvX>;uthM@NxoSC|r&*o_gg^Rj2CD@z7T@r1U=*J2dRX>Omv zwdE%|D-=G#`{w3@AAR}7thTcoxmBH4ie;LWJPY0&tld7<#>jUaAqcAZv82(;}!ZpT>3*hs-)1T;v^*E!pfKlg_zBrTH_H!AwCmY|v zi)8Q$p=`5#wf)OOIpD_7L+>1FV8THp*ql+K3;nu5xwUGEgP_C9R%4bW`)saKLUu~G z#N#J7dBZ-DTK>u5ZL>s0B0Xgie_C>dd{H|&wj73`-l4tXLjw`VE88*s2k7snN5O!+BZ9U*l#XCdfOIGBFg zl*%Ge<^xp$q1E9r#D~~Kbc4=J>&rm0kA|$xVTD5`rsZ|5Iq!S*C|l{%+?qC#fNBoy z#gqGYKerwh`e9;CqXO1S=Ir6=b9*wfj`NIm1R3@rf1K3v1+;^tcsBGS-wFWY=mI3k{(;>ZCe4+7z>0+A&-uqkk}-L z&Xz59|7n)XST`;pla*VC+n)bNf_;DpacID5t)pbROa>7c`-}fb-e45dl7&q7o@z+i zQ@wI5o!#T;0C*Fj`)7QsM4l1 z?i?n*C)z5R9@BCPd>3r5QkM6E8g2nH0g`y~JnZ)>OZW(X!d8Xq^M25p?vkS+@`YlJ zq~PKWPl;HEt8MrvJW%)EjIGlNx1?>gE5u4)1M^u4def3CEDJGIt!1Wi`Ty8a9rwWx ze)ZpD^?JRzq2L2hW@r^R(%k6(%Z5m0LcOmb+NBCB&NTW6?luM!LC}&-x z@^1e<*D3!OstS@{9Ndsx8`!;GND*L-|hvS1tnZZbr5*E04o!02#GN_iI9o zf`|Hok=?*vk`VZ>uG8t8*%n-OE}m3DArVXFvKnmdT;=>IM?SpgtBhXTkJxas3d&8M z)rm4cMxqc)J#~CSiT-dY}ROq$Ca-11=`I1RA4Rf_j+B~ z|9w8LrqM0+E@D}c;y;p7xUVEVmVapyG6ZFO*ot)kz%tF-}3(6nLxbxZuxVz4D9wPr@nfSA;ej z-nP6UpHR{K>9;)923z>8bKX#*+cl6o_BogK-0Fhaar;#2xpu}EU$fKD8r&iV5nv0t zRTqXsqC5tQos>t+frsjV!xWIh!H82kodDDXx++tg16fc0`pnr;;w&i5 z1VA#w&o`z6rXyDC3q49cruL1lJ&}~1*V>(<&eW&4_0#8VU^FrQ432&9`dNDk?uDtj zpTNtynpwte`iN@lrx~8Z?}A=6_S|#57Zv)5Q*Drrl-aP)60LTSz=9XU>gCtRtkqAq zf}z14>qDt7x%1;A9!xDVCu5hgx4)394Q1VsjTMyc_khmx75-tgP8xV-2SRylAO^mXwCtrhO0UuK`>KP5nb`At9uJ0Zda!nFwhR?({OwyyU#TUB3(wlX-|T1?`m zu&-bwT5XAJ`}mc|PwC{dgB5)9b;QgTpga6Hb^)1@N&pUttI=+A%1De^jBHq2ZIYH` zIol7H*vIy_*q&N-a-D700&+fEOO}sHV$~BOXDub7qA2TT1AQfRnd-FpV^nvsXrYhKU8b>idsLuF@$p;g&ixGy=lSYi{XlYuZ-*lwZSvK(7h0x9? z-ddt_ATz8Rp(Sr&-=sL|K9YCFssVcDH_0ET$LXmV_Cc`#92*=+Rjl27xl*h%Jt_I% zA{Q@)-*bXi$HPnftmn76DNLJ_t}((cE{ubE-oE_NCeqO&Ed{Eb)CVd2cc?nc%XI!l z^wO_qn%`Gs+~3wH|InIbm+;hB?Wvpakj&C+)CY1;&F`eW(AO+0RX4hEuY%+-T|i!j zsUN*^!^Hg1p|VvX@){y?Wy zI;>#QfIUaw?A*Gg{~bNMQnBpcA^Oj4&#s6uZ?EB6xue5RbTfhAD<*s-d+hVJO0@_2;vI-POn_AA3;NeBnd3)rr^0D8Uo3t*i$5K7m zbNj_z3O)}$ISSC^56epWWDMCVq;-BN0~n3^HOV(+AM#06%E0I%ZB%tx>nYo6)n2l3 zh7A6$$&?0q2f_W+FDexjXA*6xwD8>U)eZlLLX0_V_R3#8zDnf$gwFr8YdD7$yQrKy zAB}Avm=K~`E%Qx>y#3d33qqU`R?dIS`mE}wU-a8JiTsNlVzcA({3 zce-MAv?By@qq(wiUg~o=0dgw%!?umS0J^&17Qta3hZ$REDRiSq_Hg*6V*hh@Ph?Qt z>r9M(7`Y3@Go5vTKhQ^yJSBfr`-mnyTLGv(Vz zsq6D>L@KUW)}FEs>$ZeL()TR$R_ZRYT`C}>KsIT6xO^FBjJNT6A{l=)7h?err7R4@ zZSr(j|4mf!rO|#YXW`H$RKSwC{hnXhIx)X^#V^w|(=b_kDsRdKXiEH*#?Y#3R1I(RyU1e#%iw*|%&!b`b+=#rT+vk|YJ01pn~hle3V&p`D_(j$mN+{u|NsmQDGUc4#+wL$*3T&J&C_7~n&L zV(r8GMp93EGiIBhfA)E-9!$y?#ml=FZ|UFMOdOcM6*yPx-uOvdGldyhqqCirz{iKM z!BiEpX^xDJ6-Dti0-p&y*qu^!^z*E%kFg2sV6Kl{qKu0gZpHKS?68V^T26N1x-vgk z$(@+)+^L)o%}SyudkHLZ3Vl1R$JCO}410Zez{-#rR+;(6Abp&RhxQv;4kCG=lLWc# z(7l3v3`HD&&Ec9j15*TO8YO4cI zEl3p`w=tlgzYY|MEe06o&2XQYiaM%W%cw?qbIl)Y2$~Sf6s_1Awt>>SnlclffFAQa4bPNz!3-ptU@zDHBdOk>HMz)LU-0;2e3cqLANZN^r!l|Y z5Af6eN9ttE7Zmur(SB1G)P%ynDFso`8iUn5>vvCuixnJ*O~jNdvfn|bt$=q;-ab*T z71`?ad#^dHw&B7gyijU7O*)EKyeE$D$b6vj_Fp+g=ZnB~cqmXZv0D2-CA{xt<$3XMpg}9CHQOldjx+!+WMLN zMe$%1FZV|>V;FkC_Fa5rvb_TM?^-STgBpChS?vt}BZ=xGu{zo5&jdv(gdRi_-W7?d zemf}l*Yi0u1alh)q~4c=VIw!-~a|=j-jBGZ01Rw2e>VGI zXn*!*lvYw^1&>##DSg2qJHWK<+yV)$>KUJ!Qz}wx7`FqZIbYnz1IsGk9vD_z_(Q{NMM1p!kqhjB z-{iTic`S{U>6WGUIv{T)hbkobx>}_-1@8I?Hu4Ls)v#& zxGf|Xr?1QB(-kHlz@J5GNrF8v`O585jxu~Y-~XP(la7vFs&Gw|aad|e=Isre3!0d~ z*xy@UqTfR(V1w>TDQIkvzKPM#^k%~d!LjExo{Uy0QZD3@3N zBMCifl}GQq)UT~3j!jv$Iim|>w8he?mJbmg+67t5cl_u{ zhE|&e%~+M=?4--$9%s$>_uL)d-sy3Lu}PiMqo&r6$>tn6bV3y<){mL7^+=WWrl#p5 zZ|60C4vqVzf1+QWa=C1VG;Fi+Z^LbdJnp*QHF#IIRgmm!Dt)$MK}Z;s;JVFU_(u1j zXr719X~VC$?xZ#SR^M?mtM5tK3Kw2%{W#R;TJqU;NQs}%Mvp-P)HZG6Q>v-AOZfA2 z{u^^-vRgV_hTQf^rWzM9s8)d_1x;t?(fXFHFIc)KRSfew<+Eg!$wIG@E0F4IO3syv%U$9N}^+^}g9Kvz0wTfC|U>G;73(S8v`&6TEO!wT?Pq1f8ax(X5}$Quav%0@r0U8CRw#v} znSv?kE!CXLEMKDqS-nqFJlh*bynA}hkHqw|l`JRo(}$gq&iY@pKxtcNz1G;w_vX)L zH8`=P9b+aXfo190YyqAnQj|x75J60Ed#L>gAD+O>n(Boz`vy^%t!rZcd(7N-r!Qo^&WF=Nom7)j?|Fe0|)?l16(IOjDc;&6vgD(n4rAMd^fe!PX>r+c` zD&|OZ;n55>-~c*&A(q7#nl01+m$*?`-FC|G#?#2daL7L^$yc=8lOrw#8CnOg8ePb@ zGrspdcplSTy>@7k}^hRn53HY=XU(nKz|9z{0x(? zyyd=^&Z+DM_-Vyaab#c34dZ}o+jpwccgPQ~FN$xG`hgy86ifbT;^i)$Ei(NmAwBTW zjZ{)KoYjE=f(Mpd z&}Vx2e!nf3gG=prM%D3E7FFASOXmzY13u_-4?EM3urFnOA@?#>#f2&qt-QeKDLEHe*n_2F`hk@wx^Xjy_t#MlU|OyQEA4QDEjXskA7x( zc_*%_`OosV({2z15j{PU%LG(rR`^@~Yoc@CYN02zjnm~fzo}A%g??db_>|gzP6Akn zVK6Kb{)KyS9BZ~Uk+JTOUFK_1;JJ&$CMnqA?rYn*j)2lCOgNM1kGA!{f1w;(HXw=J z;X-ymgeK?8KcC!5!7-80zuYQOR6Mvirn618SXf9WsuP1Tu9-RF4Ce0$IwDD5jlM=r)#pCA`hn;W39`yR}0m}raV@mxrLDLWy*&T355r*JZTt` z6kJ{XnUbDQ@O`K*3yI}PX?Ym>AU4cYxUEglPttMwTLi96T*Y}f9_k={m^iqy9P@7A zB;~`?<(VGr<$7UzNVBYj$Sim!4+pnISLAOnRbidGz06)6?5LgeJ=@FyG7*qphx`eN z4j!fEB^lPMD(v9kvDY~7oym6RsK!)w7kXb~Nk~Wso|RByxL~b!;SuNy-TWk-zb<-r zk?P44x?Gpsl=NXu#FPgDaOAhSf$*7VZ*qNTFyHT5-9hjgalfACKt}#%HLTWl|2Jrt zkah>uRW?q&xr5=Gvv9HQY#}&fqC6@-Y%l#sB5}=;K(qR|Q|}w2g$C%A)AEB^Jey5( zBDhv^akaYsFoZZhNPvn8+8CrU2L7u zcw;^vGDn-gI*Rqn^HI`s$zHDD4I~BA<4G*@?8c%3hQ_hYtnS*B$SA0*Nbaw`r}H@o&LOooo_b8bFuVN+?0j1 zs?jsQPHo#QI3FMF-SgX6BL1J`awwr9fBvg=-FQIzo@pMp*s)$$`BuZy^?F&!;{M=5 z6*9WYPTYTYGKuNDAVvwm>0kSWz+E63wQk6c$zGqwO)f|swz$Nx_|U~&=}_$f>8Q}f z29bJ-{-U@WL|GfmpJ@@1BT@f|1pI;yk^;m*TX%Q*#>%>(Si%x;N+wV7O#t^zy`0eF zWvz5Kt!`{VWRs0ooyzjl^mRI!UX#iihiV4`&NO74;w}3uC{|*HpTp(wxA{AJd-L7_ zB|NhHjgMOBJC1B{=hgy72M|5=7@SBTDx42M(6Ok%Wk4laOH~A-R>fmzMbZ9 z?<=M(fNn4Hgtj|xfI|Nx0r!mXKLI1Z%55YB5bgpigvrcWyjedIO)RRAc>xd7{5vf5@*6&jST70+={(-}T?KYPrC8rI~KnFkAg3dgw17(L3 z_6s&)K|}=UI#kkyUe-J!L#DF*byk^^bW!>2u>BtiieXg?9HDe4My0m7x#{bmu`8c= zy)kWw7KvO(|7visb61cY-^-#A>1}cUcTW{hE0Gg$P_4OE@4-3^tR~Sp?^j?t_4g__ zmq@B}kq+(vk`;Y;U7)hqr%>jr9z-7ibI>#E##RFmC?dqpL*09 zj*h|So3abT>gQvNJRB6-$(DA3#==%DSp?$Jy7tz_Rs*`=`U=Z5chVUcWMlBz`tqm}xkf5GU#HwuDGsi=P;q%^_#<{j+UMT|aBI@MlBzud3|*|42RuwNJ160h=4< zrceZZ@}Bx%ztyE_!+9B~`#Gt?#AP7nd{6D=c>$oL|8jAe|D0SP$0_jg7mR5Zw5dDw z>PC**u3Q0PBzAIx#@J+I8S40=vTuY%j`#dG;S>3Za$;F<_T?O2|9ydi3Yl~sUg*xUW%BX{@i3u-cyoajam{Q{}Z-n_Y zr7Alge66!c9OX)cYbLW_Aeay@R5vES=KuQTdL5$u^gj1sVwr?p{6tbP&~bb8rhH*~ zD%#bIT8bUu7uqDIm^&d;?pG^h3eUrS2)zQ1Ac%RFku(R7WXGH2mEQk&ZVD#&SDtgob zx}Y>}4AvKOE~b^RqYG3qPLk=#uhDVq3jtJS++Dt!k`75>?!8504JY7Ix)G4cz zi1QBOOCDa>!v3C)Us++^2cb>1B^(a7tV)DjI2?LLAaiNBE@R4#z1g`wAKzwu zAx)h7a)A7*4e>SaI@md~pMQU%=npFqb_>d;Mn9om_PbBx-QQvDzEY$^IJY3GMV7p2 zXvXop@m9^+^&A`C{+w;WGILLGrHB034G@R{4BA>Vjulmou2-4g)^>eLiuzeVafR$s z;zaV-%~%%q-zrWW?ch1x#sHDBiV8@$XB-xRJ$XZ5YwWCcEj_}`-YbvjqZt7BEaQ?tn5H5#W>4aHu zW2B1Xp9JX=3QM65!SUu#jSrQy2Dz9MyC0qOD=MAfkYjzL9~qVo1Kv5Q3}hRA0s1U3 zE+Z_o14BaV3Zz^u}`&=8`k6EI6?_2v##C1eDN zTsfjg^h3v=+-u(M>Z3OOUvBu*#nne2F{sCbAWlFD6p2+ ztw=t(4sP&k(5!9BzO%0E@-ZhrA;b8NjUp2g-t#WjNiTVRl0YvI?$l(xL$A|;Kfs7a zm49v!jKeKI7hvAGbS9LPsRs4hCteA9sz{hk6|`kT#)l1MS2q%rO_aF8SG3~oSXzcd zp8Mb?LUNmf$4+2~hi#|lDFr%{I{#P^(CXq&=p1Aev96sE;X=IU%xKQ7sjWGv{YGoS ze3+NP-=ivonoAtL6)M)hV5vkL1hcjVqKnrOVjp6CmboWvYNkz`Q3ipb%!nVdESRJh zwSI4(3sa3vwp%u~=LF8C7yYQlq7@9t+LccVP8mxr>x`?rx zwQWmfF!il#!lBd{V-vuHL#nnsiNNA4sD$o0h*rSYPg5pCGwc*-y0oQcAADyLqw0yu|`$bdwtLuXtKIsXnYr zK9k@fKoUT=+yysS3$l~->N9t7Qz5EtngM}f`c!)V4p)rhM>RO?bsOF3KW?2{GQx82 zMdWiukEA+DQCwwZ#S`{suO%ln$vLNyv%%JSlN19}T`zKKY(>i!Edbw&pyI0aI!ROZ zLLQq?WFE@kZ!Lerb7|U~j~Mf^I>VES()XKnnG)quF=n+Bpr3ih{)^8r__8?cj}h)t z*yWh)v3XW*>+4F=7MvjnBRyv^D)$VfU|l&X*IuY(ei&dTF+|AM$%OCvC!p>IDzIML zr&0PH9t!^!0XT}B$wv+Xi(lbk{(7eUzE8RVwx)Bt?Et~Uo!nzhgDQQ6dDMZpNzzKx zAA^6{`1-&p-w5Bi!i*otcvz*U(?np1ATlcaN3wPqu|2DxW8+w6NJ-7RG|GQe@)mPcA_$AecK98t)ZH_jRk=KUIa#9cYej^RQa;}mMGenl! zQ}Mc;h@)4xbKACZa?Jv--v@~FeR$D||J`gE+iaH>Qh*VOOZ=|vUoyz2>X!aqU1yW> zev>wbunD52go~Q6(v2OlDjYuBg%~2Z;&rRWpNDRjeCa$_O1WLSCccC%`6*rr8-E5$ z8}jIU`2@1Jqo5ZWwtC4mDY&t{bh2|(FJyskBBUirCm`vyP_}OE3fH_2oB2ee-Sq{` zq8^I~n)oEW-|Z&A6@B7XDS}A&3+PU!UPp~B4agGf&gmj>O8@qqxP(z$u@s}M$2h}P zC4Ob$PcCyrhvQbVC!gS!qW$Z&ZgD1GQ9N&aPV2(S40jz4$uKP@vq}`*^^X`iIZGHQ z`NeJ~*AnQVnpZEi3F$6pxI$LiUa>@kPC4Wou@g=uDKGkEmIaXIwV>`E@zO#4x&>mB z5druWy(5`t^jP!jB$T|-Q*LQnP`TrLkbf&xLQU6X!`tq{0Po_iU~zS$@+^lTHrHGF z(9mYvB>(Vb#lL=6YRt7h(*aqx5s0$g7qzL0YmM*HNp#K6;1r)5w-+P<%U)IYN5y}P zvnpcN6yK_eFT09R2^vWdBmAJl~Nfmx+ob7AWfPf_L<}1|hi?bCy$p3^Mq?0YD9gBjl{x;!0ioz)_M-^rO zaG_RYru-kif@trB{g6A_?n`q~F8VtD^)Ze~Q~8|onIRJJvRbkjdq>DY8B7g1J(2R$ z`aqcE3j!7C99TXy!5`Zrj=DcZfY1!RkfjbGBI7Y(=NdG&{Kz@Im@o~8we~l;OARH274Rd831<4RI|=p6LVV1QCq`tKA%Fi#xpYz*WGLcHw-6haZg)=~yX4(E zNvCvy-vj&6rD!_$uQRH_JrDs64#C2PGD2VO->Yi$FiN|C)eIBGC1O8U{5y0XG)Nf} zAmlwDUtdx+P)OzVI)e!{PZVJ2;KfeplHh;xYRXwan<7{9>_3vY2@PHi&foZg_~n$L zAcfTBAw+6GvPQGyH$><-j~ZAL@71F|qK|X|^IeN}`|J5pdMaI>H%%|&xr~COt%R9w zjgmhj>aXWWVZq#^zxLt6(E&Th$jNzR{29(vC&RICExvAZezd`@)GzvF%1M*{JlF(Z z&Dc_P?OL2_f`5?6zg1sJJPx=>AjA6qg^)Y>!0swETeJ>Q+zNmA-kPFvj*q9qc1AuX zQ%}MD@4d*?O4uK`JsVyse+e%&;Vos*FfD~Whuu*qP;lQ%EV8AIJ3;ZDP_dJouYzD} zT#6bt8}F#>A^6{4?Cl?!<%lB^|doB_C?l z74or|nPdg~ik^)?Uu`FOc4)d7pDd4g7Y!5D*Y=$}ZgDv6jlv}^^)3*t2Iz`#rZVA4 z4MEgZ2X#VoZx?~D;NQm)(@ue(h=lO_P~`ROv3DVY-7Gt zC*2}Hu$D8baX}#k>R!6g!aV8%vvM(Iw;SAD=gy0IJwMc^zlR++Dmb{1f&Qp%l2)%% z>BmcOy7s;g37WO;)&A* zDEY7W=?ZB*i-wFkEjTZzuE}<3y@iY<$j)iPg_bTbCo!!f2PW zrZ;b%0YNq(323L*FX9B+vKt4P+UKawb+@Sl4Z@kEh7@7zoM5pO5jQQa>u@`8!VSQY zd-a#}y7t|*9OD{@B&{Yjna4D_0-Ubjc0AW0*A5P%GYn;wLC#?WO1DAay%d7LTlzu8J zSUZS*EoInfsW#`9_m&{WcYjp4uNV&EyOtz;Q_um0E3x>ozORer_g!Y4fTcqZ3S&Qd z0N!qpy6d=AZclF0ZkA7Rr~X<^8as)(2bPgwK);)i5z(tNrv;S#-=ZW5N(Y_dDr>R| z;oVx!ZceTra-M74OShd@U;QBvyAHQ#Wfob^{yo=_COs2I|7JnOKPx^fi)R<5lm0Nl z8i8c;t+0H7+4TI4@W$0IGReD3n3K$))R%S^P!>(@La&Zgdo~J1(HEtm=2b!fvag3k zTpkc52Nbl5U#(fbt%=J#3QvEZ`%56VE5lmUonrc(H$`r_oyJBSk78$?wxXuzLIr~l z!Sf>%HtrYGZ9-iE%JpS%`BSwDujruR+oS2fg6DS@){Dc(rBQ*Z=51bqY>0!mLzv92 zr@SKTF1Nh;N6vy0ENYv+>=`%hX=NcFLKOV?oZPTlcyVTb0o)rddVE0H7*55HZoG78 zLzK2mW{E;KX8YP}Z0!vyWNt&~K)oz{OsZ1{r-zrRj~oz)!;3O~SZC=ERq;3C%D z%&kLqGymZ8JMHHDY4^O%ta8&fAU5=h!|+4EQ(P^>7w2IILJg5gnJEcyYo`6{o}~_; zVe?3@Se9KnzrKKsJOR`m+UKzW7;9v~?No5{uaif!8c*JHVYNnPb15>U^k%}A+GSZ^ z1#rl7$;~DhiU(6~+BNVX(mM6~Jd(hRgm0QL;MnOi^gdZTu zOt}=j*z<+>obwl#MCxO-N#CQ*WV3ef#Cp+W8$R0&MM@^)bB1$D@MyC9Ps2eyXr~Q? zrtos^O>tRmuRdD%4YPVb{~1?6#t7gCYlyZr6`nA6_EN>pYyXPNRID@wudOgElppdq zAeRt0wcK*q;Kfr*Ucip5(^2WJ#eV9A2P~4sIiwND2ov$+w>{qX_(H;;bW1maNaKwT z-_dAp4^wz4EN#c4;;bM4y5;a4Q@uw{Qy<00prljH^nJ9b40_985g6q}m5$;TE8XX8YVV9PCih={JU- zQOA*e!bFUF$n;rL-$<8=0-us9y+;-h1SOjA)v66y4qfAzNj*?zjJhYo&|n|Pm$17a0u#YjhT2RShm)s*eKLc_ObSS9tr@*?m)&g;g;(;J)pv6?S{mo!n zm-eAFZ@3`)8;=}1HTTB~8o(MB>(C>!X|%a?t`;sIQNrbF7p1?F4AeoG4YK(DC; zGr-ZmJmWnZgUs|0$M3&H`}UCEKe)fZ!s)N*G1=~o7R58=_T(rvT2XvCBPcDYnwYkI zb`Yr4Wr6af?7-^*^LNm@O04|4CC#Gav*0Pegx9GU zfXGCy^jH-!%oIy^u2CxogKfu4ou(L-cx3-o)5GRCmjX_QI)`n+wkb_~VX(zUD47BC z-kiMZ{59x1hVEI`?u_>S)cAGi1zGb6)CK+x-krewkM`Q$%y2RnZLCB6q!7o1EnNpr z>?SA1;K+{GE5gzA+_5mg)tv(9+HmchCxk_tTspk$CoLKxsT&$X;Jhas=)xIeh9O za@RGnNp2TBc2Y6ccda=T=sqYd8^jd=(%i}HwpDHk{Jf!ffNoB#%}_f;?%EB?I4{pL`#$xI_EWM z?%jX)5H6FqfJu?E_5SlmF2^=BU-BZ@_YN%ei9NZDk_XWFg;i9RX;EW45MA*p%-nHnqbLSP7zkld6c_3HdHgL=(PS=lfiWiAAd}w5kW&XlnMDk+8aVKv)&k`HpP_k zBF}r#Yz!GM5u8~KB#E(~kI`Z0;Rn@2pnz!0TTu4%ZcG6>Kc9$WaRd(`5?Jsr|XCq;(bS7 z76}uCaDce6@T7kr`SilHN656N5CZbludJ*69s^?S7&ucWs+)BiuV0K7SH_4Wm~Gk= z2FI~K?v)I(`xsR1oEN%kiu*Fv^LK&c;Y}I9%E{6J+W7)cTH-g>4W zaWI1^`1r=$7{qy3tkGYPiI5K$hbC@qog-(r2AaVj6rL3vDfI}2LM0j6bk|T$Q26j) zFv0IC2*Rm9XG%9a7CE1baH@8OhIN2n+t+Wkni{2jPAsjGT?{~GVY=pN*k@7`& z%{^lo(tBgzN5^J_x$wZot#I+G(!=+o?i2y%qMLy2qdXFIPk0rt)ciOzt00S&EqUGEFShF3DA4iAW*1z)acTlLL2?! zG(KNyiphps^^I~Gm)CN2tM>1TJS%?fiExUla)-z&imj`*kMfb<60=v#43eUgwj5MA zs*9y$TO|FnSl>CG)aSa7)Ol6rAnLrXVUgR~?_Omr)t|BB8qdepEYe|qZ$vDF`^#FY z5Sr`fxil6|NeyZBjyOI~p%d4~tNybkD0Gaa=rmxigYEjUT7vX(kZ~xl5lFwm{9(mC zK4P-{Hz5t|`nVNbpjC*C=EOxFiYzX?<{KQUgS@i#8+8|#_km^In>@7rPqSLUha*v%}Jd@Zi3gKiTH2d1tZ}f?e;5HZ$Po|Ndc$WB9Qt>w6W(9`qSw zQzZfd=jGZ;-2&Th#oq2+Q_Mx0H^%bFmY4Yj{rnfWb6{C-=kKCG$Ne^9BsYGCy|&8R z|KGn=qwnVj9S@7XGa8P6Cd=Rh=sZ64w-jK@(UvP6il347bgJY5Q@_8U3MD|d}Pq3WtBDXm7C`|1;4#J>0-S92b!{_6+pT_(`m1+_0nAe}Hst&?u; zXGh;ROFq>FZvd$202`AB)Dsl*&RPflGpC5Eh%r4UysqDIGZrHyO{> zRmNM8F90M{;0tzMT{32*N`WoQJfK*aXY)b;X{e{#GD;UadrV4-Q#{wkjD8TS21obz z9JUaNDt~F=g9hIhOF|uKYYmYcI%m%Qz|@nUM|2fyx7%IyH?u)DWRAy%oJQ)`Hx7DD zyyg?mzS)m0FJ%br>_J%ke%;FeEXUE(P215G&a8K*&UC^}3I{a^a0ltUPhp#N&Cw!7 zOFx50ew}=a^%O5oTr_}25N{ncBw4zK>r`JA%s-AxDeoMh^jciqm~Hb?CHx7JXZg{f zi|}P0Zpm-bWn;+k7$-t{yi1$YP}+rio(Kt}wnJZ!J49WxXJjEQxvgS}dz}~Mv8`hv z(AE4L#u)`6^jCRp4TlJnNnNV}(Hfs5=8>fI1x7eiblzUrV;P*C3F>8(d_*bGJ~6go zX#>=PHV{EaqA-?ft^e~?ervMI%&$a_hi3c|W0ZocC!CwIH$6@R4ZCw0MfswSm<@#A zlE^#o)Zr2$(Az^yhCa||*m7gN;rFfI8(9A%*%OyO6zB4KT|3REVF{UuSLu+&m7A6Q zkE5%OYwCU5C@P8|D$=E-N_PlMMOs2B$%!k z_Whmr{d;Ghoqf*pocp=2`wHiA8FPUO9wi+WkpiK(T64jrj0++bI@+IO+su}{ow^+8 zo-lj%?at31s7dQ!R}8eyx+X*rc}VKuvE^I{WMCMcT=MrHj8BDk^Z|u3jV25 zuI}kJuxJtO)$Vh|vt`BS>JIwkYu+kq@SeGd-P-KQ^2EOArX8sDxF&hyLF9>QqR5uHu3E?gJI(*dUts!MKL~n*r2HB3OGn35j^g8MJHuOjT30$ddCVTX=wR zxasY@ebBb|!J-u5M!v$4BgnrEeNb=nvvM+}eWh?CdKbopc(yB8X$oyw&S6{U&~q;f zT-B_s&XGiqH}8kNtx3ZGtH4YqL9<;TXazqUEIp+abuqK_8z;;N^}zadc`0~fd9u_yV`@)bI$KT;h{oF3wf;4 zr7af!(L(eggN6#vlBAk@=WQB_cV%!3XYB=2-(@WeCZum#T=Pvg;c0_ZJc{paT73W>0*Rh3x_qxfbX9z-$*6j9q&qPI)zv4jNH)B)q2%CFrNf+9 zCn(|_UE(pA`sVNkXhYi5Skp|CIncBC=twz5?cXcfgWqz@?fcJL{@B?WTGx30o$#+C zM-RrsY9<=WWy<%~ipDgWW!>k46U3fSuh_r)E33EY{pqhE-=uonyhF13+vd!D530O7 zKbT3yPH~)E7j6OY3!4{2o13nKp15b5x>#f@y9F?gnXTww!jxc1Fm?hg#=-r@EboLv zk_qLyP<8#mHR?;x%S!Y9F&OioUymcYO-ipEGj9E<7@@-ysD|ssq*mFi0YHa?(Kpxa zlwCv-gW;M}z76=@JEAajv$k-8OJun$Cp06udwK|JR4C-a%Dl&7p!C&e1O342EP38- zo?*t83x41%zd6_g`v=mWk(7qXO_WTZM2OMfL zc!;hzE^5a&3;(9yn$1;5E;~F5O_D?mw36zgPn>e@SPSg3Y{n2=$=8WL4YL+P!vC?E zMutU-*UzpPZ_UR#FwA1$9ja1FoIS6Y7DY^%*gzo?#YY^!DkP?1k1^W>mCGV*v!&YB zdkx#PU0SZJyRO$h-nk<_G2R$l!Qxn#@ztXb{~yJx!7G6KkyQGne0iy{o^IYRj8(WC zbimW1pqeBLG=^zCSVY{ob4D#XIv+ng7217(nb+LlJ-a5;c_tXf6WZ_g<&N?f;`NK* z8S*6RLCyXZq9mFAMFp{#tyxFG6dO`$AzWIm=5f0kI(FxVga!*mGML`kN@4uy3xfPu zk4A%y`AVJ+vZ$g0v>XXA9BuJv5(5{OvpSEcBW&E``)*%q_#ZX?8=QPj25|0T~)EaQ6%K96SCeUs^ta#?L}{n?vE4D^=&^^-KwRw zgw9h!W7Mkr6LxEy&)IKnh3I`n`U_3sC&fT4f@WJmxAIO2VNkVZk|b{D>*URD5cA_H znJ{@v#-3xKzbi15-P`gd24aH5YwBS{JK*@T+Q${r`%&8E{}f7`WmYbvxl=iG*X7Gj zsZ3p$KVH4dVBbW(|3$W*^op<%SB6^t4p>5qb_*hJSXlOB!PnJuwH@3`=SKM}BpnY2 zy@l>rT#a!O%VK#c`PFW&MSk!?Im<2S-}#9Nz%dB9R%D=4?sjr!ilm=|%`OVHULrAI zSLL%(GAD#l6YkGzZT2FVX1Vu=!2p+Z9gaO*xZa84uD|d8oNRB9L$BWTvi;EG5EN5Q zoXCn+Rbx$q@mI^-=e%bciTIc7ooc%c*S(us2RrnMji~pc7?t+4oEx8e^%w$h9NKS_ zbj+?NcU2C=6#c1EX*l~KeE(rSw~FH09T~e;bsQIhpV)t40_)0=&BX5botu2|iIdbD zKRTIC&@3CiM4nuZY1}+>9cPY(h{b9OHp$Usyso{$K#6FRC56Y5D zo+itrVMSw8T-@DkiRQlfgZ{p>-_5^`2iMoY?kq&BsjyHDV7y*1{GB2H1>GwrKPHJy z^Wb559xySdCdYB%)J}Kew@P>=%Y!F}yaJytQbA(wTBjH(LO~pviM%+_cIB_op7O)_ zEl81hTM>JG4t-pFZV7LgAM6q~Dp8TaLaoE{p_KCJ(Hmzgktq@YabKHltH&chZA?I^ zemeP`8_g@UB+hQ@dD@($M6J4u{}eYfZ6Tw)HCHRt_SJQuV#x@=_Jn;%ukHF- zVPmz;6RYV5?qRSeB$XC?L3a#`q99I_mniFkJ|A8&ZRRz1&zR-T*80HsP}#H*J3?`7 zI=`M^gUeeu?I=^x!5g>C74!_sR*m~$LU^Mp)?$n@<`vwo*m=^&b-O&jT76f(A|J{I zJcCJ;yT&qpG>rZov5e!(`TTuIl`1G~`dqMir+ig>f%nZ|O_tj0Pmywb*UtOmNG}Ku zSRf&$Zd-F)nS*Gqj*oB5ZA~p>ERqsiw3@ewy8k!3OzP2(s;|1*zn$`}KNtEtu(OLg ziS_{x4SANKbuYFIPE_6$!%^*ND0m1%SJtGzon%h%h3>orm z_nqjS&9;Y5N>*Qo3r4)hu|hW zDnf)tqa?(OAN+*Jb5K%JGp?O-t?ky#%*ANe(!Dl*E7&|&-2;LXjN&iJfk4?AWS2Xm z>48q%l41G~?C6$iaR2N;on1|n=Mj&ePrv1Nu7{a@WEo;Qut?i35l7e2Edsv++|>zW zgm7Ea9GhmLI-EaOQD)%1;eoA~TVmeVl#Az2-`Q3sKOPUQX=KQ>JnyLoSdE3fF~$J7 z78JfuP#m?q%vOG80mFcp+Gw4cEGIM4!dhgDh|xzHuHE6+>*`aV@SJH?)l_!^es8G{ zZDXfJyGK7RaVSz<+=M^X>R^Ic_X35W3EJj z;kKaemybLYM7pk+R!AopueCJm{14sNM2q@J5+r;WwMx*;V-fYyZiK2T6?TY>4bxb= zl}AeyCJ#N;rZFZI+~NzEbqYCdaT~v=IcSV+s~8?0Zbk9$#WJI8_7PS9%k}eT;>Gfg zN54oTa5vNokftc6W=-{Rtet9_J>SqFuQLxg9bx%BtN|U;S9#+`{FKVRXf zPKke8FLFU?Xs+{}kn!p5N6K%nryk|yvA-LudY70RP0JN3+cG^=Ihk2 zCh&T>lM@$S7ZqQ>gn!!%4^6fVF#MOsNfXgy(jmPtrb>^Iy5>GpI#MskX(qa$kE!G6 z+Gtf-R_}2{EoUop6SqD2YI72?DApdV-$(HaLn{lBG@8FhbM-fPM|QiDV4M6XHK;7% zwgs@5J`V(Rbu*#pOV(*jzrs#O#Id|Bh}j*IoD z6xFh4;53xs{+Z*wvMka2XCj%7D~OMmx|Tu}Qft3EnV}xL-$?~^f&Hl3A!E%7OMjqn2w+FJWh~r{#J1)EFgrb33L$KN< zj(bbH)2CuX_t!NDoc$k!rK~-Az6oZ3zk+N~G$G`SwxjSSmTWyhgMH!d5aNzsJQt-7 zNL;S2tDn%)W@kJ}`z`scK+`6;G2JWnK&|u#b@^z9=fc_!%p@+2}#}dXPcRrH<$hntadiK<5|t3)Ww6)50MImuK_49aJ|{ zmQnbu07xfr-l^CX#s(vz&H7G~q0gt(BV9SyWz%}Mnd{@{UeHP0NK#KVv3(y`sZ#n$ zO_c6a)>5<10w#B%J{VeKWqn*K813fdI^~YWO8{DVBF||rUHB3Q$ad-4b`Jm8(Xh$N z13k|`L3NMmb0E()qz&Sv*8VDd>D1c5`7C<&k*+f$47HpdbX>91dSUT@l6DpKGAQj7 z|6koSPNc_MC*eKorr?6KxWAFibuNrW#aa6YUdQ0*A~8eSRvvr1eR@eO&^mRNuB;FYVd_|3>6l{LG^x@$Cs zb&Gxc+vHnHI3zRl`DLR>8A->J57jpvzdD)QyV^6 zn1H%nwzW}hbJfc3EENXG_ACldKJU^>gs7TH2kgsEb)zVNd0aNg!5mIK93njuhV`v#8|Tt*X_z>Q zy53r%@!_+*`11n`w$E?H#1gy3D0-k9%X(kV-Q#L*r;A8o{QMHWCw{n+*4VcJHcDFa zPOc6sD47b}B69H|9ef^X8piI@qBu9E&Cn$J72KQgS26&)4;cMObx>TTfWq6vH>vlo zIdB53E?^N?iteVZC*#LFC8`vH-SLB^-;S7la^UUFuN z^HIIhB2$gRT_?jsw0FnMB`dwD>!K}&6%@$|uY;CJ!-$$;31!S`Y} zugfIdq{;~#HW_Ps4CqJyeFpRW`XKoUvu)l~6U0|!S>iaq@$gOv>U{E&t=o!YqLvRb zTBT0+tgZ)jL|GQ(>1*_Aa$MQ3)&j_cQG0zXI+M2Y3k|As@07EiSdAQAvE=0UXSc54 zHi!*@90BR}VZ|b!E|_8COa?&mQf@##Y2S}JFMr~v_;LH$uj~iG8KnKo1RzAkgv^S< zzE%k7){$D29OnsxCbLOq&V20S@CN(B7Lj#yJO7sMDa%7|5f^ZlfKJRChtbj3zLVk^ zLc62ZIjs>XuF73LQSbFf{^>7D3zkU-1A0y;@WNDH)q1_2!Q>x4-8jb0@vkAfIs2`M zZb$ONg@6d-#4^{Zj1N6L7egjnlV}qF=Kh3aY~u>JGuS8OwtQ9CJ3`}Ez1 z#Gr8fcA0Sn@hh5dugGz??O#Tcp8O!vw<8%9t(VQ!rrKyWVcY<>{L6&Vm@&3&H$nY@ zC;eKu>3sja+qD{p4|dtgh9p`C5D(Br}$r zDo4q?A${g1dt>W^ovwI`!ThBGo%!5EoDPt5kxsHHd3ENLQWvtU4-jvrD}Ix&!G<{% z2*^(@@_}68$7)T0Fh0bmXm!^9#k0Kmk&C^}kY&z|O zWPcbrw3y|H3P=wC&n0V|MtK)>aVfOEN!o&m`vRuQUr zhtB3pA>}ew72e9rx<9nV<$Y_GB}v|KEqKjSFDR}2n}EoQW>=QOvuTMp89!U~{^-aq zlRlF!%j&`&-Yt0aXC-tKvbjG4$L1vefK39t|NY#swkgO82e-y5?N}T7?yAp*^oChV zK}wOrew-1QE5d!e6@211B@AUE#I$Fu?UZKtMd3Ik-!%EW9ZKl(e~=_YW$`qbXtE^_ zGm6O5E%`h>x?c?vANDRaDAUbIe&aPg=d>QoL}L26RtDqV#1F}6pTv|zaSJ0w{l-Y> zql#hE+CNq}M?RNd5e`&rkYhOccH)I}eK%rPG};UtO_D8jtUDF&gIv$grPNtPk*eQK z4Jv-hgsB?=8K;m#!Pmq$A!X*8N^xm(JYkyl;1-Py%E^^l7&yneHqLH}=h!0u7p#nV zepSl#1G?*pPrcwpWB3*JBzRXD7#PE7N4mc9@_#my<;p@%(d$_h8uz~1;qHc}q$t`f z*;BZLOy?2lznejCRTG4A9^*+co+;jsb41w4u}C?$O2eOn;?>^o*DqGZ6yJyTF`A?p z^%#yhT3M$XbQ!E?&hOTO!!xYX=t#V8OjXKN3N}3!@>&xgV&3t@O!&f?%f2>#L?)hXrfw}VHn}zt@!Rd?XnN zKOONjlW?v#Vf5&d?jG-QV;fNOG8Q_XqoY)wI(-NIS?o0U17GVs;AO^5d;4{=Ms<|> zpa7JsIR~V0adEPB`Q3g3wVZ{#Rl(~nvTuO5&vq;!UGEHY9}?9f>Zy(|9`wiWH>{#3 zz>FjvJo@D8Wh|M^QK6tmhphwlkQnmowqTsBNo=H>nV5;EbzG}g*`H^P6(%yBqcbm5 zUEzNY4_^Dq+wlH6cIZ&pkJ0(Y)>JemK_z|AM?OqAX~(sP2yw7JLytd6l>I zWv8(KGsRu+qf*F%pw2ky&Fb8C24|UrTi2z4PSzm(;lJndCp|tVIV=-UJ)yh(E3VHv zS)qKt()VfNtCK)qxaphgZa-c}iKVJXrKqiN`8S?_@qVqKC6U@1FNqTfXhj}A{cXF1nf4^LQ#-oAvVq7O`o{;#SDvT>;+0swqa7PnsXRVcoA z^@(7DCa>q_t+I+w@S6aqAcU){sb*1vSO)B%)J9($sUW|UJSV0EO@`j_H?gr{9%c2( z30)6AWuXBz)HU2C!WSHSKHXX|M{HZd)+H}z8Z}KSJ{Mu({T;~ovWTsu4Kd9fv_`V2-Dk>TJKr>Yif?#h{d!4kmJyTkx@`gVk(bqsO{Cv$H8WNz_x z^3U)6Wb3#Dy>8*=;Pl|r40q}u=23vM^ni35i-AX32}N`kCO8^rv`)(0EH{0n$@BDm za?bP7zi6Ocx(@oVe~ml{s$q%{hC&Fc(xCeR;hOO37U6Y^vhYUwB8|ljNcOC~Ynz)9 zg2g(6c(`)olP>@`SxHUoOJ#C!;+D+Pb;4q9J*+YKTv_5jm-ph7eR&Iq7ac59z+NdMhTOUyKimvR2rHN8HT7}?c(SNG=Ml>aCq)<(--9^41O3jgB*NjnTE zo9%`y=h8?sQ(|WgkE2%>B2&KD-%Qb82Wj^AqQB$clPb~FZ=<>qG) z>lEI*Z`BLbZ@Lz%d+wUV2DZ7sPJ?dXC4Jl@f zdgr>3#VI)Gvr5QHc1v31VV1+7fQi=+{t_Im!VS|MHldj-ftL{5H=CQA2a+-i4a;w= z-Y<%xnJdy9Wad{C#|&9HTGKj*1-e;VrcarQZ0;pHD-`@ux0l~kf&i7nzu-mQyuF?2 zj|4ok>l~dN!?+bx?sjpv^z9g=aD!`H&8H;-10oPVf>wS1sHver_Kv=WE^{b`-P?=> z$p@ef<}GYLiEwOr9PO7n^sQoN#seT1W}@Ma3fG&gdq>iQitdh8@Z6q7Y3e4qaiuII z4%l+8094P@b`8I`Rj=b))cfjDKwf0^o13h{Zn8(_fd6R>8axDL zD!zyBZjZs#`J^HvoQyepOZsJBqMopm8KizB3Dqm7TpKBG)>=JBZwcVyj#-5A#T%f- zeTlCFQ<`kqPfHwLwlw4C=f3)R58FT<#s`jRYI~`vtzhJ~C!&X$INi+MK&;c;N&E%} z33A8Z3kF}o<<30cUY}`I#+MnDS_@OJ=xTDygTLiGy*4Jc62L4lUc>Nv!4v^=_Q1YD zoCcm2PrN@FH)p_3~^;f@0@3>bK+IyI9ET^ zgB4MNv}375%>T75U-7*WfEq zRe$%Yjg^Rap2T?E^ttaZn}P<=NSP^lIBg_~7TvoPS%0tE_IG`4ETSJ?X7jjW9gH|* z%!L&c^*TJ?4Yh)VgbuuuhBz+yLn}iYj;>}ob?l1{K}#B^KMfR)s8iS!=E)>+*Z=7v zQ(6Gz?@C|;y6@R$xU)eW8-z!|J@<-~`&=jyc`uQbX&+Y{Nu&3nW(|ncq$i3d{QVMg ze{Nwg46u>RMR2H8w4R^8K~L57b|>E6o74dX=FZiZ@!A2ljw=n<6iRmQUzwDZRZth5^a*iMl z$z1psn8vM)@5!&4WhN}lt2G!R(j=M^x;!>R{xuClJVB}TKzdlztL>~yNsCfY6|$p& z;Rm^kU{LZ<57}L>^~)yzUf6Tw@4oh1yO>?&yVGu!f7A&a1g?mE2@rLT7I=ufPkgkO z2iQK4Z%xk!a%0nW>$Jv5BW748N6tn?m~^r)PFQ)^1ooq#{E%ew7?mbHFbC@dUpWG( zDd_gJ*x|l?=nH5&-Nl80<^>apP6E0__aUL4bUZD(bT+J)iZ}!{lW)l)jsXa~`t}+)LkxaUXa2wBkMdfw%e5{r(AO-fpN?F5Bi0oAy2t@X{!)jwgc%iKqh^il3-a8(c*;N?l*lc zJI7yS%gpX({{(?X^tRzHt3V$>5oC_b)b*w@8-jX-nUeSW>Z<9Dq~7Ib27SEN$hYUp z#`Np-Q6hP)Te_2~P>=v#V$#^37HE8r_K-)R#BjP}-iyi;q{XO>f(< zXqxp6H*tNGw$wMTKk*srWz2GAZ+bX*?}$R#s7#L%%go}Z-IT>jupcks0qE)ku@!fPJso0Pviobj#Dzs!WYLKy4f zM=iobl(prahp#_slh=QL?cp6}zrJfG;OQ)maXGsP12ZHihNx$V?~8Ta-4|M~Z>VgH zH;)PadXUY)EKkD*gpHk}ca4)TY@mE&KCRN3eHolfRh*XWJac|&%GCFcF;!-_fYlR5n8M;Lv;_S?V55DxS1 z97cRLxfNndiLBFz#Bn|!p}{;jy%O%(1EWAhnj{NCmxR*ouVu-8@ccW4qJ{l!LU&!h zajRK+tjQYqI)YoC{!yJARSNG_^}^x+rW(!jV@aEi^VG_fzNCw8*1YMw;)Q3@gA2`p zgFN@&iG9#eJUZ$L_KU;E0Dg--=GzPAnw66^&n_U=PA4TlGhdp=LR%@8nt8nseJWT8 zOS`vy35Pi22%Kikpe6tLVy}_{`I*hPeHP0~@n!aefd=_@tA+|Kg6t|mupEXodWMZH6e z={BMLxp{J~Q!qE7JF&;QtL?5j$7|EKC@>#OA=v5eI=9p7M7A4Fw{a zIg{Sjwx?~i^@PjgZt}yraJiN;&9Lf{Bx=tNx+pLu z(%1EeKU6hIDWNX?K^?8@X^PkGYM9P&@~7!$t~fAWcQgul3r0`OwVGDES0a1asPY|N{?vB93~-d-{sNeQlJdHG4^2b}3C z*XAJaNngNep_KIz7SlEnok<-cNtFu{@5a5^*dqJr<lLM5}xI@+;jv+C*oEDa>cr)jWeD92DjCW!(&i?D)Ink2SY&* z;O`0y*S-dn?6PLZMO$h$4G;ADv-=@fOMij(DfA89M;G18yo}9iH%L!VUliac@p=5n zf`2j+!cnO7GV3%6oCZ#~PX5OFqlBxntP^-r381%P<6m>SKQQ#C?PG@+0O!&L4UD_Q zBB|S$>&A!qiwc^Sy)+MB{72DOZED!1zviUs1dI85?6l5YFp&2K09D#5%J>0iv|NB4 zRm6qhlxR*ip8l0{p-~xax;k0llq1Fq7S3v0*VX%@dn1571J@$$F}+05!r^S&AhTW8 z71=$)SMEr0r(Ph6BTgjI2W z_UqpWoRd?+1*oWlMgF7sF`K+@L=b;NR zsjPAMzVUq}1G`=u4xc@I2j-{6oj>1uo=mPVId?0>bR)FK|!S2&N*~^S$A$2B&&lqm7jFgba9+v0kRS-hH z#`;nEKe%Mu0IoA=5KVcu$N914-K8!h+z>s@--1$^iF1P6VSgzdh5?ohp_Tnjy%0FoL^AaJ1>v>6<0_5o&O^zsg*XqX~^cq<}mqC~| zfT()gysLCcG`nEF`0xmH>AbPmNc1N>N~znObn9Tgm~s3Db48A{%Iil*Pe91($!evT zf(p{b1bN9Bu=f6d?49)&4V!}L&uPV8L9@LOm^>ndc}{y(KBRF_ysBVgLTJBPN)nFU z3z642mzg*&=fC|iX5L1Q8Swv$)}Cud+NJ8W2!YD;TGc-IyBRHD+{+}jqRmT)D{*F; zyzh&to*|x76z06{&6>jghD|Nm8jErk^8RehKhkttIupr-DaxMcMbvO8t2C|OA7>cBQ9REIrq2mp z*|toJ@L9tn!hVJ?Fb&n$iBQ7X!~E}u403H*h;|{Vte`4WT-|1efr$gNVa%S&a#z#> z;^1$UYd4dJMxQ(#ZeUN{&p0PlQ0*&Bm~XbyeZsx&%~p`AA`Yf^)C;=$PptXD$`{DP zIX5p;LCe4hjX|navWLlv8;|Z*5*b(=*u!ZMislzELic&uJQLQcsQBc!>sl}XzzRr4 zfdje)lpViVf0;?cb!+!kMrruveT`c$*frVemb+7p=Im8Ayf6Q(5AL5jr@VyFy=0KX zC4Jv|lfROek~25IQQk)H$he0u_5M@YcqKL)t_6UU)bOrSnadokIk_2$j^12oneLrv z?=y=V%Y`8?gHC}_1HQR|XLYTcB?jJ`w&;VZ0(V|gXO zO;}<1kHSKOvmGzTuxiiZvxUnA(`jlcmJ3@7%1r1PEDjJqm;T<%4%(Ak*OB$ltGgJD z2YnEoE3qVM;thZbL$z92gTiyg0HHy3zRzxl@-;R!+*7#~H?T4co`3Z+$;hwqX-`Vp zbPMH$K7{Lv6sU@*hh2*BfgjBrKWO+o5XgI|5B;U_;;Hzo20PO1A4wc&rB;Y(I50DK zvkEFteCEoLRL%0Js%;=)hM89Rj`yzR12Enc75zJ_A_B%FplGAk#1%mbG5K`)N&6n- z#uwdvaELHWeZijHD6(@}KlM?EsWh9VIcn%k{iOf$H<=eUW)`z0;aFw^Dbf%~6n+aC zEU9WVx-*hFrKT%BdAh=|yM%v<_(B%{3n>2)ciYN-{Yx_KUxsX^%HwPkIre=HzOVW` zdUsXwvwR=RA^}WdLam^`kgOs3=3DSv>Cz9|^h2(03pUn$)My$7SD5`J06Zc_%9D?T zy3glckT&`;n;e;N^K5~xE!r`I4h(PXg(elt?L-V!2Cg6xU4w53(z<5`HHWV@WxpHY z|3NKP@`2BvFBoG&R^J%;f#2`Fzs+Q2!^q{7VloI%d7k*OH?UVwh0L%Y%PA^c3n8xb zD%Li?FFER{c-M+T>NhzFd>DShm&q)mXV5n}6&B;kfpHCS@ltrQxWBK=ZvlyJ&AoZq zHxg9PuH?BlXVmO2?(gcCeb6`(xRH#Uu7ExphuYz}>1EPNrMq3owy9MWbJhk)uhfgnF78aCYuo4TK<6A{!k@T>R zP;*>N%vqUrIBshwDKht7InFD9nKJpWr)Am~DCjb?Anfg@Z_vhnszFSVFt)itK;KlD zDbK^<+?KM8i83EN==^@NWdWZR&lP+L^4v5WT@*n|t^M2^v6HH|Kl=)8*_hNHcpY2C zF7eUOq0G+&b}RKJ@%?W6_YaN02n(s>claPxfQLuEIE9IJY*3q?rCBwb>9cV9(UaSv zBJ)9?3>D#6z@{9AhtogDA`0gKhthwAP|0%50!CBuHDt?mcV~aU(;%tXvPNpolri6@ zV4mS3mWduUNE2xk2y(*jh7J`L7oj{?K3Q&rD+)q>{uA#HKsnaiUrC;;X?yTaCD5Tz zP=uA`b0KBrwf`s-CMS<>i49ZlSw$enTVh2RE;wxw<-?LU`#7Gy4ca>6`9w^H*kIw& zZ^4c~9gha1ZmX4b=&Ri%9l%-7lTVl~%Yjk)>_W?nJYsHFRX}~avP_M(tAkEt#kXFi zMf2|;C@EW0z9uHjQVE70ro}2M%=La7tUpaWxDmuUO%%qJguHwr6;o*B{?H;H9;a?_ z%)%As@wclG$M+IWQ)`7<4`R-Gofq*g1O7k?mOWai%nF+&-@`lXa^T##h)C>S<%+6p z9dKq=7}zkqJo)U3|9y+kx+W~@joO19O6pQw?5Y(nu_KT`*T;GqDeb4$hOStf6!}b- z!l@StJ|Q)Pzw=5VFvly56r7QOXYQ=eJeN2bv$pU%(|20yn3vwf@1L}Drl z4Ovjn2bbxs%%4Ms=oVKgf~EqkO)1OeMtL|OY4ub2ztjCvkL7pGGyEeTwZ_nxz%3lg zf*(MhY@R9ywXy1-=2oVc1=#qlM|p@(C_yB~SxPMgQU^Mk(2mbN8(ftd3pr<*?=J*< zW*HZMarbRBBvk3IrRMu4izB?bDi|IaJG5VXEBXTA8W2Y#oFOvP$8%yK+u!>#_Fu#t zR1E)R6%i};tI#vk_dm7D79Y>}r|oUxdCa!)K4fpj3wQM-kfmjqv8kG8bX6 zt?&4eQLnkaz-n@qz1!;@sW0iNYDjDdk>$a{fT5&A{A6?#Yfq}{%rP$9Mef|EM^0wB zBgiMesv2c=W!qp=f4upOR5Zqa=f!D(MCqIN8=jX>ewQdl7b_h9Z2v%df$+^MdS5_0 zI>Fuco9KF>)(aJfun#^MX4hg)Jf!@nB)G;Ei4@MFHcxeVOi~Rh_@vAsQu)(#6tD$O zep&FSs?>7}97RNO1xWxr_FYcEx8%xy6qb75g~>|YVEA5omRCUnNLO$d2ukzs$t`!v z;rSwiJmF_NEZFPIC{=l)AIvEc5>PQzM-29)B+Y&CJ9w$PX{zZ@3No4D!fVrgX$sHt ztgtX&(!8cWOwnb#e+HJ{$iKNVNeM>e9<3bZ`TpSrW4E#>b<@mhT~VHCJVg}}p;*f! zfXm#Q=dr!}h`HnhNoA&u6!sM?#IOU$+g5YoXeDYmli6jlJa0U@LXj>b`fzaRix+RN zy!7oNUWDBI!sBA}cjw@fB3z7iLs?20+t8Qr`#jK!e?cBWLGglI=FPQ)yB8|j3@xeU z>LXz)8|=$~eT5YmyL5Xy=TY5+E0giPe!-_vwqC#P>(NdW)H66AcA1rC#QL3@4E=pM z%3k0;bouf(>AB)DOWEtuR3HSb(n=v?9~%+sB2uQW2`Opj8+zrMyt<}GaNwZRHAhJk zz}+!m35Z>XT$I}Wz6U5JL=~unZW9F)J|LPdDWJW{_;L4jx829s9Q6vW@iseRK5;qT@zYKP0W4A&1&TG+X)$W&!W?y1}!2Yh~y(W2QO&9Km*3E>1!j=4;WrLQVu_jBO zwvP%*K>}K1JXd%S12E-%o41$CUh0FRx*!F`__Ga;=5Yza0l8M&Mz}99;04AXoM-h{ zI2CuC>*lZWh#5$69sty5&UlWsC7{wZ6Ta6G9y5{q# ztNvWAaRt!{A}eUOi3tu`?>|$i_6^KzAs)1Hx(=?npK}o^Z`9c&{g&6WetRegK9PR( zL1XQGz(UUUt9l8E&<5I;>r`0=ES~jznZFCC!I|!Efum-sPw4ll*$Rd~>&R$IGE!X1 zDW}{`9;5}PtLxuJ6aVfisXn{EDvbs`*`7?+O|TcCd+6cx%WVNs$m>_oc&J$0D~XxZ z7uM<#gPjiDk$lm(Ai}n;)cSPN7-krQOxLs4Y5C~jH?_KK^ZUCUbajt;RIjtl%q%du zyH&9l(>I;&SWGv3=)YyMgBM{yEE;T2>T}QuTsBStqj>wqdN+&%?zX?&Cd?FGGz_DI zn&zkyLrX0rc8w>?*}wHZh)Bug`pAt61op*u{FMs{;;-T&^ANvN8Hy1GNw)x&1BE5fLnt%{<&L#Ke?Xk{htnp$t!_i>ir0z00oa zq8-jb-@h^&8;n*ur9p?1Uj8j3vEeeyWK@&b1~tuCR$zq#9xp1Y;LAx!3ST|;ZL$R6 z!wy1gqLfRcMjf1S5SSsGAi%y8_Z)OQV=}sg*B7NNJKdGX-SWABOFVGgO<%Ey$YHpr zrK><1M7Ie}46M9;`<1(8gdO@b$%T-TGyHs%;Clk-uzOu~C$;I$lVCP8#==XVYM+#y z@?~Qf9%Ek? zOAA~bs(NP1agB;z@X_(cM(GB-v;-b@K+q{fl7{5#@l94)I%mFk0LTzwsTrm`7W`TgR2|=w6k9G z;d?^jlqaTvryR09`Xz{*OJFlmSo+e&Of++J`M`+81hxI(E2*O&^x&d;NE-a>+v^Qh zA`fy=)|QCID1=PcW3xXf-I<%-gA_DZrao>r54_1G##Ys#MkOG;fXjd zsyT~**~4Z$7}Q;HxC-Ch9`JqlC5SyLPRw%*sFCsa64 zsby>3CG`%eSDx~4)}Gm1NCY(NT_%Gd$OzPZ+v>JSMG!W`OK{W~jkDfp zjb@98n%@e~L-Z07uZNsd0TZ+FHr+w_X(hza7h`+SU>w`9q>e01qc$A3=63U`n5=k0 zC5xQB;xzP4R1emp_?OQV0Q2F6n>p)gtntU@DN5F^NcAbsY}{52Y6gYdC-Iy|M7Y>b zDyn|}=g*kmdtzVLTKjoUJ=v>2EAgr7N5l8xJb%eXBzm+Bf$FnVsy4i;>UpFMd6P2w zT#`(!LqO>h=?N&(iG2albfz~!Bn$NG^_0L}ngdH-}eU!wo@VEJ2W|sX- z#;!Gsfn^wHx@K&cNp}RBKMERG2t2K7Jw^|zY$(1CV`Ua`>=8Czbnf%VeW&jT>PYl| zx>YY6I)5`$df*q#A%cAGH3nwmY_S+V9mCfMqbL$rFTr@2`0{ii1a$nyh0! z3^xCD8uuh7o=@wSM-{2f-ks z>BQxL5M5AX95zv)2a8EnsA%eAxe$7{2+0v3T#qaD25+-Y3Nq9@j^jn6`9`MnuL$)C zAo5^&umNDzs4UTvo)I5mQTJeD8EZLd1w`X%w!v0DQATFr?AyvB<<)y-)t5X#QIpIk%#R=ctGh0{xcqSO+D#dAK?B$_|m=$+<*!w0HD_=nU>f z_nPF;QHf}D{`203uf?68ouj}LmCP2LjPQ5e!Q+K1gKUmvO!j1TbQ93+GccVv5%1wL z_vxU5L@uUCU$$rOcZ=tpPNo@8WiYXx-`3KGa#V$~s9trJLq^I`%MHr(#L(|E+pMUa zQT(al_`dsF`dd?m^FqIBtOsk8iaHi%vN-AZ+azi}E2*4>Pq#aoI*eg`^tTgAd`Ry( z+Y#TDkK5l#z9U_muryysScbA6_pDHoP-c4tT@8jvkYv!m4M8$3C#~Xnt*Y*T_*|5$xIFq^D{;uCH^7ojzp?T$0mDV^zz zughf;GtLT55{MkQ(T`TLZTOKQhs*4etwSC+sFb&Q*1pPE3Q9S*ED+Q!dKVRra^$%8 zKaS2jp3V2`<62s!MeQwZQMGGtX{#uT+MBAqM{AR`)LunVyNarrA~uOVYmd|nV$@EI z2#I{3{GR{s`+mLdb*^)r^FE)C1-63-bRw_Jx6|*+6b0 zhb2K2Q;=L(wsF_O5ZMhAYHe|9fhu*eFnSq!vphf1=!>M%?TcPk`9q@!bwsi3P@Kd2 z0ZQjK$3b&)b*CZFhjCb$MQ#5Ck4u|o)7{Nrl`Fo*JY{W+)v%yzT8g%yvRFin@Q71% zHr8`iaa+aEU*c~f2_#^&ZA4Z4K+8m*_wQN&>qo0fCHaz$$~ny_DYsEkDITNM=I-G7 zqdH$!&sbwO<$8^09z*#br7}JamS5->*H(Y9lT6Lvjjfm~*Dn~NLG= zcwP2j30zXg)mW?Fx>7VF9ULgra-G|u)!xv8ntT1y4>yNg)X^$Z1!Qk8dU3JXK6~&T zXzQ<9!Zbm(U~LN;TNR4o3~^4s3EByPs6I^v{^DZjoTj23!!D z&T?L+3^(Ka4wIEb10`}a&VlAUITmJX`qDI6_}u8fP~D&qQq}~>x|fr3FFaMa=yfyD z3!I|LfL3j&3AS7R6Z$n&NtXbk&gM*`%^jNe1X0%fm8Aou^Vzf}BpmEZV zimF!W%K#ncMjzW1Jp0;-^~--#ef{Mi8%2BrDIHC_T)=FrXX~Ip!~3KUSg@QDgyCA+ zDV}ZA2tZ1L^F>nb1#*cI3!YV`ue8|BwMpr$a)YtTnPzk3%sA1<{rLJaL&0RRa$WAG z?^AVu7+_MGnLu&C z__hqB0`DxfXELvedW!U&%I~+-*~o7Ez0t*d?1Z}|UB>S!nhloPJWX0(Tdo+ep4-Cc zl(L=-{>jz(>HJ3av&o%bEhg&Z|2t!`L>}CEvN_E{Mwbn=3{(8lZg$K=QWuIG76#mS zywpx{8C&kF@-M*YA(&DB9WTu%BzxJA^YN$g#q>Kb4(+huOpU3_#N&+aic)d`kDE(i zMYlxq8kWhHOj{B?_`y4BzWQ14;2(vb^@qnPD^E=LgQ!hsUR)@{*Uw(VIRmx~<q=eO&tY@ZwNBA{WPV8vu}bHeA=F_ZK^oPn6jM*>2-o218Fy_VEg#4g2yvy6<+E zh!MRf%Izqw0YJPWBiEV;H~w<)1Y#T~-EFn)Rl>(O76KknC*Popy?Ees(!DejbPTQu zg-VJ%Ba(a~#Av`!({sDDsXXJIE_=X*-L{$Ia^K-)c5``Xu2lU>%V_A8aWws7d`&Zi zTj5Zzb(!4+WO5`t)0mnswKH_tGBWc{NKVR^Y?wCzfrfl)1jpG5#A;2R?T=Z#Y2$wY zZTLJU{g>iPrNY`x{qGcr_h3AL@DjaJD&=m=JO};joc1WW_6L1zpuEZE#kq1=LQg=2 zQD!+`r%g&5n~1u}gdVLxFI^#^z+yrfKI8Mi~ zZD!j;hK83yO)=ZT|HfLtnnTL@VbMMC+vE5mHt7`}{0sD-Yz|P%l4q@Qzf<15H(zdu ze(Z|+qG(3Z@cL2ctX4=n51}Q9UC=UGK`YcfBq$n2k4qeAs@E<37aCJe1DoPqdNJ)O zTF}~c3?*t#BE(TZs%5u}baSNnvRcHwNV!P!k&)yjVMKmXD8{a{=r`Sg{RVu&0qfH| z8KBED7jUeqbzCdDsp(PXEXv`cl<@r>Sy#8{fR;cPcSe+h$?CB|2j zt1T{aU39$E2|BBNZN_O@0SKg$n(09&a~QT~xX-&Sj)fHF{2+uk;F-KaxceKYe~DD) zWvF0J^8umf#|x)xB-8@vVZ%d8lh#RYBQiepZdaZ^=8v|excruMk}f@`C6oVlcTG@) zB8E?YKrm0dcbE~x5mhc+B8o0mk)-Ixj7u~}BNLSE#j)Z3=6m&SJ^zHDd5$0Kzuc^2 zpJ=u`=)y%MC4Key)wnuw99kGC+}lAhlX;sI;>h=|b%<0xm><^*;Po3YO?G9Zr5N}` zRQEf$8$eX{kWE{8zUF2hKfJ`(jP~+gG05%YBr%wg?}!Tkhjg8yWW^u--g#Rm1dj;G zv*AG@?N76qnKC(&SYf0iadu2>3Ao5Eu3Kg*$}^2u0~`_lZeqEGck~(lHQO>`n77k@y_~;_DUw>U z?&@PrP?vX-9~yd)LMsoMI>wROlLu*}nJCNa24$dJUuvRdY#)tW9|zC(I!lslEz(Z? z-jt5}i1u=LanmurD&HlSP;pcjK5D;Q zvW`(%{&@0glbHDq{4sO{ax4?)I4Dm`db@Vd&kr;Sm*9|7QY%58xqQClLTZ{mGb6cr zVSo2^M$EX%Cz)*|-LZ@~74Arv_GIUf{!rmY^T%h)cYtxsyN_iIE@JiQ$^z~EY!~Gk zbEonZVs5PqJwfJ3=Xz8+s&m3u2H&{m1P1PqdVFa)&nXL5>Q-|kOy9v`A!Q%(-O`Q? z$*zKS_0!BoOb5s&=5yXr_7SBT%x)nx2waSn#-*Yv}T+d`4-Z(6z zzvIo%L-sTHKT!XA^|77-c)m0@Fbd+ZMU8p72{gDe`)h~Rf!_ zCL8rms%CZLekQ%5v3D}P=cb)$tK1kcuzprk@|#N~J2L$Bg^+h`&4A)pzWga0FJzqe zSFxptgI-^ruWxSm$Hd>2z*n}6N4U!$=2|6*(^qs=q1MXda$n8s{L@b#knghP>)w-? z1z37Fpr!h9xqOEf8(Y%XS`yJ@)1Q^Gj83OF?W$hkq z!fTSO+~8{Qk()g!F>PjREIbwCY;!eiP>@jh>_DE2ZW{hQTs6VMODP22Uo^TZW(;0T z4h6kJ^}f^nyS#F_fBZZx>h{8b0=LwQCcdR5$m|qc9*;~0eQpGDQ`~-j5qj^eJYwK7 z2FNuNK96ilu}YdtA7!ZzZx1_TcBhqd*gia~{H@sv@+KpUnTzS~q-6e($U^1FUHL7~ z6kji*j_;isoM`?&)Ju6in7=a(mEw13jlCR`069$rj^8;p$hp_C`C(W0-AF-f8P~kP z*OpSRf2vWPuEC+edSu7mlEu3~_J4P7*B@FbJAEs1pPZ4Cfg*bW6L(f^XU; zoz?3~oWc9x(xBGhW-}u4x&TH4eKY9c;y0w{2PmmH^{E$?bP>pMnL=7M42M< zjqLnWWZA11zwWk|%ac>&dOSbzUYYqx7y5-#V0&d4Lxx<_!C&h>TA4 zD9@M{`uB^2fAc-XfSaYy$iN zessEJ^Y;&oP+2sK*vN{;(5azQ`*oIl7%i_$vL46WY8p48zk;oOnQDTm!ehhX8HZ}r@V_u+2>qlFCR$swI>wf#{KMcVtRU#R0?Fu zx5JNk*%hZd5*Y{qxQ{cE&GC&tcQRT7cXpcrnYxf*pAECVWEOUaNaLaZ!1FeNFuJFV zd(~A%2As?8R z3h@ul>&rUP_*4D!=%tf=HwEJt&DXvj2RRHE786>2fBytsh_aOFQmlU z+D0cKMQ}rOGaMUX_!pdWY*z>G6e3Cm_T9IM@32!whF~3E}(B zOD)ud)$2IrFbDJ!wgu-$cy=K+6W;5$by&bu%=0=siq5k@| zIf_zbK}BkS>K_eg95iM}j=+X>w#>?;QbRt43#^y5&hcSrE8o(@GzOQ^8freF)u0p^ zBun>89V)tQWgDy$Fqe!{^W#hD@o@goC8GEI!PEVJv=X-2y9u7Z;IX&5%)# z-Pw~4?Xjn)WqOR^!_b4m8i7iiPHkY5X4GJ`GF3^{>uHtQrsj5bS?RkX{vT5$L4K20 zJi?C`_eqniOtr{%uxCM72qXABSq_`Q8dOM*w;_27Xz>$79Mj@Od{OD4SZ12){tk9@ zoBok|R#f5p&)>k$?Hp_+O}1EwBZP_1JqueOI7pmqrs|Ov=PIWWtz>t5D6f9-o&{l~gefLhjjM;YW4JhF2D zfMQdn#P4DsX@rtofhP5F0!4lBB*5K(2oED%$l70m{m*L9a+F{hf#53tH(j|&w~m~oc)?fqI;JX4MH`I#*&)&Rqc zjlIt70HfRcZCP<{Xz_ka)}+#?gT;ugfk^Ds!U+4DaYww4)T0Kc$f%C@r;K)mSER97 zpAKHMTF>Mj%LRvbG08S2S=lVXYm?JEr*tA1i}rUiVpQ$9~5IY>kZKMSIJi zyIXi2i}B}4KAB+iihkauFs>Aa`0gf~n|fG-e-K*2Lr#YJnAAtvDR`{C%w zbhG2tVf!UO95kSaJb>dZ!(|$ADjT|TuSj>-F2T`VQBo?GdoR3D7p_`i?U;r=GK^4U(E<9-=7aHtYe;4cxW7s2=ohW^(ZGAGt`mz^JKT4a&)F1I=dLL6oS-Qnlr9;(Mw;-v4-@RhgqY&H z%(54~GnnV&nYT_B7lt|NIm;h*Oo*u)r)7>D4lnDE{zH`{cInRc&gseUdt0oO2`UM& z)EiudFq>V$_Ne$J9ic0~cEZEbDsvp&T!VWXoJmKI0bc(n}P zb_R>OPs7SL4()zlMRye z-I6Qw%P5(nv2?}qchY8_nQ15=jCn@CFqbD9SZQXAdHVCKy`G+6>0u>Fy|%y5VZ#R} zMv_>jag$taK3Msw%U%6ei+#>BNa$CW0r7L_hn=!efrAVTVhv7U8SP%>L#teLx2p13 ztH1L`70z$pUapc9_?EsjNVQt8>{a(QQfdrjKrm_ghU2wO|F>;npSFxDVQeW>**}8d zWLABE|NBpwHLsF|wnZ);EL*_!ryDm!O6We7C~MG&%6slKQOmw|B2isPk*iksI&olhFF_h{zE}X}n1j$BJP`eGAn#Ecf zy9tWx`uJqOhf_utf3x2;p3*L8&AxCD7`^1tB!NB(*Plp;tP$~#E#EYZ^Pi=%-F?{o zCGo$aABJYFCF5QW47@29nNdF#R<#1@8+vR4{=e__4bB7;BIWv8)#kmAqtF+Ia%NMj z;zx`7uJ;Gl3QDUUddfaq)Z(J}WYW zznl0uYw1mRRCICy%6@=1drHF2mlS3-l^xdMB(&>56qPKuK9`NCB4y|Fhxqhc4gpLYWwrYSxXst&2NK=7 zC21C5(lq8`wjsvs&>qvUwuUCAIh5hd1_~d}9iH<6cC%Hzi#a7#S=sEMWK?{I&!j$* zp-GR(cpBXMRunSEmmW;Lra7p@5_Xd*>_#)Xc9ck^59T5{k{mCp=~_IL9In|Ro=0}Mq!i&mE|NfH)`C%hw>FOuo=rFp)lU-;*Y$& zhlp|LsXXvd{68|Q6G&&s--S18~eVj zx_dmjBW<237ji22pgsT~$g}B7w=|$FJ>#^fq}XNqLWwo#0AQ*}S?x)9Ar%FyW0_@NcCVe0}vs)x~aOWpbm|jpfUV zI%HV-y~WaQR$znWD*IH)tQfz4jrXH2-#1RpH#L~j$%J&7zer5*H0Gv25vW1 zsBnY=^EwZ)B6pg=ix@>QjkSA~gyjAc0_pCqr9mN{3h6D4BD)9(*b-$v3(C zIY?FZM=lsU;|jZ*LeAed{GsV*&%p?K;ypTQ&(VTNF zZr7X715EE&f{U09i`&L_fk>=HBqy+6KhDyrFb7lBRk3mV(|BGv)1M3qrD5|6Z>Mqp zl^8ue;cYO3>%{t)^IkszF1s2OkM(ag6Y|TLd4Q6+I>x;3C32z52f$IGbG_DD75`Kf zn^JUgpcg=g7!Rws5%xLXN=Mg}Wy0VWi3~fEQnHMW&HDk%^Zx4S@5I@5bEF&RSL;`j zQWL5hu&Suwn2^%FanmWWc#pusRV@JB;N>k52wiN6t}Dc4iv-#DU%bCg=+R;hjC|Iu z67dU7XxLX2tEkXyJ_t2gHZ?p_j!iR%@DvF$t@sf}@1P8;|8zy|8kCcIiA}|k{k+xV zAMI_r)`|>;kJ=UhIl@*(yW%*0-BEC_7tUCdQ*!xv#9a}O);QUTnSZ|Es|Cm$B;NKW zTD%Vumm3>(3~g5l(?YkT9?n3Ikc+Iec}f1?dn(J{Fn!UJI>t@l83~}e>s- zTbw5Hfux<*uRPT^BmKxDuK_wVYW=xQsp}DsSD#JkMEEvMwU~|%4czuixfzurjM3}G?q8QGOB7q%XyTvY z7M0?^4b1O8(v}5>d+UqIUYJa%XlkE`Gwe&?dWj5PVFQ+fN#Q{#8UuS9py6C2xi9uJ zgOl^Bp8uVmk7VShxCOMg$9RdE$sTgY;fS|C$0$`rF^(8X&?T*8f3NcoO3lxJ^uc)p zqe4y2=$#md&qK8t&Oh#@7nfSs>fsl7eK5%o>|U@(w`fSECBb~EI)JLb+RzU7=;)5k z3E;-^=Vh|t8x1LQI5@f-TZ1b`E9sJyh+q#>wVRxsog&2M*Hg3vwzFitOY@!U;BLUh z<_+*6I5I%rvSGn;YnS2#}ckD9gNdEkB!_CtDC*7hjXKBl@?eR~Xh(Mef}Mx6e?bH(LK~$Le8@8p}26%AoHr=hw~e|86mC!LGE> zl|7E-)h*ND+!`9x%iQ8&!$eDAnw(^MNcXKcnA; zHNbx&2z_ETjMpT{B2y)5WPDY$?)OD_V9K%Q=)S=J$QluSPRS{PLFf76B$u` z@ird2L3O*05B_u{sr<4~#s`!>_ePN_!ZCBA1W4-r3PZWucMHoeUY-)F+SAxKcT-;n zK*Ysu&-F1d?Gp{~BvzbB4|hR#T&okpy#&R!T1_i68xGx0?nNS+Rjd(0|J zG70IA6}@|MkIt@4CeiyqP+=u)Kru>dwg0dAOkw%Sp|0Z?iIP6?b-NdmF|(v1NOK=* zP3V-$HRW_MlRsmxkoY`^dNthc6W}wF+$6LGWMj!wbGY#J`vNQr1l$hS`Q--VidG$Q zioE}V8Stf6v#+Ay#(;l!L&EvQ!l}iKJmbgAi{cc!T2T65U%(|O9N5og&a(-O!W;T=P@lx~Wh=Kse}t(h)0gbKU)b(< z;U#v{3JXzv(9r^Mi)_zqmfeh^U;mMDT$K>1x&umm7Wu6ItGFh22egr?mX)Geh5SIEn;RNWC4a=lq zn){FuM|zBfWo#;^uIdL_(MJ70foD7|wUPRtforu$7T~%^@Wpx!YFj4wxGYPu*p_^I zNm!Wd=1x>3rON&`X`4ekQTw`#wP_j{|BMVUM16IaNWEUu4d$b!A~+Vr+>Ei9-D=4-_mU2yI#+6p zYTW)KTQqP3Nln%?j)r+@Ian<{d^()q^x~Nlc04rld99iDJVg2~9J+gvEo;QIu>JgD z@<={|q!Jh1kU?0b?LI3kVD@z7b=U257qLNd@{9>GP-c;+nNeQe%7M?}lWL_{0eIM{ zU0G8z%J;W50a*~ndeB%3?s3@Qwj!0A(8;?TbI3&L;SW`ui3@?MnSKKF%YAxg{)K&x z1>Yr;c7_}xQs}mF@k>Oi1DvGrZ%z2MhdMy7j}r%&oCx#>&P$j6kPuJT7P)@bH#y1^#IGS7QLg zG}Ro3!J7w*ciB}ks&p%P#45AUA|Z?$hLr2K%K3 zwttixp@N-KB9Isn`PcAvmj8~rcK&U7l?uDfM z_r_|tX}#u{kG}6%#IHIf^vSR?Vm&BHW>~uF6UU0y7UXDd@r9&{YT?`rfeRfC=~q%X z+dsZNkPyPN z!dP?n9vp3y8tbdcOI4mLEq}}SP(|rC^DUBth(MR@iKOP;rtZ#yT9m;AsmX9+)&pax zN2orv3%n*;S(<^TS`(Pqat27RYAOOccY>7dCntA8*4 zXG+VW)*XoRImjtBG0N0QAN!){;tU*sllo6CNQz*WK}6dkiTGL6kJQU6Z=5S%@@qEy zqWCLP(n909EbrI4ieE06Sy`nVCpkmpYungoc^@%M;Y7{@58{Iu&CXUbbS7>m0QDOI zI1$!QAIwFzXKQvEb%t2h)VScTx!k=%wM_Q6K29XHCp*U>5C85ya^MRq;eC?B zGGe=^2Ob4u#ig#X&O*ZN%NF+&MJliOe#jIQn^GsgLuOF27p5TUi_?^H%4}t#mk*P{dbl7TJW()Sc{ru#FR)LFW1bb4LqDJ?wakBi&`#gNR&;cE?~udWC+X4M0v zICIAQ%-)E5`#aBc{zukd-j6v8^e>(LBJ<+!d>4~*M4kLchK-JR0gPvz56xX1th8#s z`}Z&b`esD`3H6p9$=>Zzr|i-DxZKL0escEIjqCew~sc~mZn_i;sEIVRO4<4wnucS*+aKMAMoS?TY@?=vt(%RF#W z?$zbfzA+KqCZ79@6pm|w6L#aR1xDuSczxGR=aa)V$2XMfo2XNP(^?2B>avJcMnJeo zQc1(f4qNjOIN#|h9pW&QN?WES7s6Hg@bl=SBd?XyNuIeWi~9NpUDezQ9Lr%lMdNM4 z#tWpvL|9=M;+>{QER}NBgNJ{+(3*T3?LeCF_wDGp^z~|aS%nUbA$H|wTfO1) zCH7@jYJZLAOBpGR15D1Mh~tQ(My`Yz7IOAoOO1bHL&qKBcw=09ukR0Nu5E)%JGAM7 zqwHI2;nd$vs8`x#{>KHt_gbJ8!l%Qk+IlZHdKR%5jmq&Bd+aqK#j{CjTYP*`x>&R#9I{Giy_sxWM)`SmFV>5 z8q0zWQKnzQTT+z)mIu-hvL*B0))egin2(j!pnGK^ykS*q zDQtVNa|XXHR%nv*{t?$%?P!mz@O`|rRujwnhdV$i2jGy&6Nl5hNTi(hBKoU)M)MDh zol#WRRJnn+gsT42KN8GOhV^V+jGWf0EDTH#;BOL1E;3j2Xb6#N1|zJ0oiAO)82la^ zt>_bTPV-n)Z<{>+!qw&C47OOKsG{@x^)y{kt&e=LOOI<+|4(8UMf}E&h}~S58C^WN-rUNhRDUwe+w&m|WFPFkRJbirzd) z-}9x+=4=^$$#>GlcxY!6{${Es+=Fz{ujq?-F=a93yOqIaeX_aA25w7-o&?h$Kt8)+ zaxxCDnAa`CBMOvmWZ`xpZrfrepyM*z7kfH%ri@vY{+4_-9$us6g#`!x7@_fRNMvx`b@LD_3ZC3Obr1cJemVBj=6A+eGsA~3!d^`F2}Kl&EEnz#iagfuer>WTOLX`n&5UeB(4utdtQe+$_tzU3jDAwE!RcL zk4=J!(~YV7dF54MkevSLd1KTL37gY>Rf2i3t)#zbrtMam^29+lnHN6tt9_XvLVmjw zzXl;NgHbMBl7%;MS`arw*BM*oeU|bvb@2V1Oto)0=wY_IE$M+fe13@SVvMpEY$PP4om47)jRHeYx@ zZeeKEdsSWm6yN+{3wHb>+y&cp4Ts5rND2=PV}-GpyMvyTaS+k@uD&mp)*jezr?`02E`_r5~)2vBbwXBTUK_NkTFRF2!*7o-tuU3&M4c< z?cdBLOli6~c+V`4KGMI-Y(DG#?#>R`TJDw5?Cg&c>4HW?i4DkRB5Bl*S+Fgzt*x{G zQh8BZlyUkL?y7s&XP|&uzKgmpZbks`q|F|2vJKZ(CdZQzJa9F5uIq9l-S-t~(23$I-os5hvgM<$z(S^Io!_ zyD6qRdYA4p&^E~|hwYZ#*Kj0Ic_297y~l}A@2+i_XO?7mxbq(w%_`DBgr7^+M6cHV zPm#EY1FOs9t5AS!5$^FsAIX*FrgVhE^J&u!KpZ1!=JnsC){~Twb+2|vs#D}si+E24 zCKO-N94;155(6cbXe^0iwvrfYRUw}nxG3E@nv}G#5)Xrj29uQawPZr3QRfd~+7oV* z$V_<(uCVL_M!Y8hfSW*|o0i!@zZ; zCClk-un^pB0beU2WiT++a3+jdBnY5h;chE6D+4{1dA{CK^T^!r#f5yEi0$(SZ@#^` z%W|s@I%hUkwIN|U?yJX|?vrlnkyBPRwIX`1RG%Lc!$`wnTzYx*b8c=%%V!hMC3kR% ze;|G+h3WQo!jR@&#d2v$@udwBa5I~u35%?MGI-3cc5^}~^10Ac9j?%r2GY0R1;g0& zMuhdY!4K)tL=R3S1%PIxm*L3?4K3q^{WFxOAbgA8*wg4jQui%!7|2R;-+Hf&iM~iU z_Y#yjw9=mzfqJRxn6`Ab-2K(-7a&b0cC%FCsXyD(wYS@_YK;CNC3>(2K;I!+wU1;} z4X=fn?Dor4@iv9{du+aPfajJDb>DJLmfgxnAU**q#$tzM zM_A?jayq(7jgsun;3}JPOnZpse`KJ+?OTX0CFCLiwbMHh%V9JAO}xV-aM1~REQWuP zbGbv41ohzZMkTd$4d_OY?vz#+;}Q#F8FTv;Zap2~C2pOrA}Yg~=z-hux5JR{OKDb3cRL9LeJjG zTjviwA6~Q1ckq*zNDC&_O3&cgTRt6Fe`OVpR%>39ML{?`XKCmC=?)3Jq4UEt5T}T@ z&?!Zzr3kP284veRwNV%8J6_#?2mgq*e-RGo<)!_8Uj?;3NT6>pZ@~e4P*o6sT+9(n zNOAAs+nJwXhxFc=FLdr!H4Hx_Yo)3qg1)=(kl?&qa=7w!U=p%P?o)^TT14PXpDp{G zm%WvMd3o$Xn&#UF34-CXrYpZG`N(^t@)5rY4A&j7JH#MNSYyd@7Ed;k4Vs35c{ZfC|M5-L5YWesm>at1> zKXp!)g}x*+Z(zR}eW?XWhPz3de<-4|X3!pXctR0&NB&9ZP)JK2r*P z#kM9alNg#BhwS;j`Ce^v=p|te3U^GKN-EY!k)oki5_h{;GMc-T#YB!?Ff}8%W+k7& z)WSRD3{o2TgcDzd^oHmZDk$HZX82AsUNxa2d@N|SP*oH+1`FDIdX$tzE1^g3Hy)a5 z`}NX+vgm6*=yu7(81cBw&BIe~V~|H{(aisha=M`T&t=PH=vzaQ$VUV^qTyQ5m@Mzv zo{GC;yaSVeIal4py3wE$e_xSM-O6f7iYQ6}-zjA~j3>vbPLO=>z-wc7-=I4{vURik zg*r7HI`D=NET->u10}!a(Q}JC0^4^}O35eUAskF)mSO607sBWD_=Sb+weqCCUK*Vc zC9tV-A>u_#$W0MRt@e-1Au?5v;Je1?!c3Zhvho#kwO@;QRYuAhKvk(0DkdInhMJgv zzJ9sax2~I2AB6K?$EuRh`W`_ayp$_QI$c*$MSFEmoJq~SzC^-Qi+(ux@6!R!EDHMm z@c+fcV%)wL-jQI8E{ap-T;4Mc^)6cbJafDe0vct`-`Yt#3~ajVsbS1VQ~puPP9=7t z@?F_2?a!GCk1q>qn)2jufAO!-)=y7K$$Ve8u8b2g45axl_Fx}GLXjfBfF|!sFFCZJ zvm*(a;-4Oi)++Z#efk6g;{TaVg+`wj#98nmppD7QpFt5L;u&c%1E_&8R1FA7YJw1% zb|pcRingUg&HEd4_gp8G8H-&>CT!+&AC>PvV^i^`s|mR{ASt3z1m$6W;k4{G#>CBW zVe9w^5979J>2@!TM`GeTO@L17y1r#vzoGT_cEif{QIMEHeXcs&amF`O16X&#pc7zC zJ;&Fox4TBZ?FN?1*CgaW5<-YT??i)L#A$c01d^oLl}!XvpjLTGIyRQmWKkt`C(qAi$`4Ia~L1P^HJw=R2 zPEr-&^A*+kLl+;H&C#DKWef2e&P0(fr92Y(fy0-ly13^X0D5;hMAp@*)k5QSpTvNe z-PXyL;K-+LvKz+<*Sy_ukI%)1PqUl0GrVfVkeB8JME{>#T*iWUEg|b%n|-9({P`$! zzC%6WS46Hbn>U+eH--AU#E*9j{(_T@+k-~{9hI7f!ee|ms+yc;SUb;_%Ij0PtwE** z*lqXh2O(`Rzsbvbx!r_oYj*P+P|?v5+2d4iscT>d!n*$Lzd+bR&b~ez8AW z#*|52E}?U-9^p@s_%x;Nk5kV%nQ z={A|L{PV-jd-0m+WMZB6MWrHHs-IEn){D+N2ZH6BZ_An`oBxms?I36pdnBRiG`#f2 zr15VN(P2jupaf4;d1nB;!Mo}|vO5=tK=k5ej)@n+=x17C---tO4}y^|^~lJfQWaO6a7v~5Y&H~ zFL~_QQZ~O;%GBDtM~NbNHK#sV^n!>;YrqQnV!d|D!l;Pzm#ZI1J z%YoF+RzH=il^l>VLHKL$gQbXHeSE+VvGooqomj+H5rJv`Px)adhL`IQp^f_6OHXXP z>aTKdLJcCROcp*PmoBKk2LT*1WG?^L`_+WHg1sl=)7F14j==4XExVBOaDVh-*(Txw zh{b}$H)FPdGZgPRlqt1xD%pnEuYU#@d&c@b0a<2_dyacZ5rgCX7(?Y9a(a^~~a-=dKG z6sgs9RfPqknUVcII1(ArV-xxxnFfYwRT6Dm6e9OYOxl!ol+UnjNmun%@*C)@q!c}) zAl*T3@W62*7DRiUr);x9#~2JAD5B{ILPb0sthIh#);ZTW)@QnPtKRx$ze9>qa(OKk z7sJ98f02WW$xP`?$E9K8p_}ER4HQ-7;Zl48|0{pT0Gpw|2E(uTw9To;u9qi>s*Yk7 zhdL5=M!l-bkGI$aDQ6N6`E{fIBZFAm^;s~h%Izx2UV^Oc1JAl&gRC(&4Wvk#1Zh&xl(m0lWDc?I_|UIZ`Tk_*1fs=5Pm$P|SvP~| z|IoBoI7Xfl2}=`w|~Do^}BJuIEViLz;wJAa!f>a6XCj z-j8Co)j4NCpd(-TL77Xs1i@b|gV4nlapH zS@>xe-;{0&YT&kr79X$A!@%3m&yEv>8tKE#Fruqpk~z(fKgAee3Dw~104j{5qFwBU zN2g5g2H|PKZC&`&E~V7Ba&$GJa`DnSI!ac-#T^Z8rUrs1n>2_t!d2+J)g3X=07zeq z0TjT#lreEq6F*59B(38^yEA{oeQi;OZ4u7csKdux)E_H$e#W(5mQq7|Mq<(o`5nv*Id^-I* zsg7omwi6y%u2X01^KSn+gKE?^bZC}INVG7O{C`xEUv!4-FmpD<^_Fku3-uPu64*JlB4*{B{kMiRrg~~PiZ+10J)qR`hVkD~{6#GdFhS-w}TCPfFU7uV$8k7|* zsB?t~uP3^={HEDFY2?WlIk`AxsG%yCjrIFCEMx6T&i6UVHE}mc)o^B`r*Ag~EEiF| zNhSh0xSXG-Dc-M2x920oSXEeyeT3>%d`lgc*SU@R2RSU|Oa&(DS}a3nt(R9_u|>S& zF&QU6Ds9uHV=cpNc`oI4$XJ9JEr>_ z`_aN(hoCwhhPIryur_cEaJeMCg+n!e7DgXVY67Cij z3uj{pGe&D=@Sg%WK~j-UxNp8dB1WEKo?d(Xz?mVvM(t*%UHM5|Q7p)sDAj*I11*YL6nKENu;+n{UW-YGvc ziD^e!R*m~S$d@BvnGZNGXq{C~g4`CuJv9xPq$E!R04g&-nn$~&?1oUvKc2nfu)IdC zyDC0!cfE=oBCKj&>ZZ9}useYj*O6Y`(6^Xp=jLV+;OO&*7!7x)?2c!oJg=A3aerS5 zMm969AINTHfa3P;@Lyk2BxDD&f9usXGqhEYaH$|@w_qugf277id&(^}n!l12P^72g zY`eZyxYyUDC7uxmNfQBNeZ&CZn9{VYuil=~`KQ0cxr$*g^ofARUG=L)q>xMS_Au-D zN?gDl3$c|U3#+P|$A*w=t;bVvdjhy)A^lw0ob>CO+FP5(JGp?jgsuM7(i7ku{NeW$>! zH}(o=6SB)UwU07U!gGZOvksP~H@{p{3Nb^lP@a#gar*D6T0N?){58sRGxNpae%RB0 z)>eJ4D$ictzrB>E(JidG6ZT2iot4yX(pt}t{MZb6pY-DeE9@h`DndQw=uW!eo%4+| z_7c6aI4g+=OJwvdi~JnH9J$^!TQ8f)56xCM=tsHq3}obSzoX`_Q9%oL*Bx|zT?FAX z2mh-l@)cLORP4u#H|A9_b>ZLZ@X(L0V;|Ubg3ImQ=nZWifgh(>|ILwaaW!+kXT@O- zk@1jx^LT_Y5LF(?1$kTG#}t@{V%yU9EZ&@4Af(5*saOQ84-ih{XkHr>@iH!ulnGVt z;Z^m?ek~&(;Ppm_1-8=`^z;gt~=jfm_K#g&78|3HWkRl%8oUo9z1Cm z!-6fhs1g_e2Alo)YN+4A?%t!WMc3QlzdT{McWG3?DpzCK(>Q4Jv*2+oBwQIcm-l$t z$(~{8RLM08Ja0#IB2W%V6Y|xo4ocCbUoS#L_fE}$=+xf2cMh8Io>oasTd;dwe{7(A z@%FEK7rZZe*~Tvoh7Siw_IUq+q$|_QE`cIrC5YoGeQvJ1Pma(y>p ztY`_zppSk`jKWvuNN?M0;1Sub^qQ)&x8=9}_ue|95j?s9$g;SSK7voiZ4O4ULm*XO7CQw!bVM7$b25?#)i7R@Q_b-1D(0{#HPu$dkx5v3vlqESPn94D*WL^H#L;~UeRG~o5uWPPDz=bQMUL=^psRrF?GLR+*;UTh-kjO3 z7;3Fs+v|={|DNexIBfs%yB*wKdx}Yt&BB~Y{KL|Xq)J#q&YliMlC?S@OHOocu8rQp z(hKXK36#=&yl^SC_pClejAx-iVMpC+@jn{jKj%MOGX`-VgX0?E?;b&p#*z4n$fdPJ zpE7EHV`p|g(y6h2l!q(#>aX4%G2%D1vPp0vU+G|+L{$3UGiVT5gx>YEnCg^Cbopq# zrFJjQ)fA@9wO-Ex{I=4}c{E@XnUCT|+MVWBe4wOzTJ4z0$S$P_)Uta_M#_SJ49D;i8608hw3w{$I(g0c(dVk))z%t3 zpX6!dJ3P&MeFjN)pd39!EKmSDD-ve>+)v5Gd=9P#xiuh? z2ntRT|Kac2$kEq6V)>@^Fy4G-+&A%L1ze#hi&e4qRfwJZzy}2OU8z3*VIfsL! zf&Y)jkRTBPFfwd_k8@^_=`^fUqJCYb`;pK&|H56VfYWwfekl!Kep#RfwDH%R=~ODL zbYF6h5%XA5m9eUsYw4_R$EnLiI==|;x-P=$`#7AbXMNub!-9O3sRx-N|B-7H0*sgJ zmkmfdM?t|_W-a4zn0Stad!cVYo|OkSt-gEZ4J(Pz2TB>Z0q^(qa&|>j>A{?XPTf3> zI@ctVS7UsQ+2^ePphMpm4xxuv*Z#glyupMCY=>uR47R^`9OpNrjdo2n0C`-rvKJ<#;H2rc*%e zgI@K4&h%lSS7rCZU6%vO;17QIM?P+791|~FtA!bs$>5TzBH46zzP}5-$Q(JB(T_jb z$y`jF)>=IIGqca&q=!f85)chOO9t$lzVahB^BWn7nNobE$~Wd#+fi8`ay%)`yl3oN zcJDWb3vTfE8ayp8vrgUFFEJ=wH@lN|ri=YcHfkc8{QeH=U6I(Hnp zOi_x0>+VG9^Dk-)6hAzD*s%mF$-E75XRHQI(%#$Ys>9vU(>vUsyEs%IV*HI1je6DYhD_fC=-^Q7aVUq3)7SCI22;V3(Yr$`v-?I8$EUpCT{1cP7T5E>roYHeiLD7&f)}Bx}<37cs7M_kNlsn>Hm)^h>td zSPZpa{l@tUc4OIZXBE$?0$PrDZ&zaZ(8#i_?V}80Ahq6{Jc7vLs->e?)s_Tn|AuPr zHc#YfN#)^XMQVbZcN&lVW~vVqU~f`Sb9x${NXAgLdr!!N0}JtK@j{_+&fSCVcl2r5 zJWri)ZAP=Ne2+_^^hdjxOU=%#PvD)Nrs-=mR>@jxk13_ZQYx3#qARdm0HAK^<)+AY z%|S$%k=0PJv+K1t!rPcZSoA~fXm&cG+}mC_2;kUmKNxAtngX?g()*`23lK!G!!M^c z{gj@D8T}I#6RZ9FS?z7OP!62--Z(=PGFG4`H_NEpV&K8%ocMf83R0l8LMtl4gvZZ3 z?lb1V&HfNUolD3DkpHy!38OX}4D=1r`5z6l&pC|fGrZR12o7ZnCp zXF*&W%=&2pBO(1lyF%cUMKFUOvRa8S!T(GFhk1>8i<-K*ga!`uw-82B==PFCM1B>) zrzX0(aw@1?tR{Y^2K2_R>_bw&&F5hAu1QWA)Y8)R!Gu_fo{I-I;52hz;j)KMTea9I{6hlIJCFWpFAiG%yy^pC>=Y*0`x-R_CzAM@7|+7ZAkv zEDthTD1j^~e!(6GkDagUl8$JFz_zIPw>zmba;Z8KEBR?n;`_kuhK#2;+Am(^K#TWD zONv~&ul4mkH8y9y=o^0#4H-kZCSg4)>rQ&07LIfEJm~r}?n-Y_RTC8b(WC1bBh}h2 zs{6uo9YrCblq|U4A_`;!_aoed1vU>fdb@Yr#|pQYv8!UH-I~vGR2^-{exVrs9j1Yq z11!%?4WASzVdtLO?rx~%pU588@5mhTa0n=RJ}ykRIcl0D?_BnO!(ktp2ZBYVHeec2 zQ?|i_6Q!U8Xm#SB#E=s;rj+H#-;?e)20~rkjztR_+d1u;f)KleYlGasI&()cjy+^$aeN-EMC@c|{a zisa#iKCVujK{xH~^+5~=#T37VWdPpK64$)$0u*fFbYiEHZ+}S~uC5>lz%fmKX zX+PIy{0)^N1SAkjcm5oFeC6F4oJSV1+2nDju;;ymMJ*)|5DXjFXSzMUxGtw&Dr?wG zOc(UM*qS}ACW592TKZJI9<_z~(d&rjqAzFc6YV7L}B=JO;TMx34#O1 z%d}W_ja&Il?8(^#+%aJ_zm><*^!VsxyAu(=^!!ds$pfvaUpr^$^m#Zq`t$wq??uKe zXe*(&l6ZWBDyda}#d}RXIj$02=en98 z{@8oJB~$K0MmTJt@frCq-FF-k|H%|3Z4bBHIW!qQ>M?Z_7yXu6-BBf+bec8ln1u{8Lb8*QG5~ZW8eQ zf-mK7N8EvzZ8%|OQ7c;Q5~2Se;;`;lhi_O~Yi^}nu%LVXUh9=GlU?0Oq}$l3fl7^FevE1EjpO#M-w_Ks+dywzXH$+D6u{%jFhK8N@W4&f_< z{R>4dpRDr?+}i4O470pd5{C;XWFpp&vX=@hWLBruujV=Pk@#SJaPKrVc3`iE!*4f^ z)@W4L5I~VWu6$8-&U2IWX)=c27QbE$4W!XgxY#1_oBl7pHfPSF{Lbs(Ua|Kmo@Vg9 zaVun*Or*N8Jp}0| zv=>6lVRC_fi{@(v6pp~fDe3}*^~P!+)G8%uMDD!@v@m02mvGX~{}6*uYYRCJwL8~9 zI3wF$@3aCH0uW5QelC|hZmYTrAmNPX*miTkJa#7WKN>vCb}G?7htmgwFI0W~hVCJQc7I@}TWH&(ma?%F+!vcd!8+dI zfi>-jg_dWGB{#DB|DFA_!UUAUm$H6E_nWk2OF%U<>e zWx>x?=lL73h5n_OOTYf3*@axuCZ5Hrd+_eJ(jA??_t>U#oEpTi!Y(6a5JgMb1`EAv zm}FJAc^l77W7*_k4WmQWurngs|2)Kkn% zxMwPTUL!A5&C4@>OVF||x(D18^L+N0-59v86iYQ{UXQj*6w8=}nJH`3=^S(4j>8i9 zR9wh!_uDMBgEQJ&Fs1m>50gaHfq@bKe(BGV}_hj^V1L`u4=i0lBD(*V-2D%BN##=uD2SEKxmuZ780F|`d*5TPQnwtF{o@#afnS+kV zTuTdG0LLOisrul!G@7GMOE9i4M{XtODpCutTx+5?a_o43jKLfzqR<<0TuTo?3(8g{ zj<-hQo356XG*e%6o^m&d2b2QX-{$ZSGjbocAo!!rF+?KCz^uy3pJTW@T&j(ymh((WSbv-u63t?9T{ik#s zW(ExAiUp#-rMu02GmFYhDlgo?VxE~KPdcUyz83b6)_&g`c)oA>rsPSf#4PXnzcKEf zZ2C}@D>tGqubi;;aa3fxitVf^#8ruUXxemZT&iTu2i$C3cEqWC{gHL|V4`-0U?iF?`~r#V$`#fJmIjnHQ0gC%JSS)y|2_OcCe)fM0<$|japs_My^6e+(7oFzsTkLv& zbbuZcD_eP{YO5;V(_eaJ{)svd0XlJsYMj(Tex`91AAi%VYoK^R3q1pRHfnq4%Io{)TIXoue^} zS@Uw|U#a(8Y;TUr0G^dQ#;kOC1&=1oCZe-0%B_@MWVk;Yc1+ir37`QBX6M;d5oa}V zJY}4)btal92zF8z6l;}_ZoeeVTd za>ocKm1AVZ82J!lnXZ2x-g<9VzHbr9>dIfcgv?|lOLt=MHlX;NYpn+b`29bysLb$B zPB$Kqcx}uc0pE~7v2D9|t}7&}*Z&3{JsG6+&(pry=_yD&XvBGqHA``Gs|A!H z26;UgJ?*V*^~bWHOtRwOFu*>My!XQj(VrvUoSkv=<;sh9b`rTpIm>Gv-5VOg!9~b6 z=hlZX)_|lo{AL~Vb%6eHD2-em$#{)yvq3zx&>gnK;@5i3R&zj%Ps_Zv^s+LD&MU`d zEn_E}r~E316s6SsxpPq3JD|oz-n6Df7XmS1q#8Y=gluF$M$ahb)jsbv_gh0d_R-dY z$yz>KHrR>n?FHH6Dd2zof%``x_HFThZzjH^NWxH~Jd0|#kZqnwr`*6svvgcM4^vJVuCqd$_V=l_U3NuO#`Hl0d;I=0%~Z3y?W|qXggcSc*@v^J~RlUmQ*U z>?9y%63MFu98VFQ3v6tFj?nsF6!g`L_!F-Y5=8e*0rL+~U(HJWEN+TWT^Fka0FfcWtS(q-;%(?6a~u~vwyoEA1RU+_cb zL>7c$pVY||V|;vq;9vh(QM(pc??&6#4~yrgso~~*DLSmn$E9hIpMxEGMPmG6K>~rn z39B*Z9-I(HB5+TJR#5-ha*o`#@mn^_7l$fS;^p;2=Ul8ax-Y4C|bO3>n1w$)3?0cu* z>KV00pCU!gHSitN zW`y@7&_9od^6hijf|#ejtZc5?eiYccm<$o#mH-&zs9&4~UV`xicdT8(YqBv;0V||n zSowkeCl?36*jW6A`9-VoAI;rJ+zSu15&w@Npd}3tv5h1?7l5_zJBCrK?V7aP@#_@U znPn6IoCU2>3T>JG)uW{LyQ{h8ziP}~o8~n|J~Z?!(|BbpBi~`B0P^7?Xx9`c!Mv5u z+4wQz1t)7h@ke(;;Q*&K-Fo;RVvq5A>5wf}>MWFZ@3lk9GN@zR`GOEt_)6G?h1~8k z2|&6IC5=g+b_gS*GXTxB~$PDT4JI^2^Vw4a3Wr@R3Tm5gEmP~?H4 z2k)~IYzoLnpj!rfwJqE;d;R(Dd-O z3Wh2Q2s$z|bl<6@iUX@zuJsm$o@7wrk1+(uDZXTVeCM~8vF}62QO6>s_oc%GINi zNX1KC@fPl}7inp3rFt?9p18!JIJ|kmN8@}^2VCn%6;Ze!RyqP1g;uc`{OXC+Vq(_u z+#p}L&c(~Fvp@Fq?Pe)2@u#m4qkp~rl(DfOh21G)u3X~!ZKkxj;Xol(Z104WlBV`` zwG`{^Ay>TP6lbx1ia&@nAntXQn6-&zx|lXhuws|gqcxE%&1xy? z%fG;L$3hKo-s>w4{=0e6?N!m*oMjGoja^`ZSKVmF(#Wz}=rBlJuS*!%@)~xQ(-K{C z7w<92b`CQib5=>7Uv^c0*nU_rknm1ZFVTCNXx!2yJyrHOI7=$*@O9Dc)|%hb)S(9RYd_i?y~u#sO|3U}^QkF2w^_^k}r* zc!D-`Yu*`F!Zy;KxqOyJ(kt~sWi0$hqlKLzR3P<}Dj;w7>5q;0>zE|?3OOH_e zf@T9#G75uyp5j^dz+pkxaAoS%d6wx*@Eq(eRCUzlF*5lduJ%~^&q78R^k^Aq<9v-K zzv!-lzl?N2Wg4i5O`LnTQ(z-Nw>3eGthixBnpfrQ>pWo%-u-BT7U?DM!uuYvb6|*()EcH)*ezLCM-1i>e~F* zr3mF%z364-wA()H#`G`VzA-r{?yi8gqwr?P3pNyEf_&`vA&Th|=DPo44-Uzu<4>@j z>MWVskmr>1l@sFQ;`k2maFrGlWmvw*p048r-9Tb)W8gi96 zSI2?lY9v@;IV`oqvJ%`I2yg>zn0M`u|k%V(jyn>y}ex3&eIA8F1tGT z1Jb4)D7I#wnUuK{j|ub1?8EHrDlr^uQy!}j)^`uSut^d>$wkhBq+HEZwbspdZ;DtK zMJ&K{YKNaf=C=W^3&g~Xa{0{&!0Hn|^;qo@jCs8A%*g)O@(_p$+SEh~xYqch`fAE+ zW2>gIO(rs>qK&EA0i%dM{Xv|ExU;TW-X3rk}!WFFk$P!^K-FW+A?zy^ML{hD)=J4vpZoq zzW>&l$@ZZ0ML_bM&r%!$f8BANa38WvqH>o~;qLFND&cCV)|e7%ztb>Ww@FydwAxd8 z~|f@3EyK0$$`?Lv)Komz2Eo8>SoC(3t+G@aM_7>V&0f5 zgE;gJ%F}<|uw9Vege(*^?QC}TPJZM8#;F4cVoajq6q)t2ccz53!D^&*Vl)Ya5BlAD zYea=^fwM-;3&&Yx=Zb4le_34<8qB0q)nXM9+G+HsUnZXYcEAhMI8Mb=SSOXXlZcEy zxq7~_KCQid_=BU%fB(6(f76S(gVS^`M3tO~juBC2qS`&ErKY-Zvnp`P2jf+AHLg^2 z;Cl+mjtRbx>;eBkav~S&4M{iJ2&V}8dctRZ0AQ#dt?7$;JyuaZ*4$N}=D&P}?~j1y zzdIehk=&36gA(|3D&wx*QoWiG%$DO9>1&0{iFsIu+zm@&0WZRPyGV@3oqr z)9eU>)~rb~;x=i8-#wg4M-{7$saN|xe@kEJ_m{tji-d?9-pSLaE~?V(TM#J^Ywv?B z6~~>=-pS?^@UeX)*|@RK>~^22GQ45;6zoU=#2TTM{vilnI3yjXLr6@h5!1YxdFA`B z(O17Bjr5unpOeEsvEJ=)6}XISWXE4HWI2zdun+zQ;NHG=KQI#|D8SLDsHFhkNC+>z zW2FycmtuG_6Iw3TESV>#bDLhhAFko3lt>R3`2-)T`OB!oOGg&D06eys&C1KJLOP*5 zPk`DhU#G~*)%yJ4l`tKmteHHKcb*h6bk|*Qr|Ia>G4s4SD*o6{rzP;N@j~b*5q$&M z_EHd^-UgssZGbEiV~1~s**oV?&H2}!T`4ykr@z*mY*`ZUyDO?JlJ^JiC(HUo(gTUz zR}eS!C4Z()9<7g1^}3;V=(nR!1B-|uWR201!^`K>Qr}eq`W#w4SuP$f&T|AoxcoiA zmq;OZM`W+c4o=>CkFcOaX!7TIG4tmYDfEM``bU}rVPZYIjv*OCUvBn2S`aPqXX^-b z*kHhFVd9-zuH9=~O*V4ZgJ@xbxi`QJ)Vmg>!^feZv;~u@ zaQlpl2t4hDiBVp!O;mx8hULSXZmYim4N)&V2v4w!0CW4xy&NCCE-i-XeWf}napLu9 z%Ok-b`@C)m)p;Lr%Xyc#vb?tVVxroGKR>BwfyGB#5X&=WPDKmjQjFFRDr;R)NoV<| zAJLWEvrj(;k&rGF`y{E8B@&orLfoORctOA(=coFGW9|C`9s7>?qrN5LLFb?_A@pix!<@|U`@5RP zH{MwnE4({ej>5puQ|U{X4kRbw(K}4hCulBrsNKkbkaT7Bb+^cd!QveHmE~Dgu4bQk zKmC+sh)=#CpyokOVW(ZPZ3$YM^E}ZY%`73goz>WW;#21q!jFR(cHMW&15DlJ??xQ_ zy<%!tpYU-V)P=+w?uXf4%~16`)ITq+L03ma=OfvjtJ-MX*2K0R20HS3jKol+x!W5) zmy*q|SdP#14vvAxP9glSZbuY_hdcG^ScsJGu21ZZo(V4#SpZx7#Orfg(?!v0B5+*- zGs@A*swK!K!*E!HMP-Bs&%RQqDRP)d%pzUMB^dx!PX`Dz=j?%n<Wm>&4;*C$IT zeznNo>L`Xb>&PAp0h^X2a?(a7fAJB6v|%{J^M8HO2a@Xilc!k)a_@2vX>vKzhkE=A zivxe9s!;4AmmRx6*Zd307k>tO8Ks@N8Y88nCt!X+`wB?0rkv@p*B57Xz)2!In(x~^ z-WalsOkmW{9uP9ASGP$%OACoPO!)HJa$KeX3n}!^7S7oicdtHR(Wd{BCqvg5!(-82 zWF)QNG7d8-k0k~Q?S93#0vrr3poU$#T^GS7VWj4)-Vs2rQu=c-Y|<;{Tn#wNKOx2% zLG1>YHW#inGtrgf3}WW_>dDUt`mi73C;GdyGAq*5#u74Cz!OTq8i~6KX{nGYX)fXQL#EC0UbkDN^qwAm! znVbFFI&*J_&{{yW^>^xvpHM$oB2lrcDKYYChIX$#!V$>=LdXv+y~L!=RBy&oPKb% zrDMVo_#%l(C-cRaOgUq}>opBxL-NqYTTJ0*0wKQ_{rGE^ARLf)&4TkgVUbP?!4@qzl7bGY8QmL6=8xrQ34)_tqRZ$BA`{m*2b_Xy>{V z+&(+I&3M=)uGVEweD)A!ZY78pNn zR2`DC=EjW%v8E45SB(*(>j^vgx{2+8H!8D zNUm95c8gYlKHo2eX}KIFKUt5y%u!Uuoqq(5X5Y5d{0Fo4iO|ENay0$sfqxH>$vmPM9vub0ywsxCk)u)r_=3RoL^CQ-MP>gq z37xL@8M(TD|BAeTm;Ci`>#?lt!RAP$l0I79#s7!=+rja~5G0#7h8?apH_26OmG>_tJlEM^=m7(2RJ=7hP6>dJN%s?D$|c5e29W0?Z=k|==3Kj^ z9%0?BC$_0zouw@_Y>&EUh2GCQSYXBbRK8$;-}iM+Rh380HCc6N2@~5IXsROmuCFe9 z5gdqKGPr3$BJ08WWRt{BQroW<3H(PhW>(?aYO?@vMTrbLx++7H6uB2gx^AhaZ|iIl zWl1^Dz}K49mRB8fqzrNo70)5rfwF_We^pP>!i0*(9AQ#%;pAjlvYx$A+J7|SYOiLZ z>Hl4rn4Bo-MYbHKsjzO^BItBYU3&bqMjT(vsi<+XEu> z4kgyE24Z(&$q@Txw@8n5+shFfZ`4Je_DrBYuN-%QtS9;ryyGfXuVQ7>DrFm&OS;Wn z11EOcmlOHGiTr#q6DC%1bCLclOg!3J3ZgVX(}y2+x?fBVIcq7TNdMIT**(ZI^objf z1Y6e5U#=OMVAYX)%bUDXe8#vUD!YGe!Af()vfcHeA=4GgZv!~5_n>Z$m{w=cuB}rzg}TfZ*jrU7 z@~w4s$#d^^-Nfj2_U4oGDp$PEH^6wF(;3`nR&06q&%QJZ#>RvXC*bU0K$>>>h~)#S045tC}ELFI$Q?b5c=LEhfvW!H?dAjbQHx3aPblU{LS{$sJ?QuKv^!@4u= zj_pW-A7ZMT*LO^+8}<6V-o8VXutVNS_imQJ3qx8;a|>7_tgq4vWN&M)V=~pygasbE7x<{3j|s_xQ>rYFC=9bxlhoJH-aJ% zGAT>x9b!x!a>Q*fxme`oBM)Ue2d8|t%YwcNSkh5hKYe>$j!=tL5ZBurKXNfonh>7{ zRThoIM4n;W=QMAMy&hQBZ_I$G+GoG-lro2W5y1Z_zOOtI3|Kvxfu_4$YGm;><-Zz7 z$-M9Th$dx!Uu@{@XA?|AAA=ulv%b#V%zdaR;# zw0lPRJLOF<&6$NhqzPFhOu@tO50)?9&1WlrWxhu>z&^c5-m?BmQQvn?eWS>6?tfid}U=*rdDKs6L6W`N+9cu zmz&0_IPFBh0)7jYh4kX(A6#s0`A~K1v=!geQ|8X@+7pL&%l_!@E{Y1=J3YF0ZFSox4 zO58z+`oE}+{uR@E=i}Y9xzEZxPCh*q^FqtP0oEt68^APw3BYimfqyX`b$);_R zeF;+-Z={;l@k!ajHHumt;W1ewVcf0AZkf>O6)QmHG z^uGRtIFP&}8buFpl=><3!)|iiyz8Z4>(K4El*%`=a)chP!7W(s`F9mq@Uj8Z&7cub(*h0WUBS_&%_^0x-R8%H zlrA}9piexp80r1Dc3jR-=5MZ1cJKFt_fZ1|-&6jX>o^Cy}}5T+uy!x$}*iJ>UFQ0EVgXESDSDv`f;h&VADhkpJMzAe84_amzZpB`2Dm0zIl`TaZ+~a z;p>OePbiN^GgM0m)hrkZ%l3?qKF+a|Yr|wCYO6YMX#eP`@rhP*d(L-Caqh>%j2bi! zWdZ;AC4f{&g^X2Hn8`ZKiCi*eC4vS#7W*tb3p z)?|)9FSa0;;y@&$I<5V!XyB=v^A1@aoC$mbIg*b15{Ptv;?GUXF2I74ur3?_%dtxIiSiDk>N_Cw>(cJ#g(l#2-h9J?=I=HX2u&;!U*H7?+s zyaB+}Fc9-LinSsiA7p^rzu}*}nX|1OtY?_WleMTJ^6-Lg$}gX8`W%`P1Pw{x28FGC z*{yQ1sT9h1iehVKMK46-YB(P+*3}3TfuO}3cOG94{rlp)jV9N+utipD4L#fMMgJYr4{iQA-t0K6kHVaNv-ELP)O zBf;#VY#%9ec|jl}WGo%2VXjxR@H!kD~Z^lFjuFf>tc_k|AswL4ZiAwul54IaZlJ!t+EYOir8$sJ;O ztn2N5%;9JV^P>oyxez85 zXg3F3R(|Y5iXJK3z27_E)+Xy z1`x7YBEtB?92>`}|2WcMXgNW{7kGO$JAU$WhTj#p5qC)2?X7Y{WwOy?yNEe2Mj7ds znguvNMuTT(aqBQN%u|u+o zQ~#ko`7PUT&>36>kN~czT=krQUO@=x2=zCLJOKDJb%WGFjtLDidMd>rDK(RNk9EBk zIRfxbOPZUN-OPf^g70}ff0$lhmhF$p41KrF?n*l3IkCAR86#V2ot`d2A` zHKeORfJRfY?u9Wj)n_8IkF*Qw>vKvB*^Vs~I*nOAHd><&A_Qw0FJjAPHR)rDO$6rO^sAhtM(?6mZJ75YFDkQRW)MARur|j zh}bhRLn6<6|KBh9?Tobs{O(CLgxxQs7!@b){ za&_JR_v6LZF#YAF;ULg{E|9*qakP7F1lB-8KNjkaqmAXMX=Ydu+BrXBXLoiC<8k&7 zpSKd~>oTMq7{aX2(>Q88t+eqf)MvfxES+(%-ZIAZTrT8}9;z`dLwvril7Ge~(XhHl zA1@1D73g89t5lxpx4D7H*D;m7<#d4BfALGI=HZ|lPlEpP=6Hn@9P18_hw@;nj=Rsf zl(6u5nW0>S)bFJrmx^mb-@mHdu;Whc?7uNxN@QGiMdAI-_5eHq<4sa5e_0tmc<9v> zyw1Ksn4?)_pLd47JnT;~v|j}NDd$wC5}mk*nuTT3$il`{~Oo zQgGlt+w(?SUu!N`gtzHdM%hOGSuac&c2$?LHSy6JuuOIX#h5L;s6>Pa=c^bA4wczr zR4d$WU>pP5P9QC^7y*KF=NeBVM+zs6vS zr(@w~md*l`Y(7EMDK+rrKKlzh7K5-3Kc(Fx42g0WTi69>Y!`vP!EN@4b7`y~IRmd9 z6W(@px&E0U7#V~tlofq0s^ZO2KihU^0)M?2TKr(j{P=IVQJLjJF^hECx9k9aylHvK z?vcIS@0@0vg}mI0l=e!ehM9lo@S8yK&%Y(Ea?l#D zII%_YmYu*VH~Ws_fgOfayl@|Ih(Q_|-t9$Fm* zb^!Z+w0!`jNF9Yf2P!41xgj+Bu$%ahxwEADYuy=s!~2?}-Z^dyTwC3;6v_$8B7pEF z65|ZF&u4^cVo4F$aI|7=V5~Mi+^52FVbL}CYo?8ovS5(|LOqtCx^_$TZ`Wi5mGQ6b zJRZq-WeZb1gK1|KWs@C*^TN{W-O%Jf4kV4D{SHBvz3(Za*=){g44(!>Il*!m?Lj-| zie#>%VIVwQe9o70Q?p9*x#ki;;}*F+k`$;Kr_DgL`R{`DYloNOg0 zj)?xPvYi*q@gEtm)|7zTf#-f7TVeZSVcc+sUADC5fgrmdyHC1sZnKY7|5fmQ5&Em* zuqiXDA=%R`U2a@8S(2?SJ(-*8b+|s8qplN?z&IAiEPD8E-mLBXbQ0qM+-q_9l)mh= z)Z2*sIB*OFTwV3b$QVRL%^BPRSA@)BG3|zibwT6Au-liW@lTA zzgBM?H+H8R+r=~&9awq!ly>v)OIYd{eQJf*Ksl9Ubj`%yz5g?*k+~|&R>D| zlO@C{M0P6C_Cun4@H#yyfvH{R!e=2NbAQ7WWoL`&8fWzl8g}XP5ZiA^?pe{+ieE12 z7~kZ(OkP(fr1Hs7;p%90c09u8PqhfH`h#1miU%lGkw^G0bnfBjRdXwj^jGqxpq||3 zxR@HyvjtVe*Tcec<1W&>i6owiBq|F<>PL6T}CIc)Uh+hr6qpyXr=gJX*KLo zrcRSreP4w+&lB~K7Jtm} zfBe)-NBm$hbRxm|cj8hRiQCgt3gT2~57Q6XQ5fd6&Au!U`V|bse<`vYoyG zI^~+93Ae-LF4xP)->4^HS?tR0uUmI`omNq0`cV#L_FM`nT4YWn3YEc)vkCh?!@%fy zFD(ADxZ6#R1z|M0>M~9mIeY$_^X6 zPfHGB4;?PD;Z>}K%cU#GKS!YZfwxfTgz{o5%OwRZ-eq6!zV?^NPak*Q;D}_sK{wtW z*Mi%q40@xu@A^7VZniN&=fd8^!ysJ-4FdIFnkMFj504V$B><)I;Qjd^&xU_3LD%OS zozQ~nb*rltm9B-;BegGety(Bw8$ri6+UnYJn>pZ-?Q(%Fy_cXEW!5DZQv?_5jgvM^WMM>|iUAAEerP5wcXbISbvELbJ(;DYyeJ$I%(&(L)d26alHam-%Ik62->g^h=S^pB zqeOb1mE47Nk3`hn6}tiUy}*-Bg8q04fV7stat*`bgy&HCcQ=IIXZ0XfsR5(2?2OKa zW9!?bQOdJfSx{-EC5T4EoXPx+mES`NYp*{p{i|93k##)u)QS0W32w{R09w);f3I?V zfyN9@P!FW%qG~#NUnG1&mg9TiqANwBoFWJv{73fks<4%}v$?H#PF(q0($oaz%t zmq80#!1{C0RO>!j^nqvX>KNbFdifo4E z%s-o__Cn>5zhw6^O5GYkbq!xiWFBNX)O7TNk4Juq!)-lgQN6*b*24s2)nPU=6L%5+ zZ(PHaWM+f;j9efp{9BJ&w9T7`s+o8)9s0AFBSO8Y*+`Jr`&%Bx#p!no zn};UK)%(6Ebm9fu#H!{*M=P|jWnD{&aOkslhjuRY>_NoaI>c3x=>^70dpg$ffpY0u z9k`$>wIjbae~;%blCurfr_W%ezNe#^?#6|}-)j&YI5nCif%4iF{(;dp_|;Lv+UU2D zxa{E<7sffZQKb)lD@TpDDd-_gYvVBs$70^MD7os}X~nA+%d}g+&)BzLB`7W^6>8td zNjnRwyIdlW#(S_>3tGGYszW@codFj^G-&-bt;q1Bq^3}FKZvg}Q{&ulDf}9RO8Cb< z`RY{oN@YGXl$KyY8Ygk%kP*GT8>vrnJ?vb4o+_%YKaBSO`|u5$VvTJi*@_S3F%d4Q zQM!!*KS+!Ex8h^X-r zuaPf7_e(4D9TB5tgRs%DPgwii$$sxajTKt_jEC&)pG`)GomI3e4-scHYe8}ZE#mDe zT+ySB5O3El@Bhfo1M@|DKu410yO&&$6~fIrJnE-b9p@js@+X{4+>QZ|fLh3eN2N{Y za0KJH&?#z4&IQJ;TJA)Aq7u%0Jw%`Q@?e$Kre5Ic59zrWL7yYoYdkqa!fmhQYdms2|QcSSdjOD}!(K?F2^FsV7U|DXMnYgl86R{iK)J^7WS4`NZC`CH6!x*x%nPUS&`{loQ2!FJLe4? zj`gN^b;K(TzqP(`#tfyW3}z1jEZw`*8-NO;JM1q^z7|`*T+KNtsk@<#6pCA+)h42P zKEu00XHI{DwXoBseS`mzO~>u|inF5TG^hjE-hc9a8=IQwWiw3R!H@hUy8e4Pw%Awc z{prgC%^eESo#4y38F^3-_^ws%xFt&6Q|`$M1{@E7w3aZ{Kxfc}8h?$pPbDaC^Xpio z4unK;J~?|Mj{(~VUyRRh!FC-duY|Cu=sH4+bTq%eld-V)1u3mSHbHq1xt0F=$@1-m zRHLot<;1duem}0Pv2t$vC9a9^9jX2eS3Plt*}mNdlt<~%ctgVHr!0UsXH55@##u-oo#v~L925t~`-hgntgh^qLvPPQ4!$#? zEz*XH%5wlCZ|*g3Vb!OguPCgEaL78oW<@9dwQXqNS%$&IERRcJ@8>=((wTSgMtcCx zYHg?1-JZ5}ZBy~Ix)i)pldhqTA6Q|R2`tH)R??RLE5;kw73i%+JuQN}o+c6v#a6p-W3NJ=yQoqa8|}^gI8-pNx27iM&?Hq9@|2 zIY3JVr>fx)DbHbC3WKFl(|?edm`F&gEK*I?I+o_6(~-^U5hELw+dL|d&Wd#(TO0*H z;0(hm>0aYZ5qv0PDyML@dlDm#7trrO-gH5{7XMr?&T1VP)!9roBQyGtb$sMZ=GjqD z$o=&uiXl_+NS3W<82ygX$fuKVN)kU*v|*;slD+zKuw#@Tjktx6Ko)i99Ah@!t{jN0U%YdArX_N!Pt<|Qa`>hxS2@2c@?89rFs!=vn5v0P=2 zXe8NI&DQFXwW{#$kCpgnvoAc%4(y*r?hBC>evkyKD1yK3EQ`&+cwHKvQhYkC)W-DE z&sq#rTkBB2HmSI$p77wP(0|eXEn0o7F-2chF|`|BFAcyrV1h0XN)oquAWZ*$XNk3I zmgq)&Tji;a)Xi=!&LN$2=Z2mG@|~s|Yt*C=%S3_Gbput=a;NJ0ddrixY7Yfa?Hfgr zQflO^(9xPl*1To5>->`2;+e{ZXzQrm}9 zqHxC5*At8*frSW)6W6D<{PRbu&O>DBV#l?r;XxJ8V9nxfOp=lhBw>S59w)=MtV&D6G}7&2qBJVlx@uGX7(LIg{&2a_6vD_H(=s z=?*h`V+v{O5lz7{J5;<}q9D2RWQUfd1bINbhIf#rn_W~-VYk+JA;y zqbsF#f*_{twI)Z^`?nJ}@}|wh6jZ%Z@5;LQ8=ZgUSZ#aRGN&@HD-71C9{F=|;qW!k zj?bWP|H93uPtgL~ryucjDz`VjhooM~H`P{Qlv_*jaa^8BWiGAgpcUG*(_Qrlw5-pyx;i zMIM~%+4!$XMT)|mEO1nYPErrzDQ~m%@qp*pKpG<1P^GS~LxFpdiahB%@;N}N!3#nn zpfhBDBmSVG!aH#ldTo25)0T4-&L4m_*sE_@)psSAh#N^pQ$E-1P}5lD0o>+ z^R1xSyj`>qVrQ1(JM#Mc@Y;vB||BeO({3ekSHUeUhhJ0G4OVp<`57f4H->(u2M?GB__bm9 z$LIO8v17%a*o6I$45RUZC=1{T^pH5yno`KN%}ofqjkSL9#U0)(UzelXg@I|A7WB^| zlJ9)@7O_Y+x&YYNlG+Fg_?a_te0&wYCchWLm}=OlD{jy<;m+F{r9%K`xNhpy<=3kB zs@!ZhCBv}Csw>EqKQhV{tQ&99dAEc(lIUJ})DCkhM`_rtBk|nuy{H1x1PJ>olxxAP zUAO(-xO=OCW9h-sgmq)oTaEX8BfuWPdV?YSr~p$1%|6S=l43DKNS46Z?qIjb3^V`) zlXUXGS3el~UD`*X?{m}Nv2P!vGPky8vX1lT5UXbe*!c--0)GKtLP1bbeU4GTe4K~m z^L`Q9r3@q6Ve0s=2?nfs**;wFFh@vuPRKr$fE3#o8GA z@_mB8l7{o;;2M*#+ui&hS=6bn>GaTxW?XtW#pjg< zE$VVNHy&LosRRq}v+;Yaxh;C$UN$WR3TObAyr0G?= z&d9JKR$>|TCG>lYVSQD4wcFfj0P%h}>2@a? zId|)Dpf((=P$WqtOna?wu~ixss@u z3y4%xGCE*d8<(uZGu@@5-;0&MMRXxp z#4k%BC*bJo|3t(TADn556(x*22fF3P`cGh3@no0;;d)(3Ds@6`jz|16Yw4IOXD@)K&m^(Dv3q$O{N zpbzwhau4vOvPV$4vYYOTS+3_3U@ddy@UxXoHh(4-@#e zfj9u}lFwZHxLf(kWgaWmPh7Q1@44$}FbzM*_n~8uyU;YfsA1)%_ZB2gLFn3<0&7W> z#jCXUtf1L|8MI}1)vSzF8!`%n7;u61s#u+?2Ri#I}jQqURg zE4O0QhGWbic1q&QVoIG&7Bm(>3+faRb+6()+W8R+GzW>*FTTG<4O6Mk_wcD+#2Kx7 zHKtHrOcvb8nM%a-({?Dpqd^TGANTw$l>|&D+}v-Km6_XUac#d>-)fzT$oBonA2>RA z_etuQu0OnfDWhwm5MX6NuxkB?3@*Cqdf9N(@raYI5#j7;o+lH4YWAl;DHWOAk4}h{Dh|%?I z9u$9Sp*&S;J;zu4TVHYXJ`KB|+mEDX_pWapoO;54iOv`xbjy%+`C&z40Ik=V4f4r1 zH|T)D!l{s;s+5#$?eJ3kz}}?e#{Tg~1s{kSzH|d4}ISgEney-vU^WgHcPLv6v3+=vlJ`J#ic~6)`j^Hu4jE`bSqh*E06Evm zbjDYPW)02G4jX|v|B-PJ)d*yyPMho4!$^|Q?rV>p#Cw*OyW6gAMZMCJ&NH?W?3rn; zNCEq=UMW_R$zdhmu1fwRbE4&h0vLucCnvTgRNTbsll-7n9R>J3l+AX{_GMyZ^}~h(kzl3?i3H|P-eiR(c&JnK3jJ0FC9l*A z57q^0A|N!^^B1lI;*6C32@|!D@aE?+yd@PKSP42v^I|B(t_>ehP4R= z*2Cx7h+@OEV`0yQ55?k=(Ef_Jyxp0P|4e5hO6CINSw{hL%-%4yhmv`BmE-{&cW?8C z1j2*mde&CA@T9sqYDM#eJ&66yJHl}INtv?UsMYaA>G*d<;!p%!J2_!2?frSD%>Ge+ zzK+iyl2kmBrB#5Px=eHS`T{ex{xRcj@Q2=>*(HI)y8ud<3%~y$5kv859dhAFpCU24 zh&evA8801}HZjksSOG||*Q%(M>wk)??MZEef4l*mLr7l|$?&1KJtFdy4G`y9ekIT3 z=V42CyiUkwEZr4H=Un=jJWhjS!a~6l$dbJo9_-Fo3EmEOmuE<$=vryx9Z&EN7O?g% zIzIKx`uM&(-OfXk(fTzw+D42Wb0Kx;Q|SP@yrR8&z-jX>Mp3_~MA^^;q z*f8~v=14d2HG$4|>pjUv)n&IyG7GMtX8fk>BL#$WfJ9c-z5@04;yo1!DC?@hIfL-JAEK+-Ovd1rkHA_CS;`oIep^nwg`451 zr8q`OdE< z4W{nPZm!)bSkW~mV>y#c|9 z{bT~~=&FKi1D?4Yz?A_4nQp$muBQ1E;^L!cIsG{&7~TlhKH-$BazMEO8G*bH=tUx9 zKzB$WqAafT(?)ZBy4`hW&wfXaT_LMXu#a%9)P+mqLQWDTOZD4!0lHY<2h@ryg@!Z@ zX%&ba=!Q=E=*`!DfVI-z7eqaIbe0*Tt5kmCyBi z1o4&k`e)h3+b^-+Ks$KVi9#$%M zW{wQex+VFoPMcQkDDIx8YaEas>+n>EX!yPg7LKOvys}lM*+Q{Pcca^!eYr(M4sK+G zEz55j3$Z5iC#%`ZqvBE#TmYM-G9tEgxY$B_zC|OQc-ZWwBs%31D&`z+vaJpXKWbeK zTjHLwL+}8`eKZ_W3*7It!2b`XcV!CR$S1?2XNFYr~ZZlt7W3)h|;G5)Gk@EXK9Q3nYIcvDH z#JwfLGbry>InfU{)R_hDpFZHPLx$jZN-}vZHPsSG_*Vi6% zGYT7Yj%ED%v{qCoZ)~V-bA0ai+ruXBeV?(*TSt=-?O_Xz5G8k1z2 zeLWhTM?R^@>Q)vgu8B3r(Uhd}PAD>+AGPO=Xf3sXk(pt&^pvBv2}5U(0C`Cppo9{K zI|;+@?JO&2_p`y{?CZzur>d)zmu%nJO=R(gY27`E&>4-d{BVcN^$$>NRdedEh~pn- zMEKRs97^ZM3}X~x?_3$9B=PCb#AGD@DWcoQ5Q8z-BNep2U+)t%s7&xHuXg%UD(-M# zqkODwGd^%;+J3eZd_Rcsg`UJrnhU|TbmjlC@{>fp^j>x=JHJqO!Xx{yw)8pb6zG?iEsT75TULC?v(Cp=?AJz)+tJhXw(yS_*bF zre2r*%nv6JPE-h8Z8Pdr=8m#)b$aW3BmTZ=t;UAk#{AKXy8TUReNLAOB-R8H$42;z zgY^aPpLSmN(JHU|TXZ(?>yJ(2M$O=^X`vczbRna#x|eI_i}H~x$d+9~*F?`m8WoZ{ zQ3?nH%5K*(qB*|c$5n!xBw&%2V`_G9`2E{tPDc@`H0th6ZiX4IsVxZBUHr2Qjpd3* z&x^@+=aWOG*9%QHly@9J2D^zP)!TqoJZPSFFMtlHoNE&5ot1h-S<*$-pZ(NckuY$| z4%;kI_nI_=04=&EUUoGx+~yW8gUGi`H{A*fU8Q;3wZHH8=##fTU;vrcabsPNVdF44 zPo<*PrM5hx*R9VsMkeA@c2=`~-{Ghc)*-V}N#I2MHCvszma`+z9=jbISUr{91#J6a6*;_VuueUnvnf zM$^_AtBd2yf%B}neZAY?q(3FKXre^%TK65RqTuRjx^OvU>9vhqPf}iIqE|<^_ifC- ziB>o4C4*DD2=+_<)=%h6!nFVkS?|KCi9f zIXo~v=QPfViz)X}HbZXM398>SDnb1QB%#W5MythrZ5Ku>p;S2c3Bphi#UanYy=Cr| zr-&b?90^e|Q@GOZ77tF~7yS~buAZkBO6);UiBB#)#k;#{#b4 z+Oscv<;DMzxlbmZ9@|9OVyjo10|!xivVC%X4mO1mtz6|>%jNh)m02lTsWjzoPS+74 zWTgf4dEPECyIY~K)s)uHDe8Tg%G3u=4nH{pCVA8nMFSIrcd1Fvx==G4s(kY4T1?zp zE<|qIRMB!)*Hj`kP$Wv+#k2nqgq3G1%jJLkJA zZ3JA5)DWlz5ZN6(fO<|R4feKaC>r)PkzqJ%jWmj_wcgHXxhlNYI4`;V(lzyC;|a&* z<&_Cd&MsGio4(LWm@ z8(1y>b)+V?Xj>CLX}Bmd&d$F7-VXIJjAVW|nOFDmH{llr?9rS1NB&n%(;YIFEf-ZLOvCAh9_YmS5 zESRYHJP~91WBh$wkl~NzPH)oNl~AqK7X3Ko$CD%q{4%B+CW5U(eA{iXW!o6ve3O3P zmtL50lvUldbIp0(>0~LPfgoAU*BnAp-py`T%YIR_GfQ5 zH0E%;;l}~{87Q>^4=1`3bV%b|(m2zMVi$c6pTdeeJ`OjIWHU;jH5!}OigV&HAN%W} zC4N2mbAj+m)$xezHsUJM>>TvnHqh*P&MFVv@bOWvg>OAlA)krV7bAHulV1p1Bm8In6KCaUe?Aw9Cs3ekS$V30$o|7R zFtvMn=9ciC=`fw3>fhwNML%2aODv?ut>jPu7^>?9RWplj4#UniyN>_AIh~Ld^Hi`}skm+{29u z2YZ^N$A4~LKlJvw;G|{$b>hV+UM zbXjPe^iRxp>)xW4(_rj|@b7j1Dr4pmRIa-Bv+6pT{LfD}!$^-v{n*x;Kg;a(h&p4x zPGy_QhfhL?9goiXIbC-TXZ=Ij&Q~=0#qYbCy_&;+2Sv}H*4Oo+{#rjTV$#?&CjV7| z-?^5v!bN^XD~*YW8YUB8U0eE=BfeJsn%0V>T4tj6g}Gz*cu$-7&;b^wF{|IvH6l zZu>XSV$&v{!V3J{wK4&txA2<}X4aD<-+DEEc@G=?;%FYM%~(D-=%qIxTRx)$`AbB^ zNWL_Ek|D~nG?CU?0rQ6XK^m-`8(fr~L7rS|`=IGI<=cf5?`>aLRXFjG`CpGAP^>x< z>3smRu5!261OWWovZT{%b9+4Mv*U!H8~w)e(w=O7PK$bVirSl!6{(3l*t52X6!o|& z>i4fONw?+XWKQJWg(8J~Dpk$Qblzo6)N0~w9(0>_u3+)n10|`j*L6Oidx{GGkx^=d zyJLjw(OkandeQw&l{@1h)^vH!a9CLB_b$99!Cp^8^{ zQtyh@Ku@LTdvdo$ssBDYq#68Oz>xdz$Kp@?x*A#h0^{ntMWcugyPS8qC$V$Zb4oJX zerusjYF%=zqx|@^oNAC*9FZIVc(IE5D!j<#H`=6Lu@_o7(k}%SyDvKbM}~VwtU;vm zl@6r!vrCKvXG38*FaWI|HDQ>&0`Cmf(Tm_*Yi^F@(=mO%tj@G-8gRqT-bITiLB2Wz zyiyKh#pXwo=%B(lVBidWP#mbd*_U_!Y5Y<{UYJZ$b5Kp;!w=bCBrZNIjZkt^NgfDw zV`{htbJ#ZYt)B`<26Y9t-hyaNx%^AJa-Ug)y(cpAD~TP+tcYwjJpBml!mGxuY7a)2 zcs@Lx0bwOE`)qnentWN|2|I?-E3=fa&fM9j|WNP)XGkYGTa?3MA?9mR4e}>6~cKs&&DVFhDxt1L);Dq!Nyu^dbd5y>-RV-R6~exi$K|4mh0^`N6m5h@yys>X%oU ztqYZKIEKPv~GzwzTG;B16TiPnt`v0e!;)g@KK;16s*Vr4NcTM!0c%hBHh zPc{4)k|=57F^#O%8&hQj9O1fMiJF%!M>N&`!uhl9ZL>EM-(tp!kL=Kz*FE%$y_f0m zLvG4x9KRM`#y*4&@j93%db+AEtj1SZetkYz5oGkb-RlsRo08)u7@}U@mDH3U zy^gKaQU(S%VV%eYm;_NF`L>CxYePI4Va}-!t^yGXOnLG&r_hzADDuxn`*+~)eg?X= zisCZRmGQkj=hWrC+tN!Dk3W8#y(Nm@Xm`iGzH`0jJt{_Esd)BUVUFJ$IYH|&}MZ}>ARCReyu_@oaKjA^-(zwUeJ+d0idx^yF>LWkX~nRff;JiN_DNy`0!Ca`|MJRrE+ZeaDo#!#k{#N| zn6~sxUp&)8pX^?k1cm+JT-XJm`AO{Ar@DhJ3#>`d4*{a2QC8)-pQpmKOgp_|n>**- z3*z%FsuR*7mX+-2yKQcq&7I2C0l}j`T$x&ox${i?mKRhmqG<9=n`jqC&U-@bsM^8z`ZLF7tBKAp5arLzq?9$bhw zX$3o?r=rZmh$GwYv(Z@+jK4 zRJFq!rQPxOhi(`)X0zBS-G!6$Vn)e z=mdP_8ywMaNUvq<#gXTVtPKHz*5VR^M+qf@+QoHS~1UQN0r8? z#RZUyoWO#8OQsZgZ3QYbPz9G)Sn+{zqyzX<@+lY_<=lU@;iN`)xltc+^7!R%w}s>s z%7aj00rVFev%PYxKl9=+=#z93D>#-ZI`v|sa?v8Rc#>&gKjvb7@RXN0hvJN&*k{nH z6T}>x_R8+L(TViz_cOlj0|{~hma=~pVp1?en(Y9wOc;wKIpJ!r-LOA+vbckiX%xf)0R>fV!hgqfz%;Yr+jLk4GPl#+VhuebU_d$Q{ zv2Js63eUP9R0ASvU0oQ}deM3?+G4r(0etn{M27$>|DS0HvUOg;brH`@qN=~21=rbJyvdnOodD;INg)d-MNB1vqi$qm?G#W{@fRCKfLyU7<(@*a# zk1WqKrS8_ARR4jSb3r7JiVLJg zb@7G?;zz51)le2HS|*Wl3&D0CEu+u|P^p&OMW?F7-USuS0psvjQol?(=Ud;kx8ebn zk()St1djRa)}%5yvNJy_SUouuJ=-dIxs;6xEpWTnKUgSng{IpSeb7z6ctkr4vUgg2 zYvwc}ICOW4kRK2h`shnl-AQtD~EkjFH#zoewHJI6Y9w(NaKS;2w^}zeKfN~;p7Eqw)+g&g+;!=w*gm~dNFpi z`#&9>!F8l|!2Q=xbfx)7qc-Z{Rr~1RW!_H;!qkc#L`9CUypQS2{yy5NIWC+&xr7Sq)=x7g7bbd*puRYMBbgYK@qG`L zwA=}%*xw|C2I`#k;Oo(CMjddPDrM&FVAFK$vg$;ayNvKgqqFkA{cGF`Mnfh7NrU=c z3H1LfYh#ojx=hQ$_;F12tNgzpLUZ-(sq!E1>fO(`LI_OG;&(V*yl+Y%bx&M)Kob&^PF7rLDV$<)SPEDz zM*RA-8yWwx^9Gj+r^Mu|hDdgkmpA9(;MXTK0b01jeI+0~$?+do@^8)J1NL8M#LKMx zZkge8?8Sw%F9*%fR9LqGoE1+4`~S)8{Y6 zJFbQr9oQd^?5|H&(RnR5^X1s4*o<3MEhvrhBWO16;-)o%~zZs%OESppz z&H!mQK44!QfJw++X^ECb(GP^8hd8~eMfMyYXYwC2Y5t2`XI$lF2s8PTLTyC}w`VY&8%fo06jbKO^1L3MY_i zd4MZ=%=qwGQ?4gV1aIi!c-g?)!2piaZHOO01-vnchnM5SP4OWmMEkKrn4}X^jg>VX zTI77={dVKCbuGW7kI5r@(B5k&cmTuFea`0IB$I<1pl(PRxRHchj-QH=%=c6QMfjs@ zjB6;H-TxyCMok`hS-^PiIM|5EO0so+HZf=nKCcARMsv5@P<@Oun+8+B?MK5&boe`H zaaN)O&M~^qqBRtlPR-8ye-6{SS@*m;XXjyZg1LR(wMdmPAM5^oLEusQux3E+xgFT@ z8t;nXR5PAb26f&osNQO>6{%Ho;I*9&T$Z*9tKhJ=yAfuL(~fkDjC^3|RvKb6GSh}Q zotRu;?Z&T%r}?oLvmaI_e%aRvBF|0`vX6HJKXz{onaF%{06|0CUF7xp*oAkRK`DsJ zL;?KiH2}BUiEX(?!Eyqc+t`b`YE1?L%f)8eUj?eNY{TO0e%n6 zVCCKll#;k>kCko9cQg_(`)_8Pe+;Y64-XPyu{QMMXt( zNpam3Z*<~r)`?BkGBWuHFYl`LAK6TGm$$mvJ|9c0>6pc}m4)HN0($4rI$HejC zxz+Q)QYI^_VI8uBFMaNj86bXn))yMxQ5`<=i-eLceKEK=D0Edd54IYFFCBFEgRll* z5Z+r?mGJB;17(d}kdBafv+F^%z>5?)f)`47?pzORve6ixZh?eB5VtCE$7eD)NY5cH zRB+-@Q44Jy?8b!ZZjcfwHFzY-@#lAtw((x`z)f{(#~dyxMR|fjVC)%CY)1IP!wnV& z&?^%2O`(v_hfr_vkCLPjI2lp*JCt(ly>HvQ zBjS$#KNmAmzLv#CM2z}7pQDZ2jL)`{C@FHVtICzPg?x$%%`!uqoEZy^TFyPjsAmx*rLdHf4Q@q) zAU>U;<~8+v!5jAZXqnagx`1wvc?}ZP9o$d-kIntjL`F^^y<~q}4OwvBXB+0vl2g?X zT2!rYu+I2Xpe?4jZa4Vm%7Wkq>tF^oX!LqS1W&EEXU&U;m&OuY#t+_B2fcSM2&(KO zU#zAYX=2x|6}~=c<|jANr9(?q|HUe3ZPEH9MNb&AQQz*U#GzWvIvGK*tkpL*_vGSG|Mvkp!v1#E8c}IZv^a|FejAbr^@F1g~TV zx1l0QcZdq9U2x9Iy-LS%qKZRN=+7bjnnn*)xzwM|%vE*kNJ&Yc7EK}zg0bdpK7GOc z(Tbb|Jx-tAWkwwD69Z?O(!h7~58S*q_x+k)NJy#iZ(VeMc!iaeT1rT_P3#`2WRX#K z5l*WP9w_s9A<72xXqx&|toNnKzHQsj#&IgYR-DKf(v!~&>(PwH4>|5yw4%-cU}%^X;Q!ltl`lqmuox&&2=IwC z%H5_!_r!Q2|2da$bp7}_;-AmQ?Hy2?Nx70h(E$60Vn8|`&T3#F5pFX25o{%87^9;8 zfMQoR^?1(7EME!5q`pMORKchln)m7As2#smZ7i(H#=_kX=fDKJmC?`j;0T+CZIc_n zb%t%G<;5x^DpGnS-4aI(nxDm0$a03)$W`;~g3Mf5KNjzy1;vBS8c=uR5j5iU2MC3n zZ#&*E7IgC+fdl}`h4BE-kA;mvZY#5HT5F8f}q3V{!g#h`od)U`?ihLC(E ztn$ObB_DB^6~`Wr+4DXSOBL9$(RW_}eKi)N%l>LyMD+C9!khjt*Mn@~ojs07#+_U_3EDwU@p2{_A5nOVnJxbC;Li-c9Kv+Y-mPFxf?CNJL zU}ZEn+b>;I^>#XS|xo@YrH0Vv)U7QJ#w%G)IGo`M2WqB z20~XQEm#kT;5>QK;I$p>F`Le^7JnYazF31|_er+7iAAj!pHwM1}H5$q|2VqtaJJsJucg&i(NeXgEvmKmCM~t9sMels)vmn>F^X1=+O1i&cg@(b zH?cQCY(ip0NaXvT-}_Jg%5|>mob#OLx$n>Y87r*IG&;4ZpAPf-S~To7*L51W$@vwJ zb}BoNckw*0Vp)$U0B64{*eu<`q0PiY5c1}YTtO=?NvV2TZEfjFA&JXY*?0NcQJSyv zo!-aw<`Ixu;!Asf(|r;zg5Hn-Hlc$h*#iD(eLFTb@s4u2J;#%&^1`odfu)RzAL8c` zR%54?U`%RsIYgtNG6M1*?nuv24lLiY&=;=PxLn&Yw^u{L_RS5^mVN1x@Io9)H8URE`v`uN*9c|)KxEY<>_yO}Z zp-(P57D21u5n>{H(PYlj;A2i ze3u~$+G2gw(XsXmk}WF?4XK?Z!AMEEYnwXf(J}x@$aA~WIkJIiVLQ^xCB#B7_IOk! zVbrbZcJNcyhFBUJ#oQe~23Zq?OOHQ0rud!5yC1LXYN0lN5B?)7tyKOC(1Se)_PLW1 zhPuVYix0|fnN}VBN2YaChIBp~wo-eo%i|b5;)nPyFHuOe9nUr%*LONA5}tjy^gOxw z82l|unTB<4IxZZ}z^ePxUKZtNk_2svwO2*T$4bKUej_a47Ht(&OehDh?A$|0n^$~i znRRrB>Ig82X547zNY2|E+fB<`h<2^sOSGG?6X1LeNpwE(D?AUBIs^r9u?aC>ujw|8 zv`lW?u9N;7Mw)%|DI*H#EIKx3YnZr;vD1#)-rGLafONN7HR4C<;w}e2W~*TaZZ|E@ zLw#UkbrJIMrDw?J6ME;*z1Pe{Q9pgiqlSFQM0h-WD9(xnq2rmaVyb?{PqwNll*xNp~E zH8Yws*@E%jKo5O}z`OaL61s`e&Wh8#PV#|AooTsrUPrStY5FaPinUi1fj~cjo!3Scy`=#bD=N{_4}a7Se9QZ37R4B3ry^?|#)*{a zSY%X?Vy{zxY>hz!YkkX?rgFadfd^yy=*(!7=O-B9mnha zvHwbvz|G6YR(1$!wvE}7^KTETm>_1Qtq%&&TNzfBgMDX~k;(RY{X4xZJ4bsTfm+ol zNV2j6F_+kFkYGpsw=3Uwnq&D2LkCG?ioAf_GPlTA$SCXN-NYH6spY-j&St@j zAF?=ELXM-^@sB(?WBE>%du7cep%~*6R7ASzb0f#nzPi1m*+sVFNBZ)f%M z0=KNlnKoPT%r9!x`eDmT?t~0eB5mo=?po2-cyVpsL8~WhFYx}l6EC8RB`?w|+TDWA za1^#Q65H?}*}h(?fm{An%%J(Mcl|y~UR4V@U8903^Vp==V69;4%uX~l{u$zYnD!}hadE&CXrpJ*Rkl}K<+IAtegW8MnI(n0>` z$o<_{O8Y~qtlHPQy!x6@ZhccvmR$86$&fu^h0+6B{8Pi8W%Bj&;Gm~FIR7M#z#^jF zjB~{Sb7ZCyd-ua;t~uJ}z*tf3+cClNh8@6hoU8}{A)z7p8}Xgd)r*!Qk%uyj)Cppw zZK%m5E9n+|l?r^9Gy$cn`^6A6zAki-{(U*PU(spdCvD*{je<%Lq1$M8=&Suis=5-g zoOE(BTuD>BUFRrRPGZUSqz!I*U>Lv1ILl?v`Z1~VmDOJR2hTz^jM&RrAtBF!a)`1+ zL&DUEU{BPGmv47m+lwhAZzC%BYNyM}K1`>e2=9S7`8iXshNrhpvm~=*PMM1My>4cA z<8A~^4Y_V=?$yk#)vDb`1!Cm(yp3URHI=*{{QtmrJ9=^0Fq8qg1wLB9nzW47? zC!;LYS4#YsD*Dp_tA86bqSFAG4rtJ5@oKo~t0)P;Z2V<|xv~mgu2`*LN7lMaCfx%v;XesF08a^xT?apaFkbzua3Mb3rKxhXeN>x_?p}FoCC*in zB}KtQS=E8ImGgLQGFjFahWvUag=SD}`V6_KqtjIj&17f$}RaHhM z34=S+Iu=HzO_Rj9P7F5M&FgQiv(AYkz{U%AT7}P-1VXzxIA#RPQBy<-pB#jX!1ls@ zfq413wePNXm3iH=Zi*loF5dsCaqt!qMPEd$Kk(%FM+`7ru*B$t$RH|s4UCAFCAIPL z?q#Cc57yFe>n99ln=vzXqf5bkn~%SrW|F}`?`eq~ z(j_HwV@2TOd%aSDnQSOg>C&&8>}I2sNuIK!6pKn-{cBqxp27$->{PN0r2iefi4l3pKD3b zbZKZ5v^p-FsDd%34fC8%Raak!+zBU3pHk5atVc2%T!i!|JJ z1D2>YR(T|@GVyNv9e4-ZP6o@9MJl40|&1i#(_8u1b!lZP@CAj&G3u8qLLShm)YCSz%urHvaWtncF4 z7#gKJL)R{OL&7p(=n&8b*s|KHLboR>h71l9u|J; zmgoF#F~he}oxtU*!Bk&bZm-8hUT&p%4Y7h4>_~p&XU3ls0=a_??n4e_^4iDn((k#Tpk2vJf1kz+m_))NX-v1& zjqn$hrTF>d72jB4+(wTdt|XkKm_wZ~7So0wbsXb*JV|{RlzckxdNW}r z7rF9or#&}AgEc{$ZzwdLpjSV;Mg1&hZ6<^)q|r-nZ=v#^gi}%;d9P-jMyazMB0SWL zX#$P|i`)`t(_R?Waad8eM);xM5%1RfLClLgnIW*n;N{rEu#2gOv3=|wlMI$?`sGt? zGL4dr&UYiTC>`*id(R1PnskqeWr$V`3G!?4qH^kzN&|1}3cFV(#y_ET4s3fYr^qnN zJ4dx}kUbT5hL18@H;$i12WYp-E!5VSAS!IA{Q7- zOJL9MW$Fw$O)D}QyD|w(Z|&<`OQ!K}kH{yYO26$(fTAz!W-{-#nNN*(L&|fm8O;)} z9Ab{TonAv}%`Hs3#JU{oHIm4N-Crqy0@Tw2Q_WJ>M@7`iF|goZL&g0z@=PlQ`5OuQt2fnWl=wup;-BDmC=g0>k9!C z8AEj7m@L-PQ8hQQ1}xV5G55v@J^vt`#4?c+WD+;}>}BV;)R7pBwPwUHelW9f0AbPD zOv`>jHz1}ya;`R$?c~KJ$jXK*QX3Ac#pehHnJv_xXC3&493_iCj}K zSkw*Em=GY1II{GJ)~p%NwM0DX&rc6_6-l(XA&_e-m4Z4?S4LB3r_M4=2O&t54fr^0 zdMAk^av-J-ZLp|gkk0yci1*LxnX@lDf}L-mIC0h9!(c;G&8H&Oq;4D%SJHu{aWp6s zCZdZ)hxNo)Q28bT4(Ta(s%_>BDdiJ~xp%+9iZw(3~@~i~}KJj(2$?kr;mo|8_E=z<$iD^u> z1##~f+fp5Cny6Rj5&^yu^Z=rQwf*`D55`*cNr7k&1w4=L`{wGj)z(cc92!}raX;RA zm)^2TzIo?%$0L+n&|N$j(}zO-u)l$i8cLlgJ-@UwB zG0LQh6jBbQ;lmD&cPPOnR)!AthpcU}yN9JjMeiNx`;U&c??T__QdwI1?ZV>E&buNK z7I7|kZFcY4pnH?bYP01{pvl5D6NAWsTAKy=p8Y>hT^&sp1fu$m#A4Md0RBLewngEJ+Q;tR0!iHy;f_B4Sd#koQjr_%n8^F4??cpQ z*5AH8`q_g0p zxGn3rwaMzZHNLI6dN6xITQX4i)$LuM#WCY|A0q})e`Gm^Aw-Gl0NNij zB-`cGwTubR!&DYm@TJ(rjPp6+KQb=o6eM_z2n;YoGaTHIK}-Hu!|r_iBNTDHlBpq< zAUp;fV~MvdsDpLLYl@>)-VC4M5d77J0&T(a_fu zcpk_lvLlzgR6{&1zx%5d_;{3C_u>xD72f`RYaJdn{_|4|IyHltkym|JU&skP&p2ch z_DW`mt+8T|pz{8@-?)>tSsX5~%Ix6ZtIt{kjhb{XbQ8@f!Ouf+uRFp#veZV}K_=y* zwN@nss9=qwJ;yIyw{2a7B2bC#+Y?3o*JASQ#;5L{wp&b#DnIMzZc^da^X>hf^{v8#i5$G!@CW!gaQqC{IM(}mfa-*epqTqk;v2dNqbN`Knvr47J613(sFxF<_tlHya%$I;fD&y?>*S66TEiTw<8jK+X3 z)gsY~R>wsHqcqU2v@_8QE2A?}@`P5mH^vnamXxN4Ag5jaK{; z)SR9#c~^&4bMg!wZ)`u>-qQ*GU-+#$-dvTN zEJ~HG*MskcY6i?s8D`fO9`q63niB=_j&1}s;tL?e)kQg?uS+v$(R6tSmnH0ya*MR= zwZWe)8?Jh_&YPh9l52JcWYV0VJDJRPTtEFcbGo*9+EhE$7SVSz5AMeu(3V|^I8y6| z0^qhy_IGft8oCC{sfqa<#M-Lmv3|2c{G8*<;CNuu7cIWtXhu6FP21pWr@#;ep!wC{ z%GYSG?QxLf%Tb81AVqwsRHXAMoL0xy{o`~_sH1mqkL%INAh{E3xAoM`{Sk;QfqV~1 z3$@9{3ow31A9yW`DdVB}du`7rJex1-Bpg`-^fVThS=Qzvw0h$({M@KyV_m7pSj21XmDl4HSZ#qLvQk} zyHoSw%%Vf=9bc0`q z>ePdO#y<<`{w6vu`XSec%`KYtA9OXM3E541&$qHqkq*lkikfa=E>f{Cbu=LrdU_%b zXls}`9%(3n0&cqImGUGEYmfKP;(4>tDi~x>b}b_OsD*F6h-m$>$d^&A-bw9Eri;-C z+xfSL=nwLxiAz@Clz?U5*LpT#DaTLEkJeCP6r3hWo4oZDaCdy zzK2$|Z|;kHLbIX{`BI!pN4!66vNRgP2remm2+i8``b+0HsvCy)ui$6TJ}$&mBAmPp zcbDfbr>BaHm`ZRAe7$vOn%=M#-HX-Yiax&H$gOSze!gMF?s!VO#ux|v3k9 zZmO9p^4w+5=kJwRYqE7nczpp6hdO|Q+vxDL1h4~#*i4H~iEmB2$!mFU7P`Qq`K8{S zLbPUs)6gT{#sR81E1p=W{n30F$|qb=Xn$N|-5XoC9dTIj&ytb;PHP8O*NqU{53Iv; zkXo6!R$-PFd);n%%ev&}$0y5mH_`S70J);Zc`-A4fyw3IJTk@znK0vS7<6Q*-PcYJ z63-A^`DKAxD6MWtWpZlOk@&Es%3YHsWz|?@V@;d=%Xt@VS(ZI2KbvTq6g1wPYL1y5 zIX=j&$@(hKb75FMoG)bRMp2drP(`LUENdZ1tOBNYdi2d&HFzNsR9tD5IpgRt0rYu9Js=)4^U227KI_(R9|CVU*!_zDrqlUB zir$x%M<2j{2Ffb0_f;d;_2jCDa*#PoK{&xr1DC5d^`6o#|B=l{cE{$@#69W__(TUz z_C2M;rJkwn{O;>w#uaOjZnLMpp;GyOa3a$nbxdAn^`T&A$JUeKbSC7sDG-$VhatS>|B>;3*?LE*o6Se$Q(o0r#Gv{#>eMoA?yTC9 zjLc{pg>Vsu(Y_X{y(|;@s6UUC{7Fx06)6TsRxO!S%g@WEwvj^sPR3@KD&S^0YqgLM zJ>YdA4E;x@4N``7P#ls0HANEMyzRs1aS1OQv(fT@gAC7v7J?Xn17SFMvc z@BM}*u{jue!@LzAwwOlLOjyUxKNgIyxIYK~{fHk>P7A;2+XfTwS=U25)QR*V#~S$# zL#Kd9*@4i7YrHCNVJ4`MX4{?tPELFdCSbsdy}o{CA=fvZptrEHV%9^!=&EG$;&IGp zvHAxaRWME-UvgB9kPF+0-XFBxQzUPq?v{_e!ooovQD;`PwlzGz3T;_ydB9oIC9-i#8V~I6etGQb-B&jlvE+K?E@ml=&&8?Z=P^g9!!XRb z>D3>IwO1iN^NBCK}7^vR7tm1f#F@T$1W zK8+c0?P-jGY5$`H+zd0!^tF=kqt`hgR#$xld45o^1E^L2-VL9Jb+6pGD*i$g!^9cQ zcBi-$ESlAR_bXud-m}Etsr5%=tJhENjWJ4^>Gk`LW7TAJgXoIPTt;bRd0k?C1wuQv zk1wHjEUGM%!}w{_7h<{Re`N0mNWe+nL3id7*(N(Huk1olc4SFuT&J*;U~RT(AXs-c z=WZF_81UJWSW9On3%Pzi4v8dv zH}SjsMYG=A)%Bhi!y34TvZFE#d_&&6d=Fj?juFoW5eRED@B}G&n!JrbECaiz8@!cdrxcenpk7cgoD%9Fl50=m9&ZsV(aBr- zLAYkmyie+7ooPwE&&X>Wk z8_0wK9x<~6b4&Ga6IPunRUBedD#hHA({{M*&g#9FUBX8eY;N@W3MENN&B*tEpQ~93 zp#lpcv4(53-&??L>(rc@=@ye*Mio6%pFaPP@%dhDXX?}&Q4nrFXQCXwr zY!_9gfc-S9cV;mG4(Dv@dZ%{5j&vs2uRtbfx}mp6E?(a!KL znFP*|$l%cpWo&y45u62%Tk}(y`y>+j9 zt+>xO-+QJFonAA6sJ~p<&W`NR>-yNpH=@zp$}u~$fSV&OW7UZFwy^L|N3_1Vni%+Z zR#ZOjFHLc7j$Y`()3g_wIgecK|Fs^FS(5$q|1BJd)Vm^k6|;>`ri_u7^7;eqe5sfy z@jXTSB{&rq@`%+qr1umdv(*Timm*QkuS$b$k7(4e9&fQKv)%c|hKA{HFte9z$kv~o z{p0aW!;eLCDcClADoeqiX%~_0P?%tTrBKKQmRu^FWxNtvdpc9IzB;sdFeG_&CY1FH zHIro@J)C(bNksVEEOXP=y5hUy?84<-lsIN(TAOwS=7EvIO@^2KkKg|NR&$#ry@UMy z>N4{2U(FW4W8INi+-VdXlxDonDw?oaYe0 zeA`NsxkY6C+k6>#nkx}f`DIX>oPID_fwgFZt=!|GNqBE~iJFHq`Y!f38XV8Q-`reN zjo-{`!SEZjan(ip_4}IUvt5V&bk2RmA!3m)o3T)Sd6BJPkoLDV+2AUBKGg_(O!_@C zQm}_4C%(u1un(FpTw>e)YgTlCTy{gBZP4+~{vh6WK?Ta=!@2_6Ch2eU)PE&mnLHXF zxL3#~v-`)o-_4@MI?Q(nMMAX5sowUAY-d(p19fWbDBRjTEisA6E`qRPxqMO*#Z$x} zKb{5|N17*G8*ddkV{b2)fi~lFUpp7p0g33AIJEkIWZ7p`0bPnbRrsN`qk}-N6lxQ; zbkiR4Etj{_%)3cDUGbsP<#~GvzC5Ft!XKO`r^c!uGF6XiI3`q=-`Fb17Bltf8nl?M z?t{OjfH6Pu))wr_SJulJP=6)x_ZQpHG03w2nY_e$I_P`TCp*PQ-9En-9X-`X+1&mk z3-la3h4{~;@0{-t61f>DpYaS#2No&r_iqwku9d$BV1RD|M`b*K^XS&ho=_U=H-sE( z)gX?j_9kZ8^2H=ca+kW;UX#6|^-GSkfbqWxf7^Yc5Ryq+f!Q&@3$wE4LWNHQ)!RwQ z+#06hx02Uj-lJ3w8O#d!u#QjcOv~;Z@n*MFYa*xsGr875_5ogws(wm}pR%5u@dsJo zkpF|l4y`z1}mKC$eGsodL z?m3ry_{tgEJFL!%CnL;eAa|Qw`p|S*$~r~|5G_a0rB+Qw;2+u`{3<1SEcPofFImr+ zVTr?mzA={{K6Vkjy(MRKsrFc**Vm;TC$YPVt*?`f(~ct|l1JUuXQQ!3>c^vCHrYyv z4Sxf;m5ax^lOpyrwP2K@k`jjOc7 zH)?A=YfBSCobf#kiMtQAKQu@@aQbX`mH4C$dcA?@0Qtwux}&AQ>bH6&wvt3E?0nD{ zEBo%YUQ+qUxY3uW=6}siB)zr|uxLi7(geo+*Fz22?_cAdtf3(r#+-GHQ5$@o?$J!- zdo;poZW`79NF!6POKbhJtw}u~+EWE9+->}FnT1__s{c%Ou|4&T?vk?cCoMW54fnD| zAjxDu`*;`*ErGx;r|kLjHDo7}YHojWaUNev;JEG8Z#8)+_}R3)*+%BA-t&*WG{S}j zZWcnoIH+evtp^NX|13dt^9}~yLBiPNm{!u)r%7ttEyikhbPV5D{S)gzXlKn6On~x3 zkr+tOz)vbqm&tQFVYYR~4-$2n{?#|p)#r7|(_pUX}9iQrI%_ElRr%lkW2tswQE|Q0t-Wcx?a%*`}lqQ1LIXkCo~XM z{G@=y?e-?ij<~sW6HLsIukCAW<#&l9p@{ERkQ#YOtcj@j$uqK&%-qe7h@l}i07UEr z-%{r31wIv;`cmH}mv9Jg@niFel!tW59UH6R6w#lpU+I!}Kct!wg`tQIyeefU;++?y#aYvf^vKLV zNk?C@MFaC^!)`DP-kDA5ShJf}8O?v-g1$|+IN~Hbz|8*bnGDH~Aw3s(C~AuL@XZE^ zI+GBi+0?QjrcePzH_nIB<~cuYc|!Ia@e36?u}PW5nuoSW@!g#>jTgE=Auw8h3^*91 z+r%qXCVpYo7^2^*u>u|bs|0YPP;Pve36>J=gmrw=5|geqvHH)>+Q_>Mx;vUf8~JUiO7S=iOqK(hIgwN_oS>`2x*v*>?neC{gklNqDHGKx@kJv8^Y~XKEE<92wwcSmOl4>~!s|#&Bf#{o zAQrDt_s2^lG*Gg7V|#9vd>ynXw8rn>GQ9Gns-@usmJF*+vwzGJ-~Oi56Gb*9tAkF) z3{*l|6}+f*bQ^y1ni}s+)J=DP#gsNGi_yv6Awfw4J&h*fd89X2#m}J zj`D`;gwqPsg3RA3QPvwl>J>(J1FX(UvoV_R7%&Ie3P>(P3H@kcU4>KaA@9`D(yOKw z6j#b)HCzI&bA5Ql={cB`M!ndGSl&_Jf&wGKy#+A3ZY>*RZU>uLaN5xF|IRD^?$+ll z-vYCHRHC^VC>c(M)6|2W0E`Qeqq2yg+ym9|gn~NHO=|YP=UVJKe`lELSuuqCqZ2vt z&KBn2d~rRz2Wr3BXl`t6P3-}g4x^EYq&v-XW( zQMZh1mdP;Z-eVif=Tglwz+0Ox{-phY!&R)WE-1de(J}XRULlQMnx<+qGBCi5nA%NT zPlnpQPMSoj99QA8iFE1_csIfuHJcRyW?eW7QPUTE0w@73lz_8{H9w%*Jx3yy7iFpI0&=Xi=??a7-@YzkDe zi|G*Q0j>C4t!F%wXUn*Q%VfpP;|DMSIAy#(rgF8i%krDSWo+D9Iy+Cd|Liv+yW0cT z}{CF^?9o;1;`vE6`V+@6VnQsxw*%fs_lD7$%>`{LS z#qYM!OWo^Ub^1^pv80!Q@)?PpKGs3cmoDOUa8h`_HFJa8;$Q|-lO?3i+9Evl?PU3; z=z|j!VIX)AE+xZtcQtKemDrXquU6Ila={Q;vgsp>UwiFId(#LC-NM>RsRn$%FdERq$x*f&u_#PSDA0y5(FZ?FjFD=|yPKHm8+oAY95X*wN(ijeY3ixBr{dBB5veNWVBUI6ZTu^X3$ ze|6O?<1kQQ6&nV7qN*4M6WY|Aj0AK|sokApK8<6dal;yJq>y5t&P#DB|kwEITk zM7n0m*_8&-=Cm9C2W>S~vl5NR<~K9gTo{h!GyL_`Qb0Q1c-!K&_pEg4PE%f%=tPB} z0BPBZzpMnSe(!-95P_4stM7@R_dQXk*AT*K4aeuGqmGrr6?}*d8?;3geLeq-c1_9? zjF;5Bo+z<+WOi_kDtUBtq7+KS-Z#V^S;W0<>}d&z*bKkU8>eCs7Bw|Y1eQW*#WHf= zl971BuQV{0wa_%P^h>(l>Z_b@q;rJDbKer!aO0?|G};0n65R-q3zETe8|X-wjM}O$ zM%%n1zqo_Z;Ck*Va^7TnF?&i${vTO?S{!ixPW&eJt$$e#5em>~% zpw}-s$lCH3hsVkGBvVV+x}|=>5n>|3TPp6Opo7Oewt`z5S#-HV%9L(v9!|@&W@2n{DT~ zhDZMyC=oc1Y@2pl9|65F_46F6RtmeO!vCUUC5lP#Ub531$MZFvugIH3T7nXhXR3__ z0_^QyCk?av{NK3S+o~r_XgXSnro=DT7kAw-W1#qblWalD{|Kn5B9XMjmjM1`sE02n zydb`Cp+IWT?S|=2SbeJ-c7+WN3P6qrLrA3C1^5(QnV_EJt>C&<5iH5T_&RF}(ezW*Y*nh})tq3XfM$QY2lbR+Cx64ZOKt$dI# znQ!m!VbEq&`(S~H0q&jyuYd+TklXqD-s!|8$65}N2a|xGU4IHMvAYBgZpRh=Msu|x zcnY7-y`BfIRVrSrUwz-HBf#0Cpjyf(ah>^D(j5Uzf!!9CBh_U+fEn#{ZaKhRJ+is{ zij(Z$ofXqiY3_gRbi>!qkwDx=#}TV9E6ydMuNNkp(u|@4Ea0krBS<(Eo5p5){h?x; zCG3UP!^s_y-Ww1PU_EZ(B~U_9D{>fy?5_vT>ADl1laL9juP~$JO8M|)qkmkv)d_}j z%Gdp)h*5ca%2JtvL5@FDnoAA~2$xrY-hskgaT(992?Da)o zK>cX?4>!;1+f-^N*P^FbqWj0FO9(Fp5aq8`w+PFAif1?fcrf-uBeQs|bJ=_pfes?H zrR`{r6;Mf+N_{m}bdJ0dybgVNvEGu}C8a79Bk2Nrh#`u!*a{G(TPJ-y4#FQ{92>mq((&utMq`ojn z$dF%rssMP2;*!-@rDywpnJx7egDgq@VyR1gNInDbYSMpX{|ah=m%)5hZn(A_7t4h) zPhv4!=;(?7T|dyrmnez?Tj@nV2Aif8l`w!N&JLvNi2|)KQ(8uOdnMW1~+1w605{ymd*C zlj7hh-`D;n)t4O-XXixvY|<@5ROR9kj&3SQz=-(H(Y7J+U6|5D3UkHHJY4YKqj+mG zm*4DUAfK>-_4-Y-4D|f{!6U29fL7Ui$9}m-9Ks^{s7WWTi**0qE*Hw2O4@XzX~l6i z!#4idK@CphJM~39PSe5CR_Mu)1XaG%A2`5`J(Lpi;_4gt(+GIIJDVQu1vB<+@G@5z zFGo$b&2%cP*tW;Z+2)-1{%m37l18NQSmEp|>%1OF3iK=n>nkaw-9$V(4e!ioA-?fnUu-;+qu^_F?K@dkgjmDXH17 z@>1``!|#fSyEQH~EcHYPt68|MTGpm^3NZ(bK-q};t2*}`9#-vH&`k@9H~&s}B|JLT z{5V09w^8ZkHhD5GS{1ki;SB*8RRQ%mH79-ji}*w zv6`gY=Oqvy*tB@yQvu1Yx6^;eE6LTMUbdfE1DuX=rSMe2DK1-w4B{M!Mg_V)P**DV z?CgeV%xj}HSgbJvq}N+t7d=EfS|HNW2sxk;#Hj_|jOSux{T1B&54o&}jIkF=sW&z+ z8Fqb%deXXxI|puDnFzTG4!Y|L?IAsD8>=jnh*1@Is(w13;wK=OAF7ee_JN+1Kg%mD zEpv*soTtLQ#rF{GiJlWdAiV0tpp&Mq@n_Mcq|lXR&X^7NV;J*7-RiMJ*^2vl;mkROZ+qNff$wwM~T03TRr-g;yvS^ zl=eopr}m$jn!I6s!jL#0uc#Q!=TP0+pIvMr@!5PBaRG%4kZ#`vNq^7!)NC_n6O}hv zb(l>BwY7Xee1U1LD9`f+cgs#^Xz!%Xq`jHF&sW^_EM6}lqf0|;7lIH(wbf4- zas#Ehe2gY_w76`t2mK)R0}%@5fYS0dg1}+d#(-6e0d#-(q4(G)`_;b6YGJz5&VOK8 zhdK$*@1I+x@c)7J7gEO*M0UX!;J7$EIjRz`(I((~vR)5g6_9$&k&%YMwTNyc-iYJDm=~I|uT(Lk31}Sp zB3aQCVlN=|dkLwoEMkOjm_2`zHGa=nUXJ~Dtu@TlFFA4W?Vk^)C|F$pO-RWe2M^xX zzH~&kdSx#)p&IpTbCCvz$c02MGHiCqr?n4JN_d_wpToDjmB|lIXe;{6SqNufeemjE zQ2lH>l+JzvAB!YCIEtxs_ELEMxMqT=|FFgT)h8Xvy=SNk-Ny#Y|E^OG3~is~CbB@_#sIjQ7L9(;Lp!ez8@XmwYl=#Jt+(H}BdSIXB|^xF}yLUZxa&*s10 zX=?^LY#-dlI=;ng63l#oM|8XutDt>NoW}Ia{BKvq_p=FNixP{S7t>}>d9t(yjQJD? z?05Vi`bk~F?K;$$!pfz|E813h1_CS52>bVqKoB#2{&RT5j`gIu2Ib*DuvbV2^Sc*) z(xq97SCNYR5HXBR{yKF)yDTpC?@oQf9^-jpcPT^OEh)LG<=zMMvBX&ojLS=GADRbK zYL1=#3ehKM>{^JY_FZnJ1{B#VK5Ejs$lW~MfAWhE7 zZl@AxmX;TL)N!dlp|U4FR~e^CpGvwqmTTEgV7T&$@zVacE$B^nM*c6CJ3@%&P$9=&DHmypsr@OV2y~-re&^Y$z zvTCms?)c@lK0uy~U(s|KC+7mZ&Djg%+#0x&Scc85nry=M3B!*k;TULlKVrBz(D(J} zG9f49${ox*Gpn1rP8sr@OpE?qlAU7A^jmfPw?`l>=nvX2za-i33{Y^|Gaav6PD(HQ+9Jq4?OBTxGhp z@y4mFnKqC9K)f=4K$}IQQnv7ZtRKS^sV|EnvS+~Y6aSf$g$_MFwySG4-roK1P=WDq z^-){k-q2?8;};*u#jZ6vCQb7Tw%vB*tFU_qxZXrmM%BiD_ql<1lhsE^fVP{iRL03u z+O7*cZ_!xuDS)|nZ43^LNaII*Uec9(bC>R3Ec;Uz~Z zaIaR0)(h+TLRes2E`0U7iJ2ncHV~SQm+YOnka&i6t_yf}#OXTV`FCc+<(>4xBKckK zC#}k)JLCjTM`S$@@u6IA)@@9Szaj1nmuVXm0|h67l5eIu8y#&gl4DT}YXJD6qM!}E z6STrXJ%#>Yx_hdhrH;N^3d-JkRM(3-S1Kb6Y~T618g=z_Op)F<8rlI(@114QTJ&{4 z>BzPXROPdgj32nlsQMzh`keb`G$Gj4%@P#X{W?}M-^;N?p!EFRK~QmcAxn}BWZ(&& zmEZz-iqQ_U&j&OWZ8;y;LL@D<$CYmdF?wn-z0J+R^|)Pvh!0%Wdw_Q)___Kj z<&5vK^ARbMVX4QZTG4{Dwo{BO?{Im}&El1Hl+llguQKZ?Rkh-2&8;hye|^r)Pv_D+ z?PhZPOU#PTkQ(;KlZP_U`SW$P4$B3~p34XzECcU+vWDp1tPS|F{0XHU$fcZ@hvgom zLqF#lbV^dSTFOgd;#EIE(g2<T5?AO1JvsTRx~o5~*gxR<3B!Hf@Y zo~1BGzb2Qa?vTIkdQ&El5{2kRg#1SaQ;UJyIa#e5VG1(W(bT7slRO$uW##5+w{QTV_RyVBHX?z`a*QWnyO7e+-y-`dWb6aRrNptKE+RdUsLXLy@9EHy=&NGkw}juv50lN?OMCd*g@hqH+B(}uz@#+Y=2cXYR@ zZ+Dr8wFAaUZFlhLZ}DB&++P1TZjNntX1r3H!`?)w#G0nqC3n|vO}x@y89BMgan%&i zwh#3z4fLRvU>2tj5&iws~RZu3tA5PxwN-k6$KG3}KFXQsK3;-9is`WY44cPv`%pnzzP2gZfr8{?y=8<9aF)*b&0C zAD>qE9~mVr(RAjZO#<)I=@0sG#*N7;U;ElPRckJ10Ijn8*PLjzq!d&ACj4DF+{(9K>8#(=;adm(SiO@cM$^eer#+XePfmiq=$#0~T74 zOL!G`-$4%Hy)SJSoV}J1cN4GHE#=x)?8n|I9oq9darop3brq!jK?3{{R|bvac*jIo z2KPHRujePrDgs{P^QQXFecxldr;0LVb?t#Xf!(0U3DCdP5I~UZB^C5o{62~ESS*k^L4<;8$}4*^6?GyM+0(%Hg8Ij~&Sg1r*t?yI zG>ZL(_!ci>eKfvQYf6)KWrUxN?sPUPKhFJ?ab zeI7YsqRr)K)_L2NeCgR^Ix}90YN+#xup8a*+N0H(&5}E%YYC9npBZaZ*d12wxqVn!w6aonJCA$=rr5?;?LBW}!j@&-%+jI7hcdHj zS;4kh(rfgbL}=#mv}fZ(N9J$Gn`}9hnQ;dJ9Fedm_2UAy#Q)>y zJRGU||39u$vWsN9m6aWt;oc-Wl)Z0dyS8v~xkW~1w#;N@-t6sWuaKS16*4aOniu!p z&+mMHe}H?PbKd8^*7Nmv#x<7(sj+Jbw}a-sw*dfwwQ<3SHbX}|tv1k(ME1~(FW=}b z+J=BN*cARP#fRWlk4B7tbTktjgT!MnH`5N(niQ^luo3`Mm4bK9{Zj&g`y-8n^lTuESIZ9~Ek-&@3znqQirR)@8Ka&IdNci2 z37z2{))vlaP#x$AOLTuv8u07nSrfn1Z20HIuoF|k{p4~@_k7&fY2-B0Pb=y}UV5uW z?nmaKz~kNIL=2K*ksx9eX7hW$Z3L{JOPk_q3+Y<1$>8Nysc3ea)GM#vR?RGr+0_0< z5S)P39_@EHA>ViK1B1tY6+8pMuF>PZK>EQm4b8uhrR@mpO6=`ztpQhD@NVSP+sP1x zZNXoMt_Uq>wwHdy+7CprKEK@loaW;SGzI*0y|d-RZ^To+2>G$pI}_eBB{d5BIggg8 zCQI_e`VK8}G)gos9&a8YQr{Ytuh|Y8K)4`gXU#j>#g7+CEO;IIIA`;>b+uHlkHXmo zj!QIdoEii&CyC6XZCA155weN@II|g22ps`|``4mV_vX!VpO$ZY=Zt!DNOGRhj_|2Z zZIBRF3|8a#QSI?!qOhqgyz(q2D&97ct>FIYo7i9CX$uc~$$d`8OQSw@!+SQLg#QG<(*^r^6PX1L+Ync_5lgORSh zEgKo+4qqkNuepin5{6N5X#X;ZVEdJT#DKyO&vUu)f{`cmVuZ~DtJ4r==hB!^tA%sVXpl{l#TTs$hld7ra|YyE92--7<(=aB!-8GrC4K9- zMugVk_M3z8wmrGmXYc=hhgCF~#7fg{*YdYrQ9m^sl3g}p;k$JOMfC}Qt;}a=8^rPz z7%)0DdoOjCe@6)f%TZy3HsBY(QPPVR=lgk^Z-IY61$^Znq~0Ivj96iiw)S{Io@xwQ zXl=K+8!?3Os<%sGqPDa7V$vg-`MnRBb{O(3_Gk2L(1BHB3a1#(J(Q#d4gL(Y)YTr6+W&IH!!^$ zUm1A#%x9X^zjF}#El-X=J?P&X;5rNnf>u}&+|xNJUzDH^@1G|R1!ehx&PK)yT6;7r{orUrDIx5rKDqDe%2qj^0Vp;BZs|qDMNfq$SH-l>>3kB zigq&p#)$RS$Du!Giz{6DB1b_XHb!x!H`v&nv)oXuBs?kU%mOXqp|#}P(z1`p<&61p zT%9U>Fyba2J2roCO51^V?A5jH1v6An72}qxzb{&K+dF=@>Jhy?w|K1@P%ydTu0{jE zK>f~xk7(MHF~SQa#nL}JHln%XZcOtde;e!T9E=(6Hzt0;7!2lpiMh_lJc!C7`Z`}i z2)teM;M%hJkO_dw6uN5RTx)IfBjVzj(Zd8ES5JRB9+iPUm%JVj6_J(zpLvoVMdZI! zGyeng)D$(jIGkXXOEJ=ZYdTN#cHxy?t~^4xB)ON9!324QimE zhYC=FC_;uwP1)r>e~3~ z)b!t`+2{6Y=DbF}LL4<%hLs>XPYTM_ka=_+YdFN&c69;50Qc_!gC-Enh4c@8?aX?O z_;|lR@euuSneVM*mzd44{2#?7Q^@ZS#_|6s?DQF&8v_~R_mzTiRhUci?Vxsn!F{n8 z6AEd(BEqR4M1jcFBI+H@g$rqC+aC&U564Y%W9+c)RjqQcQ;p4)d3jMkTl2w&df3>2 z5)w%KVII^3kzOVzC^JG3Gjds>J|b4eaWv@Wm_iB6$5m`$z>z zvKnzw@A_yyd#V7+2i858BTIAG#cAT&7$+34l;E2@5$I^1!X));MhJYC%Z$)XtZ~1E zHRv1-J%NMKrrvIX1?+$d_9z$?UX^jgG}Y?f|Og z{3lZ;T3-k8+MLc5p{HVs>b0>S`ND)Xe*{GTfpkW&4WP1=biVKMh~R@a3oy?0iU+r? zv}(u)hM8Ii0>0+}&c}X`(<^L}4TIJdAk^ZIx{$Y&xG}o6M)=~GHAU{gzDY~DK}uPR z6y~A(_I7qmcf}r1Qb$r=3k!qt5Df@w7>W6q(@VT*IFZx6C9N7+WmBsqy=-xhNpVo= zm&yW@y^8#pFa_UxxV(>CH^$ziP^c^0pnbh~VP)V%eo_z>p8xEl!{3EQ6zsfU-Q%O& z%?a4cwYGtMwhoO;4VK?a1sdJ{TSdW8OUxLN?*=aI?8buz3+&Ph;f+w-rPDwAtCoME5aYkj^^Qqsn*BpC! z^Ir5tY#w)HDWk2n^B~Ob0>s+O_5|10auo9_wf?9E?24~FM{>0RFq9<)$a{H|N=lmH z2?~NgKLpDW{5_WrbCuz5tVv_E{UbY1O#QA~6hRGNtSv{d06?b@SCqI8gTh5fiVz!+ z%RQ8GTme-|hrga1x(Fh{#+Bamrv67k1CxKTzPXh>neqvIDoJvFPoW$zRxk0Yhm}q; zp5!cW**#nN1Tck42uY>yDW|nx;5sR)_R?GboEx&ghBXui+=zqsGq|GPQW*L}

@p zJWKy+*a&Gp>r4XnN9_r`8rD#ZYg)yxI3b5;3{p>lZ=89L%0@4hbzGnRJ08LxFoQ2l zzm@c?Y2eMugAPa>%i(<98Zz-ToAy5nUj9Skm`y!FuuPHqL5Gv=@gA%g=h1a=Tc=cm zb>W&&qIFKXqS5UIqDyfMzGim_c0T49jMF#P4FYv;Q9&@lWWnIuBB2I?16 z>;W;hT$HF{XnPHBB*%Jll?HDX?Wxgq5D|QT0=h~JAlSmnc0lfF7t3pZ zq-`ua8=gOlY*a7-6R1X`s(u(hrG=0woyDwYnvD z2;%Qw$Q!Jg1?Us!Gd!Q%Q1u8O9pC(7sLF^*bEbM?ZG^IL?AL>(1eDB~XK?|K;i2%K z$X7dojr>>MUmZ;1w0l(?rsUcVCycIt}mL4zM;R>fY6NOL9XBShhM+c zupmmtN;&nOmUax?S%<_cPCY~hpS2!kZl5SP>!fMJ@-F}}+;vIZS#QF;Fp^sC!|^4W z6#<&6Yt_}!c*2ibxC`rKF4vNO)pRTFBgp@HILjpH1lT?pL=|I zVqXQtGp-ToyvHprW8d0ITQmJTZ0=IS+nADAZFl24hI)(DwWhH0 zM%8_Zgj@x}?wZGKV&F^%2W)o6hKAr@T1l?7zn;@J8(sofQ_pNGiB`Rr`1Sqruz7Ak zupe!UjwB0`nmQybiK;-$-7?N)3n;F{y!5&m!z*_ay!ue8%+iJ!5glNNfi1C?3cwhXR22iXxH*G3U(an#PyZn%vy;+803 zVEr8V)8&ZL?5_N@9}doa-5cP~Z2nl5Cq;$a-F>_$*1MOfk@#*WfR&c_JUaNMYqnoi zoZ|yXd!rKt9-3iV^3W)Szr_tyqOSeQz>-nUt5}YH0gxV?Ok1`_{nfY<@@l1BY6qIy zAe_X&D5GQ8B-`NqntbHk9W*PQv{;ysj9e{>5CPU7RWU>{HcK&RI(c&s}$Ae_0z~ecB&wR z)=})_sWY2<1XQVP^ST&P_eU<~e5kI`OO18zThcSMkoRW_&Hg1W8WIhVw6Y=|5l%1? zb20sZxCMV&y~apK;54voI@Sr`)%1-SPBHnsG5zDK3eVo_!#{(3rqRewOQeGi^272? zf@vv?M|7sm4S(&`l*jP`)_s479;^sh8YMYl?*1lYl}a64<@ux1DObh8N5FU45>i3{ zBCskw3=^MsYJz8sDN*ro7K(<}N1ZseIb7#Jv*)h0U!SX)gz znf0{D3oTe>*+`F-IIgr|BxG4E4mwpgg9$d*X45BG_JGIaD~e}jE}Ffo3eFYV*?~G3mQLd?q;ny zc8|@a_oU06SwQ2&P_Dk_bq^AiGlim!B6ALysy{PIM)>h^Z8YuwdUU+~yL(VAhN~)bZiakUpx7 z`7J-z|7mcz-ry$Ja~ttNYmmqgF&9~$`yAYHht8RE^_IRZL5a@N|IArleFSSWu=@Y< zw&dji;hr6YrTx#McJ-?b7j6^fD=^IK`)*?4k%-UfYn$i)QFuRX^_F};$A#(W03Orc z65R2$Xo4(YEwfj<3$5KR02;&gz0Pch9;;KjsrB3bgW~rR=K$N|24kuNjs@=Yu3URn z`a4O^5F+&)bW>Ei$lzm{A9@v$0Nl~X1Q_126UkoRe(f}1MlT__!fAXF-ay{x4EUOJ z-|>*0H&t(T>Hf>_LuQhqnil80WSUpF#{OI(QftTqU?Ef>O9mCyriQ#*B%Q00@Jr*< zo4BFl1pm1|dt|O#Qj*Ym5Y82tBP)C03^7#E9JHDz!<=|WzP%M`lTE4fw;}s}@Aa?U zzRV=R$TVAS`9uS}M>tvhU(33SKZqrKY2%Pjf~7v;b6TQhf2jUw`IbAR$LKS~&&sW^ z5>bqq3@Bpgbh;I4Xs%VpGhRE6Ud>v$g>)ealBwTdXdyQNlINmCDQd>>+Zv4 zpi`PL=yc!J!^EV?>hX_feEn=&_=BG-H&N1I+*|V;imf+HEkB-T3cbA-F&13$^%8?F zMuv6BOd#z&2>b_K3!p2DaOZmDC%h&9*gSFFi8u0t+!Kc-=J^|ve>Ve?2Em5EHY9q0gtDsv55zCkrR;Z0uk;Qh=i&F zcpYxe?pC8OdQJS!77I>-$O+6icePqc^_%3WFMB6rH@E#Q7H>Bl&S;78sU-=xCKc9x ze|`H$j3DB6fBLxbqk5Mi+ULtFUh%wNOg_59p+wd#3{?+0Zl%5>y#(>He%#GBj!Gp` zw<$}fCJ64%F~5)RoAKi-xiD8?qw*XR=^yCe!4U(E+eFwG=f=2Ur>tb!>z4}ft^Z2F4}%u}vq!bxam*o=}@Y zIij*o+Kc-0Q9L)b;RzMXv6W)#Py@G7am(C-wSM~8V_~?fYKe(+V14y5Bn$}S{<(~# zl#Uw&(@rc#pN?>_T2+a1@!a`M%G#UN37p8laCYYu3l%b^P)g++gdARaV1w|?>!1&4 zTO>2#oBq7qIy8#y-?)Z@3_lOr;D z>$4H>6CkMJ^~%T3+X$r<(Cu_dmq((0nOC324;?&o9T+V=^Q3UT<;ae*AhrHSVVn1f z{!$!v!BdHMJPk=u8Lz8red3QQ&E{DwsWEIO0^*+_4*b!-%j1pd-VoP{#;mi%q{%FO z9f_H(bmH5(pkOKb;kvr8?2}!aUR-Y~`O3D}Inw7Iai^l%Ubu{Zp7odB3)(@&Z!zQd_sq|;stV^96ygCvb z9WfqE+;7jGnOCeIVRXJPmc|b9CS|A5yia-kGl+`FEOP2L=?bPIV5}jh8MyzYuuN*0 z9Mz|7lkOGAb0`nj%A%-V@!fQKwqzIPjrX1zlhJNxLt+ zYr%eVJHF`w8XEtYsm{j{b3#ChZ29Gbnif3bcpkp9w=9>^RCY7u@|m4zuR1rGb?Kw2 znhwzmd=J}7sNLht_|T}tSQLCau(hIcA*^K?{D*u6s+v4g3ifbXIDsgySD_vF(k3IGXWThiq-wGOWQMnRHRB`6Ybo)asdGB+&;7h*!A$ z`H&70MWMkPr*4c_I)p=dqh{tSAj;vZ{Rg$<;|T^{1FMg?Gu}2aMuVs~aMu?D!#^fj zJDt&S00qEic+_>{Kc1W|O>6H5wjQ*Cs0l6I>k;9Mkwi7Y{9pg2l;9MS z2SAYD_XdJ4S92Ox4)#PYgXTrPph7?nKnH7!a0dRL2-?Ug?J=_RIY6f=S4p(3Pc}=U z9iYMfIkXtzp*dBI;(Pb6s{=30OU{Ul zgF4GEg-OQEaV)nae=a085@OzV+yy@0h9KDn6fM_j2*%%?d^DH2re*4M!V}J-KYUFe z<~y_cdt>*{Q7mbL>LV`%=Xt%V*~61JcTDx}nPg(8={TPeU@ha6`};#fSijcB9e4@$jV<0n0-(I~WrTr%*@hoG}B~7@FXbv&cEnGNa73$XlgF69&>?!8LX`9B0R5YB8!ElTXTu>KG! zTw~OVS)?H$r)o}TZIV7Oi@WcfKhD5_aesiRujdea_LV9TxYEmc#gLWT<-r@c`0Ut{ z@1%`co+a4cK`5viFs++QKlLZnVs6Ty=m<6IeYrfzmW?8gwBQ7xQ0KRnQtX??jM5L3 zn_j>4f6&2(8^hjTr$*bO?jq>$a^2`V@MNI>Q_s?2?O`5QRqq>T8&%<;ppxC9K^ZDX z$8uMFJygFreTb!cqIb>E*+P7OVPV;{KE4lx$cl9{kg+P=ba)88*>;C|d!(Ng; zfT{a&CB0q*Tcb$T-&H6Hhgco%jS9cS^v3SzYhky;;!5J#0~4~4tBn@R>8r>E)m6O` z3|7G7Hr!u(vbbsbI29>`!m9mbQdhX96xMi;yVd(~;sgTFZH1ctJB8MwKAxbN5#EG^ zRsZ(!wYIz$WQL6rLmg#lXSw4oEpD8HT$0Oa9%zn|0J^#{*r~K0I#vA5MG?} zW|mCZKgOz^VS8|?9og~XS=Q!okJ)QV`Rlb)vF8N2@FD}_9G&k9ZZ`_gk5~LX#MH6G~ZNWV?yeW_s)XD8(*AAEwGC0yo1d%sDd8EBKOD4sy#(a?LfU6AO zGaB97X&a%iNbiHL>FMW4`R!Mkreq^;AO>*qD9-+2MZ&2~GVIAXn!-?qVEyb-9DR8+3-vn~cPd-NynWf$!n=l2ZD{Y9fR zkYr~9-v?+Zl%Ya;iT0-jPu@2x72g80?U|Vd)7x%ue6FgObMC*2SZs2Qr{+6DNpP!& zi(CpR_?TBt*Q48sqf6YC&Hvt%YkQte=T$|n0$Rg{?jcfZhbZFD5+&TShC9u^>k7!Nyc%A7TMtaguwXcD_00ez*s za^g_&5;URpxUi|l>2D`*e8zEUE@au2>VSb1X`yw$bED(HYul2m$h;EqySr5BXuixn zxBFGRlYo;z_?gB7Pa|OLymfAp67A;;peT)lq8hnTeT+PPRZ`CB+{U^6HUc$-;iAg6 zE)nIxMF+W;h{m&i>&T{^!oOafo5b7e2?TY%JoV{xti_AiajiRH{)wY(K~+J1yu3FW zIv6c0Y#u`m?_@yULnfLM?mqniPx}|Ve){Q-E$PT6vL2C`XBbHv9;aRZ$Q%7YTc^#k zW2~r!`)=)8P(q}|FOH4`udP2LzYKy`jQ}4|A!U_y`aZbQBUBUeHF8d8721!QTj+BvILy*(u5rQ7euv$GA3BlR~Yz9};;7k`(d?H_k)V4@4XI9949Q%~X~ z*2b{4Rm7(RopnmQ`WF-+J39-tbD-z1ctjx|OtH2Cur$pd*4u{hHClUsq(>nDdl5;a z+|iytH0fMJK4kx$^gD0A(Pw+?_vJ}0Kdv5~P~0?rfRgsd-^k*)-afgXwllh>9l>b_ zZHrW9V5jcnjt`#BIqM9z&Tlf80nzVdXU8oepRW3HvjwfjqHhy)kJ;8|-?4wpL`43I z*JQj%&ILA9w@OrPdcLKX7+>Vl3rC|w4A00tm*+YsFO(QDm*~igsa(*koam#R>Vd^9 z&%j_?`PI`4FPnTj)hEEIQG0!}bBt=+$~U{kzi))v)dN_C4;@v;=_I5*-%j1)*BUjg zri{nbDBZ{G9z?WcXPQ)U#c<*0K8Nf#f7(U0M|H>(6?u4wU(bbZ|zG0G0_Y&Gj+-Vo$mKtdjN3UtWE zzmb2N9~$l4l1*H`Og7!Agjs4-R#t8O#?(B~zb^U?TPQaCZDt8{Jcs|E+7bQpq9hLK z(tcx74Zl&+O|p>R7yQwYbldCnZ2r#t1Gypc+iHHxYp!kySywx{TXAvdQ1Fvo8@^Uj zh1l^5$AEfX*+OLnV`{?d+rg>cU&X07y%jpI$sDZ^nXbP(N5cd%ohtWb6d?>QKHIY1 z>Q%FH!Vm7>YFt7}{OYFTx{J;H-Rv<>+XHQ|1Is6`wY5aXaA04{9y;x0T3QmvIUPTf zIycft__sSolA`k9G#{GO>gl&7Y~Lp>zgsUgdITtQ*YAyjxl1|NXrwk$P=by6HYD0BIdTB(uv#RF>&6Nv!kW z9L>LZm}q>i{`ybf4S5t0HbOJtY5MFToh|ey{n2%KtS>tF-z6JNR?x?K4~|a`%0C=< z#PBTXk=K~=(iV|LbrssBA7vw+r8cV+5G)rZaD+m=t5o2t$+U-x>QH|!V6OA@e2EK? zmstfh0>BkqIwoPy2lf>XGQ!jEH5l1SjNSFtx&hmHM#s?9gU^w=K}>2M3bqa zB19MinW7C^lP#ANk4?0&RqgtdwH5BPJ2F-xY8{s5kJm^vBCs5D+qOi&vVz~U*^cL1 z8NuYRk2^>!-Q2Ck$p3Vtl!Ik)8%V;+rTS(@ z%FP2p?ddrg>v})`#2Ll@<@ts-D4XT=sD<4BY1Hu`(;8?cHHD0ilS(j|b42ZA-MrO= z1pXLJ+$LZAqO+lr_G*&3p6;Z$X zIIhR;%I7P)&=kAWNmN9MZ-J>z=^RQC5#rZ;sUE=d;<|HH3>kFzo7m}lWM{*6FM}rc9ny7X-hH3I!1y!OQw!iVTo2#=l%g8N z-DDfM%)Q?^d^ckvNyupBs>6oYnyegbh5 zuVAo-ukikn#5WiZ#_d@Wt$SHZ~`C=)Ojw5pT+ylXKXv(Q_p zzT{~qOnS=yN)J<>{2`hkXVjWy(2^AJ_edc1%hJF#ALb+&n7dn@31yNasK?~Bq3hkw zjBXtlIQB5DJe6IivlCX2W1BKeF>JM{bekIaV%7PeYR1vvk;aXV&xGzv@W4fU1-e? zV6~@H#PxVA%3!;J%v=8%umlW*Mp>Fp?fu^NTpT@k!2VXW=&O3eTV{x)FkAL5xcq!B z^G@6J#xOs-<=EP}$52o%8wUTb+8~05Ye-k%044^gIyTS*A>>aeHF*$vMM(jp1L1_X z_IAkrRoq~&u5K5qz&|<3H-BIXOuI#UMw=^9&Wh^ zWrKKXz9(ZXK1Skwndq3~;QYY-@q;?r`(xLo7{It=V4%5D9ofCY0k<^&O?-u)Tw4lV zfmY?0SpXh~6MMe&Ci}Nc?${p{J?sv@%J%!SrfY083J9DY1VJwxU`EMZ1=lkx3`$^t z+nR(@^F_kC1?RCwnm_mdm_26DSLdBaL)L^WsiJ(&l44rsNgW5g$D^`_X4#_oVgYr2 zhqJ_lTCfn2l3anMQ;B8(Z4~_@tf;@~W>q@*m5%Dbmj? zgM$+9CL7FDpNGXDs zRP^qs0$yu{Pb(^S`n{wdQ0B^XZcsEovi!nk4PB)=glA zk}vsaw)(IwcvzIv%jQg~^#Fa6f4+?uS|GDBMtY**zSpJ_Z9)%)Jid4~?JxwOAcXJ0 z>cU;b6STi)U$FPmF1nd5>)>iRarc;xgM?MvMDRFQank%p(R`7*l`wQ29U1+GvYcl) zp|3)6QCUsx0TDggPRsM$j_yB-N2mM3vaa^X7Vqnt%z@-KzwbXD$6Mly?)P=A-i3Ux zAX)0dn)7>FU+Jr?Ow@sQIB3=`rp(P|vKOs?|A{UXMhAHekGX{SHF67G`BRx^!3!W2 zdg+p!IDNL_6*)>)b-qaF#oleaEtv6(?`at5k^Q~Zz%!!o@AFfV0;aa@Q8J_l9x_^a znu=U%%tw7*f4Cz49o+&P=G`WNZxOa2#So{n75YURH`vFTcnr%9d!XZ#sY;2tZdsi@ zc0F3wt8bB^e#~NfSu)(lt~$~9DfCMqnPnV!tsb=-_hn8t$oBYCvY*M5Q;Bs*9V?P2 z;bxLGQGQ~hB~%&kJh76%?NNlhH+>g5#LXIg1bPp?kC!Cqf2;5NumN}&^jtlVqMZNA z^{Ag}B@>%$IwJs)P4JG{DR>WIHl-hs|Fg_y*6BL-l=^Br`US*l7-5>E81bRf|I*uwTQ=N zl?5=xtjh0C~pM@#+r`sJV;WT5%B3V3xB2nfVhY(3o7+EbU)*@`9F0roEm|~ zd7_>c3+!Y0V~sLLk* zYA@+`9-+q=Sg!OHRkCKF`AsWJ(0y&PSeVas@UYx#R%v!&C&WZVokRIoMX-jRvu3|Y z=TA&wW5UC^%jMjZbf)XpUwur!K9~LRnY02el6hlKC31v(Qq9bFski91+pirr_GNS3 z<3+-*ijA6|I)egNtZgOu51XD>AH08EFFeiB#oU#XWE+I<)lI-n;16qZt|3gGj*xT=`}$$_THS$^eBm>FTwzvr zIm0y|7*Jf6^q?r9aKV@M!XvhlJ*E_%>pzskZ0kdufKc!N2@94u1?bK0ScL9(q$2^+ zcNh7#J;1myV*c-=M?4@D zQaW|sfuu)sAZa}+b{Z`i;!@xF^B?Aw>a(qKBdn9wvx9km+OFlOJ|28H<9+t6*?yN> z2J4IZg1vD3||4I-wRg^>HRYI@l*pXyx$yzk8C~qQ&0HHyw!!x~`wO z=(rB>#d|8CK!8w*p8Ur~aQtN89hwCpY%M!ciWRf(v2TXMQc!)8rbmE^#pB^BjXaqzi)L{31NQCh3Sbh7aHN3P9djZetV zry&m|dLeOu{@0nqtqR{Ce?@JznrF|V?PxVG1`%)xL8#Z`)87Mya*o3^5Ay@d${zn) zXeL!@J(@wz*Y;+fPw$0Z;l7bx&`^$HKZ$9Y-b}x>5UJRf(O=^B%Ui1-VX8&pWUil9 z*4~MltLeC1{G+-gDp>YEipPgalW|sF25_g6sxuLyH=!P{e>#UxOD#jFO^eMd-(_u_ zbgQgL8=dJ>p!xE`OK8ylPgc&LZMDXgrzjgz4iZoTB&^hcx?{#D36Gq>yg2oIZ(rIV z`kpfw0~5NEG4R$uk$M7_ivkCEbNs_#c_CCqfR zN~|r?=I;qouC}}bL*((ClHEqe57OEptNUId3Ir(TAeQ`KrtQr->J}ghhogIvvSRw0 zyXz{JR0H7g{MR;&)P%oMP+Vi#1pqiNfpKHY%GwetjI(#<#{B4%^AGr^<6$A0f398O zw`fmqyttB(=aF+5%6H?3|6_MfpQg?7#es2g2Qk-{xf|$7 zWDVOIo*Ix6I>g~Iy?*2e1b+WAt@XSccc$*H>$gVCz+)7is(k5csUEQN99qBsfii4w zGgI^fG(Y6 z)rg{&C)qVged6Y?*naR^x6eZEPi^C=QMn_fWoBim_x0!}V!15!$VUxlbLQwT7gY3k zS@902)>}^Z!fZ&1Vu(PeGMSTqh71NiJuIEDiZc*`~IWgZi5Dt5w-C2J(-1cGv993?FJdu&;LTyHGHrQ zy~8l7sYch6q@|QMiTnU`X-x|A>X6;jgx)peEjY6ONnCh)NyL7|ZQhBISlkm{{TrK! zc8;kjsq*S}L&aSp0LK!fIo-y6X^qgUnXzvS)@sx6_u{pD|0DHF&%XgFuZ+Kjp<0xQ z7JrZPEkO#VMc2VbQw7sD3rj~5GVU`9gn}5aCfAP4E~ECPESPZ-334rp;Bl!pWar^%|engCOolM+QK*MMSTwMFC=NQ^W{BCUZX#)r5{-?sj^zx zO;2v0I(w`=@%Y!Cb$%3=Do?Oq#@NGhA~JC4{Oz9h+C^2t}?OR-+?0If40$2sWLZ%qWColp6P zlzU=~)A*!h{#7DQCP@Fb`Mby9SQ0K%Nm z9heTi9>Qx$gfum^f8x}^sm|}*R`(VYd}Jk;QuOp0zM_zXgFRIk!@+tzm{d&vIIwSav$siu~yJ)D(`_6n<7E0fe(F_?F|E5xiwzY(>bwHnRng z@k=Bx04!J~+PhS}O0jaEZ-x17lr@6$RrPMJSQHjM3%Ye2f%iSxti&+h8IvlS zCg=O|_xx(*5>;FJ^oPxz;`LSRhrzLJbRYCq$gi4^ZV)w@{U7)d0qQxQmQ%dE;=-Dl z)83Z2z($d#^@my7K>HH?uDngES(rV5Ko%de;Q_?RhV$F9bryL=p1IB%kGq2%MA8&t zEly-$i^m!C@oa^PtO#%H#FjgU2m<@25*Ar{dw@|EG>7_60c`Cb8H*yaR1n%mwalhVbDWExy$>^$k#CtkK8@k6N|3ZLjh&vT{R8}LtRMQHPBa0-_QEUW0y(=-Sj_z`@)KkrVxvi8JS_XXelom1qzx6ZwIO$YI^ zA7vu$z%KC@S?sXa8iXFXn#c2q1pK)h^g7%TBkIRN7Z*^tWBNTkhVS#-l4ey{@m(uA zsiy0XlcDu_b?MkSoQ5?%BpC(3oNR968KcBb7IaQw?XVY(^$oR-P>5Yps^p6ZrMRrQ z<}C)c5N+T(pQD{g8mG~uI`BPs((KEL18Mk)kaP|Q3_f9>rQhHXL1iv^M5TgOB9W;n zOtR(DTc%fL9!!bN25tYd?J+|+Mna8ivtS`heniFz6fL>u6~S;-zi*88AC&sQqsb5;1&sQFH+YQ-1cetOD*2(Ec9?7fLE5%vB=q-Do^p!+ll7I6c zLMC4-J0>V zfo@~a+>%>kyGJ_+5{0p{THD*AEP%ORnvMMKxI*~u+~6jV$Vd14`LnjD$}ePW|A?g)F#i;$xp zo_mSLO-o1tNZv{1%2o0>Sdw5}VY!p3d=dlWuu2fm`R;Nz&$W4?5dO=c?s^t2usC2P z)zUDI9+=5|?Q>h>u6R=2+bbAifmc;KPP$bY%?%kWYgF4`YZLa#B*ZxN_ zOB5-?CxliI-QJv9MmTN8GE=UBov4W+_R6z|omGx&=e(zp(*=rf7^x6BOT~MFdZyzg z+TpO_zg@4djqOr@T=Gx{R@;xU(zTL(67e9WE>&LUjK^~IfJGNK`!cH=EKqM*$+M>Y zL|%d|E}6qNirn`hajs%sZ>o*-sm73bJQ3kNInP3IoGFH0x6attKer&Z5ObZn^mLaO1gKmIxs@ zrXh_lTu%L@w+xl+^89e&fVB@)k<2QSXZ(#C3xjzYDVvEsCDC1lWx*}M{G@;9X9rVI zdiYR3hMdvCg%`~=DLt$3_#rp2$j}yY6tAIi`kEg0$$}vFvCS#V-ICG%JC1VeTTAYT zOP}u7<*!fvb_fw4By?p=iEheSaF6oP#x2AuY#3*CAj2<@cV~a|O@jZt!69Sa#j3=M zt0VrSc!7?FFPmtoKXohoaIce+IkI1AHG6x;|I}BGI2vn1lUHk2AwpU@DCwn|E?PJ* zI8x>$Q^Oc4aSLP`89)|pI!luoeA*UwCo3xc?X^2X+s%NcmJp#lwhUsCxs>>T#95O# z`*{Rr#omFg4}ViD-kF_Eu58b#?3}QF)VA02(0bvH;%f)pjxU63fW7)qUabdKaMd!8 z+hX{;1;N&)Zd!DI?H*^-Is#D?FGsQHu5{~~pWp#w0+2+b{{RPQS)pxO$t$1uv}yW8 z(?sMGLkuMSNneNAs~YQO+Pb@XodKwfQK#Wu#KgxUYQq%H=vH0yuFqbRKUYbF&XVC~ ztLcRH@Ujg$Y!NIL(gmrW#WLd^dzPj0*8S4KMHpR+^_NqoI(XyOr{cwaY3gekU*Gn} zhO@163-`tOUp^xD=2g%3Vnx=@`Vts-Ir^Fltp|%~p|?AIOJl}rGce&wX<`qh?zq)l zE%W|)?SF9JOP!D|^7T45@9s5dLVi5vKI^=jbaTm7fqMtM*yr~s>5{`1ri?NjvGkYJ zgu@xPw_|HmpAPs;#Jv7)CtUmVIGb%|kG?-S_k0I7bkS~Cz>&MuYpG|pS21h(4xD_c zPnS|Q^y*bR$`|c;q`Xe1#^M&V3CTdPu*LC&Rgh|&PDEN;?IahuMRF?rSRE4S;%#dV-gKL9Ax?#eWFl_yk(qT{# z9JaIae;i$fUz6Y4MiBueM5JRXD98xuW}?ypf^pn4166t;2QDF~t8$ZTt;=w7m6uC{|@($0+QpfQ)}Jh9`;?K zegpF>!(|gIe{o0iaNO(bRH7_C?#lb+wCAutn(6alnYi|SI!`&!`)N+))OzKA_dfV+ z0>*F-Z#b0#R4NE$nD#G7drc5Jbh>p7n;c@h5D?R)$|#lnes`eWSrw49p1t*vN6NPV zB2Th;JP&4Df9i~uns66u5EoE(51wD&QWbgF=H=RQTe@2oR01+tN+iVugK9$1We=AecuceI~(%bTTanFFgc5jc~113TKqqQLpj z?;Iru1Fo42ri)NiUPd;5%lA#{EA&mcq4nDbiOmn!Z#N+EQcX*q$Oi;gJCtaQtggYf zJBi6$5l8={CeQ7O5}!EYU2lwbuSxDgZ1&Z2l_JMP$@C{nn_FoossxU$S2tkuq?s*x z%Ywi!6YxyE3$0~`c~>$>$7Q2F%eu7xNP4`g#OUfM?`WnUjK~`1gylu#>}T+5EN(69 zOb`WD1(c-%`ud|V>>5|Z@tt3xZ@}2{W%M+uz;KLEskDA}S znc~p>G=pLg120Y3c%Z>(LM^J%l@{k`6lgF;O!m~a}{ zj<;CfJUDq|apTiKIjPe|C|jFFfYTo4Je0T3G&k|A@}f^hC;73iDFDrgFv_Yd{jr>F z8fV@E2te6!K+x$a`J^KHebK{jUv1#0(2W&2+aat zzhT_wHF{BF3rzLk$w2>PJhape*(t8TNzlRfmmv%>@aP(VlSp`WUt6KpNj?g-Y;VY& z)KJYh!B-<~yI4FZu|cLQvE2b#tpMGVV?fh}BPnViBzU17R@$&rf7lzv62~;fYSvEU z#}O1irsO(})b}@5QX~i7?Yd3mrw4e{gR8x~_wxI5RJeNH_UcuhvckIyS`U7-rn>DeOfIVIUn`eT+@WP@OHHuJ^yhn=D=^mBAE-No6Tl ze!&-B;0EMq0s+ggU@j?XyI;m6SO-iX{{RL6#|Slo#gfS-$!gD1?N!Unm>0~1PLl@ufu!VDHq!N z1e{dHwgBFiW}IPWU)R6CaqJk%XxBk&=+~kbWeqOw@z8Q8eY+)O?nwFiS4}%Lz68yg zWaE^(#KP2_()2ZWbStKkrGcC3;9>laIcBTm;Xn-pV&Zg(3w<70ddgC^HEuQSoHLoW z3>D$}_{5TPLMG;CfI?Dt(sXXlz{_`=RZeMqvh~GPhVT;aGucXgz6O(G!*&(q-e0ds z^ju9=tq!9*+DYG$4*dWlI7bA2pun8i?$dWWv@+ac-DEziykq&NGA zwh&rL9pj@heCg0#DoJr41T8vlw^;-d$@w^TeKk2G8*kNp$+zRDRpD7u*9hN!T2&#V z+-{eeI#{E#Z}#!63WrE<;lH%utUfjhk89{q^~^z_z4HSy(@^&HN%=wVJ{7;-3Gwu} z8+-N7OWo9H33c_J4^?f?XTT1po9N;E#jZr5$I8Cw`P!cTNKM26rPX43b2fg z+2;MXX1v`?-Q*I7cgm*&ls zo%sT>AdfXGd22q9Dk$3jrd(9N0p$safjB%Xc2C@%(Mi+^JlES_sv8&IX*@H|XRP(R zL+83x-Q*y<)1;s@fzU4W;pwSss*6GvIxsB+^k+GF+PGn~>8VaVABCHNbUOb?Rd@i? z($!LE&F!K!iShn8x$lH_o`c^zj8TX`w-p>(s=Vn4j-=jyc*IZs8vfq%+VORANijGl zMYt6W4$>k|meaWGkJ)6^dIM7;hA<7O&t?l)#H$@dF=^7tLKJ!G-xlBbRp4(m9X9%+ zO$P+e?hd67p~4)VX8N+K*?LLy|LsZU-cC>}ruG_ZGxR1sE(&d_9{eymSdtMtO&R>! zhRoYpzSpx)!h{o1tgfA=wU0>ARsEFiwY7SZ_VQT9{XCq!?OD;)00mnUZkO7`)PX~p zX^TC6mv_n=_F&;*c~8=M)|=@Prwjh_pJ^;|jRzZQAKr^rPKCXT`#|=}gDc8>{Pco5 zmQq|TrbYQxE$K(Qi^zY^Yi9IMBq~Pq;u|<2qj;TN_>fedd^6h}-2IC|Q z+%@Yo;eGEudiS zV5R$Lfffp+YEetBS!5LuB*#6{)7hZxC^2v81Yu^W_;njOwN{a%(9d>{f}j~?J!7H9 zePX#&oK@oj9O*ztqM!36$Wl8PEaRxp$6NO!`O0^Z5ZrpFPLy#eOCSO$1=h0%VWI28 zrkxgYmDt!6%!vUWaIsBX+ltF8Hh~8yywK0HOLS~`$4k{frC`=JA(=rG#iy$fJEm>x z4eqP3Nz8Jym#e<(*L}euby_~uxl&iqd-Rz|UNhDL!OHffX@wUkTUGt8o1$_xdFpmw zVfW`LOUFK$A-%QR-)!ct1#>Z!`pBqf*+d@ z!7KGC1=`(((EbSV&n;2rO^WPI9`C`csmM@nnsw(1HR5As1s$NOh2lf>@a9)u2tc6z z+0I}}3_Rq2LcUNlG%t`R`!mjG@iRL|55tCS%ft%q3cp?2$=r%>#y)%9!SvZDZ0!Z` zFb*t<44pn9J>HkN0&!fnw?uY;3u+l{CwLg3ChwH^Xzj8=X0pgMC0TvOFaQpOU(K!4 z2ooniKhl&M5%T<1pOh}=zSi`#2#f3qJ``_gh^c4!5uK>I8kd^O!|&pkprzzj5sA<* z_DS<$)0+}Hdj_*K^~r5%@ZE@ga#hF@DQn0>`m=%?Z(ge)<@43fzT0)~$DsB}g=U*A zYJ~Qz!d+l<17$nP7P+UFEc{GuzE8+|?iko7&$rPQK^+GJq&$_2;IZ;}edU$!^-#x? zxELnBOtCRHuOEN3Iii8fnV)SQQ;Jwj|DbBefvM#a;<&zV#h;b|NYNVf9J-~mJJ-+Q zs>!RTSDq`?zkHhC6wdB-kakAPxWTtcy9AM}S9d$UD`b(HCs#4N_ch=dJD?RN{@~N3 zI6<+G^FSnvR{ivX0h#vvxXjoyiOLz9hYKbiJHXR3%6k@rg9x0Wp3O zh*sR5AdM~2Zb(fKkNx1b9@peISr%vqJvSv6tqMGNU6OQ>Zpl|bIDrn@oo;wT9NO(hBa>PCI}aFl zoFDb}X1tRr3DdWQey8#x=c-rV13U|@9K8Qk_Igsqe5IKIJ*%L(PHG`9Xzzs6R+Yw3 z&CumIYnG~SYVT6}y~n>R=xT2?(AF$qO1Zizp=zO(GzZ+m*#+Xjc*-9SUUZ=b4w4^X7p&GoTUsH9?Ei``Tt6~&vNUH9Z)q$KXD5vW>NwV*6WJ+rKLSFAH2u#{`rjq zsB@sv`iJ{QK-`ja$9k>$;P?Pr^fS1Le*~fS!YKMA@%3O?1_w&(MKQMrQoQ>)X@NM@ zh3YCLn~TJgfyz+ih3I+YM~oZEY0;O`2qVPOANfM9O9q%)=EKU{7lzUug}-2>I&C=$ zwY*U2whz!T9ryQgL4Vo6Bch7+&+eKPbChmY&UqBU@|R#qs9N8xUm9d0lFK?70iw%g z{kOYo^&3`M_@_Hr`hZ8JaXSC*$64^b_+wQn{lxRZlIY+L z4>Ulut;QIx9fiTmA7McIlZ<)AD`NXir3;#6V{Y1m-3OY2%f3%?4CEs?0?k_|secMD z_I0LEWjELy%J(Xvj}EB4Rj;ULD$(SXIz5nudNvtvbNr>@mZzN1uM$bEl1+eqH4Lh= zB-m+v@pRcspPrk>A27wD{q2blozmdl*PP2je9VW3>rO#A(XZ@aO%_rld=Jk_3r5a`NJ}>Lf+XRagh3y_t6V zISY!)C3_VhzcJL`oZ{-t|2CsPse+!#iGtS5LSnanA6c~B4aG>C1?06J?)TI8riQuA zaHe!!st|_Zl>rG-_zT63lH{*{c9M-*E?rt7d?zezV0^Rb?*vnM!N1*XH}hcm0U5C( zY@pd4XraY(3@uOw=eG^92H|M!aGBoO2*AbPWTnT;iBfrob{;6PBVAX}a0#ryNgOTh&)W;Bacc zu4s8eQ+dUKkj2lhfkLYZLRij9v_>nN5vK2Fwb^wUbz=fJBF8*k2T@@8?Ny}W`#_V6 zgi#=;V_G-eKj9R2QVDS^~P_fueMVRph*Gqni3#*V@DJ2TP#SjaKzP4 z4!ESnirjcecxAIb8s*kkp%CgE^Z4+6nnqPfY|!DgQ{DBC0_=8lpbTC}ZPud!J$@E< zZ|Bl;omzIt5-#4woy*7rdIXW6p=6kiyv#E$RdV!pF-6t2D_ky)lE&M4JUT;2Jf(~B ze^$1f=BYh)Ioh>?0pvW9X@Zdjy#Ozi)?%i{^p#!y-a=c{wqqTy&!8%|{-Pd#FZlccktvm)kpaz$W9QRRKJxLSiCNUzc1Bjjl2G_-mmazqR>bF|$b6jUa|~n~NDJ>whHs zQ@(nqx|4>^wq@giOlJz=!mFj|d{!YQ3lZ0U%bE3i=f0fAm~Hg(k5$jMhNb{_-LRvs z^Fa0}#fZ<7nGRbgOgdld33bsQbwWoTzh$s$lNS-7`2BqAu%;&-wfK zKN5}b8{d6hYs$0Lo2%j*T`e-XB(p!~@$FJCm7GprHglT!2!X!qg;GuxFfh*>m&|*Q zL#Ob?vJFetHt}9X3u;^y+S?j(AnuP3IOeGn|8V66{&bSi#h;^*Jx5^*VtBe7AFI`) zFfsq6F@!bSz<{dH*SbZCgYiEss+5~Qu2P+_@HbeV-e;wc;NR<$^MY#YY*<*o>EdmDh0B+RYp zyzQoLMx86Da>PV+Lz+Z*U5YDzmhgOm@_4~$gRc=OP*v#qqw!EhOLn#yn5dE-1U_jv+EnVx>}FSX**|6UU10xv9_o^r<^qJwHe++GT>? zE2{-gI3$`T$zRMbKDZ0K1U8FMAMbzs_-I~YDKCVN;ZYUy`!6YUFU2?2CQ|ryVPz(5 zvtMQwoGZ4istRt-6onMLL#d?Nr)ZeBUbe?$|9V{onZ^2!mdR%up>2Vip*ylxC=5Wf z!a$q^wO<5L?B-}p3u)fKEkA=+@v^+?UA8jIN#(%)r`7ZP@}@aZ0BpQ{=n9DYs@qYDy-dxN`#O0?-y0A>Lv%_g!`_ zTesqDb(U#B|Bm)6(a@+&;MfRuLkI&>3emL#XKhmGu4MbY)1l-T?zS3Zn-i{=4R?8CqDux3 z=YCYtDq&B$7wWrK=K667(=D>wKK&hmauX?UF}87JZ6`m~yuuIe|L!pQ6>E1QQMuaZ z%W(2N_EC&_LP&m_p!wMnk0*>HE#a^!%lFfoSs?Ar?C`$aH0LGBot(*%<0*m%7Sg>0 zNdLaT&JH-Nrpl~7ipAY5dFuK-PbUKmTbqqumy#N_&%BK}I$-dm$+jw1$=PL!sl$z^ zeooMMv}z+#;3@|<3tNYXyU6qFN>7cI9 zNJ_ner>0lQ(8OJ-M0~yg5Gtf@_z4l{!;P>>8#7JjOIvxqj_I3q;fhJQkpZUL9Uzm< zv#cNsW=sjxXg5=&rhY2>>&q@ZRg=ND${Wd&0Uhzz`!0$bAKDEScoF8;xhfrbk|jRe2Hvnfb`=lu1zVq1T_U`TIN0Nl=-sqQq(-EZq##$ zvyF!wO4FK$_~3Y8pVQp0*;_B*|~hPQ`GdG@LDkYgw^yV z4bsDVt61!Ju%z;TWv0nR7UL~^r;*!DRVTCd z2Y`)*E)WZfb`D5YL>=-pYYeNy>p$s-!1P>(YLZyYVDFzh;oNcD*X>NWut^UgYM&Af z40#Zd$JG24N77PxY>J62>qNmG;g6R&2R z3}!Bx@o_<=KGSm6nfq}ZF68+A*<9)ek zZL8nv`d^DF-wZ*cbB4=DK-DO{F7a%STjp)XD=!Gf*;!#m`*h7wyT2XZ3>PbZe0$5^ z?YoK^kIQgNbRP-n(>88Qc#yzRev{1R)J$<!=Y!Yxr#)R93nqB&?}pUTe~-Re)I z3|L>e<#0*f1HFyUF#wzwJ|c9o1(FlV2ty83d3Wz;IIv^WZ?r zf_Co$Q*Rk<7vwhL?$5beg79)wjO07Zre_DK^nBd6z;GTusz)x~c5jqD4R072`6#*z zz`^q+JT^AXu!^Kn$!n9GtVyG$Y4-Q!3Fe@zbjX7?<_Ll!cGh63)M%ifpJBT1{1r=g zoPZmxGSqnU?UZC5TdrMd55La1uyH;VJ^ye)rBQ$ahYReEfS^PcM^d=pPzC zUj%e$UnYEGi)F~qhOH4IvkON(jP(Y4pj0OjP3CVmQ(rQZ?yGLk&Cc1JLEQ45IB1x9 z!3+Knwj+33v@C}}Yh7d7gED3~5H+zA%HV0PqFx6OmC$i?F9b`if6!UlcigIktvqD= zM!@sP(a=b>FCcL;z-h!{H7@7*Yaqv5l`6U1*nFKQPDDaizn}R%CG+a)I;dFT4j!9B zoL;^=#nuIk^Te)ipY*XlsWC9obIUa(GPk@P5c*bn1NOI6gdx@t9K_hh5A4`jgv}U& z2?OtFr?A0(%c5)XT}|IhZ+&NKu!3XG@)O8W@2QqhkG9Tu*&K19glHp;5SA)^**#mp zP@)@?_ctkht9fGJ-&LzY_`IzdN9Z7C#iCO$MNZdYr9914^9%w(2%|NQf-e`B*|876 zuGx!47wo=c#lruQ7=_?-oZWsXj*+9U%Md>cTr@8{tzr&%cTAqSxZ+b~P3|f&AO@A4!?^Xcmo6>`EW24+a@S zYP!qmJ^%607Am+VsI2$;Vu!brB!j$fGC^5Q?m&L@Gr|&-x^+`*Smm&+E0%=HO@H>& zXyLoBija?hV`jUvIS_HifYv1M%>pTj5~pYXbYgdrh6W;``(MIw(o4?x+zdndBsu+3EK3LBhB+{WvfRtqDoH z_T&;@`}Yz||EZm8x}uhR8FB^8N5U8t`0)BznSRCU8W>!A_Ap_B?L*;^$HebwGMX2L z+(Cc($>p$N6~BRQD3~2#i!to^1DBJ9=lqkXuRAs~>n(D8TbrNRvC%QiR{iJu2#et+ z5H4uX@v*oRW#0(`zl0<;HIH;1gxWH``PUk?*Etg8an;qky(a zk?@MPW0clDkZlH`Sz$&H#qX?(O(R~F?Xd<7K|Wi?PhEe9t$na$O^TU*$!r|)-c&9% z*)k%};Kv;S-T`?N*iLKdr2qn_Qu%eWghjbbeOwD>oQD}UMVi8=@LagX>##GSU?|=C zWbG%XY<%$UlF(+vn`#rN^4~$5m|6G;i?J$KhTDw_7Etw6hVyjkJJ&uJ)&EGwO7>{+ z0&(GDt#^Bnlr8jyj)ncsc~lnu zVSOZY^)R&p*`?2!RQ5E{yKt}0@Y3tgN9)gwbg%V?j(=XSs`Uk0=xGAqn+sf0eC{eu zc_KfX0Kn}R1S#BQ1!ck3@&gc`HAY*xb<8bM4;UyLbFC5IE>#|6)vO$lqd^OjIFGjQ z)xgSin4~5qFRVHb@uIrf`b2s&X45Y#g=bUF6)HPK8^jN5BFfJE)&$S?hn<8swFH zY4>EXe>2|g)y-GV^U>Sn?JQqH`9w<8pB?X>?F0mR$+fi8nw04kPp5#1o24J|?}!ve zp2MP=lb*DZ3ydl2WhUKM%Cp_|jQ{F&ACA0$id9+!{r*EfNe<#fyuvH~B8X#cvvZs* zcr=BKCl0x5nyZuVS=S^>^8Qgi{246Tv3j-#tsqL^4Oc<;fj#iHl%P`l3Oxj2-;sF| zR%8jgLjjvm4SDIUo|{_#vh>dO5ctu%Fm?dUT{giLlm^a&9te4c*2n2KV6}doKf6Iy zSv4}q#8q_Xr(Gilx#HI5MMxp4qm> z-ArYZetQv0DM>I$@tTrs(Gtm#n6c-(QWc9?c<$L~Ikk1r++If;AGRQD|42|yx?niR z2Wq16?JBS$k81#w@NbbMiuq1&*x8#T61c;#aQOoUbw-oR)|&B)L#rg~s!-d#scnP# z+)_d*&>se$5Jd~F}{4e z$|_vw+Em!G1T@v?L%VJ}Y#7qrP77I0Zl|kgqsI&Gb*9lq)cTvY(><-K>h*k5wfK~k z`CN)LaFIPENQS|| zHFjAVbFeQH-t7+RK~gvhr|x@dNNAn}p}b&bo7J%{Ifc8__Q=jQq5v>6aM0$kcXOCE z)bUB|(p8k-*X6fTuaAX|{JmIgv)xdv=W!wbHCyjvg|ox=Ux^ZD>WKI7OzWffecaa| z(T@{N%$2O;^ebzYSbXp1#y4@tuDuX0PQAOGsWy@?Qd%;a;3)CMLBp=~%%ou6$zSB( z2HwGPw&tj55*X_R1=`||I@0R9Aq=}|G_Cnd4t`sS!T{l@Ni$*L&vW(PJ7Xc4tFy*e zPZ0hoIP2?t1m$|VIVbd~M!e;5pLi!k+m+;^;g zSS8w?U{!&#U_R5dG&*ma*0>x-?AT=hD&PSi!oPNz{N@zbY zMQNoN9e-D?dM)X^9_GmayKN)4vd5=rj|^(EdGt|&qKN?mjD%p%l~a*Cw$^hg3xsc#GYEC zFTrWdhh7OFX&yZpcTQs)$kZ@G`#h#R34Rfh`5;mzrYvq~%QoiXW8wr5+rP)_INWX1c0|~aHVmdAw zS%U;rL-X6~jO|!0?GObPd}^s}-U>cUHwFUejuRvA_#@%Sq& zQ@^2w47RPZ#Nu_`Y*SsftGE08!^bS#NlTO4Lx{XDgnl`9!|UT&HBF8HxS8wnDG+rAG6YW%B!Ng`G zOD*OG#q6oxwzjCQ`r5>^WP3%Zeww<+R;L;0;iJ+&=_91SbSHN#`TA67a8NcHC+7(& ztZ0O+R%bmRm}7-jN4w?C5r#kU>uYT#-tOMNoFr!2ZKgOk(Raoci?f6_lw9rCb``nQW685y!p!d}QqbOCY_F>W>4@W; zELh1fk87Sa+Ry!Sh({$xoppAZj0yKYNX_kR^{~0oe|n_MRUbp_Y_DtYkOG{e|0DU- zPWBN{(&S;oBljm2XT(NnzA z)jOhNfVZfb^Wm0RveL zJ0EZjKup0kn4xo@Y5iaRHzj_kX%BehDqP8XY&6#qr}I zSHe4Ove>L1D>R3@;v_*u;#2mHf%~`bym!`?regl;WvbmNnN93Z1T?JwQ#%5#YSeN9 zt4MYPBptx)KO6SXz&&qT+#GUTEquM`ySM@w8hzF&J%EFtPKb9>FhkvbD+F+J03gm4 zgwdLWotQMu9L&i296n09uR>*X_JRy*l z*{@(Vc98RZ<1iiLH{VAIHH%DNAKd%G^zbI)FO6rcezr+z-jT<!;uq)xXP&%uLzP z@=$@99X)jeZ{<`!vyp25O0C;NX8GR776lNXoJxwnrwjve41u zF|i|YDpIJkNfASAtJd`mT`pN7<1N)L^b#q7ah9#ZYB( z?QhQrD_Dzog~SR?8_&;~Gj_p>SxGF++5K;#i~4KbHX2Hm1U*eOTgBYAc1qgq#yu*{ zbW+xy?hJm0wJkvFmx|8p2=~3aypRkK81(MW?85ChH1$&rCsg{Cxn{dJm2j7KW(mQK zi(#Slob&siOIb9u6EeStt4c9w8b>HuVd6~2#YthFu%f^53(MJy21edh!62vOXs@J4 z-(0kZ0J6NQHmeC<6t_6G{k;g4v-_p2CK-B)Ncid9!G$e^IAo#TDdJIA*6mTXaFPoP z`k?;YwvZc)v%2w(CR^rFVc)8&li2SSintC$lv(5}=vzuF@q)!po1Axg{~fjpSmiwH zSj#ji(#y~Dl|SE^4WM}k81#6qt$YHKVg+k{aP=(+#fn|Il!^;Th&=kBY@)#O$!68Y zC?s?4aZ53hRnb?J|JAv5hU-N03hHXr8d5#l4}0}$()kJOwtaS6rLn0;a^(6z{~UkeC}|g7dQT`5A4RbfJIHW!ZVrIB?-}HX5-)qrge*Z?7gh z4-;?yk|}{X3`fiQZiaM2?5bh9IODj{`~3DcFSa+~%eU^RF8*q>z77KV+Pw-ulaEsZ z+#w}bab;-aeRHQ26R*577P*MS$I7?GBFAlhbaCk!B}vx5%2=QEx}W>~np>b)f7|Ticzsi9(_bwsd1f$R z8+PbqrcGVCnH0wKm2yPBI5vBoqO9}oiMZQkUBQ!q3!{U**)l^@uVa=hxcte9fw(9c zj@n^Uczk$qyN%P4FN^t1BNjQKKg#ZA#F_QO&#R~?_j!q^)#7;t115SI+WSMz>T7+n z7II#i1(Yg|+GCnnY@;##%~`v>nHu~yVQDE#ttS=16CDy8l>mt!A~?+^?DfdVDz~?U zcHHvl16TqwM>$ybg{09XaC-u|meWummqT@3WgZKYeJUnPXV{OJk}Hs53@eBmY^nn2 z^^qk!zs921bPGOp^OSu{xEc~3Ip!HY4`%X*|o}-dyhVFaFrt zxdFU4rYrN&EVe&m>X<#N^(gIQ(5-*cKk2z1e$}>cRVB@_@j4&n;5A&(<{86k*1@#l|hY02I>e7;jt(QFx{e<+5zTO>5kS@Td6sXXXr8zK4 zjlz0XNtbK0UsMpxtnDVMR%fg+qrEXaI$_L*Ani=)nP=pKc_TAMx5$})=LeE1SOx+c#B02dM5p;=tG-2cjh1e4x$BXVgEy^!VFvs8u)RYTOL=+C z=9OT$oip1lnVvz~S?+C2*$NfGf2t0~koPtNAyJ-t5>l9y)_AFX zC4x8X8N!%$?}*hs2NbC@qdMhqC2Fizym7NqNlxNHf>XCUe+;fu8 zFPd*G{NOiDO?Pr;x0}hyv{g+%JVD8YKtBeWPQAQa3@AqBqR+~xX}eepqTn;5>Xpq? z6^9v0WVNLNVzV@Ue7}O#i00Zi3zZL_=$uD+_WNCt5-Cl+h-?!Il0GGBN=POjXeV6Z zv+l}hlAxLT3%$;z#vJW$+YkNd&3rQ@cN-iGHBteqx4MM(q~$i1qJ&M?ek-o<#7(V( zcJ6YbpS_P(^VI`_0mdu3eqpw|ozX#JAJY{l9&gEA4M}0WnS1>A1?RrJlPnstKe-MM zb~UPxk^`6fc*(12bPHVPaO=L$l%y(jrv0P(k!qdcEQ>&o1X5zkN_|i!zo*Iwn(J_i z-Gyj!-Knf=fX`#0rLX(imds0-vgw{gVK+&^k>7a?g5EzTaA>6_h46IczChp;heXrm zC6p1Hr|Ri5^qbz`#^Y`1k|oy-#TUN(Y8Q(t_pF|O&niJ%-k#K0a%*W!GjUEdY}T#4 z^oG3X#0ZkJgk6rXx~t`1Zw9WLvm#Cm7>Yoh!e z$}93Kr8vDh+6C_ls$D3*7!_YZ09{S68s58u2#O`1pc!l7RMA$-Nb35*wLvSklk-pP zK~my-Ko{k4{QRmo^*Hz4x8DE+6v7w(Xy+J^D$TI&5n zre40uQ*lT8;pK<6K24mXa7GDO6bT zllUaB2lN{nCvc{=2GivLVwZ|^Zu*`)yfsiwO7&L~)+gfnWeM+n_3{-4t~};h5#_g$ zNk4H0xn*j!(}mk<`5Md{8GrL8se~x_9>r5}=^IW3pk9{Xu2S{FBX2gaK!O7*X=x=O zB|eirNQB(LgMs=(g?}3k!Y8M_3So4z5X278ewI_o2g!aSB#8O`MfPDc@n; zRcw5SVvpAU#<8ZCAbGPEg;$teOX-YZGni74Ga|etcDGD1j&?V#gd(0HnmDr`KQfKb zwR^es`Um%014^3mcm*AAK1*d{EX|*Yt(wb3->`{QYgY z?XTW6`OUO=Uid*TrU0!?pu6g_V8d+3$;qPO50H)vFU1ZxvCe{}9L^@X6OR$&v=61I zI-Ge(xo00Vtc!lrS1_os@${Hk*+i8b%TwT8zn-|uKq5y8JedCd<|`|o-PsN#jQ{{4 zz|F9?+>Pg*WGce9WZoFMzL=<3;LdWn_-nVJn;r3xfm!^bc6D@qRCh+4y8T2YO;I@WZ5 z^VdmzPtK=Dbmc@m2@Mkux>4Ln!1@uJ9 zZi|QJA1?M`R+aTWo3?r)&ag#ZURnAPGSBx?N0dR`eZjTm7y3&Ru$l@OY!O?%a@Wz; zsJ&c0C(6P6Nq|Ah;#EJ+FJx7y6F|`lI)Eu)Vm6S&uH%nYF>RihpM85hlXOdj-!fU~ zIoQq0Drf}p*Xw3099M`n?ADI0gD?g%)FrwsKgA2J&7aAWTQp8_o_+6&;U;Jwz$?k@@sR*dd~-68%}1k)c-P3o{N?VkyoO$_Ovv*zE8z&!uZ7KK z2_Sz-Eiw<2BLWi`eI7?-$5(gx;SyKbu0V|c&ShRF8t>>jR2yCFY^LfYDBowewZ?8G zwP6?~D3E8!SSj+Yv3%kDM@matRc*rNxyf#TrUI=V1~iYU3N5QTu)Q{&YAxE6@QdBc zI?$9~`$}%icqre%6$>QmiuU_SS7F9j5t7}4L_J$qfks^#_QjB|jrN-Uv%?(bt*4uoaHyl+wt0WDsrI;0 zw(#Z#(@~)Qi=WpAjf>hb0NP^_7e{N9h=AbTv83-XBT+>=OerEeX&tp!{3g*SR1~&1>R#0;(0!9Y2hla2Kt(^H z`T4;@wxws&^|0EV>5?q(=(XMIUu)9-bvq?shc<>2!R2#BIF9|Q_$Bi-hu@bNiNy3>fB{*quZk^#ct^*0gOrYWW z`m2DjM&ag^$6Yq37)N>IBQ{Nq`TKf`Y`5;)ic~!=Klt~zK-O4G)8Sq0?}jwNU_9K; zX%9A=JrKNVY$>eM>u#P`J&A0&cEqn-DODWsU{O6;H)}O-Uc$+D1KAReHO+O=lCj(S z2QG!%pN`4i$|OF$p?jlZkQZV1OUVhowYLDoqO;|-#;~-nKB-dpq2xuR0Z!+Iz_Dc3 z)U=bHOwf$LgGLE&6SC5`VOAL-H~Tt2?IfraI^st0Pl0G7o>lDw!_pm-*&f<23l=Gi z&3W41LSesVepOGiUSv^Ee|j5j{73)M0P~%kv<|E-Em?Sx0pHjct%ar5^chr|Ejt^( zrz&6KX249l?-a80aiEwzkrRJX=IG~Kbe&V^1Mf1)%;!Jdc^wy684(#2MESAu4GHaV zJxhfTKIsbD3rytV!rC4!AxI}Zk*q#LW&!jjUyd{zn*Kg7`e5}`^v7=Dm%k6dw{QK( zogMj)q~3cUOG}`OGsJ}V&<4wFWz*!Kt*2`!kK`2dx{-H2Ux`J$m!_%W%#LDM-Sp{2 z6u1NDN7{9v5S(EfUlAbo{f@W2p9S~Zz@7g{lt(6GQ#t3#L1*h^F(qdUt^xH9^B$9V zXBS*Kv|XZ|lSaJU2@W5?*NO?}jk9@Z>HY!s&MC6;_`oI&Ujl?vMVj#e2?1(;^fD(z{N3fTKQOIK^(QY7iL@RdFJRUGs0i?+M=vmUpBe35yoGXM2iUhj4>>D#UF04#Vb@lBnk*q_H?{pW#YEPYA9Izl zJXZ6*k-SCQMRA7^FNVrS`|NYAWkvvKVTx#HIa4etxop|dh)glfQ7hc>@p*7u%^>}G zm?62oTi$RhdOdQhRhYC)Hh`3X2`0B2C8uzQtjzyrKB&&5-;Q&9XL0Q-qmCF~Hq~`~ zD+x;Zp3fSQ*t)F*=VK4c15|50Z2{glhUg7@P`w$w_iu#qwASFK#z%hdwi#l_3vf{+5} z(A%gk*^Il@k!aV-A<7~p$(mjy+&#y(|9#xASeCR*1@g5|0)>$10%sIzqnzN%Eh~ObY0U6JkaAro}VS zTRda3{^y*Z)!dhFDe0{)M`v=QF4v5rWgz}ROy(o}2t>7xn`gqW+1{RapYtrDzFg0` zPSJk7c>%!k-Z-j9CP2m+Uvnk0>EDoiNBAvUZ*fh{WYEw_!y?obAA%hVcgc=doVE2L zqaqem#f;b6S$QUnp3-7#XyS_u33Wq*mn%tMb0YG_pdmCy9of$iUf&0C^7$Qmd$Ko%k~&O$XGi zpG3#Kia=_o`sQ?g5|ZrtA`#rfI{GvVr^6sKGMEv$qnNuj|3azl%Z_d?#)_a5WH&X}8gGifnvb)4Smf$HNZ}Ee zXe+SBOLii>q(M|`xfN(?Q1KO$(t$Aq#HAYS#Z?y38d6Nu#O0NuQ-@SH)I`?ZRPPIZ zxzl(5HmBo{o3H+e1gFJW)^G+@6_Li=oJNYFjjj<%wg0NN@0twol_a~$_Nv#%Jpet@ zJNs5BQq4?JN#_pA_pG2zkhB>-f0xpJ18}0+h+K_!q(= z1br9@VtPN**%*39&fUaQx0>Z#DV(} zviY7H7NOlbLv&kv;??rLb6&@vi${%7y<$Owu25v-)2&+zH?oNUYMFf=7ZHmnlU6>{ z`+0H#!keE7KTwMyMoeohfx`DJ!I#po*VxvmHD%E*^c#GAE7MPf#l_k7DvP=F65T!= zvuL18i3UPuXNd_H)QKRI)ao?85P_?B9AAECiy640qOE0mUG{jPd&AHi<2-QB-AFOS zYTX&#TBPLlHT#=^jJKKBq)uBQ*5D~6iy7z2#H+J5oxS|HiyN2zq;c@wKZFr1@=^=y zom>Kw9S^D^597<*c-Qgdyhrrvd8NN<<_;>&Sy`V_d!?#8nTxfskaULRuKXU3w$y~ zMyq3p&l>r^yXHbuJPI_w1C=|Dx_?Coz8`*fuU2<}o+eNnnvKqnQF%ycsmWx7F87$? zbC|6mE!u_|M|Nt8UlVw0jjl4nafrA<=pOa^cgYoQ@0`KKRsIOYWkekQJf9kw&ZZXw zITm3ubv);uwDq8Cu4q-+89fMV#bU?05fPSxGBGFl##i)gr>m??IDcN zZPdH(oWeP<{%vim#P@8fL(Bvn!>e2snvqLov(n6OFxvu{X@btBbo3d(X2MxuTY%ML zQ|JfllV@guw^vdwbV#?lR@$hDYFC-fS_OM4_(qcWq*qu?h9a18Y3IG^ypq%S@4zu( zJ8PbjT=I9mNnOISkI}97PU4d%YNMkhu+$bo@2iCV?yDA!{l${TmP>DCcsE(W-V>vT zmm}2q;#@mWMj_3>zeMGzE)?%^2Pv*-_xht-OZ=!;!?Qxcf$AUcS8x;`&7hOJE|nk1 zPF34QT8KVpe;E@Uhmz>K1{dLmQXM^|%E-?nA3_AQbq#!#*KCkGN9gLde2DE0E>v!f z!;jrv*saC43*9`*NitfPJ2yFcs~?RsCyRcfaF<=68%oe4=w(+*T?|aX10w8hJi8Pj z%JUPR*t=;EN3UsPM|n`*!Kxz45?OwHW~-B^Y?PE5)S*%=zIuTzDjLs}GJGpr>Cb<$ zH$|?W{B=Dv7JB#)f<+btDchJ}OhD*Bw90O7)V#lR_hoxjD-@CzuNVx@=jKGV3Yrkc z(5GXO&2HGsZqvY;G8r-`esZOw_tjv$J+Ah4vU~ZM%2Tp zti2;Z{_ZYOV$%!t@@HicA|q>+T+0r=9zVUGc02UwIdm~4fOElh@Mw_jeA^t833euI zoj`_xX|9iT$xig-p)Bp+)iw(PQkoX)et(g)lhi)wRo`Eu?TcnCWi62BFZK8KA^M$j zv5KRXs7^xq{d4n^ZaK`&K<@H`QNt#Pa z=fcA_G-KI-e6GFipa@WnQ74FrG@P`=Hc6tG+iqj*$&r;Lq(Q?R|7xgS4pm3ou;#gY zOM~wwI^X>ZLm(jP&raZxtfOO1>sknNXX^w4RGrX@_tw0kx(X+Py~yEK0Tg= zuzX2TxH|_27ygU(m$H!l5A~tVUkQ9&8huGnTOsif_fGU?lZQXaA(DRgkteVlUkS(95d2SE&^LR6D}P8qSN`1V zv%1;`dAEKq^v3n-XOVD*vIBjKbF(usq9U1fB$o7(T{5jIY~Qb9mCzg0l8p)4yV!>U zx&ib%wf9s@wmkxhRh!ikZ}(nWJySHJBK}EpQHqTTnc3GN@aROBe!zk;EPqDGn%Z_r z$P#^RE!?!N*-Uf)k)wUA7OYeCPARVm%3V$nLFNY8xT1`7u6`+56q-Xa8LBhCt8h|ap+DAP*WMkhL=u;; z{^VjaBS~V=uC5frDr@N_R-~BcqJW-Bl5ve9G}o%+NQ{vwB*}cWQe7316||BbR7374 zL33kxBP^L5t|Fwh+QMO8QQw?m9k4nlTITvU-X~sAE{7qW8IKrAd$R2T&ICV@Q3hEO zQqgqU8jd0*2Q_5Ja=DjBrcZJ*G`=T(mQBxnyKr5>E!rsY%bMV&$#Z>Yj@`>$d`;VG zY;#}e&XjI3J0dj?*>t#cDV1gHT76~W>>`5V_{Mf*{2_9!;FQXfCj^|A$J- zR3f69ZE?jfuo*4brp|vTINf(%|Kh?s=kCIt53CvQHhtbnqW%{QzDBemU&~-8081d9 zqso17IO*numo|rVCE3^L!B_u9Ri3$81JQ=MJDMX&bGT^{DYA!9QVd-YDjE=TtXzo66>60mbDkI zV$<^Sb{{8}tpuajnisB7RfM41WV zyP1@lo|3RV-3h}{pqG)D%EX+<*8%71WUKLWBv&?%){*^E*yZS@@ z=y}ML(S~cESXAQ~Mi8g?4(IcoX9D8|>||V;(XP~1RD4=bbKmU=D+Rsr#;0@z*@RSemo& zP?Jkg6m=~`hjd5z@*~s-k0dO$0(INvQR*9JR6{9zT$wu{mZY}%4BJ+kXIpKnuFQTNd6 zCnFzD*3RtB9^uSYvcW0QWvQ3R$sRk)C^GxEs;vira(zz-%_aRawTOZykoXnIVU+n! zEHg=;KB}&}XhyzzpuG0MZKE=jjS{YYRRgjCag*(183@{|L1C?Ta7l%$pqtx9RkIMk z>73fiy?diS7VPdlq3W)9dFP-5_3T}TDk!;?vO*igV%q&UH)OnS?~da zZpzh10teYn^@(FpRo^!v^&dji>f!fhXHal8|AZw@M$`K8^<~bz{#FP3TXDW_jNMi4 z3(j5V^|6A(+05*+5DWH`D~1;gaaO7B1&bJG@acA-`vMf8S2=|cQR(t>^RdD(kF+#9 zE4B~zn0HBRbl$zJTo;V+7Bk&T1o25 ztkEnl2i$!Guc>zQ{n`a%-+?%xFYw8@KC%e-Yy^ux>zz3>GBPy$(c$lUU+T?flzW1D z|6s{A+WVYatW=RlaB|1a0yDUL4kc}MFNx2H!PF2=(WP!a3XfsMomoXfIS$l!BA7Mu z1yp_#vJe%PBkn_Q^M&2n-<9T7m?`cCkbOkp1fer{E5D@!8HEh815wWrW$I_dCZ3i^ z4?QV!=dsdOoxdM|(_U%)4vJQJwBu9jw#yRg;&N#7g7=J( z#hdTvkKtLnr`yU;5t3x9NPckOs0wJ3=xkR~$1c1hPW5v+jBX>GB5^U=rK9ifj^)}V zB&fsm^l;v2#f+TOfenC%ms(HrOszG-jV|@WKe_jQd?JG8FtH_z)_Q!&Ihy=3`i9!c zWhrgH7q7xYesZ0ilFHo3NFEE0rk#B`4Cd>*lKH@q97f|M0y59kOVIxDy!P`#kD_MD ztl{7zVEnbcAMywofsAe3(7s9|@e+-Z&9BKVwHq3U`MAEY;G~dLf!8Ygb@8%Jn8&ii z$SL@rQJ;$7RS8KHrbmFb(#((zm$S{WIa+tqQ9`0vWotuM{q2| z3+&?b!iaQKjbC~f!qREajh}Dm%#VE7o3VcK54a`V(w9dU;gbyaHROo*Gx0*$SU8rP z-9Y}IGm!I$>*ANye2V4=IHj7c#MPywE2%{}V3G1G+;p(Y|0v4g-58BE{)&}FhE6{+ zeOPUE+i>e$&E!vW8t4$lP?%m`xe*z@k0Ad%0bKaLz^aI~+CR^9YSStllgQBguI6s7 z9=%+4?+bCOo9oum1w4+zIzM6hS5+h)q5&bd1Uk6ZqmTzL+nXq<^HC5U%VR+WUl;rq zeh-(P8o_(r{n=yjv^O#M5u>`Q9p}Mrn|k}VQ;C_{nV%p**molUJUc-So4lGC8+g67 z1}CuEno%{3Dm`)xe;v1(C0mNj~l-aMmHFi6(eRAVo!LkDyzb%odRcFMDjURMQC5+~Bc`m7%^oV0M>h8*_?G zQ;kftguX&8x-MP)M?q=oYssZ1SUf*`al8g1GSZ+85Mf?$HVc7p%qP#5r@P5Xc%z}u z*3aamXsy!hd4s>m?^sIMUed#C2ya$W!O;(7Yg+*m#K+}?*fFzY-ALIeYw9rLFTAyy ztmYeu=d1!;4BcY{;ks@(Cy@uKL=DLz_^V?{xi*bjsgeuezE8n-ANeS<{br)|URlQi zHw2JnuGKsHYMX!gq9>{`4m0i?9*>mDqiYv3XW8oK47TY8Mi!Ve*H(tJD zi6%~CT@wFjd3>HvF5nB3N4z6w;pz;oQcoE$V+>0pdCsTd?FhpMBl;OhuqOZ6|>CD>wd?I8V1X4#EXOPI= zgQslz7~gw7nsNctw3<{Wy`N|Bi8vo31~klpXHZw4sP;qW6eA?^^qh0`p7}BzRYtlzN;G2 z!@#k7xoyEjRoN(~na@k+-8`{SF;iLEEX_qto}wSO`g!dt^1DsarLPjr@k!e0N8pIwb?6h1B=&{i0 zwS1h6S$a6VAt$F-0%81pi*o(twR_LMTz^8r5C{?I3gk|(AOqX;+^eCBs3r+>?HB~> z@vDhfBe@MjA*&^H)WGLEElk^fp>2H#&cNw5_L5ULH?(mVzk37&gKL^Ue8r4*$2`x1 z|9o=oGqoz%8?;ZkqNp>s4jp=jlflCwkerUfCCf3#Mn#*SeMw%7wEK)U!K2q!u)t%{ zt^f0?WjB%lDVni*_8w{W*d856++?>8(loT>Vl$VoESEab&2_6jOrPrRovWo=tZUIm zVi!Fr0^F}KyoZxuo=S62@=(f2;<6dQjOcmQ+U$7A47F%wC9)9^IeRI>KGu5RyE`Z) zbz8?|kIpP>;XpGR&L3v*m306R%Rpp?;B&)!YTM>OtX}i}bH=?4c$H*cw=jUzec2`` z+ZG$85^`&w+69jjv+hZ8#KeEddrz>4gLOHs zB(bi;m{*iR9r5O`XBhZeIdg_H%#^GZp)N*BpM6UzOh)-@wDf=0xSDZEOQ!KXQNMG$ z{?t+KZ1(Eb)%R9L*lj{7mf_{Jk^v(a`aLgx#x&CeNgDI=@%+YSehVdDaDIHBLY#vQ zF?JZij!gDl%Vaj)(bJI4%-drTN8PYnKrTVf8IEm!yrb6|)sWfDkRoK4m|2(`&+pRL zzGuv3;xOmVs)XGuk(k~v$+bKpZ!YLyq&1K9f(;j9& zaVkk_Ib1mT@JVx_kH#Dk+w8-ai{A0mIjj*BFBy;7q<~TamX)l$$0o9IhusX7&J!nd zt>XpacT5?6e;AuA_V+%t&}fH;-Cm8_Y;H41c<0sr(Z`o<@6>t$zuERC(I>K`yxtyi za2eDAlFkpw4*r89)cC_B4#?rhh1juG+9jrH$Ah4?ixt?p{86~MeUsk|7_z#Z{h?_y zur0>LyeNDg-Uwa0-q04IA{Wx(=PMM&w_XJM6}+CQhauul5v5%#Sb~tHcCm_3ts+~> z#}nlH?MAU94KZ92ufkXu#>(~NugBJ1MgC{hxUHkI3(zS|Q%Lj`B$g0>#p4ffFb#yJ z}a@=@^K|bq5$8+=16vx(N7mV0* z`9zC=R~LGgv;vEmjzSAVo_Uq2%8<;{58-)4Cd;2XH?%9j1NK21htJ$Ol*+e-kfnUh zsF0d8DYK5?N_m@OHg);)FKk=NMa@lq*N(1)e!mnIe|2@}cds_6Oc;Ga3H4ndi^tKyMb-QoL6@;6oE|!6ZQHp$(^xN;NCKH~-``YyTSW8XK`# z;9VPO2-lL>J2G5vCGlexb72^N@N~kXZ@8_j~}c;y$)ru z?lk*kyqopm36DInxL~5j;=$t2J~mq_scUQtYC$|xNSD6T``(~;Z69@FEdf&`DhKh- z7v{o&uOsibZjznSNo-qr&zSe)VBkqZ4Fg+!%crb8P_cahG=i$FJ?1fT)KgAexYQ%o zr+(%yj$&9~J?r{~p%R#@)H+r%X@N?ty7KD; z4+EJp^O#Irq7vWgFgxAZSl1Xmw2R+p*QD?m6Y@Oo?(DRBaCiBvpe_{v7K=(2n*L9|BqtlHAxR= zP14Xi57ZoEIF|T?QQBI{nK;=#HS1q9IM1=lCU2zM3v6#k9}TMC;?JpSmaTg-j(vA9 z_LmEIz`P%5w(ET{)0xigN<~=H|91-98yX91Y-tTgl+?nhBrLV-&U6(;`Dq$dt<)7( ze})wSs^Ay~wCh{~+N&)}=?Qru#j>^k))46oTAH9}!E@Mwo8NAvjuTJ>ql+yLtQz0AtYu4NSaal2`9SIhgE zH`tx}i83W{;j+bRf!1${iM+w|D@}<%wZF(whSGe62^-4I>Onv0TNv@q>uPH{m!ghq zY67ZN=0%4LoQ61(wR9t$fElI@W@gf*1a3z(h#&}pmz-c8%y%jng(%VF&Mw8geFJtNi5h}c+p$Y8V(DZx0fJ% z^q;>seYqBwIavOhW6aknfZJl4IY8qw)7Q|dX7uKfr(*}^Id@t3AP`UP(~5XX;<0${`ST;Cfanv zrp^P$2UM{3uh$R&hUmeMs}Z3$F+SckG5JFyz@`@O#bRH8MaZ2 zYdb%ICiMfVuMpj1v{03UO;7}iA*z*oyL7e5!mKMrYS;VB+otdqco5qC%U~g&%9&aT z3C2)gvcXsg8y;m&OQQcs@{q>7f=MSPi@w#lNA)S|g?uDUU7PcU+4J{0%5VJgyE0>< zE@kA}fsX6bBE&n(w60z)8d?*P&t-r^LBi+pjH=cl)pVi3-I@o z;!-m;XLnR523EYoi22wEorg%q9SdnyJ@nctyG8tuLTRL6)y)zmUCL&OgxCsNfRirs zFqI7#n=g{kyH?B!i88SnMjJXu4n)eUcyiDr6(IqCFbR`FE7Nq(T$rc8X5O`hN(H!m zP$;~vWvw}v!cW^&d$*wPsqIQYH#yV@bcgteOv4CdAs@f<^L`+(Psn%w9R-zIoDmNt z8xMC@YwJ}Q$Qm5FwpM~_B*=Ar-u<3$K76+0TzjBy|E6bi6i@9YAdrzR30wuBX7Ir{ z>h?^+lT5f0pDX$hph3P3YHj5X(({0OT6ovT4j;Xb>XN%Z^+cfBSH6h5ndqWnGOsH2 z9ji+NGqdcguHcdD;WB0eycu{`oTBTuWvs5YvQdxUOectgsEi8>#ilHGA^t4D?=8?| zvYw9t0d=qbig#HFF`Jxsg}Wr4e7*`k35v|5gFPL?iQ!|B%>ocRBTenXPq1Qtd1g2E z+sP7T)9nIu)i>nt0M`*HMawpveFx~9%EPG~g{1PW?i90KNsZbAW9QRb*^zP}fA<1v zKWvrDw>&%J^Qw#%tZCgoB2}>>7 z9Va@J<9x!*z)0lTi*l@Tw?caQFk+MCeXnGkb6geYi|f)b`WpeaFCUP`Q8O4Ic@swk zU=$Oz{s)sjzKYII*##5eIX3a1j>h}XKZThC5#icAYqYwo2 z`gbDki|R4E_uLYr=81Pf`R#1ODq&pM1k&vxT(7gsQXe`ff&_$e%O$9fpEjkML2ili z1t-ZR8SC@#5BSh^zP5klV+Fr~1rhJlkk#NZSU)a$4iMd5!fvu(Xdga?C^dvPIY=85 zdUAYy)l|#-%d`1lcygvL`9w>J%XOfbe8a2dxEX zcC}celxz|2fDq|2H-BRd3GI@%yCeQ|4CeN7nQ4ClP}Rj5Ieh!3euwq^%=t`t;6R^7 zJz>B$cVXT^AtSd~RV6)7wQP*5HkoUEyz+(2P-ES}c&z3-z8`aE0^BXSW|udjj9$K?cV%BxaZ$=oUFBOs8jw8`9)@AL^k? znmD$b=gT83nsQZOhi@%a@^IdZ2K)-%Z>yswpI=W zEGQVtdb(57JMQHjwqv+z3>zFfrVnBi+S|j|x&KFz-P>yFuB^ei*&0R|$9cRUG+go# zs5=e)T*Ew5+wQ#aL{$wy?oG*P7aAmr@uXWb3Q-B=J??(Mru?AQmRvDHTp3qWMkegQ z&EaVVidk^7WW+v`Af(gl8Vs(wn&|}75KzZ2up;ZnuaSn00jaO@>=uQ5kDgDIxXcMN z|0MQpQlydhQyeiGJ%PNf)1qRc2pNvOu!aoB*d2kmQR7T^e|pfXer!s$X$~$?LbEg^ z?%x`bsl39mPPUC`oql9&zOeCnLd^N06@St2i? zQGKFRUerVx&PE>II+r?SLgQuX!HFbreSqMFcNGf?slk!_)E1OS58?)TkR^qll|;>^ z#$(Tj1DV^+o20RZ}|%3$Hrv?1^B8>bz;hzMcWht2_X08>Qs_x%OwueO5ax z`et-i{QAI;W*J*{x3Do%XJ+bFPiNE z(x0xyL;!p^-{-#-H!nA|d^z|&p*bU;$Jv)_;ZgRFTC=^ebMiN-D>JrLfuOu%QXND? zW(_O>DyH&TJbH3>6HH6H3Q#mc;%t3xW2*mXeM|c~udG$EC8m)mX_S8SoyC{>S)3u{c;P2Os4 zK2Omo02_{`1<@&i9~5#XJXdP4W>gI$+r#4y-a!Ws7o--|Zg2+`?&(2=HX+Kd*#5-* zq$@pY)~MAeeP2IC^`oRo8)&^bR;t)nVX}MCZ`honZyt#EI$z%z3h+POl95(nZ=S3^ zZLASDH|1x8|7!MgOZ#W*pY9W^!UL`9H97y0^U5Q97I3O9XqkOP#x3^{>Atr(A;b=;I0`NP;UAYLE{P%5=)@4sXruemTziBSBmy;*6*$|T?v=);;MUJn1J!s8P zdc=~$-$2UbSvK2%`C%9Y|PO%z)-Aw~A57Fty2$1|pPa_KNM&5} zTAbX=Yi1-F?C0r+mvqU=(%#2xMrTm_wZg+VV+HP(4_SN8_oL4^+`HB4d{p7b$d(s9 zIj>KHgWo2^0W-{)Gcy7mhvHnUlqOQFMoD=?CGa&zr9FXtSx@ndSo1Mkm_4H z!t&ZN+b*E;CKt8th|Qe&3t(j(9slz*H-ga{+f{8czP5AWlJ9o^>zIXhQh6ponDnCP zO~`J^_N}KNab@|xXZL0u8|f3!ncq^9!a3zMx>=5Ul{$-Rk;s07p@j6&`DE4=`h1yn zzuYz=&-g&Ct2{FC}F^a(y|t(hPsqhTce3E@v=*|lkoMLVv7wJ#HOV_#KK(9lN5%<)u| zK+LvXgIRPF-~NgI`t;9Zvk6{7e-7b~4%qhCz8wp2*vUyl*zr(|^L+ouE>P#qphRDM zCOSX~nxV*gzhGY9y;IIp@G$b@ zro~(E``WPOvpCJsKP$9xaeI*sj$5yh`}5vyRFwUNVy8J%6N-h2HjY}3%=h$y^x7#Y z{3&kO5kh+Keln2@3YEZnl`8*Hs2UX0%<8D8uh~-{ z*&Ss&jEx+}I>jcgr5fR+Ws`P0%@bJxKVab=wWNwALawn$^mF!v@%qP#2R(Y4=C(^Kop{^EIjk(8$ZT4yQE!#lJ+e zf85Wwog&X8zssh{lWV{0*P2^8z18?K-lrh#W>mnZ9_uQhnabV7=>Pd)u4)+eK27Tj7Y5v_wh7xp|s3uH4|nJT9Rao2nOmD^NZPH>>FR>~6W!B|ZWI129IP?frq)-Le zbsA02Rk+HM4b2wXlp9+zq;6~D63pNx13(q0QI5IJ<))gIzi*9BuEM;42q3wLwQa= zv7^sjf(+T0fy|pz^%HXv+x#DLm=V-9TsI6(Q!lskW$~CzUwQD)bxyC2f|4ai;b{S@ zP&^ziS$^(kgkZEarzi`uIRcXgdD!|EODhI+#vk27S~;K$^w z-ZF3>w@~xHYPqT!$GnWgYpLnw1-qK3Cl@KZN^&bB&xhLm-WGebn#kOce3`?LPJQk8 zaBLl73RRf2+-*oLrr*-WnRUzhjeYY3ngPwsOfb$bjVAf8&Rl3ealqPVaguve%dE;&h>CcDr;!Efs>6H#E4jHbb=@Ch{Hl5`?;^EmL2UgO12Ih!*_t;WGQB)zWxLKTbtkMsgsC0r-6SY_9dw6YF?(Z z+g8mnB`;cyXJ|lC)#W!&t%pf==Zvl;P&~oM>YB)TAahP-$t%B}aHL?3XaB(`>x|p% zS{$Q>8$l5aPQz1Wq+!|4Z`J7>%FvUttaC&7w zKl=LSeRv+mHJbhJxGgM2&!O>4bNnM7@%AwTmF%UKt&UV!?(b9=KS7eg=sff}vR9;_ zXt?>SW8+y&HrA^VF*pgjsBfxkn`m?D$GWxaS)NR)Y+DtWNi0+O*J);LIMFUSd=!xUG1lfH$4mwY4)*TIzs52W~%lgU&0OCKwK#+QXx} z^#*s89^6|hCTunac?Fgf%XEQX&6Di*q648t{Y^UM2Tm5PABpvgZzNm+PwXyy5S9Xi z-SADv6OUcJ&_V!y`LORfW-Do26I_ zL5MPaiYsGp@(rV{z5Wujp@KuAf0lISF7kkhU%q{*;Yv`X+Bct^xCUKYDp_#6x0CU3 z(l%Aa+~;0%v`*(qw`J zUnx~?fO2?>US9#OVw(Xuj^u_w?CicGI~F( z#G!g2&b8BTG@G2a1+huy(2Nyq-kjA~?#rK42J+8$ntCT@FPqMFIa_Z%(Fz>x`ZUra47scf8eabc2@27#HzCKY-j3v0OTVZ7C>Z9?pEM$!*zAYnbHn;eMFu~ zu>DLsFXU_N7bpAAUtFxafh7s^XQ(~rRig|=qlrwcAs0Uv!x~F)>FT*NX>`Bn&kb74 zJ%RryxR{SY5p(NEZoS?eN#(r@fiE(Hf)}8X%)vlmZPEjqw$ixFdtyhJ_Y|XVB#+Om zY3iQt`xzw0Xxc7x%`?-M3spLQ81n!_JdVz}lJ^I2zgw@t{IKxol!Ya+uv6AUyRUL{ z!s8S}k00&S7t3B-$+%6i+4>8|OP0ceh$3$w=(jbv#~>yL)00UjtyS4N&*n?#c^6k1 z^c7lzN%MeG2=mZ3(_yT)r1-8~LL#TVwDhfi2bL^_yd;AyYe@pK5Tn0f>tY0fa4gL` zA`I*cPuSl23d``R>rcPT9Y&0!mj9leeGim}2@=5Au2pt2kCg=`hH%QfdCKM`wts2n zJLI&ZDAX+Rrq0U7Fz8pb_}Fb^)rYI>RyyKs9NCR8V(A7U1{Bq7f_uEQ$pv`!M$+MC zqMMsoI&g^R%d7JS7jMivW>o>1bIck8yD>p_5@Y%;wj$&k#RKcT;H3%{dyE$vAxk@sTU}P}phlUln8Y zv=w5r?d)9JKAFfdB;H!er+PpUTXQT^x!-p0`+BIRzM=QxoV?sP>dd>%g5lq1`B7J$ z+rGMqB3_M)b7D<~a?m zwX3|6wGvzdTSu0&tg6U6aVz5TJFlqGo+%fVPDz1}S}P>LK#Y+7_r%h%NXFT%TZ`?^VI5gA+=V{?< z1O1pVsQrDzny+xbOluSLVqSio`;M;)6N6d2{&dEeMc`)aX{NQ?edSU%i#~Kr&8fz= z5AiZTLE!H)0uT&Nc%{P)&dL0Xfs~D;e@mO(B_OTn0WByw{uf) zX$+Y|%zz*ol06vV6k1sXCOvG4>_3sPf=18Y3%PIURoCr~kg#Oh*H#1NWJX0vm*++gze zz1Z)P!2{eOMQKJ8AGRmECh}huZAM#6B6p2i&gS*jJhY}pxlCs@_V)&)kr_mvx`dgF zowKcW1mOuN0%Yq-wZ~2$^@jj3teVMVTvn;dA5oeV9SM6NC<#=H^-ff(vX@71MUWjk z0gNK6tcBtR+bKK3ihi2(2rv^D3VH=)8ubMyQFnjUoSR6hgt=!F^zGNcWo-{YE7>Y^gyj!PL3e}f$XrfBwtjuENZypF%l3?U6do?I@Bb6i(-XZs3fBlgPZ}X2YI&32JT4#vi-OpUmK4Yz*6%kko z{uJbtkA84d>&XbXwk@>+w-jFH#Qq!prnRX)MgDW`z@tIRdCKKTvKdV`2-7rQ%A;Ew zu7j+ubKr*#7$4qgxKX~4O}~QmgTK1S?#qxSK-LlgZWnLKlEbg$=5w6+`wGwqy9#nAnRRE7+Z>OP;B!*c}6Y_;pEpZI%L< zd8M5(Nb(Q*JxL-jwh*FC06q(p`5Whl=2bpGf=`L}z zc_8e%nlTV|8fQkUx|R5phnpUB4mR0o)+P)N>1MJ_u zllIa(sxU@1hp63U%gLZBgbF3`hQERH9{aY@-AaI6r00i|F_|#4PO=<2ygH=P)a-@1 z56fhyib+r1!a3LgtIsX|+okmMjlx2KK|C-um+a10pb$rJ)5kP3i-i71F>7XA^Yitc zf-oHkZEp(P45~GKJW#>0P9aAYgEd+SNH2j`K_^ci7*`Qra^!uY&!TM!-m*2D*`~U`=jQo50w4c|Wb;lBZkx-U=Io_ccD|nQL-X}-#*7an% zOuH(9iYDPtz$ z@2}Ghsw>8xe5{vwpS9IC0_yDZri{ssDBf@I+VO#8&}BQZ3on_Y{zuVShc*4RVH^cT zLJ*LSsg#n^H8uq$L_(xfLJ&rG4gm?N2?$6lDc#*U=?3Wr>5UvLe((3?-(45muCwns z=Xsv{{@igzm&O7+%5hMc@$Ac&qzAZITNAr+OfqXpv|L|vL%k)^ZkHp^lg;nEqFgv= zEf4yjHE7MpQ(mg4gQP;ZR7X=He=g(~hjE3DHWw+=w(4l0vOTnB759);f3{Sj#nk;Z zDmJdpg=wKKBGeHwQm;jm@0%ygo5^5o|KMHl?(t{1KTVH>-RUX+s&28oJ)0_7(VKTb zRQ;TD=e1mSnO$jpQGQn`mm4HoOqo^lwDfa8BgqlTS?CZCXY6B6)V=YI`w}J8#DA}+hKXKL$NqeblviOV zwLduuTKffMXyv}Y_5Wb|(!=9Iu|61McBh6k!~w7Kg+ga8pYT;|il zMP^&uT!?ksCtT44n7L5JJDKYV{4le9_04*KFx0otR9I}bu$M}M#*UZU-`v@hI-S68 zFB_h;0VQAkRNMe7vRk_Meb~Ck^3{hXaAE>A81d!+Y_S%7a(AGRHQoK*jNnLjF-TJ4 zG0^J1OYw{?t~GQ#1dagQQfqk~tOOd2giT^^JJW~&(KJMyv)$UEBAGl~6!B)gYyY$OcG^+kUU?#x4 zXUWjIc4R6nOYG>y)k59|-j-K5IU=uC{c}f`g7})eO{&NFXzU*BodFW;J!B!#`^LhP zwwP#`rfSG>l=S^%`{!%|xhwkZU-(&{%K+6>BX7j{5_Em*mL}ki2q_BCnaYX%h0eeD z8U5w;g!l{*Tm+P_VVO66O&@cjFlKrP&52DKA3Lw}rv2w>8> znZ}>qp6qML^5q=hgAz&O4o2(7Fnm%+JaY+T?R@s{iJnW@$=U=_pTqeROrRRn9b<&s z^7b-yzCEdZybC8d1S8B~WJnF4U0SL(HfH+>3Dw-ycWNi~IWxgzv!8rE2R*g{Kn#qlxULnNanpPI2Qm7ohaUzsG8!nx3 zTFTAxPIchCWwB)(<;>xuZ-9bSu@^?33z{o7HNOPAn7$YY#wlhik(RwnhWXgk1|61J z?tjvXt?JH^5u4(bLu{LEb<~sg57cta)s021$okvJIVQkPRy3$&Ku;fej|JGS)SRy_ z23^LX9Zn^a(89grf4jZ%=Ded?!B=D`1j2n@RXn}V7OCSCqQ6TR$8p?NUYjo z8SAEg=yTA;l5%MlS|M3PiRxoWbuJv@?!#$YOLqRus9@#@uMMEaG6XoFF25fIp&F2? zw&cmG)>9@V#EJ2M@n^=Yjqykgt1j4)!rLF{$Fv2KO8V`vBrmodqP(fui2vCRFa{1xg;Nu~DrbG462#C=45Ye2eoqpdd>GK2AJ|t3efov;2eY5Z@Ja&V%zn3cYYdvzJ(8jDXeZZ z^p*76WN+Fu&)pxoGqbIrxuc3J5q^i(>?8eF3uUSs$=jvaV2ueq7dGwK50o=MBXc#$ z?%rs>!puJa4BH4K|JMgW-DPN}!;ssH$DKH6nOQ6F&}kFK;UcJv_`MEt+}pYPF0?Af zmLf5T_P)wuG30P}wKebtvA@gE2$O}f?txMb*Z+v(p)8K=b(=qXmjkTOwAERZes!I? zP;;?souFdzf&YjMiv>+KuT=J00qO=xJU&PKJ}p+Q5##78ru-7%!{8{uWHT&-g4+UrXQ1#nk( z@2q@9glDAVWk;X9hQvWtolGY{a7&)a^D#;@;u`OmwXZl*{*UNZ3XXUhECPIjUl??W zY-!f5#>xm6W&fe?2;%8mO;smR;?9`I5l5n)e}U4RT~y8yvbS3suR}QQm~$FCURsB*@qKPZh--=^T+;x`ft^n1T3KTL4C#qZd|vj)E^+)PZ>p9$s74-};ME~8#C=8DcG8g^W>$wSN2~U*?woQgXT@O6R$W|5( z(hB>9Lx%3RhS+?SxYFn1(2;o|7AKQG1z?uyuX22aAQAzfDrb>OL|N~ukPn-r51R-5 z##g{AOtg4^h`U!f=ER`O0&`S~?YQsr?8>3F(J5#*@fmWCIBgDZ+>KN&0v*tMohJgz z0bR>}jll3oM!l)P36F*TDHiRklR!ecV)bS^*1l)mo#TxI_!cP`Rc9-wyzlj`g3q1J zUYd3UOPn30gyQZVmI&_>uN95e;K~gDyjgddWqgvx zlRjbKYods!aEe%F9Ot-G?Fh9hz$SU9XiKi{8dwB`O*C2RDAPuEQob}KTVlL5mpHEG zjHM5tdtQICaIo$-G>tgi`(eAdQ^7goL49YrY9y~}&%LVZ; zDgV1N4(Dr8v#5?kW9(BLD_S>mB_I1{exLEuAz|oFf3RGS^wzaQRngj-?ar7zDppKu z5(gn0uT3xUFE|h%m)t(@kqmm%JAKYNVsTl+bx7brS&~sE2FVQoZ z45-@kHzlxe{_};}jLkF27U7F8+Hw<0){6{ZBAw422z5rF&#Tq!8Q5~Dz4Jqr2Pi?@ zV<_?8AJnH6Sw{rWk{PYl)N!g-?Diusii^%NXQ}{jLk)EaOp>5p)&$_(>f)ika#V+f zIdPq*@X#zjU-r9)Id+jFZ5h59i-ENEN0)zZH7$2E?lJvHLGFw1*F0O*Bm)~)AQ#7}W^Izk_aRLB_10$RAY(oc@`@>rBf zWs1!YwjpimSEdgJ?0>w6nodiAeYuwY@;DElB?-aUbyj3;^GhD}xNJIC6>Hn^>}*KH zdP^x3i>e+W+w8#infbZA-XnG5ik(j&aS_Pus*f3E2x7wc++gA7IlX%(iJj0r^C9}F zgN2Ag=e3-)@2mIVg63wj8&4}d)4k(mjypbe?j7^*9?|tMbWkg-nhA*7|6L|we_h2{ zF4s_WH0~`iw!e^fvr{C|yV{zBFmV&C5-)2U`%LjHZNTB^{gSU+x|3gTHdfq zxOM(0UnR z-HI*Tw#vBm$LZn>o}aH=IL7;3O*y8>sdcunPfpvh)|bn)d{!j_z4@jO?;AKANq`$^L`ERkoYWJh|?^;QB!_#)^Kv6f*? zPv`SBy+C$j?waL#!qPT9X`%6Gp&5D$V=pK>d0^D|#Y8Aesyp@%^|Z(po7GfBzB;%) zF?ltbgOmAieT2V#p>1{e27(E7P z&jLPeG{|P`%V04$&*nZ)A3MEjWI%4^k-5Cx4gGGPpli7&8SCK$t|ce}w9K(Qa4_P% zsn}3G$-p#YrK0nMWbG;aIWyc`Bez2+A~G}q({WcRHw_M4lB9QK(`^A2MWuTz1O(Qt8@pPV?BaBP6+?l|&LQG3QcU{NX0VBLDHT;#Yf9po?XP4tn#ikt^?sUVLiF$r z{~O>^(24sngHz;AMyv;YaWx(odIJ^{d0tT@=;p5j7XV)G53ru}{N&)lY97JwQb?x` z3ZIE?xYA)(>Aa}O4tVKF75yoVBdQu46VSAyz`O%rqru>zvilG=+*3!Pon<|ly8Hg` zk{*RHz4vFdq_>P!7C7vslFcG5+6<>kzj~6Aezb+~D+jDjk}-<{m668q%X%Sd6}kRrbJ4l+w;Rc_^g*1cn8{cqAXuwdVC>e+87TVH?3A$b z=+=3aRG2OY&NuwIl`5Id5H2?+qv&5}whKz~D}WM$qST;~H_*t^V|2i~jUaj;H>{wobR|0dAocPqR{%|7 z?X4`4&qTl<{f#%4*C)jcQzv}%E(ddp)tV7J6g1X~TiNcBjF(gMh$;FP{2_weheP89 zNgA#kqwexbKf1;1ifR}dU9NeCJFvHh8ditIBwrfI<<0H5Z$8z;%9h@k!fmzL#LHSL zJ0TZC|NH`zYp}2Ep6`DvoB4VxKkDUoPpy$!L;&?@b9(Zkdo90pOpM>$gE>L!zDA8V z1GQGuA3mUu-Ob8{RNW-oCm~k+F=?eg53jkcR$En!dYut=v4np}B0qg8f0ycBLtU@L zKTnDaqi2tyJT?x$J9nc3vfC*T=`Ndp^{3w_e0M^OMlt9w^ChZVLv-6?8~d z9+1?D~($V{T;?Wg<9Rqm98Muq?Rm&+a>vSG7rd<=k|VTXgGD&8+Gw&Sl6pvrR;&_1XiU;#{a3y?e&b$KuD~P7(Hm3`4EjPyK9e3T$rd=Y+UPx#- zHcSoX*0<4LnW)t~yDuTS-J_T$Xdhk&wC0r8ciiubQeT7gp&ejFppI@?nZt@?Iy*i9s zkusVw`H4cFjC+f()oHy*KkOgqZ_lMEKC{DKlWYV{+yl8Y4cuTcFFlhdaBAz(M;cyV znD0)FR?E_)RSmKxJP!{2+;^WL=!#-SD^I5Nsek@}6ASgn+U{y@6 zQF}^FzD|=pOT(iy3{(q8SxdN;E_0xS;SdJ)$u-b?OCynmIVZ@$d-e23Wvn=L_ir z%xqjL%CCRLI=*JB{vI(?*NFj;Fjxr=q+33 zN5Yjv9(yB!cX+$-3^I!je3_>PJME_U&+X4w>;Ywj-mJXnDaDYCp_BFLpKvWVt^Rq= zLP~jMzZ-fu*D@0&5FNT=Maln^6jVktp6h_)ndByytc_(J3~>#8{b3PvdD?fy>R-gH zETC+}7Y57R9y`1uYKnJylBN1Rep{?@fqFPk5^fQS=*hCr-0kF77wTq!`5NYWE!MlO zi-k)wZ_3=QF6~(7B#iq4No6k!Rk2<`%85$j+;r{FlE)LuAZ{4LG$Eu zR1Y5&tM5w9AB*Y~rZB+YQiiZ{q1=t{Vbll|!np`?oCoS@+Wx-EPD9rE$|kc`z~_e# zh!z^9PsaSNYkHF_1hJ09BM7dX%wc(-ic09(Pjgx)I1_w6o7D4%;~`j8oc71-`U(f3 zvOL<>r`V~4Uedw%5ayS=`TnH6nXoQk66ah}@tI|}=j86ly1c$xoWG;qkU9fPL8gbp zZnGUpWbUJD10u&RhlCz}^Cp)p$BVb+F{V=71J5MWCX|f+UDeH*`hiAqfdJl6CU3vE zSZM#5gnxZfni0J0hDACX$~YU1q{R8**+2D_Hv zUWN?%U!P-kA`baTv|}mUv&GVla&`RkNA>74@U}{i^ej`M$ zI#jJGQElc(aMNzm~OW1k%x78U2zCZ zz#pv`?lGd~6&)R6ln~3j#TmEZpY*^Ni6&}znGHs>25^qA<9|KE#24F1l5GUB4^g~I zdz+Sj{wb;@FKz`aZPkW;%9(*bv}}h(*1Xk|x&@YC8cb5k<=Qx2a5FX<9WghR137jF z2!;*S(3`cX%!IgoNvc)%oW+8YKn=-dzkp0s4csepY5@_9J-gS=eLgw=uq=|`ds%{oyCNjb;1rrdbtj_upZx&dA;jTRZrl-q1-jr-t7ZrW{Iz_T^y2js|8#;ywp9}B3M{sj} z2ZBP|mBRXK*fS2c`JD#965bt@LCz=9pc=_v>pQ5EIIz0adK*Xwp#@$BY@p6rk-t&^;2Z0L<;{V~(U zMqgo%@kHxuM{5{ZwvsB@vs|AX-*3^<^By7#L}I>g?n5#mUlP}bSu(%X%>IhoS@}pg#G3WR<2KE{AP1I??}qX>RU;ieE&9cDUbC?E2<psujdW7oJbXA9khPDrHj<&ZxJa2GjM3b8?9*fJ4gFszZ&GCK%Zz6Tefjidzyf(DyU`a0_06KLqJB-xh&OJv4#$FlWIRUZEw)FTO$Vd=yUTKGj1d5tP}xHQ7P-@` zDal0`j(Ng{?>S5OyJbx?C0)Yt5TA4&`2IcL$`eN+870HyF7u#i-%yD&of@2@nM!xs zo12znPvx-cX7}v6-ueqYS3i)hkx!4p9FBjd$G32w@xeYBb3>HH;{+aM0VNq$gGV@Z zs{oB=z!z1rSe}$qjo3y@70~Z z>fgA|cpT9ly!4q|C%Pl0{;>S9aFdrabKp>|qz(tQ0p`x;k?eZeN!`hcac0b;StnM~ ze}nn1Q>5`xHx3*gC522%mn&mULqA+HDZv)JU*BoZxbxdJ*Nsrq;$%C|1Qpe3uA{zAS;r|*m(rN=;V4Pk^sxUw#7U*2d| z?e}zZe_FKMaG;cQm({VdSzV@mjdPm#yun*4`h3#p&_IbL*n(S+GnWT@(YNxE($1t& zCYCmov(XgJ&}RWsD73L>JQK=4P{WI!iTU~_x+%~<${$c4GzzuFPW*M4 z!zAT0PY$AqRzdedOq}Ker|^|k#i-5KEe&1?s&zbp2#0?a-NE4`17<^trHrg9QB^s;Q4zPw?s zij0P%5Za7&ioZW43b;uwSAAA_IZ9gCF0`ommCklx-#%kcvA>Vh9Z5!FwDCs1Ux)nQ*_!OspEy8}0#( zwm`!Y{6%Vx+CeUHXNaZho;qgl9fo$ z4dX4XQ!9S^!q1YIyN`&6X!e5Nt7U3k`YV^1Pr`YkBV~fq6Q%uO-!1EHghoiSx?JZagl+s77<=m_zz#a^*EOSb z;=k)^9K}WXyc;+%)%iE{njQLjcgOcaWI`SI!FV;VIM)U>H`fGDwn90Q90D{qa^@K6 zAP2_0AaL3AN6pR8KE#O&=~g*Q0k5a?=D;r>HB6RuSu$TLR|N%YUMWGWrn5+SW1}^1 z+ne!cY0_hU1R`GR@H`m%Li21u7tBU^in&JI&aF>yj`7QI4Re(1uCJ!dZrR~GoBFva zO?n)sDe*mL@m&y#V5>h%tC?(eI;rk>2Um4GT(uF+x;U4pfr29>iZhfZji1!S^?v>m zbHm{}n}jn2WekG3f7Qh08Q({u=5Zwz90KY6ETw+bpKFbsIW;32G9g6S?8aQ5OXlvx znPmSgSoSgAu;yD)kNx5}k;?Nq2Z6md#_JBazsCRBE=hg&Wt#7$64qVEZn4FbN=X=G z`Y+zG0Tq`*wK;Yp#&a^j*X;wSEDhpQTOa54>!6I-c9(3P!~6#^Uk++VEGM6CYtw&2 z%+U+Rz{Oje9^9!hWF>!(UJ~U!V%9IQC%>VhR|DegXc z@M^9=KR<{$e?P>bDbs&kQEYq=PEF<`G?IH3zBsfgEF{~`ibZ0we}bOwG#9NeCAP0d z13`0bZwAEEYToPx{!qTo-x*~&QAC+#2626oh#q+2SlVrA1<8Bx97>)=p?UXc=?0!x zE%`#=*as(#=WjzhN3_bIRu+boYo?UiDkQ;wlEDn5#~B}v#$KDLvZW0nw3_NP1>0G} zKKMz_@J}U3>e2RS2n`7L4%j>>ZkkFrW#44QUyg6Cs-qkbVN;&ES8lzaAVTGDtEpCg z7-*-|Q3D0Aa=t2=^XPbpNq^s9+ChaAn%HEL@)|6aLvLq~UC;RArF!R#qcEH=Yc})r$M1ly z{(w@;BZ5m{t0-x!)XAOg1lVk8(D3QbEErPy7nP5M_O$#*RB=OI=(=G}o>S|*u75^0 zO1Ty!DsnRy=GaU1`ejUdl&tbtyQaVYD3{|N&6$S!53B>f*zdvcY5 zaf=|HqA2DIr$;scn6roYnl?n@_EwbJPD3agJD{sZx-*cYZKFL{eyQ%W4udhLI z`mQ7ywlP*2vClqSpSNHm%hD90XR|W#CCXnGG09Ld$#%*r`RMB1Y|SP_cRui6i}!RK zQBG;g1Y>y6Ydrt7L>s7oN^hN919ewrq8q6A@u3nI-qJw5eZs`4-{HXtkdKu%Ykn2f}#2C&h zZ^-hku`T}JXwt9%wF2{XAb^4CueC$X>#=c~v_k8&%UI!b>KKo|i|p_}F@a}$Chf?i z(~*uQ_70=`KZQdSK~d&gTBLp7J^*qGF;}OOm}?;3_!iRZ-Szv&XyLEdi#diVX6a?A zZ}3X6#z{fXqnF@=j!2gGzM+pFRo7EeH@W#niT6zs@e_OVV7n4;F>Urq_E zNTYIw5yL3rZ;1+pASJM$M=^v8qq(U`w?rl^0Qrdhn`A(EvFae^Q3j!8hBhHfqxRCv&2MmLiP37bHOahnKdI5TB> z%QLx>XY13;^UGt7l(#H7)N{8S21kvo~9HmrGFs^TPyE66lxOb$R)e{G#DLh7c1W1Kd*tbV{xpDCyst{yY53xn(kER|U+ zmoh8{*3wU#DCN#fp%HNIPiA~=;UktXUv4I?RX|VhYcESyUv51+EM;53gw9%N>qz9q~QBKO0ZdjXUz`f{y;_j*p3JgE}>W`WJ1l!}i2+3$ff3IDs~~pVo->lDeV?;gD7`;n1IPutNQ5 z8`cb4mk4~ul{7dWX|W$*?_sM~C~Q_y1&Gs(eyQDOInxWlJi1Ce5#}`R1d%>QZKo~2 z9pK65+l_H-043DdYPL)wTXfJYlo1uS^Anwpd&GNE4-x1-$iNZRiS^B?$^Cl%k*v^Ye;~EVgS*~9XGTT z5=vy(&OFyjw>CXFd(3}iLt*EXtN8%@mb{O5r1@zi(_^OWmXO{H9|NS1*w!-idz5R3Tn4IqkIHtXZa>E?0$A!wZ~ zBPjdLg_<*sP+cL|VQ{2@e%G8-@D8D=!jb8ei4T$coRAdGOYtW5jeSV<+_@aeFw74_ z`KA|`fm82zp)_f}CRr&o9>lqu!8ZKG8!=~v0^scG{$c2s`m0V{mFZGSWna*xC$!c5 z4|}XjbF?z!(XHI_pt+o)lVsK^T$q{An|Dzu9&R7S&E*YwFS)N-WiJvJTEyX2Y}?@? zQBLU_Uyr`nAC52|?EyO1z2uuO{9K%{I}0m>i`}%sFXeH+wNYpM($4fAkSLlkS~XfVG*#bKW_xZlA|A^Xe7iVT%oZ|pm5pQ2vzZI}hzOA? zIy0_E6j-3(Hn1nqcMXU#xEaoF0?54kQlf>*N-Z|r*Q@N-Gmd5rOQr}JbWwZ8$%Gur zk~=d8S_WPA9iNOxq%NuPX@?%r>aXC}>*}&fncr2$Wfv4fJ_BX_-=%MGOhw`b<$xu( zdS3vac>?tHdQ9y4ho!6TW$nkh4YP~rOZr4Kt6CaumBDIY&Zu;6 zu>Ns@FDFOc&|koVyH~g*;g$O?Sx2&4|T!?C%7gYPK4`zISa649F9q5 zRV6P~@-FKI_PC#Vg@}y8P)pX1MrMVFI-XJIGBW*d#6&JC{P15PqQ6$SA zl0{Wcx>fELWv-8i)Nm5nup^{=pHF$}hsqVDIT5j(U9Y=Rx8-!`{;J)d3dpyz>Y?k7 zIvy2=J5|9ka}(KBz_gM$$g(5sYK5X2Gqcw5&?kK(xr3pkd>_64srh4!Y6yjj zVQ$Qww?xAf;H-J*mlnu4>(GGiKe0Yfj@xLCp9%OFb*cHk9s`@Giit`xOY@o%p2YfP zBcniM&&GbB8I_BE6}AZ-w2{)89Trrtk&ji}hcdUaZU`UZNe@|UBgEw}&dxl|r*B_( zh3l~uY>^MtIxiV`l#}*lHw1Np79utx0LxEI+E_Ff$~+Rn&@$w|zIdRYe4y>vlA{v! znd^0;z`cWv2Thx&PD7ea$3tj-JAZX(k1EqvJz*qj481SF;$ZW7`AG)doWwt?N_!^d zJJqVfLP!onMC@A+>jsCble;*@sh_B$NNa@c_u{@%Naq07rwS+V_A$I(b_Bzx+iXF+xXWE&`( zJaF$OJP{%2m-hrv!v|6W2>!&dbD1rI#JMk;Bl{t1@Az-0&^8|+#&&USCL#<@Ytmz1 zx_cz!hAjFxfUMj@=KC*!D2|v}J$#n@j(5JxCCzDDY#gzZo(Kzib7+XXehr*iIen~2 zf^n{|^>S)H1~D4LQncm;+>KXMogayr&|2v-@qQxBuqGriMk+^2gH^{N7hk{SRSE_mz|143!_)wl`PAUyz3VM+5_fanRtKTGi3&t#W9p zGmZ8Zgzn_pnIlQEUv$WvXzj;-D}fiUslQ3>KKd*Pw!j7kNTAsfh+04xe-|?d?9~wI zc9z=TJPio#aP+*HX=8vdcWxwN#YvluiWL!06D@6Z<$kT7gQLKww3=ey4wM3wAHSWQ zCv}8noT-B0al)O5VF4Prb1M?|AUBI2>|`38OYQtMJ;s8mr0LUlM@K)JWY@c}sNbkY zgJ*BtzLzF9_yH^N6(Wygp9M=49jtKH1G2EzhYP)x^Y(P2OWeh30tm-Dy@R*Qx*~~Q z5{VHvNNi_2oZR_Q2!Gtz-f(zFu%4@qKsUXcy9TMI)4Mg2bEv6*`$sB_bwY=++sdB(S_Qv z-&V_xPI;4_urWJ(D+XOzpnp#A%wDIcuY0Y^>hO6}hTwUQ0hs*!5NBrPG`2rC4QpP7 z`JW{9lf@)9_7^^>W{*ZNTxm6Gm(j(E7KW4!>`RZzf+8g)K@8_Avo=`ynda;&vs=m0 z?Nj)FU$-i({_Iwy0Wn1n1LW61^lGk~{`W50sKzv!d_1tu zg*OVXifPIXpvI`?`UL%7-=y{v(8$6~-zCG$SiSdKFj zQ*F-(^}5d~jZw;A3ixOY@|fz@z-HRbnLe=7dMd920(!|r02~+*5m5bUdrLVgh_C&l^g8TY{a0JzK7&ob0%r z)2*Zvw@B{1`02^hZY#YJ_5F9VON#hMj|$lJl`0@|J{iY?E54w9M6l`lxDCi*n|a21 zppmO64eCg9ihx^y)4m)1uLB@D0Aidp!c{j*jF^c*?)~+xI-4^|n#N=Gzqh^;-);Xi z4wo|COUsaYmSLCF%leK74RZmvu zvDH=|ceK&TWH6KWA++)lkeCAQkSSj%94SDWId(TPJ+riB$X&S++O2{Qt=3&2`=ARj z#aRe|@ooo-g8Q24p|2}bbmp}7ni^u0`BMdFZn={ubS)TkE;Q|>0T-M~+apf)p~Ypp zY$}WCUtFer?i+F-xnbr}T_=&oi%#j?7=0L^9g)S*fj)NtFt3A&{e?i}ynqCSs^F6k zbF|D)US{U)emX$P%TfX|G3%3X0?{-e_RQ{So(m%|AEfBD%ckfw&pLZV?#@VvxO%*e zH+eg};_!ktN9OL-XD?JEwm9|s>7PL@we{GW1~^P`vbtfz=VA5R2C4jegC>K|elMH9 zs-G#NPk^}KbHM!Q=*v$n6FPN)=BZ5Fdi6^IcAo>FqqV__XoaxRd8c)BUD_e?AUD!5u^a z5^Jl`Agel*awv|m%qJZ{0G6hD{9QRyPakdjbW{*~%oX20b17I5h-eV4AWqv&VVf=# zvQDpSE(x4#kNEYz2VC#L&Egv6wJhl8SVpjoUjfbo{s4b;=;qx-S3sj^g0a}7IH}|tA-8OMAn zl}8-56&&JsJ`zX+tfC`^28O!2M4(8cNBR#+4!+lYH(gLBsS>D{;_{^`RZ}|JzRlz$ zKnC@&$^@QgzC92_?drnV%jW5F36%gk_k4R7H9PPnI0d{Iv8@rvr@0(F7= z(Kc9DwlP47bNNcgs6EAT@F0lWs&j*ixbXd7?IiPH33!?DtBOF~_RT?nhxjK8g3(>? z`3+m4M)Rat8d@6KOywEO|5&@f_Mqvgh3K-}zptZ`u}@H{>tw?dU~eSmltKdL6o#w0 zd`3~X1cu_&0H0#Tl$^US3bxK0SLYkxx#-A8ngR{+ zLp_(@O+=qxYPTbDaayQi_{tRrbt2MCFbFt-yQNc6oi&NrJGYTL3|K|p0Yq`L0L)acUjpKoIj^``P_m~Ht`LBtyNwM1h7yLkhPVB!<1 zpLqV?Q#kdV#bT9CF{*;bvDoLhx2SAj8>antQy6w6=y{)4uXXBpN_|qOeXzRE^$9fT z?D^>_#Kg6MzH=mKbEat-pY6-EFH)d7wj(>&#x^Owo#S;5(P!?FC{ahIF&YJ^TrMm3 z9F#8paUClDLaW^z$0rG0@F%C*HzT*q6 z`Zz%~hkN6GJ(q)F)Ty5llH5lvF6=kBum zXDP>aO@1#ndZ%{SQg?c2v8UfZDkCIZo;xLuVUbANcer--O%PDau*UD2g|>P6AtQuZ z`O8i1{Jwk}5a+skx}?(hk+RXE>y80i==hAIVz;}U(#`1py<dqZg3rUvm}8?rB5^TzMZk z3OY5c>^OIVA{fntFu5BZQ%4JXWsr&b1?WZ1kL|A47hv1C7N3DqWG##&Rb@k-y88}wWVYo)*_NuBDUpBt6P`%5;s-uhdgOc*J`heKRT=i z?=`t$d4FuCu>?=gH zni6spuMl<+cG%XO*r&G6DpwIn-~w6-p?&YG_q^;4Eo|{iu=vRSpookbPkDKwLvcie zIy%`7{BGmJgq_!K_2$&dBH9G6t#h+u{21|Ka{9|@$g|}i9#~&T9RG)HDaXG&U7OH? zhj@)=YSd3#j$6Dh{z*gzZUX{&^ZZEFzzEf&r{Wg`uhKAJRixvK8VZA zH3_0Ou{sjQbWAYo0*o(!c=(j@EYJh6XR9^oZb)iFq)&D5E>aeE1K8_+HQi>wYR1lB`>DWM}g{er# zL^?<3U?Kw29fE*J=alYl1f)C0=-kKwW54&l5C8H!m$7@k=bTU01yn=6H9_pLy&9pf zMg=e}IOY6e)Ndz|pg!xv$ao#dw)q%t^Jhw$;eW6~A_*l!B^ZUfcs_H5~HufB>m7^g5ZM?2-GA$nKZ#=r*H@9o0 z1qxhq-?oS*qf?S>HkL~EyK?WAEf#k;Uh>y29HFt+!ay8OP>?d}Z?U>^jf{J)BA zTxHfg)IQ*M=5t>6%|jy?j_Jzay{B7(=?CNrQ}Uc~`lJOutf;t>L~kTXN9CFT8K^G6 zyX5T(SHQf_>X?U@;Xi@@R_a1m8FJZ*t6BC12k7 z;db>G{afZYTIFWCOQ}!?<*Phfd$yid=VG>w10xU2t!Us>cGpXa)0}Cd0w0_W2nyp1 zf&~tRJT!Xlb1Wz<3%XQE4rG6Zc-(Vcv@0bthoS=l1(pwA%q^mG8ai+D6i!lk@C#bFF z$11NenvqgFFYJHN9`#)b5cleIgme#i+hsnjyP^rr4|=MAs(BXa@opj#v*DEMEMhQ@ zSi-=BCeuFIjDPX48b{jcHaEVch%;8(#-C0bmi*P$%I4y;)%$wx zdszu7UM&(IWD=j{wdsk`sT_~>0o@l@tsi`7dyjUFqHKt}>r!CmXak?I`plCfYIyLn z5HK9|Pc(VuF7*t;(E?nvl|L@t1;zaO$+jyv-y4y;U@2xIE)mxetkb)aL;tl{U1$lY zNKAf|r<3;_z+&dJuv$5~)!0snZGgN>p+bhu_8{+35MJn?gRs#rB_$)pmEO{b@c*&S zG6T|2%S?nY?hBMQXi2rgT!&{J3!`Q=biVbUH|+h zIeW1PezgILH@&Qyv90na^PDsfztjXQSXjfJt%VI zU__6b+YGO>8}ve=+yOqfiu+r(5^V39EM75@iy|HLMwKVZTVpW|<_4JL@20XkN{*Q!> z(`RKYhPL-gLpMY^!O#^8@kE5jYC)nH%(5de(x{&(Zv}=wEA!wop3iV(6&3k-F5+p;LD8A@ zwRD*o4%ADNs%m9vy8G#G&W-}nqx6~juW96~G<}34lZk-b4zf);!X8P}Ay-FWC|N^} zyreki=A671J?@;s8_1Css`d5!8;0Pf=m)E;ej<0{q=%;(2kT-9yNP+9bY(RdcTr}T31PPz4LF+C{tm^ly!}8- z9kPA@!}^dI;?6FZP*uRsXAwMWe#NutxBw4>2{|e1Dkz+y z70Uz86>%L>V6Ea}NFzDr<)`Go_@jkAf$|XZB(MS_`&u+4<6*(T-uP8`naZ50mP;#s zG0Lau`3u&0{M|(b>3I&m=jXd^gyNuXFY1F0Y(Q$GH^qAQ)585;fGI0)H^cNqBsIlK z-bf?6MdZ}6z-C1svbY#U4UN;XANGCF%_$HUBVsa>3>R2HHP{&E# z2IqYi2}I;I>Gov*+?6~FU&emja&DxNP1<6fCKh=NDa$`V@%XWk4(r z@?LHPd``U3+&E-tM`$y-uRts3hmSSGIR|s%(+rF*NP3Xacd+NNFLE=B+6H$ZSCwmV z4J-;7{X>Ex`{Eoph=NFOTmunR(h6Yq?tH5Y7rp!>6DAZ&PP{CBK%mD}(k6MjWGyZI z1kXIYFu9%>E}SgjnRCm(2JROx3dR$W`dmS#A4&B#%gwTXa8)4xVkR!m{T9WgV)Q zMQm7yaXdxLPFn+@J~!0l0=Pon);wykWgLu8SH1i=RU3R7Ltk?7-q=>+LT+6Z@gGTZ zBWbf$KNi*nZ5(c`U1<8aLk6Ut=m-Xo+kK|Rr`i_pkHX$*XLSPcFvfqPRGTxRnv);> zT0i@P^t;yT(IoF4ubFK5r<1!Ty!ZqXyNNA_8;%k53Kr;1pc?TOo7pq|HT%U4@b)N! zPjDIHupB7;yw~h9_W3mOHfMcUvZI^w`~;{f{^$Rt0cFQ(cF_oV88JBHR1ERveisI8Gt@`eM6NXR`tIdh@nOS0uM%~PhHkjJ1D6@(V@t=ybLeu1P zuT;K?+0XY}nAb+TA2VLwsEbG51s5+j+e5TbwBcXfJ)~3ckQK6??{0KE z6_5q9EoWaHH*AS=y^vFp-v(5KfbfMx63#C?~$#g&L25LDi{hmEMbR2$p zi1v)Km-X>I%j|=CGJ!E^Rz<7&gl7dvYrKD0ofBt9z!~%$3FtNd*_TcNd0f^?O%xs| zHEXdf(cDJK`y-s@QrrC{X+oWvpjSzp)gT+Eq8CZ(7$6=DeM7K+NG#_}k{J%%dILN8~3tS6if6--<^>Y zA!l>8KfMY0a_Q?Gx(W{kvk*rhR36QIXtuIaIJm?&cBokO?9=YR%a2JB7nW*1EXg0W zTwT!C2HpIm>cuT7i=0VgyBj0rXf3vGtGFM9kkEofq7A`fQci4+PBN+U3vk|fig+U+n-kUfGV}BuL1}xqo;f^U;iVaAu?>s zD4mXcFPPn>o8OtHe(3#?tG4#e!(;e@LbS;rND;qm@bX?e)kZ#Qgh>V2CVG&STn_ zwVy3}x5~`W$)=$>>6C9P0Pu|o=V0T3F-Q4t!w}x%GB1sU_xOIed?CZV?b5=ruK7e^ zZs)!eISaiLmemmk+m@U7Ry68{cTh(w#h&;fBgN`i`4jBaR{0#+pi*;&df&2#iZ17k zXnS-k{&_(MT+OdfnPLbL3B-08At+HHQzmi-m0|0HEBgA;o4U9vF^0_&=1+k$`tY{V!$~`B*_q-+%F_g8+1y`U~DKt_es84%TJGG6?T6Cp-~^B zGPY)A@M2SnJBe`^YQc8x&He@Dy)*!4xBHJ|)=)j{;SUWS2W1tv#;crqLgR<28r>Fh zAo~LE-!%08y36YvEgkIp!jCs7R@OsW4&?U0P}?anfF0Eu54L_jnEg_uYZBJ%n|l^> zo(qUoVgh;ri0*Zk#+X6C=z8Muea{t4!v6Bj7GS}B`Hp`&CWPlc-y1L4_u(ERu;q3; zfj0EJrs6_e)U*|PChHnXTKH@l(_oj zor)fJj$?^2A$z*2s9b;%^|uSNwvwO{(saMjU|yy0Lez(!_%_uS6Kl7T_xy86+^mlC zhb;Al6bxHS3ih;WW}@Fm481siz)PYsIIO{*@tNdQ9>P*QpHP1(=V8dk(1|27#3yt! zJ4OhkkNCS$=PKiBj7n5@KG(~Uy>H9~3mBvFK7YwPzUo!m%5F3*L_mXe>!o(qP@U5I&S zT6lB{N}z0PWb^q#b3M3+o;vp3|us3p$gSXnEotVOU*_dzN=u zye%BWFFgOEm`^r%Bz0N#ej6^BH+-8gN z53x0*rRB-NR2U1Wu3{woA7ICIaPP3uS)j8d!oAYFkDkbqaj;sGmx~;lB*$R@cjDou z|DU{=Z0!Nef_0g57A=^UWrS*0`OMX^HdtqEzB5+V-@bA*;EB0nk9*GD%*Iw@H_ z%Xf%Q-JWh`)!wh}6K^(4tb)3a22lyutPTsm_~MtPJ^&>#==v0wYs;k1OWMDh&;_C> zEtt-wb+h7XBFU(DszT8ttd1?1tsj}HQvHixKI_0Uz^@F6^B^jMkpJpyY_XlhWrULN zzjTzSDk9`jKl=))#ErGU>7q6{WH$`Y)$?5<0h5ar>f(bjd)Kz{R@eowFe7IV67R7F z%hrPp_zTGNi;RQ9Ph;4;pX31pF$F;yqft|rx{WGaSP)bFTNpx5mP+%POfK`bPx*8k zy9xdX1q16nwS|3>VIw;pzu8G9^p;h*b3K53aNeCaD z=&&j#qCF4M_~L<@U{1ApJjQmI-aK4kwq4+h)UIjSl>jhn#hUnV7_`t=f?^SV_w86U zu-hz^MFlrUy4{Xm{I>Sz7Tv=d=&k!fyQj5#vnvAJXAW3~&aB{u{IJzKPI4~P#|v}R zV*R#a6)GEADRd8l-M^aKEI3E?+_v9r#dE{=E-=s_5cVz5CN?eLv0KKC-bnYcgbNG~ zp4rcF>ac&>e~AI7q-Ox+%0^N4gGc@K9Z&;QB`UJKYm*UF~!{Z&@Y( zR)k5$e)!oYjrj|d;v(-6Jbvv42x38%dBL@C1zeFUclg)ea*qXBVBFs;(_mX!3Pu}J!ss_ohybBp2o(dDP6Vm2?FA} z7-3NEeZ0#42fj+_hs3LiExtb+e;+T@EdE!!O4KJb+tOxW&G}{c(F2U5eR~SKPU2|C z?8W)(3i#PY;5FYgAmuOtLurV!t&C-ui(ph2=swr<33J&L1l$_sGm>szZtUa5@+pP0 zQbNM_l$eAsQ{~a@b_l>8%sZ@B!@kun@lD7T<=@2=7tR%6K?C)zAe-qF8KLjg&0U$$ zLvE3tk@d%{jr8PpG1}c8$N1a-yuV0i*F~yuI1al7X4=K{s0lSee%j878OGOS`O76} zu+edP`L;M%Xnv=7OF?xDaxG|-AS`81FP&GcE>zKytuBElP>b+ z=z!ci*?-j?m2cboI?i#!i04#Ogg9#NQlDa`n{ZcIZO({E`~(7ID6n+4f=VAd+P@u_ zqs7T~zI&GP?Sw5rslqds>SFXZq^b53TTYVX&9b17TmNqc&N@r4#zGB|Hs~|2K>AQ$uLx33M-IG&~()e!~eBe!|2u zIm}3Ph7yuN7wW7Ryfp52IB5ocs#`GIqBI<7?bYA%tU<|HFHRybn^AH?#kOfFq3%QK zOOU%eQF56gI6Av~y|y`q#ZIX{o6ov}4TZt)v%gmc%eLNwI3VZJ-&~hK?BU@{!q{^{ zMD0{Zr?SN>7A1R2n;NWUIToboMmlKwA>>}C%4?sPi?$}BNTTLdkJXMapukmFl7Uk>?EXQwP3@*%4~ zUw;R-*-%{CYnlkUJmULSnWs%=E5L~o2CIFb$*U_zxLS*>CE*1>-`di)a zmO53!bdAQhravOYr}w`kw+Vdq7C!@ZQtO@h;h`((AZk1k=)1^>jzR+rswuueqoEEf zzCBTPP_Dlr0U#!NoUiWJjPP4xsDB`GjyKVe4*6HB!_TjgmwZJM@;ky! z*03t%J;V!qf0^Cgv0dNl;k+&+tyFp>tEQ}6j*@8$y=5*3ltA>?f7c!e+~ zJQGh}N5|6(5w7BN70=9HsSIMN1!}iuk;RXDyU%o++S(&XC05JI+oOC6DuoxwH6d@#k<3j$R zo1dP)9JOR7)M(mUyLmdjRLwSFnszZ+p`lngEd^q}&=&ZZH!<(WiFbCW3$!G1&twEn z|I!t%)w$S|)Lxf=b~9!N(jzqrb|fXH!Jtur0|CpUXVj0mxX8(+W29K4Wj;>lrL0Rk zGHNABA1mv&G{Wa6j$ZKZio0qT1-pzbKYVurOewq|DlCA`yLXj-BLdqCjISB6XltnU z*okbEvh5S>{+LpQ+Uprw$u!Jgo|n9t_X>yoH9E4eA3zaHqW{csQxQO5*fWG?@vqVV ze!tyOxZIzjccSwtR0A2Job!=iR`fayTYJ-+$-C(cm%Obzj|G|n4ejka%q@45WQ7&U zQJ_$Get;aZU8hN!p`)2r5s1FSu-Cg~8*N|a=OI(gen%zB4^=&J9;562CCZk=@@+9~ zr|08VQM=i8eZ5%je7u^G?&g^$5K=v;c%(suLXy7}FfB8=qFX<9LN?n*q5;IGU?xv0e$A;>VxAcs z*Yi{PG%i8be45xLab)F1Z0(czKJ-EN)E|Pooyj5Ur-OXEkVsvyBWl)BPLqns-Qf=E zElmcVv~}stxnbWpKvT@}d)y&T7udQQ^{J$UvUNcRItyoHXx(ee-==KZ@(`Tt8svmKL@Dk%Y+5 z-b7f`w$#CCyc0ZXifojssNIhGoQ40Ws;!;eUGBPb#tnF?G%6dz_2(pX*FIiOXANF| zzf#+}U+^8C=RMa$_r$X;;;q7q+C``-*rK0;`)KX;ghw3ng`6bNf$jOZe6iE%#P`gereZJ#y99{lUMP%eG%hV;pA)B|#G>8ub@qIrA>_YKUzwu$pVu>tES)0$Uec3=!RmnJD3SQIj_0<03s7c;gW$am&kTrIs zj4p5zre$K1Cv(f>o`i!1cOlqNywk%mcN1=e*D*cM&nI*JJppf>DR%bb{yi{RD+ z*(+6FV`fgMEZ6Ge$ZXi@H zGI;z)thbMl$)@@=sqacV8)DLGi_qi3Wcp5~LT zvZSB?-oAa4=hU=ABsqM}e~>Uc`>orpH>vg+_pd7*&RTdC!-8RD=JHDN_p`xZN9!J$ zQ8QM{S`p74XO$8|(J<2CaMz4U7B}r8osMJNOqScwH&S|r!J~Ryopf2s_OPBw zJB39*DmG&wz`dr&Epoei;EdQmPrsDld=n%1Z`i^{!t+6{~jWOqJ_ zyjPQPL@wxEGVbH-Un?x*Ue7j4KECfX1K>BF1(<8_j;;-Xv_QGpjajAdRO*$U)%8yV z5dJ7*&?{%#W<8!=e2Dvxq+4X)TreFZz1qu27%_Z4@fDD`y|$!ky;l_lX(?^(^9pI< z?#)}^OkTQ|@RyaZ^WN8+D(7E)2=(2XIa_=;nsA}foF;LE-v)_2zWY&c)y1dsDNal;1-QRjkVT51kQe8^h4<`ES+m`=&3pYh=Ue9x)Ds&lWzCUT?~i z^!Ur<2-#dbYSFE@NaGb3j!UxZ*M_PHT0b|sF!#s_Q1a(iYQdvXYO6x`MQ68?KVH0h z5gg4pU8p@SM|b#-o!o(L8-lG9AE~r;DNjc`%Ed^Sq>JWkERMK+QE>X~1Ww8;T++Zr zZbhdP5qMh`gIww=jdbV?=!P+Wx1F`4wOLT!7~KEF6DDnmm|U z?5y_5+4l#}&FZL!OW~J?i)SZNJD;rbhJ-xl1rw*0uZB*HQVk2bP?Xz}QMUPb!;yE@ zwJaQsK5~`Pq%5E3x{CIorgcok)~osHVrF{nzUD4V`>psmBg58idz1TSF`Y!FF}PTj zZphNX>OxFaV%w)&LH(P)fBJXZo|YgxuoIz#flC_s6(9EXRjr#X&vLz#j$#LH4(=rP*C(-HC9 z7IA!>T!0bf4C71DRQEJ80ip7h|q9HwJ)1 zL68+D0o;S0>DLWL{MJ_;Jvt-1ufdYIf9WEnW14{(H8e8>a`Eiz9GnPxq0)|uCGgu* zM$j9JyE?!(UEo{Hy!p;zH*o#Ng*&0hk3vI-tL4>O-L*(tqgq+yPemNd>Qg6O;&@~s z$*u9V_fWGwu@rV$d??RFN|!^fHRvOP7S*5TX4^_v5M$fWsO6hnODL`z13$Bd&xR0{(Q}$i3Jx5U*eoG$v`R`C&G1#; z8?+MbBwm!nZDl<$`Hy6cqnNkc^Dvp7^5SxQBpA&3ruXjzy!L9b;{p^YF7j)9Y+b8N zGA9ZIs3bn?GG}#@8S`g8*6Dq${~U%~>DH52zIXUmc@t*oAb&xueFCsmh4otAhoym4g4Nu>^g+gw7Bzi2D&Y%$K z+c(IM=s5_C6RgkSbhAAoZGPJ^z|AHBI@>aKHCSMpe&vqD-hL(2vCIsux2|hVabC-K z{hM(9K?Lyx=R44f6P}NbzWlCpiRcASY=dbu;m2wiY6|ru3A?g}90+yxaSM`RrdAG_ ze+Zil-Gt88p23#rMMR>b-OJW*YYMe5KX}SFRHT1VzmlQ7L1^23E7@*h__iB$vJrc_ z=&K0t3U|hvStiY|4n0fx_l6dtIr)Gf{)hJ1yHe9M;FCTH5{F3xmtPi~hf8hkGygVC zoWT<*OiRp8sU<`^53Z|o0TNfIxR=1wSSX#YGlq#Nyy#H}J>d5mkKnzG*Y;o`N^i>)IDyO1-jjqe%=n5N^0P>Qz>VhV zJ#11xE$7Fy2~hgcU%q zV6ukudK(BDmwT6!NW4H~72f6NV53(A0n)72!T&{bsq=OjJ_ns2M%$SI`*5W>lr)u5 zY&2sa(8(uQ6+w8E&W+7$l>hu^U)cEd<}0& ziG6BRSi8wU?kTTaIpi*?q`#+MsA(%F+9gYD{kh;mRKS-l_8Zv!v*^lY`{c71BE88+ zPg|!q9usw_4?iQG>clSt+}_|npGanj%cTC@?X;Ez{Qa2{yUUy$=T}B?c>li>UcS)4 z73vyI)7MT}e0%|Z!VvJ}J3tr9$2iq9puJfj69#iqA}T>C@a7#v_G#V!NZw8HpBfFi zGT(pT6nXz&O@LMJTam~kHxq`i7J+SF(-^t4X?yX1#;@~em-e)jg=Ma$#rcV3)#kNV zZsQ~eod1zzb|i^IL$4S-%Ik$qJ^StXp37HOxe-d#-G0z#n~!v%w^mDdXJTvxO>zd} zPcBYH5De%HNY~VqBy&?*;#^8td8U-5L9$rwyLhhH-_L5zzL7=(LpMm~N3tQYDF5(E zDUobR`o@J8tDp)p)h*mA$E_4$Fs)gZ@uR^s#+o@;?`0VCk>~tka~txD^{ev!41{>N^yf7XTPleQQI2*Ud3& zPF4l?sJUQEpa=+V_k*8Bz2r0Jqn5G3>wPo{`H*?1O#vCwN^?*R*<+y)n%lAkd@t{K z7azntNnF&i*~Xq)Gpm*m^CUNIguy!di%JW(y6K4>%{ST;4*Hb}h?AGh`zRfjmho7C-|(&HpTuU?x3^g^mr zI%AmdB`OmqssiGPQ;Z-%y}By8)PvU!tQ@LzDi<0wT2g%|K*eW^ntiYe+PB0Khez5W zv_u+&)Z~fmOgVaDVhe+U1wbKv~=+v-Nm^EsCwtQ&fv8X+zq;PfMjP%{cD)E*I` zw;bw=M?$(z41A9QNmGCjcf5gr2eM%;8a5^#rw z<8##hj1kn(RT3gLXKz#Y#ok^SMa???&8FoJCM{4uK>tX3+kHHiG@;#6bmD8_0Uz2MQd|jd9QKdV;Kc4&qRWaw| z6~Nj0c1(5CD=G79bj~bPyT1%VXKF}b9dp}IDZ*#H9i$s<>=z&?0h#1|+UE_Kysd#? zHZ^#Lx!$r%k?{l3uokPxtX23~GdVV9{DfybF^f}@5cAh=Dwx-)*=nwJ7=98i0_21B z$N~-}eNjy}XN~?kLZ`gOgJr@sL>UR^5o?AJ@BBw{1)fIz4kftIq^*&4Q03j(v@5{nfw{A z80Wn>m#-U!qd~*=!#cbgmiB_Z>jmMzDyHEZ(NoZ5aVgIGZ76BSn)3?2+cw8D9w-Ie z`*J|nX_A*%1cyV%>Z~RN1v&4O90TFpE-}Ybt(3#Iqagrd)py+}P$ZM6qjoxz{<0xn zBUfK1$?9XadfZeQEj?^Y$g{?62+p}&ADi9&nDrPxh58I;+szc7-bYu|`Z!sb)w=Og zon8$Ax(2S63ON30XgBQxpl{jO3Om%e3@qun*y5eW+)S>BA;l^V>b+blYmy%img=49 z3*Q&#ndCOW>dd#NGQfts*CVO!khy|Yte>C5vP~)NjHq+{q{TuOtz#B1qpZO{hgeW@lAiyO1U~p6$9H9i&>M62uJR6e z;yqdA5&5zKc{bTstN$O#W~u^ymN@c8Cj$B<(_OvR^(E)9Lx zQjLZ6#(_NFfqBB@`}ga*&1Ya`=?Ocf9y-Sv80~iJ+yFgM$#ToCHq0IJ+jeJ#(6qU{ zRK+i7q?r^LRY#-~?)ZrdDWhNd&bR=T-V7S@^~eF4JrfvVxV`b`t-XDgGJ$=(47j_J z*lKz>HRjhwr9%YwQ1DID3`evHxMxnbuq;P^W6i=^90Ooi+IdTOj#tq~=%!uoTh^TW zeHchG-zYTF;YsC}#cJ7*FGAlrXzR&QoBObV`tTaAU&qMr*CK(9o$W1yM-T*`%L(S`NXx0a^Wf~WdZ#1~18$er zkK4z-S%1^&>9abG{7uRPQPuLgXp#qtY*X#*E23@BNrJUArAJt&_)XdHFxKRG_{JnZ zCd)y<=W&NxObV|aO76jS+>pCLToEv=>8*Q-T=-{Kuf1vCVq2PBe%hzK@$#hhFEA$i z{vr3%YyNtwvZR4nl{b+m7g?*2-c}ZbaJdJfNXgW+t1swbAMtJ9l8x6S7@j?uJ!>AOSqu#8_*%mh!-)tEQ&Vi93FOiK@7eq(=GX3hQxDJ1U)^vqEjJ zn5;4MN_~=Fphr^8!&;!B2dbb^NCL!W5x=e&KFris)(&^AoErF#42&gBd4<#pUm{? zW<(jV!0kW`-W6=ncS;(K-%jVNlW`x+bd`@KWj<>?jF&Irfc`^O+j3n|C#|0+fWGt8 z)cPQ*h9xEbIX49+1nM>kVW$moxdJZvTXQ|xRGiAk1~Wr0tXc|B4B}MZE8ZfF4C?mx z>p-uTq94}GI{LQ&lqaDh(=t^pk2%3#7d5tpg5yA4$%pWO;Dd3htdUa|- zjMUk=A1^#9k3t+`Z@&mf*{){jj=&P$hZ;{|Ci6*$T8X0>PU|F&o$9Qzf+URGw@5^~ z9qd}k2ui<3sPvcxYi$MAeoKN4By{l zV-Gp%=i*$8_33J2>0lWC`;|07exlV96mBZtilaw`G&!RC#g5VY(l>d%^KB127ZpBn zR>gl?{=0ndeLB;!Z}L2@UTy!WEi?60>6(ZRch)8e~^TGp5)nCvP)jiXc3!S3lB- zej}A{z-AHNP5eG)eB!@M9@UtfLrUuWgt|Zeeu%B>KOW{CA{re!ZKx|g>z;6FTXKOn z6s#}!(b^pyKJZR?kGxl1UPh@RC-}Ap1COUQ!<&=08lD;reN=PX(C_HAJ8+20Iv$y- z%ZfBCvA<_H{-!ttK~Ao@}mB{ZNpSpxuJY=^-sHxHCbh^b-r3D zv6lXkrez%reMv*Z6u8v{{bfjSuXXfNg;ne-5HCm9XoeO93Dmt6{hzgjXZF#aUsVSi zGkT}fE=kYaCe88w0IS^oW%y`kN@16JEIH)0{JYPOS1yC%Cfr~qaUUFI-s52#h+)UT z#>*jUUR3=B=(dw@4uEkV)Z zX)qrqniRw|DqYa?K8X1bS894vI$ZB#c?4ax-1<@95k6O$K%FZ7j6I#I0xfem0zP32AE_hJf(e zi7wuk%(!Fr;B`rZwT^5>N$m!A+U4CDsc!A&b#_Nb|I+i?K1?Tu%|>f5+17Bx{XY_& z***UUs}NFeBeZ;QYZ#aW!2vKQXm^BfP0e(fkmcBZdDTAi!$&CBa{-YLHDSM!LS}F+ z%8Vp|repxkD>X%s$G|D@NR{z?Ea)3p#$Zk&3DY=2sdVPY$eqj-MkeeNKk<$j-eCICiGc6lv!-#rybv|GZ|NvU_ouM+!F*Hk5$TB8bdBj>UlXD&AA?f40LqN5=uND zBByC+A_{aL6zArqPy3aKl^<4&^5nJD%D9Z>L=OIVZg_5Vu65}-Hwb;Ph_qrO?`Xv; z#>^kThJ7>ao{lV)Q(93QShlI58p_c+f15AYwDAGXU-(h+raGt9^B(+Qz7QR#04CXs@s@uK!WrX!>7IE=9s)LB$a}LMfj1%F~;>y_KOA{n)MC zPFpa1D$N$h7)`W){n5Zd*ZP>OWojTLjGoCj?oSNJ9jI(H1;P&D?D$>f@hadTJV$_- z2vDNJQDXBZf<2SBU}DeLHqaPBg_+U9XY{|F`8Hk;H1_@5@Uu#X3Z;by)%kkdmofgMrVRfLNT?58J ze{k4AyJSPhK|5g>#R184j+Yf0zpVos;Q~QbH(lDw{Q%rk?{F6S3Tu*?F4-$Yw&+8a zde8dSft8cq;E3CVUKnureG36Pw=0oA`y0=qH&PAXzal&PYr^rAw4h&p!LcaUGBA+J zxspHBnRlkmlBsPi0I307pmS{0>}RtSwW=OpBxsB2yD1lXFY&v?LQJg@3hJzM~-f0^C+1` zDMkHg7ucUt=I3~~PN#4f`CR(R2N&2+7-{Ru?^YT)0qoL<%2>KCwl>r{n5;a^-}b3M z;K=ZJ(sH90XW>Y}8@(0v1J)D!Ib^55M6c$QhqRO9dlp$g4}IvJTf8Ne zk8k%H|KW~T3aIYDB|p+(EmRkn*PHI=hEEVSBXd;lGfEzo)Wmz%mKUPSAhte&0*gpA z{l8TU(&y}pIY*I5-p|BQh9Oypv8tI5?vxznM?HMMpb-JI{z7|GEu@u;lrQ)bj1(k& z=~Vu@946>6tY(E0+sxBD(%3iU_G_XP37%piBqk zRv7rAL$uDEbr?Ye^Bwu;6h^t-U}*aBS(l44lMx4_hZ4_Hq%2X#bZ*xGPq}J2?vyK; zM)R}F=*_a2W3M!Mu!Hm9zawS)U*ZcX{25)pt0sOt*LF@Y?9kfL=wJ32&7hrW569! ze}y75(a)H6ZR7mZqFQtkH0$5WZn`3cy8`I{?$j`tGT;p` zs@2qx4}Y$dvwggrQj%lfXQ^x{4x zt2F6_2Hsan6LQbcpnKpfbpEu%!Ku82^uhi>sY|-+UT!Mr@&2uk2fLZHGujx_P})5f zT)HVPB@h8B(#M0k7+%=j99Inb>!*<)Vlej5!|W?vT_l||3DaXL#MRXepdSaamvE(M zFpn?LYHGqBMj%Aoa_rm;%Qvg^i6c+^>j#hSqJ3nKq)9;UCy7!BQoPy~KOTdI1|ker z%j{Kf$rZibL@r`qoCWqe>pROp5o-r`eVke3jmpPG+_KT*M?U3`oT^oO=nzLinx<14 zOD6$Fl_%^B-ETu^g5Ma&zZ*TD@-z(yktEOq8ftcW>~wd`?6f}CGz=gfy;`Uy)+$1H zZt;)S&PLu=p!gfX;;P0i&@Ybj`YPb~3D+9gq~!m=)WqhTOIEmd{fpG<@=?%eWt-H6 zkGz)s0Tj=J1SV8qD{~Vywib#-0r}L0_=2`ew{3Q|iiB6*0;i3RvHW9${KbKHbJg4R zsE=tA17Wls#c5t$PGFmjq!=f|e~>L|KLZBHgc)=HF&{x~xy0x&FXsDdG;E(q21fby zQAUP_ctJqEQ-&>j$-_)3TyRHu7DytwQ`Yi;PJBlZvl#8_Od~aajU+yr?oxFUSHq0E zUu;I-id26jtLnwO$^CiW)u4{)jDFs`Q@s+$9Rg;YT{afT__3ayY{jY&Z1xri8lV@5 zd6RYuipZ+u?r6P>U>vV*+*by67MZ_ulRa^4i7B?;9!C1nbXOLE`Z?=r-h*6>G{365 zbl;XR!%?fgvyP0)KmOEI=)F@zh(J@6CL2wfN2Gu@CA;bNR;p=}+TSgs7JqPJ4J>nu z{hKv;c8^6>3SYMCom-~dDzpIGnTD7d@gzu&xGT45hR-=suGqIf^##5mFTvvB9S+0hRd9XT`X+|;s$ ziJJnl*5-v!THoixYNpNTM#Yt&f%CqN40X5g4cLq4=7pb9Dhsb?TRoP?7h85T)`loY zzAZhn;1arR6B-$_@G{u{H;1@=k6wZ|Wk&!54#+?69J)QCfpgF{-hTgVs42=hwtv0P z;Fh#9l?wTfdw$d6D~(37=~40`Ib!j4u>ym3npai8c_KNT!8O#?W%+*;U1eO;Z5u^V z5kXLpj;WMLNu$IpN(7{vser`j9idkB3 zI9YPv-&zPk|0{kqDa94BrZ&9x5fR)614iax9_O0*1(lwJpJpb z%l&Hx8w=z&*+0^Gv#^J>YlfiArN`Os;-PFV8>aIZ{_6;RnTI0CI(7Gpqyo%+CyMWW zLJvnznDu6$(dV|l0mqya{hI7qe_DvY$R|S*)b%PnGY7 zqXjlPSZM8=Mxc%pZ)@!#hX!*c$m80LO0X}-SF%HXp2EJX>(7gZKsmi4wZ2bP|m^&dmE@+2>u_KoxMX}2E0z}Wmb zX}1!~7q|}xZ9Se?w2$uzX{?_OP64P3v4htxP9^gW2H|HKZZl2%>s9Hnd;x{OSOJ$? zGzs%B486zH_Eqa@A%C}XG_kX-u6Pkj)7vrLpMzqV7Puo zj;#%akla@{arqe1^)>|sjF4pWqt#n-gn3oKQQ#&7(2Sq0maEhnl;{7um%Bcsk+QEL z!z_$Eq}}hm6)p1_Tx$i^XKI<`sLD}aTWCJhA|#d(M({^Nt$61}<-#JdxoVM=Cwz{dl|D*+>sq1lmLZEc zn#_J3w-HAgG7&0GwJ2CsdN({@`yjcrQj{P|m$l&it)tL(8$SWOuE_@?twfbvKn)}|(CI@ivtAaFe=-W?uDZWQ_?WC~vNIQO$X|)5OdGg89 z>Nn^N%Rd8tP=ErhZCS2o{tDkJ8>VLM%Iv8)R_EQZwB$w;pSj5gi*uLGPx;XukN+c+ z9PhAuHMm$^L5t$dOhCO*j>_;7u5@o_FSa?pxC5VvTIjj#=%f1S(WQeb0k|K2ew@P@ zu*L}=XN4IIvPUaA(D*@|ND96Ovslk}p??<>Ea&f8iu#bDiCFAvAlaS3DRF4zPp-&4F#V#5w=rgnW9dO7K8 zaXukJdZZvD=u&P+RfAysr9ui}0FfSHbJD6k$)h94tXqKolbE0vycUM z7ZM$|`CZHQ-ZWQM?Tf5Wtq;}+Ld#P>j!q`6y6454*e~5uH`cLC;WLPM)3iRvJjlE6 za9tn@=)wgWK8|&*J_e0r04Z$Ea1{4PG4!4-I2O?O6(AHn+PFFM^!{SQEb4?N%O~3h+*l#6RqS&yUZUyAn9#`w=I( zWq|9wp6F$Y#SVD~+xbSJ0`)MLhD6g12;KpBYmZE8F)i|{$#*MWum29Q7ZVqiBYVK< zHp+2q{;eFS2qgUw%T4~mhq~3{`wpimNei8M!@{%sI?uF=zFNB_estNQ+;gGp@Rdp^ zo<{F;M!&{Hmpp%-@J7?51zuvFW)5$yDeQZjsxI!!Sw5?H7XCF3x79G z03P0rU0g_Oc$!VKF-n1>9(|Xmp|R3Wjak;Kc;P|dt1a(CBlBmM!5*47Y+21FN_qCv zjcb25Rl{n!P~sh`*S>yPW4B~Kx-GvI%-_Rzn-3vbEa~dwKD*h~r+$)@*%&uFYs)xX z^JP&j(lF}1YSV5eCi2~b@gAme^GojrSjA z6cOC|WfP(j z*^%bLIHd4xQ$xS8$0H1MB$T<;=~Sf1bE$0A5@M1 z;o({C>jHbqe

t6J$2VyPW0f)>iUSlTy{=IwNf!z57wKN}^#}_{+~|oEmMSJm*uV zt9i@9u2j`W$=bC_-7sgcLRN;dNQXR&3V3G1{sU?8&(N;!I^j`Hi4^zP&&-BcGE$xO zX^EI0Umhk8Qt zua}55E}FT^r7{?)S_{)MPq}`l?f9DaB`}mSIoA?t&7ytsg(x{{c`Ck1adloU5^Bu- z0}qor$h%q-gM?jyqFy!WMp(xafg@Y7rR^NLTVt%Y$X6+X{c=n`e6c?i@fs}AV z9e-{Z4@hN*d=rH|aH@lLm;}UiSO~weg1qdY5m@1P+|}Lw!fV=oIco5B&*x&2asyu+ zyr2mI*o^DZvco&$cl0r|_I|W5&Z6Z*z6p2h#x&OovM9riA9d8%b>s4bUCeL6LW%|1 zSNUMQ6BF|~|1SDNr169ccV`#p>>DTNj-*-et7aYIXK!!|q~@#@91OXA*ev9=937fk z8wQQB6(G-$-84))6*Y=}CLOLCzX>d`@RX0|vVhsR%> z?y1BngiE}Z7JG87?T~*yAyCKWV;>|ELxF*UIK095g7}s0;E&jOOce6qK=@^{VwmrJ zo)&>8YOcyja#bJ1s;aV`eqM)eFaB7>8Xrb`DlmRiHq&VZXc2Z~uR%&!LZD6S6^{s4 z%=Dn_wK(VJ$i%KS58jdXa(4Sg$+W~nufpbXD*!&_IL$mR%tdLH~?Vgn(cJC1*Aqf%stysBKM2NlUV{TWaO88z)SVzNiPDeGedQd8W z%gsLp1wv4?9iL~$G9Tj@8{oogFlY2@m8LlPZ$aeG0YAX|X6i#EMooMWfgd{~&$-!+ zZ=5KXd&^e(=f#Zg)R9k3Z#c$w>H0I+F63FaLNOq3oto7F2JKAFB+Ps-IP#r;ts~Tx z%SZ0ZxpO_}j%Yer?)5*^sGBCVMq{aAXS(hV<%jO6rX};j#7rsclw}tg-O>0+ptuy; zOOBUYwwI)I#MCA6*HUHlA2#yF6rb{ekhMnj1XM-eEqE(R&a#DQvTb}Z3BYJ{gpvbW z30aw?(T`5TGNh{hTz1BfFXw!goL{(&t*Vv~=Kh+{E4NadX`SE?&4v@QNm8dra$&=f zUvfjg3)gArSC06J5m44SQ+CdT_;x@aMs656rIB*|<--K)6zb6azuvvY=9#Bwllz>g znL^UN!sT1pZq)zgm9q`O3A?o`PPNY-MlC)fXE^W6UnErR@EGMa65l9X4>xT7gDz`N zpWNE)C=xk9ECm2Q)yC&}saNukA32+aKmP=84dAP1VOZZ7f7*Lb+iB(h7`b(I%rX{R z%6Gd{UZnT1026TTTe1BgnUgG!;{3za4VKO~OPV)~=4X36od1=+d31wL)~iU);Ki(+ z=7wLP`~_0JG&8(Iz|D(W+*H-!PWGquij{7T7iTh?zmOf3!wJw{u>&|NB+fl&wQ_HP zmQb7nOq>*k*|ioW1b%S66uZX!cRzM=1YJe|z=WInI7}ypg&MX70J%;ESbIB+<)@8| zJ?acQxI9gqe!4bT-MRL>1v$_sdoFRz1q*(|tM`&4(nq=#8Ty;RrI)$`-DPt(u@1ND z!W*4AoWoygc;E0`sYfI4?CYd9*6Wq-ZTA|~g%lD*mb;>}ujZvWnE<<{lYL)}iHf`* z^x6jTz0~DTRho?6(4%g|zp*`NBV z!Io7YHhiz+Fsz&4Ad9*G_aVUw(+T=+u5kHFfrBulOZZXX4cDYD5iU|__u$gVR=M`B z`z*lGFupDQI%W45;trY*K7gs(41;rdZ?-`g+!qFA+_rZ5Gz>Yp8s98v8F z)IT15I=;_ZlKpV=RIpCcM5oYq+W%5>5En&rHEOYoi~_S=(UT+y(O68I&rloUR^Res zIHQa4oEr3#6GvzI8C@rxND|jwLB+ha(p%)|oQgI(kQ>dSM2TG=O~AwV8*o|NX;}&1 zbjQ_)ejL<>(?9!N9oru|YTCnwyltlCMq+8T2s_VR#q2*K3K5Q1oZ3Mgc8jbIwN?am zYIUbPBSV0|8}|~|$I=?gpkQh75cKn2A^G!vxJ?oxY6-DVwN!k{v)G;Gm}Iw^FD_xFHSiao2XxOW<-3QHJLknxc}i<#&fbn?ikVElkM;) zV-`~O#b@7KT}IF`muUDCKLA5n9bj|{eqlN>qY$5`oZo{GYBEtRsgf1z-&#@`3VGP{ z)r2!c!5YW-Lr_Xg1p{j&?^5>{%$NKgVYU#qe{iW8yZ+r}0JiNA?L&Bzzioh^aUZHt z2W3bI2z+X!AEWbsVUS}uV?*T_+dQ$JydDkRljbSf_^}r4l?!>1WvUL1Bo2&V zP?RUWTSG(LryvA-+*)0Ounv|KxNJx9jR9G%^c*P$h-wyLnt?5#3pVnrLt4dikQU&p z?FU+hxvRh4QTsWASM68M{!1Ql#_=E7AaoC^dBdjqMZ6oUNVc=UrW1iTxjl>07H_;V zz3thw*cWBQQ1`dPDzRtigPPkRL=zL>&iC``(1N^&E)yYcihIFvpwocW2xlu|de`eC z20qQH8Er|WFF_^6(JVf<_rK+$sPp?tdQ!QI$MRhh?bv4U-9~dd{m&y}YMd@d$vv$P zqap1KN+xXboHHxx%B>D-z2ZFaU6uw?5%G%^n4)sAl=X zArFOIi(5iKF>N<{ZuhFqFRsYX@)n3+ZWPS}l&{t0iiV$U$%Dn%?<%>2g5{ddy`)E3Jff~ z?eU`!a<`K*pbvvBMB8H9+srvCv53$z5kIgS!}>(F)rwN>)j$8%gK)BjFFy~->RyK| zxfp`!!Asc+^n?(iKEZmWEQ^Yj<4t>=r34@3a{Y89Mrhsh-aaKO?3;~g-P&_DXLuYl zyT4r`x>mJUJlZ2K8!LaYi;ymfP?0MLo{+v9C(yTta1^;ado}43(upAG;hiKm z`VvMp8Xg%+l7BsGB_Yu*{{OB=#P`TleA5T6LH3(sJGh`K`hkrb0n*Kwx7#Rjkyqtk zhb0dW;2lczHtnLnQ6$H{mZbdTs7uDT`1MoOTAZ2m#EgkU+G24&CvUq92A{~Hq~4i# zqCZ-wb2Pd7mJ4O#E2ZK_;)sLV`}ArAcCAC;ySLdKY%b5cDr5Tj-#gZUR%{>d;?2 zbBB0eTPp!#j)`clO#8iCt}hidSLWen@Qvp}BEo#t)w}{WscY{iiVW-iy$FcYc*z09 z+4oWCQ2j{a%!AqEkG9~KU%RwBmsiab5)u**qIhYkA+JhJmARN#nHR*f-s_8W`ZL%! z%R|K)d#lyf0|3iyP4KGOcwQ;=y6PkSFS4f6o2DY2BKKZZv@_(_R5lOyrIXJxS3KF- zbJvYZmN{q6|5Kn~pAA^)+{M_^_tZO`cy3<}xHZ;=xaIX(-yIX8j=ugrd#qGU`l)gy z`(}N)I-Q^*N@z0HJfA~SE;Xrg0nsMH)BLZ-j`ex{o6BXh;53AAW=YAS;8PlL^FKsN z@AekR0|^g;$!er#3x)P0yFuQg<6$af?<%oE=F^Zd@qJwe*lI|1zT9~K((rsvpYLp;E2kFk z4=T)x-2v2k7rO)wDZDQhOw>P5su2xMObXCKc$QCJ%oc6*Zq$6VOus%w!M=h%yZnt< zN}6FTnDJO8eI)4R+hsnii#+i{!&ajW^mQL;a)bv(nRUdpFbtBB!s^EJ>Wnz@WIgYB z(rCO|^7k*rr!6_wE<3Rh((o?W=nkh46e}{!Nlx;*x36gv%%b);rVbUT;~?n!RZQmg zl34uDpG#j|_7FDu3EFv?$&NkpbQrTTS2dx+7rp2bwUYhmGv!{O7rt>wm|xPQAiY$F zPij_U0ld=P$OGm<`6X42!%CYsrqk+)deyU+Ua&Mz*FwH9?BUcVUJ$3b-+;5$_~*yO zP+N=s`-9QxWbn4(RC{yd^;kSKYM$zAP(8i`h2Ti0)=`Mvb;j z=&#j9;dwlmllSteXho~2$cZB=g3t6(0A+9SHk$ovAnOjCgTU2hE>RiXHPe4s=AARM z(&mL8XMMtAS$m$S*zAl-K5igmko)p(6B$ubJZLP<=HQvQ>U%ocKf5D}M@6O2%>Ie) zhfERi&+7@bL?2r)Wo@L=wDF`FX7rS;Pjc|2D(-D!4xIosCi&=4C*nv3S-5fuVD6S) z*rBj83B#)w-Wb5`vienHOSjN?#P4^w#x_Qoa+r76eJQ+b7n!^);ydQH9}^aIMfI+9 z8N*;o2=6i;?_+;T_efO98J&L@ z6)FurW{#Qu;28jsr4dZPR^==|8H;K}Rs1>e_mp?qGuS`m^Y$?FgdexmJtGp8o^z%k!c-9k(9y)8>als`g~+==Vz-~6ea}Figl<2 zGpFk0%W>h8&bU{#1@}zlUQN-E-%EMu66Y)msam7~+tbosjbt&Az%VPnHX8-LHx315 zCI9Nmp&VuBsn4c_OBAf1NENK>qz5~713cmfDG1x>&_3SvBa%reKY1ylpdY#=_Fn&S zULo~s+q@bF^u>GpUa)a)R|Hy!=#l_kFI;w};b}j1u(~SHmbV2yXgBE!~kjPbA{?s<6q~PIlXuF(*m#bu+d?;=PoM) zt};Sg2C#BTT4IAW-JVisOwXvH@b!V5(h#<@(cjYo622+;Hy$ zaLx5|^?wJE3H%hGXXJ6WL1mdwa2p{j2m=53d~+7|XAdI^y92AqNwrYLH=C8!zwF+) z<_dfIYRa3pxp0LkdcPUipStmPyjjLib~V)GJ+7``;i0%;PAk;85jDeeL-zVkIIs83 z@CY)u)N|`@LTIO=mOd2Y?q3U{#*QS{mKrtn(!NbxC~T9)R4YddBcKBQkNoIM-;}&r zW)Yzq{`D-L)vT&Hz=T-gnWg=bg?$oCu{XO4g-jcCnLku`Fq!{P@N{+-+AuQ}y3ZH2 z^B|6?=kKFIO0ut-fDHGfx|A6$fUgDy0lmM&zh(~?-oho>!@a%$TZU@WR-Q5O$lfE7 zkXdGwAFQE99LyOpYUU-EW=!bNs20|x>J|A+#tBRSvxZa&?*kSea z`fQX6**DV>-1YLrqKXWNUh(8NEb4YXXrT{cJ)1ui9=)fI(=ooxlK|696ZnkNI_~D# zF{ypA(Ea2FXkcWH{m$A=m_b8?s=$_>Ncr zbuDG9QYz~>w|PE$ukB#)IWOj;2RM8Ige@dJh+p1LQ^P5ulUEKY?HKi`yd1eewxTnA z>yPLhk69ml)(S*Dj2d5Rya&t;+wpG*+l7YPKJ(~5m(|7Qrmvm~>U-9?&QPc_>ieMy zkB@I%8+_SwLv+Oa@#jt3r&`;KUowFH0$w2DC)Bt7BqwD%S;6c@6^?I z)eXZZV0yb3>~XXGEP1}(jG&tR-8Gh5E-zc$(YCeL znk|^6npNy$j46?jWrZ;$xCJT6eq{jR?ztSf#C>-tNCieOi<)7*H&C8_yxrycZWYPUH+9to zzR!a_>q;9UC<%`YwWIEL!;MDWh26U>S}bCZqCyHmzIPBtBm@6qWH)$PO?&KwEf^Iy zLPb>??wjvl-DCB*$r3<5Szjq<9-$n!oNFD3^aI1%DFTo5yjqi+Wt?0T49ghMe(7?B?EPg)zb17VN=W9Q(^%<{01 zM339WdtAn$xd=#8EHXsrRjL_N_vGVI_2dsfe$~ufec7-2dtK~m!?XbQWa_+LAp=`@ zMQMTqE8?O%&GP5+0GP7)KEfT`>{{5^orTkL!n4BU}iS%*Up(fl{ z;P1)LLy3^%_`PG3vO`C?6&fA-nmorlay2qbwEA`GVn!pe(a>d@zuSNNK zsufVFcvUl1fmw_%DAEPdDs}b5Y}=f@{#(n%dS_uowo#2WW5n>zwJtr~Rkq)+X1Cz= zhyOPpyn!P`;@DaN?J#w(cBh*|?e`r=(t552VD^?_s!E+6f&g<38nJ)lULkh`yk-|t zTXO|yRAI$-6)Uk_@4dS(>D! zTl|{?jWSd&X;Rr`auV|M74kp%7S{bvX7Vg|x&O+Iit=TW`0&4&cGoq_`;%e7LZDsl z3M7;*D?^y4`%JaL9BfRCkWbI$nIo9u;D_{1=cg3FvbXq%>Rh20yU&Q}UnvnydzzYQ zu$S;+a%^TZt#OnG@vX@lkw5;@Z;lcY$N#$T8w(lFe?i4^NB*o>w=ZsOUDNy3R5=;- zv+R<*9lOP<{wJ~oCRJx!C2?}tb2w3p)_r&cPkf3WYb1p^O*@QSHeQb`+#QwJpW*<& z|C~z!_qkXd$tNd|PP_QvCdLvkMtcyoP!sA2`svj@lJR_K^IS(~%~8zzWc!uYl(ux# zRhF^Qy>p1LzrdErG}jg7*^1Ll{M&jQ{SGTQh{W*(--PrnX#K@ule{{?Nw?1n*gxK34uu21NdN}_yV?ukI zG@7chCT`nL)p1`E)f16T_LVL6Tm845RfaZ__hm>8BFJ0_Fw|T|u-b6Gm}q`gq->2B zpd4nMh1~(bBH5>b9oORHgIB|Pv+h})TJIH)sm~DQnbK;L`$tfBHgva%#tC~!hqIFd zzquI4Xs)M)4Mmp9k!-u^7Y5tOUlB`*5UN8#Np)%6M%-kmcwSbXIj4hG?fNSm1t?FQ4HVj`I`SqVXf zyC^tI$h$NI{xj<5S*KPu-TjQ1CxV0B>_I(uXg*>!+e>Lf7C7Uc409eKGB;ME7h;E% z$?r7Cc|GSvS6%{G`BI8!YI4}=xxynG^y6k+!laj15?}jFK5B|4qsCIyHSfJl&m-@L zx8yb}2tn8oyf1c_5U$qz?~30>$41;_dZ#gTS{kp55-z?G!T5#uUO!b8|25x;Z|06v z5n?;F`64!(yeDe9$!Z|8bY}%9yQE+fF-&DP%5)Ug>0Sq!>~~o*z2nmh0hA=UFq#oF)RDCnyyVD>kd{mSavbs%z0_=Y z@CWb5(*&gC_{xzJ+(T9cFpf~#S#|1LKb#+n3U0{HGBC+=R}R3^!qg5SYrYgD;e8rz z;$-wx5Q^(OHSBOz>Fbh8@RlPxayrW3^x_QpxVAoCugMBng@iro(KW}sG6$$=x9h^O z$L&QkZSAm<_nWW9oE7{vic4OD1SWnQ#$mQWxOEK*@VH3cv z`~5)Eews_W1epWSIG21OY-gu1B*~k z!k>C;PqWI9*gp4aD)*2%DA`DEp(5sji6<&dhDi#BRT zeY>`HROf^qA4uRYgO7vjq=KBvjOKPgG{Dljth%+@U*O@eh-D&l*sy4$PalV7MK?%;WM*W-F%^VyZEBS=HE*+K>x>IX#o zn;!|qIPz7!?jLI-NOz)aaW)g{m*^76Hg?{)YwxYq>T@xB2ehrWH-)1NQsuG+h&dJT zhnFQ+Otu#a(O8r|cHqd2`&f;)(4+XxGm6LH$1n;C~m+P}ii=L7{lbbQ)aYp^ZA zw0o4FjyD}dyRjs-dA}H&mpwMV!XIj2xK?GZ6cl}r-xLm(&8-e-fbXXU!C%y@DRTri z$8iSQAJ2XT8_%Y#D0j%Lc|^D30=v00Zf&h)!iFZ|R#(6swhp6x*!($@u@D;xmPCrx z8rng0l~HYNq~>3OLA#8vv5e&+p?{1p^rY&%CguCjb~tgJVjCrCP8&I?BmRaa3P>pJ zDJxU$h#SgN;CfAS(`KY-#S6`wJenW^t$FS!BBVl^$;%F%RiClK>%Bzt0%fs-iIJ1VTeb%P_JbbsOva|UOkQh#*r5NUM`(AQ{X5& zn_A7VkAhv@2Hpg-jb1V4Oa+{Ayy4gtzamRy_M}iph8BY!LU7}U^_cdR$eNx4TSS(~ zcs4+J2Olvn$l=6hYQ${BmFMVfMY*;=Yy&8R#kXqJFDLV#_>Lqq7_)H{8i^4hTD4z5 zN0Wb}5tk=!=Q_$^axmusx*gFH6pb8FiEFk2INFI9(kNNd-N`$rhIR-)%-=sQDg-}*-d7XNZU%wI!z+*ZHeo7sFDnFY;T#An|DbL-pQY@>h}+f z&N?bH0fk<4gud)z_`U)IVCwGv^j>BSV7HzsD(57MsDOE++CHX(dH1Y)8qa7s=^G*Y5a~Z6#gg zulF@M49(1yqWst=-@5S$eV#p!mkZ8gSbrCH4n7jvyO^BMk}}GRy1GjA4?5oRORK56RshT%+R=QAZgVyN9dM_-m_C7C}3*|6!% z?)+t2YQ1)@YX9>##lzV2EVoI?fw?BZNHc>d^owc2P%m_LKuj%kS%vyv=%{PSS1O04 zbhpgYwmHJ2@`5HlG5(&nUILwq`5sg&tO|Ol{CBi{#Y&pLv+iO#jn%xIApvI75r6%* z#gxGughTF4ktR?Ra}l6!`KWjgm!iv`aleYS{5=gp#3lGcgUwc)XNHSNp9`nz6+I-I zPTgV^M0jZM{mU_xasfKKNIAZX;B-)Dz0(Z}MUpF&E1wCgOB5pKhH94mB)v8Awwaq^+PCR8&M>Uboqm*Q?e|AxrP8RxU<9N=ZPKzCR=OxF! z3g2_TBN`C>?sy*DW{{jrUDEXOV_xRhtRr|UI@)Pl|6;aX;z>J}w(v?@h9G%_O|EZ@Isr>)NZ|-8nin3%u_fuq5Vv2}&-OSBK{TQz-0f+6OjCFZ>|bN(F`<|1tr@m)ZRJ7JrqJ zgHJ4?B%*KP4X=|j&>bMuGm;d`yq!KwG+V7K-T{QR>c-YaK018%OeVhe;D+xfzWy#F ztGfQ%n;F>Hw)V;BCOvpl1*)uXz&oI7KXQ5f7!?nsr$59pr z=nt4Tm*^yM)HijOv#e{ik6oPj7evZyY7$-*3Ks&(f(&`b%=a5;UsK+ICvMLurO#*= zF(uoIN0cGFxV9%M!B$QYzX?vuQCSu*o*7CPQ3cdH35xHYMfyY{yUku)to87uskXkg zCQH0=e1cq`TaoG-S3A$zK&Y-C5Nv$7w!j2lz2IzzGVgfLT5b`4o`xvK$h@O*z4m8R zL(f_G{`pSMym=SpQm6h}RSK8RsksUf1I|zy&S!hU1?h3bwRzm`ari6!kiwSbZ0HzS zW$)ocJ9iCb8K^jyFUz|6W8&=J`mYg7vp&vzv72(1!)Cgv2Z6B?_QP54Pao*f?^w?f zO4~f+=Gx`gW+TuBGvnbbDJs{d0G3?Li*!!qciBbVpO!N|@4TJhhShQlatB+_x;*&l z7*e!!{r3LWO(oXBZjE{yk^s-p?Df1%zkD;pXU~_|qbN*uSvNc)^}F=3d!sNafUOmR?QRs(KR*+D3gV)awDjU3}L1 zQ0g{vUPf9v`&)0(XgLBV?VhH}FENW!oE!%Y!nv>u0-^)f26r6<%jVM@c;hf`$NOtP z6Zz7q-Aj_ip2@q^;2^s2PQ>r`SvATX02f)|Vf=?-@G@g%(!}eyrxx0_tTH*=fu6-- zN{%6H{!ksf_+^p;17UMHXne8r)_69~5-w7QO+;td7W+qe##z0j>UiU~@G(thi%Y%% zS>0)EXm`iUQG*?&YDSzzn9=q-vvd9YoGzFEJ1_6w#~zNtyZ=I6|GFK;wP(ue^*Mk( zjM<9_f2#b`R9E@oc;IfeO42g=0IwC)vt-R8c{YAVqQ@*jLP-$epd3P)0bo%6i_k_( zyJ%vUnr=XEr&=c+^Xj(V$HR>h0a9=M=T}=C*f9bv{?Q1+M!-Z~M|Z5?AL&hjO`~JX zafdKcw+kh}{VRdyL2tXj<-gm{kr@Lr=nO&b-DTTew=m7bf{HXXf8RMGuzeMFTIrG< zQOw+CVA@kyj+~f}9svKq)D_fXyXjP$>}a~%pCgvF zUus@-aaSA%4NibW2yfavU(8efXqf9Tz~fz+2Qtn zq~g;L?~7poaL}D$ah*@ds9Sze~lT z*_|8fnT=!I*VA9djK>&m#tG1`xMLhfCu~OTAHU2N4fr=nt#L6@RauFPS^zyDER6Ox zpwg~*lDc?*x6|3nz)Exz!%rW@lbWdldJ5n#+xT|buIapakb^{%M8RaLCPat;cU$Ni}Lfrvo z76GACm&uIuU^X^UgVsehe^0iZXV+GFY5ifA=C;mXu#AZ!UN%u*TfzRi5*hLxb`XXC zM7M8N^6S~BR?xePvOxWKO^fdSqP7}cYoJx~fn`?WHt`aZm@og4ajM=tk@l>zJ-dhM z&9t2H>kk)v(l3TgkBlpRLRi{B6NV8MLo;>$B5exXIHt}a@&#|D@p6xHDO)*pc9%|< zwZp*I>W#37=0K|Wm~MG>fVYjA8Ar?efdSbM`C6w3by=3Ut&7h7Z~IA7m4!nVviFHZ zZLz=`m)vTUkI_KjPYlgA5gU*G8*0(8-PC{)?H1?R_{M59@%kLz&WI<4JW{Fke2f zpXAhTGBn$Um~lLAIVP-j#M@v^28ubfIJ<;64Wmv`HWjIJb>@nCN3PuL@c{#d1THLO zfz*Kd40+=JMZI#{-dddb!vh90Nn;Jm4d04a7wuq-lZ!5 za%DPAC^eXvAZnVtjdJ65i45nTrF)MyH2jy$M^-6$LL1SnN|f>@>f(g|_+t$Wa1k#Q zP%edbjhCpe2PSIL!+q;2H-;X+9-+Mb9x2!z5#OIFkK@)~#OjCy82EU`dN4$lFW)Am zxqZ4yw74bg*>;QaCF@&}GZoRIK@EXBeQoAX!5QVD{!_O4ook4T7v-4yR*QodPjC$lC^_#m6BBZoVOMkcM!~K|NG>U#@ z)ppfwuJr=bvIl2?G!j-b-`jm-Z4G~TtT23;4lMN}zJZyq#J7eC(#x5Zxr9K1f7*N8 zjVqQKDg684YKP0P-?)7VOV8>MVcr4VlY@Db+D%rOa_i&q zbtNw;ov2+(%IqG{zBy3k;~p;Xo>_2sJ^v+;N>cSfP3$9?Za@0W%+osY z*)x}~H1bd(%Q%B`r4DwFLFg_Qr(SPTNc%)Yp|EXJbrUYBw7_`5ZUQfbTSz&a*jUsN z3s!ieBz`9+E|=QXaJfo!lp#cD{S+Pd=|{y?x5ty%Rc*h**lX9qP6V&ngI+^L-dCpL zdJE@t0{t&{PloW61Wh9U?tf&JkC~{*?+(^SR0RvRexQyrG~ioyczP2TF>03_ zuUObM@~)3dsp|bV9&-P2>xV@Toi(68YT|ZPC)|nU;16RG#C=|zlb~aHg)z%MpH2f$ zb#RJlE)oftfEG`_))H#>rf{8Y0%{PHQ2iTN0E&+plU90+J_hy;3?Y+Txh z5KThoYAL^?zM@e!(W8IJ)$;i3F*{=b$f755DDx}*xi3IHkMCArK{7()2*hsfU9{A< zz0AjB2$ZAZL=#iOXG+(dmfjq(54Zm6$uKj7dzI}Zb5i~zfu)MeQ~{P1P5q1Ags9^TiYtlI`y3`bZDl@>ka$X@YcWc*A#Tvp|Q468d) zfvkn@e-`1z?;Px?t~I@i;Ew1>HVtT%`MYh}yh!7&%HX+O*ZZ$-?Iv7LUgkt*RUwfk zRr)!V8P&olqpK1W?J`#+9sOwMBhRUj8`XOgj?5)|7g^k(5wln?Bp?r(Rs9PI)m_8e21WaH(`NPK4tu%x zzXmPq(9`8e&(=KLjzMtlk$4<(&Dcskh=42Gnq!#0zRh{RHATX6un1tQ##@);B+~vy zg{XJpBR4A4H^npe11eZTGbws&%E;BZ!_smoY(!$O*1yqRg?}~MyH`!GZ)U<|d$WO< zj}yRaV9$Y53@33CzAWC8vw{^&`^jL?BPSE%nlla-K|#$R>vOoGXwn+L{p(fFkyltv zPJ%K3T!dJ_$FlArmccl9X_+^o>jD*`-nK}~dnD_xL1kidAXTI<_I5W;rFBM0_R46- zTw)>*CluUpiCJ;l|D$lt7FwL~dAnk7fBapU)_coiu83P7@88yH`2AcmsIZ$SGsWf8 z-Oi(_1+`6e8X_94IO8r5Na7?3;#p&Ru0lEf&*XRJw`&_p-x=IV)a4-XDu- zb%u9mp$x*aaj5WQ{eP$X2zAzQI{+tj#BBArraWGfY!{1JisSkq`VA6=+c}$f5mYZKJ3tpmfI+5R{ZonW(gYbTcKSq-(%n2m;b2 zAUTol?rxBhhS7|M!A1@?_Ivk#Kk{YAcJMs+eVylZhH9((3)asnL~c{RgS9w%9JSrV zyc;zOj1mp0G<)OC@4)-0eLvYHKiEw2ciOMKj+M?!(kf|OKgOS3&TlewQ4nL4FMrQCJ^N@N6cR`c;hVxe$ATSz zs3dT80jhuOB5&RUTwt4Ybol8gN|eb%LXd9K=h(6&SL!QZz<*JGs-aY@k>RB+RoJRD zx2>r)&vUDL@sX-LWI-DGGWtIRsCoHqpIDJNBpoQfwSOBG^AlR`41mKN-~?euJ_vsY zt6PG*j}gzqcwDlq6*(r_2MW#Xq_4j#k%@4&o;Kb}kZUFiic0xt!trFbd)c?xGcL4~ z*a`nCaM{qLp}g3tr{ycnJ0HoYW6oq`-em8%IeeWd3F+|WjQ9l~y{zG8Q>c-09iOl5 zLo%A}*d}72kQ{m~UC0U15-%5DI@~IovAY_eDtE~iaGxpnV!8j436IdR=u9!J;M`}Q_{unanlR5}s zczFP~zh1to4DY1fg>7y}H%^! z7{ccas$CwU(CAuLQbvSWXrunJ+)@nOm@)Uq>_mxAF(rtT+187^n0Tu4;Mi4aLVOKu z+kZ&7A(#$YehLfpx#tzpF}jlxpR6bbcE|7X*2JjRJAVn(>Px$Ctkm|RyICzARl1}m5UjLJF9q$6CVGS^J-3f+*^QNz1F!H2q800!5;MaTE94S0ufoVC zR$QhEmH2@xh}e2%8f%*V?I2&dn=k3}ui!823t|bvInUvz8fzc29far)=K?AJIeU@q z)ARXzt=sN+A4MIEf{6kgyK?>+4xUIfSDLSCxcT}%&T1#LLPV0s)f;-Wl(|(X1LrGY zkpo!nT)I&#Krz7;JIZmO2jikqm9;>gn?fdXmVGC4Um95a4v7yq(|9HGc(jZ`YNrF* zIfqk3ofywTL<OHvbu#Bgj3uKE?yIJZ3I?RZve%8?D zOxvPIO5Al3I_=nz8nn2TuCUvsa=^t+3|#?dWQtF*c893FiwlA>=VrFjt`WxExgH0g zAYj{jn<%iZF&L+9W5d3mmdl`Z_M1sgo%VYsbsoFpEYOC6zTI+U6p@$3A}6Iwg`NKelQ*L)TIf{AzeRb_1d#^GP;-hV%R&6cMV+vv7+bVcxz>XY*_S>~N@bqRBwVmJ@% zhrnqWw`%n4RlgP$$N@z0LBcTV!S7bFqT^X(jR0A^W$m5q)F55&UgDli=vmS7+n{{n3i7BCP}DO zUASV4R$V}|#3RPRdXY!l4e=zp3(VaZ-}O!+g3O&sUIugd+ElgeUp*OT{vDUVzw@yX zwACU(zV8z$6}lcL4HHFTCN5bpvg?)P7()v}Pi}A^H>TFRc97r0t|cN;pY_`g-zS?i z;}DtwWG;()U=VBr8gAL#I8z5*C>*?E-Yy-y1R~iSh53lPpD{Jw3HCbe;&8lL7b_tRCuUc_{{hCE^ESlZB*6(>ZPwPtZIo6#_2cacNF0ljcq-D?ra&=D6U zqdUV0;u8d$d*NyaAs4LsF?_pDCbm4s*i=JAng4Vdwp{wke2M5@kdbY|x1?-7tIKmV zMN9_|eny^m7XRE{ARk=fAF6u99iv~jH+i?8=%WmW6VunEnMB`8Kx2)Oz>cG5*s988 z7OUkeCMD%DoLnqnzjz}^53A{Yn^f!Y(%i}4InXz%7qKddNzmT0IE+i5x{|-VEdZ`Z zT>|gnd6&S>g9t)fh1%xntRQFV#}+K@!k2{))fMLvwCmRu2TgJari$PR{KIg9XEYGd zF()sLlMe5>YWYs@vE1)LTk{~^MwQH!BqhKnB>0KSb$CJGR60qQ&_go}2k0?=i~!%l zU`6}(^0_igsyP#{65Pq{<(#L4N2q=H1B4To!l1q7H0xQZ(PE}Zl1n$te6b^7X)Ym4 zQU|q3A2;C&Z3Z7bTRrykGj~*Y?uGNr%8e#Z->4D)v zmukVlZ(RB1eZ)NLe?&0>Fal=LubtLK479;PK`tH4UE(C3sO_2079*aNE)a^Jk61;K zW+lg6T%?#C7XAM0Z;bx>suOQ z*YDm7o@F6fG@`oESq2xH%-f#1T#)$|IM?L=eC2#Tq)3DpH&9?rs+hm74GyrM+g4}m z!nG^Cq7culuD{fU`g2Q}JUVk%!vAOoL|*J2ZH2MDU9>_Z6u^fwJ-flb@bwSTm61!{ zD}Hv~w?{@MP;|xWENl1(IfFw*poK@s2V=+pU!96auuOok@d%Zn56@M)j2LVIldPwqkM!uy<9G}Bin1{k#A=8tRo;9s));x5g)%(Yso z#QR1qR)UNIoinq4@|Te2esW?2fKGV>+O>9|zOWh8RZBs~mc1F~tUp!O8`IgReRd|I z!JPCTQLKI(8RQdsH~8YSR{42qxv(HudtlifQKr=f*ZTDCiEx1iOj3yq)&_is^Rd5nIbBqi{H-&y! zyg)y7K~gY)JFp%${R7QDPvdWr&xDQ6%*zsDnhuvv3;wbMayt7Wus7dF%9sQQ@nhU@)8I4OZ9o>z|{*i}X6C|FBR z-j@hnXHBE%v#Z(@edbvqv@rGsNH5&vpphBE{z25@9X%NZua7p&;;}A%tZ{}+Rg6Pv zK-E&!>V!~#+SJGdV+03^B>{598fG@3T-|1>KL| z@-U!zTlKfpq=zP(P9o?vufg&uZ>ALyRra5P=OleqO$75D3s(+hl9x+exFhaq32JlMxLjPz zQT$@`xs#C4pXZcf-d4oATYXWHy1r%ddM*k5sNN-p8X|n^dFU(PNzg>0t$z>Qt=+cN zWC&^SRB1#|E=Sqo&*)TcBO;IfN4$4SmthajC-iDrtflUS;7_EvNo5SpUxn@3T zU>Aazj8d1PKe?^1p>)(Upcr!oh8hTG$VU4`OL>`rn;E}DE0F*v*NbZT+x2j*r0Bb@ zs)P(|zsY(h{~iLSDGgj^md___@@b;@91k7mYCpYu*xiyr#fTnEc`X`k*#yJzrhXTr zZ$@4H5bTgO#=Ys7d*9$gJ)ZI(Q4{4?yA7?U$@+Jdp1sj0y5jCA4dER({%JM3CX#A+ zy7uoBlb+0M?2%b+54zI7u-4t^2=PGVxbdeZv9pm$DT0+SyYyl zMOw1d+zS6|Eo``=e20EI(Popx`L172u|Af<_nv0j~-U*lO6>}_M)*l)8DA84!? z@6|F88R5%(y}uvi|6{A4>Mc>`Ov1xr*U|K{Pd3TWdPS7ayyIT;DS3^s? z4O3nIu3#Uy2lr~_=F99ZGe`OJluAEv6du232K&)7M%DyFJxl*|*OZ2ElAan$k+FP;KQJ1KAE;{rZ|K4y<=o`m0?tk6`@X0U$}QEE8%ezsqS@_ zI-KV&UBYyXyup5;*lxBbCehBkgBK?V4YM*Eo!C0ln1A=6hbtx8ZqKLqoz4*VV0(P1 z4kG&uF7=jg&gI*0f8zk`wOBpKOZ04HMib7a#N_?dd-ckt z&rW$Zekm-k{E^}W6*&tkZU9D3K>uYhIyuE6057#ERE zE)PIc^vRaLo%I`8f= zAh&H0PA&w}BLLQm4bZM1+DTM5LUPJ`HQ3e*r+f`W8uBeu=1z;B58`MUHUW`s0FU38 zg9+8ij^`K_WqW~oibrF1X9=Ru_&k}k8)|6v?<9XaGxh#QOfd+gCg?AW2+`GsDv667 zJcpL68<4_NCnUnX)q7nk&i(x0q4-YcL#UHh6zDEa(cgc=g#{Bk;AHad`Q}8Ch8x>R zqNS0amW2F7d$TI?1%W!~Ln&4YweY@{dlK1f&57bW;zNwPl>}8;M_BBWk6i;% zP*Qo#j?Y?Hg)srRENn5V7k;tUyKtN{otv^Y2Hwb4=uQ6IO58*eji);>=%7H;mz3A4 z_L5Ui@(j(6<44#iX-~!n(1KDzFt(p=}Wnm^g>Ce$ttcdxWdAN*H za*1(Q^E9X7p2#b$ZIB4GTSiXZE5N_hq@et9c`lcsyz%{Couf@8a>U&@zQYLT3IT4T zHJyoobt_b*jF4_)agtyfc)H>1XUpZ=X+_Vu>3%NT(HQ}_64Qv3nYIa~&+7Ak=UAf4 zhDN^E{}wbS<9=P}W`Fl#Z}Xd#J-NSP3{T5d*^(w}qx{><`K%c+eQ5Ev<3()GL*&ln z1sSxCvgT#NWLuRlsmeNf=FY`Voq$&s&s#K6OT7#-t5tbtX8|Vvx((qN&(fhFx7(?< z5VAvoc{$kK?y)I}v`3Y8-+Yib!$kEdY*mF8s7wa?e%Ma?K@=J@%myYdzS|y$0CgRn zJcf2FY+(8~V@y?0mA$|x`zd4Gxn5rMF3wgBDcqry@~Ey(;?Dw&WaE6>gi8__;pB{e zhLfKWdnA4cs8RLtBA-u*%$6|q&VM?~i-ZXTY7ItM? zNU>_lR+Z){51j6iHvej1tmamf%dXnL?_;lSDw|iQ2A6fr!6>u2(F26Wo)bDUUs{N0 zURn^s*jE1|BEBH*{#e-)_Z^DLbo65{y!>CDteBIfqazvS88~HABSm3Yc}vULrkKfY)|Ah z=jEwP7I3mNa>joS(>3cIe}iLP$Q*|4S##>z)x_4ggm#FSQlGMY`w7^rd=>s7jIUTw z?$>O^J7w<4#xWg*XF=;*(P#5?=fC7)y2tZn{+j*O4m_++uL04c8^;26hiuJ^0W1H? z!(3f|S||DK9p89?b^`i~Ab>gXH4NX%Wj@_y%#&pHc8chbv68nXbEN3fuLqExgRTKr zf7C;V^B9i}KrbvD#*Tjm(Lx7SuggMdz~Khp!3HO0S>j}TcjKR~Z9mhA_9jmp2@c;O zb_#S@TOPRlBHkD&VRa@`ME(YGFV-zGx6r=SVe1|3#{mUU!dsMIsz#f ziY|-Rp+Y9j8vHNhvg>>MbC#C5BK+?Oh($7er1(W~LUR~-b7pv(foH*-T)JZN5#|0m zsl9k^6qkX}@9^d&`|@SgrwZ1SPV$cOa{%tSH$Jsrbx8Vd@TFpY$vwT>5!SyVxD5DP z!9>L8#Hjv2x;|+$6yyHJ&dD6U1vVuonWZ!Kin3ojDiS`?a!dZ&u~%Xm94?&uzI4D^ zs_UWxy2*f3Zxcj`+4H1v&+`1akRJ0+iF=O2o54Exy6!(w-gNv60aYHP1aeEHqTcEo z{m}n;M7)-{(-!GB%1&?Q8AxhyZ+-{7L!m`)9s&-khGp6BkNq5MnCoi-lC zp@5`feOp_j6ZM+TFOKUljv))fub$dBq)`g>kC;`1_mtl#@vY@Q37}xFE35G5>4^*V zQyy>$mrOK0{Ah|9(a4JSGkw%%org@0x#w9{IC2;^<3$nJR(Y z9=R7n{@o+)Frk|ya`)VHX@$(7aYk%0ufDA|0IkmA1fGBdC_Ig(R8nB9{MgVLclo0( za@92+{JTIPU$M_81pD3l#`}QK09e|sL|u?`-@bAX|L6=HIr~zNpfJ`Tbk}z7baL!= zfnuySV0~n!6M{?|?-O~9mGnw;l%y_p_d&|g-eq}72&kT&xD{=aisPVp$DT!3#{Bqq zbL@)_yI`|>XZrJ&K?j7WZMsv2Z-LAQ%&=G%)s|pK^&<1!#Fr1)81ME0ig0HZ5m*U3 zgFx2XQaPYinGpQzv$>5(yPhqX<8z#n<3cyqq(pw!LO8%sH$AtvSufLMcYA)?G_Y`A ztLL@QdCgX!XSNOKx0@Bf&v!MbCX`gH!+U&JIB zCq9?u$R1+lvOeFSDp5MjHVB9O1aK0mm4;f-(F()w2jpW#!9sl|_Xi3QQ2Kp&TGUz} z1))DnT|nCesiR#u$h}}0qyFm5CdM-L;V8=}vD~K*)m7d9ylgZ9Z84kWB~E+UEqRpz z^euLDRpM^-R?J>z=JEzdN9p#+juxmPP!?+IM1g3GB8))=Ci3~E5T)?GY!TFDt$4=c zFZRrI!3>_+_Zp+V-q9wHzW4QaGQYg#9c-`m?XON-Smez>?dA(Y^91tkV@ZP+Cj+d? zte1KKK(lS7LP;^Aee$BWzsdy9$J9(g55ba~9mUYic6kY|)rG-g zQF#U+Fq!*{aD%%Zr3fv%Jb$0=_m?v{*3F!?_Kss-h3Pfsi~FvzoHS8h&!Pj*O=G6i z73+^6F$8wVm+(C-FzgzVy)7<1Lg9h@gan$HGG^mrjgFkJU+Y^{0XvdT;zJ1)biIsQ zUmKWyZo3*1Vz4bK77jPGdI*`kg*ZXNM|9F%XfYeDNK48%l_SS8CDg0lQymqMH6<=x z(Q_rfqnbW+fnQzD6Xq~W|K%(`J7mDO}EW7SfSU%c= zeyNO=rvW^G5Nyq&gW2RwQ%GELw!en=V)T!18BB%~tJZ9ysqFRF4J_Acg4R(zwKH62AJbEp}J=ORYet?nZ@+BR7_>uyh%> z8~a&P>~*r;_@CtRzZnkmgzi_5al9DhdFsYdIW&K?(Sogoj6-C&-6xfNcfdOwuP3{S$BV5R{{aw$EVicHrb6yX1TucIUc~HtpQC)qwHT1;0na5qiRk^}G z0h6(LKTp{!F3c4yE40|D(KWv!$DGsVU1@yo< zQ1Xxl;@r^G^zW-@grFzZbNcuM(FA*D)aE_eic}e?;`+qa7X9g#Ws|V(tk$gG7#3Ha z3Y1zj`Fo~Hyl~g#tDPdS3$X zUm2x>rzAAA*-&e}!j-i?(&yT$BE5tC^B5-@$g(C9oHp>X^}-x}baD_F z5V(3{*iD6HyOw9aO$y}QW3C^FXHiGb+-O|}L_e-J0%>t9*ZvLRT}W2BzO4qOO)m{P z6qZ_QEX7H6SHgubFL;7G?Is=(zy(mKUcd+85@d;z#BqjT)q5r29Q!Syw+;OYj`w?O z+sb+!;_vylt4IN(kz{5RY4T@O2_OdE#OxZM3#ZP$8=3fM8#|L#(9}Z#$z_SjW@C@+ zcKak#r30 Va<*1miJ~H+Qq#1o7ryfyDmVrwTvhgx^^lIc7;as;_8tLRMwToDsF2 z9;@f!?B_Hk`sc_(G&&@*yhLddPH^VeREYTAM7E9gWAq0^XyckF+GRv0n6BL`ppk#B z-kys%_X@=%hsl(BJ^y+HNpV&KLXEQFs>F0l^%)5Sb5oIZ>R%;iNeNM0 zalVI&QLq8&LHQLRQQckm!?XMZP^jW~0I#o}IuB{9-(6WfT~4NNOQ*_9*x5Wt@Ow#~ zhohrS`^`7gPFD6#21;>qDE|Z#R#+uWn4?-`_ZU~+RU$e`o)G3&b$O!g zbh^FLnB8^;J4=V8^1Zlj6pPl{(2yjJ?hgDyoD@B|$Nu5o7w(nNbGh@PV|JBO!(+b3 znHPm(t-3yGT)(i3z>$58_8*lgdXT1}-6U@mszy@>ek-q6=Sx+<2m(I?24C72T6leIv? zY5l-6qW+h4Ra-+-Lqnah2{=vrDyo?@na5TCWZ)g8@*tF<&SjBIhY&>O+eU+yMKA<= zKB)TXXwtuulH>cl4d%N`xE!qzWpACYozkeTh7WfPO}LOsLi;c zF)t7+GLWfq0LnlHV8xUgHOkZ-rCLFt4)=o1A~;t@=noet%H4GqF;ohiW@y?hl2LUU zp*pAt;&2w6)=1a78l8bX77wI?ytkgfam|yi!sn%NA}@zgwVPD` z5gBh5|IKc_P-VH%_w_spKf-3{6X{P4r_1FdX~MNw*JRKnxqSv1j&%(m*fK zBNrvGp-z-Kfja6R>>BehXbIDzbWBjUa#-5(6@*(cU%~UNcnUOyZww{TqMMTN3%}3Hy%B)(mmdxYFvwG~es=Kj7@WlCz+}p!WDwV~7kHjzt5|FInR15*4u=y+H z+0{j6rty1?ijaoS)11U|hzk3^f^#ADS3a$>RlgcXv+UFiFqSzZELXKIAjxXOjlpkD zf$Vlv;KIIOMIFePOn-CA!CMiwZ@ZOG**_&=i59Jg#GhBjY zSmAzlEFA@9^{$awKwG3mU$7S-!i6`@me3*=th zq!5OqF+7kJ^JjO{u-9CD10RJDFjbtSh=3m;-wpe6xp%XAzoC3fAwK(acT1Wl(e0e4 z&tZ?=| z&ad?_ycEUVzIxW z1(&?^xUI%N!MG@3!qFT969h^2McSW#7uw>}w-qe@nM*4+lhFyP^kXA04VE7cy$+NW zEz~`nE^7YiLU&wjvD_{r9&puYby5>Kv%g`b*{&$Glj<|Ck$i4y&K`np?FA5bJi;%g z&xb>_^ZFkbG;Wkj8cmCZPZMfcak{0Y%SvGz2vvET)AC8}Atie<36;|Si0Vj!#LNvZ zZBP?Gug4? z$C$)jjj*Z9ccQXG30Y;oA!`!Kg}Xk!dUDnR_-Xa`v3hUHpL(T8Fy48m z%xN8*e8dj8jkiTfBJ+!u_Bvjo73Y%Hv11|!K%@}WO8Z#ZkI%koM6O9n7#ras(FwQy zKo5LBkOHTVjv5@v9YkAcp$xL}GG4!x1<`{49Fvj#N5rvVqe3sz4{&@ct9tLjeTD9& zo6m%?e?Xoq3PBQyQ>=h|z0DB#j1HY`yW*p77?a3gR>_~6U93{)-oSRRm7tV* z=Nq^uU(DfQJ5C|R-$GfGQv^Wj&*AXr2apK@uqZ<5f}`-HLYq;hvQb7S6?IW$Rm>7l z;rGv731@B7Fmjx zT$daeAzXY1FjOA?8MwyDR4vRiTR{F$E9>`j?=Wh?=kX5|+D8J`9~`Tk3K0_;2fox{ zLaFUL?{o+r-h5B*qa4n&Fyb1Pp@b}0(7KWb#U*pOWVp%Q3Bes&2n+}}{GCwTz4Zb9 zeyNMqlsMZ4?OwyApxxHi=m!Z{6-?X*v~rY~3!6iVkFW~-7-mcNXL(?*Dm3EHkDp3! z8DEibo%)KSlIKpuGo-$B-^6Abt^iPmSuDMNLIWDk)4hSj^YU!_=n1>xJO^}{Ar-79 zXQfK^yUr`Rca(Qyvq*aV{?NsFOA7kEJT#|89wGK1mwcFt{x_}1Pp;`0J=ug+S^p|i zGi=4SkwzjBFMFw*Cpe|V?}-NFIWw`e#3@XL-0h`!o~-rPFk3e1P!UNtliA4>Q{vKiCl*%e>;LmyvQ?Y$$%F0?f9L;(PzM z>pom2VJe0CO|PVG()go1Tu?mz6eOaR;cyz#uKMiLlD2n+BylFJCxi9}$o}=X^iJ|* z^5U;gZZYlP-(rgis@$aE1{M@gbu=eqz8S@|xeFuJ8e&fc`z;rw*<4@00CudLIUkWY z$Pg(~3RhXMNb}1e=5m(KL{h#OFnwWDR4M$jZ2({F-U(Y)y>?yP1Ha41=%q%Fu5ltH=HE#TFs=3<_1aYK z$L&TT;YKutmV*LoBDbka>de;ppr(C#QDl<5RV5b9|A>+`m8N5tryq5# zG|@kGwM&SM$rGGMa2DN6v*+9`@eOfv$#7*GE`7vRlG8|$T6Kcar%foh-_Wp;6r0TN zLo&+vMONkYORvZ3`+$_=0Ya&_1niB!p*rfFPLgWIU^zt(V;~RxlvB2orV@CmXQbl| zmvT3$&DSTdw%)oWG(B-3Qxaw(8Ckw7;&$Lzhj}D0`fih>7fqOeJ^&XQPCK%=!X(k2 zCDT8gQsWs$zCb#k%m2%>;)>3%_|XDo2EK(_tK#l#QjqS|*g>m1BPy~FrldP&OFoD{ zbnXVAQAw+RdL+I0A09?$^Ks%yot&&lLfS+KG*xZ?Zis<&#l6})PTQJ!@2zaGQVV}s zI9-oN&OVWzPXtL23R}-Xq0Aq$3F#kg48B&jh22rIN>#HvRdG~*mJ;`wo-2IR+?5mF zJz=-SlZO7my`Pq+2Rryr#HB0$@T^3tB7av0GYS}68!4$!LJA#H?$N|Q9OUlE_-4bC zp;LRZRx3CD>N`)0Ub1q2sL~d$wjtYJT|g zG~9)4;aut*c{4YZTNDFIc#&ljfNjDuQS$73<(Nw9lZ9gTq{w| zP{sfz)bc(HI=F{VWZ~w*KtCWB9Z#z9y(m0q+fr0%Kk+NKzd88p39f+E<=d5sP#LAr z^{f|KZeEWEYF(QX8t+_w@?GfI#bk82O;?bEC_Fs@LXmaJoE0Z+YhlMgc87}cb+#u+ zS3Li;iIViw{31|ns>;9p5M*tbK@pB;HPSqxH!c-&;s1)azCs$q!+SwbhOnmn-XBPK zMxsV}4&J$$PrNFgqQA~&1^Yi(X|JoqKkzdo6jcVY{NnodE0C#7HlfDHYGGc; z2Gy~v9wvKB@-Y@?nhC3|eXyVTEjAD~UgOnCjX97wMS3oBf3YOKjpDjG9|)|=O;f_v zbJ}h>u`{>m^v-H{?Od5$v(;}RwVX5OS1cY*bv8oRLwr(@EGh(_7gVr>2;{D)v93 zm*Us?_T`wVgDru%G^sCKZj}0i`GFbueyW3;H5?_@!v5(`km@Fgl8bcN1;ig}bGfXw z`Pi3f)kdQyBlLu+5F>gZ<-=L3rt6+*K3nJG4@<_&)rPCIBy2dH`XG+y)67lP29$0$ z>ted^w$t=6oXILapTdns27Zp-@&QaW%Fz%=lz}7-@h+p!joO_2R;0PBox~Hux|PwH zg_DbdgUCe#b}`rLXz0d-FlshwKfS)K{9&8T=Vvi3^3wfYErq?6G>|v5+->3SW(!0T zniI$0md2AtBd|@JGoR)aOCQVj;-5tuUAyrj9N8CuTZa1#Js`{rLZS@lJ`RWq4|YP# zip%DZOC+r-d`&1Fw<6DWPpM4WIuN*QT<1gm zLT8jQR(38mxNf(3{#l$usi^BCqVyZ9%Tm;8D9YusYw`jqq&$5=L5fKfy*foKah#oz-u}KVfI6&^2Mz;jD?{28BzsQo9==8BCn?PyZBBjuu1bFQ zy-RJ%kdjT*Q;Cg4h60t{4nb(QAdZC~B5puA*B2P|=HrBBJZI;{5A7)ut9Xx2k(NtW zCb~~aGC7K+_dz+IMMqf*$1GC0oOl>=I|UClV9vYUo9?Xc=`qZ0BJn}Qpg)I=?-9- z95(0LCq7|_^Y;e3BnZ9sPH6@4@e~h52ifcQrp)$Ge<2z5Uo$Cw66odK)waP)mnniE z?K@R{kMF!=fQ-fq#61Z;;ys4!*N5|RvW^=WUzAelDS{Ybzo-smDaxTDwxaHX`v1RL zo=gK_^MQoQ4Si_0xDbvP&pR7i?8dSByiNX%apa5bE87`_v*>g>HVt|1Uk})iB@Xxp z^1cswN05E&pnO6Iznfu|9wdWsNh7UVW)yr!{o0VTk~k9khfS!*TQ>Epuy24qYuHWZ zd=?95%Z5_{*wc2jdD*mDOxvC!6uzI%c;y=&{{XpqZjd-l`mk=z{>|B^|8l)*2M%?K~Vl!Z|@+M`P#f3dyHH&OSlw3q$T-zN%RVZS&& zQM;W{yfc@K>ktIPdO#@kb~1y1ZK@UaH`y78`kKb3s@Bslv5&{!KWp)Qlk^k#l9l}x z-zA=|-!La>BUeGOc_ekUz25x@Dvut}{XS^xmHl$qT~XB?e)D3@V;lCPle*2^*NW$x zxcY-hNS39!uFf>W2UF?7nY_21Ryw^V(AtyYr4Y~4tN$@|7;VS2?g z<-aU{pZs1A9@3;xyFy)`+r0RgbFWZp7=GiilGhI4^I5anK|?r@at0!K>Cw3??aTc2 zhb8Uh4ZFivYbZ@nOp3OdnHfY94M=hh-9Ck{z6~Nzq*YH@_asVM5--L|0^J|H0{;N4)(qk zS09sq_&GV%D|5-}W2^43uAcQ*jB>1ou;?)lzdGqx2UI_obD8)~$kvqBoB-K_#VM#A zg?E&@7CM=q{%kYA4I1VG{9%N)7nJVWqVwUU0UA#LFEoPf`D+d?_62Y9BfIapmbwh6 zg|zZ^yZg{HZFYQSo{jLft4IU0((Q^Ltu>M}#|#cmFn|s9XDWp{H<)I3rc>;P&VZiY z!Eb}^NK2CnTabC7=C)m!J}16xi1|y4T!)(Al$lK0)uyt!&89mlNAcf#CUez(ehycA zRa0ZiWw&~G_zA|TG(K427>kK18+`s#Y2T{3FC#vASZkng#&e`d=-IW2_?PPlY;y^a zI#F6qB#|!=ZO!syZO+tArNMKy>6t)L&-!KFJgk`#!ltmDQv2hN!~GQ;PM1 zz20D4X^IeZRUj?(^yp)o>|G zDcf53c0N*RxRy!i1AEU4}la2bsnD-1io=4_f_UWL~5jJ>qQzT>22bJzFy zcJd7b#Q04)$=|S|$-jSII{tQu@gu!(`Oz{XfcDXBt7?lp8#dxn{rpqr0d=Z&wyUd> z^4A+QC~u4AyPdR#qt^jM-Z#AD04vq_cLS)tq@LYeZkh*caL%V+gB|?~lmBiMb}Lmu zqEzE~n_nS6E;4zLxM7%i|M4x~?>Yj212l;DYH$>{#OQj)1Rs5Ul`G%e^UXZ&C>JGZ zdYcP$iE5X8t70&y+LWq4>yqzUwP?)CrMZh8HF;AaTfIv}EuUWRV3xU`*PJzx^mlJo zKd*ITy%V|Z=>w$zu9tvV0v{dtSUj5cf<75`%pQy=d~p`!4%X zlp25@480tk4x}rLu474a7W?6K*sh;C6!ws5#X<^UX`)K}jL6kE@au~q)+X{V_x;tp zsAnblN@ayo;CLQyj}$~+@ocZ&b|yz+?ZI4r+>^isdBLd5vPMj60DTlsgpOyTiWC3b zz})+fh9mGSRfA8)BccS;4tW5ZBi0!rTwg!iF-S2mK{~jpK3vat$i`z5%p#eso5tkk z%Chr(9E{!lXEiMMoM2tp1EM~jV}ziaWLY4M#?}}?FDm;>=QG6U0;x`O!4RwIJUL?i1)O^*1z?jaS1mu0fW!?calL| zP~~F4`};sjf#mAk6d zsEf7;aTD0d%_^%BRyZx$C0)K?6HZ4z?~~?2l^8*?kA|%D;YBA+Xtn*fziCwLiV!cNoGsGp`AdT1 z8)ASLKwtf+8&Us{h!Na#s-AXSR#Dg(ZSleNn||g7744mbGhZvY>dJC?V*HxLi`(3K z6$Tv)85X!7NRx6&#-=0d6NfeHecjc#;za!ZLq8XM^!HsFf%rQPn5#=dO2B_ap-xy; z40a*g0aHU_ln|VJeDSn|)eC3jUI6riH z2I>64X^}WE*G8kUq``o25fae~{{K&mlG9o*x)^DVQ5)yxLC#fIJ=J=c}Cpi`s?#aqI^9a4Eeeo?5~tohn1D8 z-*4kyeUxORgOt29rNP!mC7<0*ycd$Wj)>M@dxVpj3Zw@65;7kiC4w7y7M%W4PEr3Z z(5_Oab}jOA;s+D64}eTcoy9p)U9?08C#t}v{K|EyqN?uw&wag3ByP>)8ldZuJ^)f@ z!V4W)b7=7b6Lsmf(S+Np8#C3THhbzlF+y6g!(?{XdkfbU^6hwDp7!=vpx{2ASE=J# z9eZ_Y1B|UBM zh8vC-&+HPP7VQ4GkFvz&}66$w(Rr@AETaR}>y|k)*MJ1en^%W!!E~qT zf;gm)-50!enYdU(KB`D2U;AhKxQzkF^o3Z^JQ^J{Q#vo(8dCQ}m*z?&I&$2p*+nvf zHx`0LZ(sbXN_AmcY1-{X=&JiVbDVApA6s;E#u(OzBdOBvW!{i3>Esrj@QrA+>UMtJ zEDmB!)=Kv1a%da15cqr8=;Q3CZ_pI$3zrXX-oF@A$~P8!*EpQiFbmjCj};PBF{V9> zH~x8XS*oMKJiO)WpL^_o=c42Q|H-_JGmd2YIKZJf;-~U3x%>N(aXstIv-2Xsyt8t| zr-kP#Oi10OvHDdNyt5P=TK#opJpJ2hq@@&_ig;$abu?KEoouo%d0%YZdBzBTpKtc3 zaf%O~MG12%`JlGH;2~Uzop!RdndjC_fu4Ci=V@pOqx0SqgI3E#BsRgb%i|F=dXwlFmSLXP=eVGV9h zJ|uB*Rnfl(!&s72I2(6Zccl-!0yr;yTWhK#=Py$*mFSm(oa~MQ4f$Y8n{{DPHR*99 z!O1!zk1;DHnAnDo?X9=$p@w^1VtuRnX?wI#Y(t0KG+qe&XHAa)c+CMH8#``qGapE( z>u59S(adl2x(&0mdR7P;puyv74>4|<1mZfdNgRrpStW16Ol-c(hp3^Ie~4YQ+;M3f zmH!8+BVVp~U<7DRMr?CgHWlZf{EO`6K%37Kw0vz{_S5kt-TQBu*9aK(FH;y>+lS-q zlOsK7@pK-$$p2Av)p1QVTo^?}NkQqD3KG)Y%%TMZ=~kKnqnk}Yx&#E26zQCFcXu=6;P$GGO80J0k0rV z^^3SUIcM~f#-}+Lb5|#iWYbYnwIpb`uE385c!+-XZJ8c6GaPyrv3mTp?X`Ytm+W&( z$4A#&?5H`7LGn&u#UYxz9&!kGe)%7s2+j=wIOwe=GqS)>Pk=86-fHnu-aIhY#ZFe3 zXNZG^8Qd$nkJbG_pb$n^D9eu?INkT35;W14xQrV=vw;qY6#0~oJ0uGVgc%Q2m}Ma1 z3s`|ckW|;iYoJdHp2dApC8p`5EyL%-zGAj=k$r!PBjJ*8IcaVhDWWJ;5}#CiZCE#9 zi%m^H5tm+C{WXXk=rG$GQ{!Sq_z#?nx7Lcml3Mg#LtUGQ6{s5ohM}VehCfl)SSGScf^l!njqot zg@i<1ln%5%29FNUVwca$^9;8(>SU|WwRU^b9G;#T4GJQni;uWk<;Hy&r6o(7^N;Yx z{F5F=Bh9S@&Yt2)u+tsf+2tSM7eD4ZAL=Ff_)ocr>dnZuDav%9ct5i8GDK(eErS>n zHcFd({%y^&&3|}&$1`+7m@>p+;Yb#&*$1Zx;>c z>f6q&v;F%gPE-cCpLqYGlj`q$+P>ao&see|nqDQF&lDO}3Ru<8CFsIocb5#WGGaGI z$rx6MJB7ulyY7nB5^Gf``a9W89_=uZi*J6dm*UY8mm5l^RAE$o8sZhQtx*;DMyBn^ zV8Ukntu-iInt}U(Q9{sA2%5s^@|HY@XN#8yUev#e5?zaU}909s@$JFuQ%2QXea-ZHG1B`l4u2j%qPzFrMER?z?Ad3vd4{ zJc_@U`3$gu#>238E#Dhkjh}9<6dBY%a-{x@4|QhjYA75)DLn`Z^zQ9yXyC8cF>mzH z-Q~&ybw>Ed5>qi73{&xH>rpM#dP=YtUVwL+b>n9g+iXMRz1sKT=|a)!;WHRu2b*1PEG1@awgs8 zpi^wVz9}oM4mYbOA~%mcyZ+qwzH!rRI8dpOmQX%gD^2w6Uc#RIv>?UH^yY`&Z95T} z{8Q0?i3*Oc%A*42OK5dHhvaAQcEmT9QzA;djGJ~I=A;!1U}G8S&2$C%(w}QSI~7Vp zYy4O?sG-?jQ-5POP|b+s3+Yhgf!;#psr@JvNH@(D$On-o^EUP0TFg>Qf|Q z4Kv1YRZ^nD)cCE(7DX0CC-1XUtyEp|VL;T<%e?;@UMeafO0!%c>eYv%w6pIQ4&tke zvW^kh(>UsgpWxm8612;CkED;pzI^lWAVa1|@#uGg$5MWyb<`(~+)O8jdP3P8f6fmd z|_XGKIb~Kn!YZoRWM)9mdd`iSkw_{ z>n0$rWAIvNjB(Zg2!F=q0WlAK&^WkcIr!v0H=K7PA!E^5Mc5nutNiqa4>NI}_KV;V zyT_PNz@+eI_(NjejYdas1A+9PAJ{+cBXV?Ik@bNnfq<#oNp{PuFB_eJU(P1eJpc@8 zMu};RA-h|+YLT+E`=%7UWmRUv{iV#t%M34mDR~S;3??-2m#hYJeS2)TJ=ghx7ErlD zN6!%ocS(nS+TQC>hWBfkQ9!D<0#r1Vu^}fVXN(21#~{@WAySNP?j&SIEG-L?9qMSm zoUeE-x~(g_bQkXq{w9VaD#r~2q6l6Ha%=(cJAGA)L)O@O$^SxRQvOieI7OhHim%=R zAURVV#t@3imJb2Ca&&&c#mUi=EBO;8++b}AnENM3I7=3cmZ^?~J;TXA=u$Z7G9HKv z0+H~R1F=dR4HG(j#I)Q_z9e%tueVP<=#6% z!sXHRdm^eEXyK9xaHQ}DHMJEUenF}DZ16|jbHa-XaQa=&v(jM%W(y~$O)u5^Tl$_a z>qp5#_ug&zj{=&{zu9I z9uq7FGpt6wE`O%#dHNGAIZabd(W)@Te38TZGJ~t437%*{*l(`bPO9W$OsMK)ZZs52 z-{7O#&-;t^*oOp1HDTZd?@Au|Qj1QEo}TpYGbg6|afz4Vy*F%ToB1SbI>&*y8}eMR zT<=)<&?aZ^jI4|KPKrJv!PXQT&7rIrnQ|4sJee$brgUP?(1l~DLlwd8F|fU)j(wiC zE>HWD6>0p%^D@_t7It)NI9o%k>Qve9Y<0oSlW6U?;t!6QM!y&v53cwz&-neG79k}= z*D^H~2QB$C;Q#QFxr0b`Ek84+c3$Tr8*Uiy_v2XSzR)B`-7;q;I;rTwo8fWidOqdF zs;(Tv)yKo7Ad8#)#a@5*o_;Q_<;4oXBtYgHAz1lN0Vuxa?e71NAq+*6CglrB8DNmQ zH5;tK5QqyN_(V~C9defa9{s`KGK~?$YXuU7yCIjs73$%~CBQtKN!QYOTYLbfGLE!O zK4Fc83bx(Tauk42w0|-{o_5zs7hCuyU3zs=GHRNs1Pf1!iJ43mVJAy^>vXN#jxXz%b1 zlG;>Rgo|~qMX)%S8u@uYHVj@x*l8NGjE}mD4adsC^B~L&Q!ncx);kq_gIGBRmJQvA z)6Am+zWJ`VOrk=MjBmLx(2c50W?=`Y5gOEWz(coIF~L(xFJ+n9Z@IYjli)|;X{5!s z{D8rym_^5k;WGi9-Z!qp_CR>`ua)=omEM*i@;=C2Z`aVOD7Lbiqil%!`!#3HG}~I5 zZCIn9%Uw;YHF;Dfo*&;DoXTsV+cVyV{x_1ZJ0w6-sc?`ZajTYO|32^Ded-as2SFo{ zbR>Lry_LcaM0dU(D>>LD#O6VjxG`$!#+tBT>mg?&k3okOAm3YBNq))rrfPwx{BP#jtW`CtCTaQwF z@iV_VjXbUu+l@>$$R>Dh=0LpywK!p{Dj4#kj^dP1O}2VBX=3k6P#z7Ge>K?gVr(v4 z*g9@&i0c)02p2rCa{CJW0Tu~wm&$Crl9ibOmmj^X4k-P6b++c1^VO)r-1&vEP1k@o5S~1^OKDd4SNJ55RabIE=a(aS$-0Jzq2L9~gVI5?5yQM~NMzzG8@>KD1 z08eN#;&xzyl>O7Zj!GX5ibz`JEvZv3V^wf=E@TwM22P~v>t8dLec1xY zBy0AF;Rdg-HC}IJ{lNgn)Z}dY5^Sgc;Z3kvNzq>3b8*J#IJRbal|6!uTNlcaEJQ5U zCRx0X(Y4tp|K#g-UOLRDI@2WZ#dT|6ls==7sfK%}Ue4EJk$h;Nmmt(}Zihh^A$kmk)dWAs87HSMvm!wtThY*$i?Z_j~*LtZT(OLy@zr)2@ zt;G)sz!!+xhoemg9 z-K0h3t(2*-D?=QIePnd*<#o2Sh?ik)+EbMH&6FoUjf9Cm6pphC$^W!Wl{{(ACld>HUFOXM~`?i-WRQU;wU_U7`)5g z5!dK2nHzuGae-Rn?!dq|j2G>RULdKtAilSUUK3P7i*%%$srO_A# zCpn7tb8c++P`3yx|2f;&mEYM@XPNxIb7)6MJbWvv*ATy`2VE47mC!NGft=l>=T1Jn5 zX3&!F9T=&Wb{fgfNmpwhtVCR?(_tm+CYvCuQc@ua4n64*0iB-5XZNJ9MijAJM=q@n z;YN{%b0C@6H2!Hmf3}>%+nm(eYi93trLr;{o5>*f7c#?c6HdMQP{7m{z-~#a4@B;= za2#G@MosjVKsv^w!K^*q&-lR&WO}`6fwb94_$Ey6{K#nU|dn!8*$jHVT5M zJ4~9vb#dIH16L5P|GeyTr)O=(Q^0q6;ID|~Ow)x|&y4&%Jv`VS^F<_ru|n4_rwV#w z9iSrq=OSXB$dtEt9t7A^$7E8rFngu;X0V{6r&~j-T1A-%<;K4>$|tPL40@aHq93ie z6blM>x=3)#n%!?CuWGUe+`g0L?s9OC4Gg_>jiyEXnGKEAwP#Kp9VT9V&0E@XaT@2C zedZkdi$p-=8Xt5-wc)RFSv7f4`nku1XBy!L_GP^>x|;90BF$ACr(s)h{rb|!?;E8+ z8nQKZeqo)uG5@VCdyJpyW+%(QuNijAV?W1-stW@sS)-$qs56so8iL5O#i@;|#FF!d zT2-X64>p!TYTcffJf4b$eVDe|v3EJ+1&X?S6^h{r&L}O>Q-x$>8V-gh$}I1h*AeG& zb5k`qlGV*Sd7q>lp4a=mSXB=>#asbERvPRz#uY84F@1!UV#^{ZxpGSFWc=}0fJ}e= z#dIpm)^j{Eg>8G#Gif>aI;}e-+Sj0dnrDulVK8;CjO}|K(b`fto|zMwIO6X)0>j1L z)hsw8;GFHn^0_|Rqj_mNnKfY;cdh^I=}C-}P(p&9H6vd{*I2$Xsq%P~aaBX~?TXu- zn|Pgdm(j!frTS_-uArWs7?o)fs?;PorJlylI-1h)!lx`R{jU^F8g(_{A0}FKvw-VQPS$u zfS~ovf4WDR8|riOC*3BGQPZ`-W~il@EPps-ZNq0{J7%*aapxU6t;5$v9u;X+?1hM5 zg=^g+;x%bTh~qPM%c8g?qnLC{$g3poHv8*h|Hova!=degul&4T*Iroq{YeGWG zmEcf@zNJB=*4D(;*>c}{uhlHTs3D}Ee&LmOTdI@J?SaD0WC$rC2g}E4G6M3=S}~Y1 zaE~k(QuaBd(7))TBRyl-ON|-^vn7w%&oMdi{ys$RWy#zg%hpS0lK4~P1}Po$is)9) z;kNdJh|3KFm!%9c5Ld@orVIxw;PA0CZHkgRhfcA4`LCcN?m=f9IKeMA=mei|$5)k}S9jb~yB& zWGQ`B;JOMu$d7h6omY8_&xl890Vc-s}5{{9d1E$rXTNO>`O`kVw@`OIq<90 zgjID^`E+VSZ#7srm``n;p@4-D`*TXCdm`879w7|LM6T5l$M_*4x7oM0y|+4sunvR6 zB#%}C2fqg`^bHOsJrgrW?!-*O@3!CNt*f*9bUY?{_;xReIJ1*0*v9g7lBl>NdU4~= z!E%di#*Fdp;)T#-w&TW@TCDx|-|zLgc$ZRJ%$(yP66Z^`no12zn{i$%vmVUTo_(i* z03CR;kMSPHSBs`n;hFDme6oCesYX`=)AH?$7eY#mkqlMbv#i1nV_Ke{XmbS>oNE5V zTj?7}$>IH)&#X-H)=poF8Tb3Z_|k z^Lo#NJf>f_dU+0;w*IzX|NOF-Zj|9QG2LAJyYZf^RD9-i&R%(~&qB~c#(j;x@**xy z%|9DdGzphpxB+_O3I7@5Z-g_|`hEpVdL@zRZRQ!x(V|fF;bG!@x+kHmDB7r zNvd@cM{T9~Ml}T!bsx9(_*s5!DZ@M;t?~J)$a*Kb5OPKmEpEW9PLQ;;YD3~!rU>f6 zaQv>Kgl8M}?Q8a}u3sWki0_%&mu8t0YJaKiA7ydcG7F z>*H!1E96L9@lQh9s(3^N#0TM{TiTU@C(26royW_RGCcj^dQ~7)&wZsz99<+87qenu z9k|k^iSPB|SA%iCXTHneFW_vQB$WVrICuw1C`cGNEHN0#d+;mCv|CBCdN zchOt2MF&bH>5|bA8i%P`Kq7&;X>0zS(Pe|6IcW=%WeFJXKRg4Y(09s zK?`kp0wkbZQD23X=9eT*62lkeX?8xyef`A6crUPv+11GC38q5+6^v;Y5_IMHzW46T z5M0IJWwo*)7WUUPs)Y|t%+ql^mET-{=GY!0s@Wd!yiD2bd@e@*@!IAjmfg*B7|med zabf$)_4)|se)lCP@!$D0Zs4#1G@xH%eo>Sn<}KrG*V57&*D|`n?of`Q=@ei*mByrk zLR86?B@-_Z-=&2zR+>Y_FWadCEl}S6q3dE{4P*+x2-gBKCsMgHh#x1RuflVUE+~h< zY4QylYz;7uwP*?dU+^u-){>YAajY-VLnyJA6WWM zWGv<>BTl<-kS{z*V#rHWqQ`(CnKB$y#eMRvB)NkmmA`DXe=4wY3|T}czIxR zja{HFZ@tdM#_jsRvNwLYr50?BW7(j;vqcjg|0Sl6UO)~IU!}5a_pvZzT}@sC9E&D) zZZIl-_r_r+!EKv!V)5kDqmwD?IzqZ$gRV(?9MgVEn#Vt{1if4B&N$lSp${ZJ7ENaE z7mljh6*Ev-3dj{bUs$%od%2d8Q`eUj8Df7V_5yy&kheU}v;`8Fqit4^LRVB*Th2dO zkzO?ywc8417(4Zlltchekr7hi*JPN%-#M+N%*S6Dy8TLy5)MV|{ZNid{Dc z@}G7XZU@|v7s$N*507Z|svni8x>ZqDy;`cZuGqf(MC_s$K_V`026Q|vbafbKZ|FLL zXpv!<{_DN#D-KQgw9;Z>kD#|EztbBLEiOq)2m34ICgcxul+%!HPok3V{{GWlpg_Eu zFh#ogS}o1ad-|Z2ONz3Yt4FZ1=nF*bd2F>IY+DgkOMM9QVwhY~H(xpEhW}KGvfki*>uvgiYuyY>xNMx7H_T zW+O1MBY!dFxl3N=y&f6Q^{@x}Q8sGM6(0`O9R|d~2K&dtOY9ruA@~YH^80E%T(^VP zJ^Y;w85>z`EI+uq3zY^$I7(04cgk-FB*!|Z+O0%Ha{CA7cP|VwyuYmT5tkPFHrhDy zY*fSuSIc=F({I|7v;V?dUF#zV+jO4Dhf-o5y+8A^)?CB`H#IH9U|mzT{d8A3u}lT3 z&(KFH6phxOIt$Ev3ok%1EwAOuBxY_|DNx}yrr@Ok@c_nDq_E^ z7S5k3{B|zT>mM|%ksy(gwYR4Gyq{OecR?Q7kUY2KpBh+1Wr6X|X

+xlZ+R>AppN-b!coqYk(byMV@vGuE>7MyNNV8iMyURSN1e{G1`wF-b|+`fZgr+O~u z8*I5yYir!-duJ1@%zNmvljvA?6yf(m4R&Q}K-FfU@s*n7KRnMyzSF#GZ=;J1@lm#% z(Qf2x_c+z~Y|bm!SkqHZqhh8-sxN0G=vX^l>-Z<_ zfsRbhfS#Y)VR7w~i@)mg#j;OBt$YLZ9h+Ey*c?m_?L5}ryu2tZTeEnTBzOJuA?bYj zOW2mS^64Ay-U0wQ-?y-@87sp7BYxdXSX*8&T_cGYMw0lLO(pXS4oEDeZKO@e&JL6> zRmY4OmS6l4c}I$HY0QBN)!I*vZ+grRlppzTI$wW&AmF zC*IoY(H9J^Z0gU=@25)3mBuo`j6RtP9XS+5%}Ob}43^_SduMt|Tw!gtIf7{bq_mEs zEuQVRmoVRXwDjZnQLu-_5#uJxXNreH`_ahUK^xX*+UH^R?_^KZ2A%ZAb1leYn>m~o zEu)mV=OmW1pLw>X@NMHo-jlwvxnfoVt*#_)W&g)N+3oR$g7Om3W z3<}A?ZM5BzZ~TUb(@T36H@6FZ-b>PkrnU&}8LPML`OyJX*Gm8`dz{<}f9Cf=XWWY3 zvMh$xbK+QxWLb&P0;-2(6qtY9ze6>|3^T4_>6@K&1y6ra;mb6t!19N|qlWO}vhH&`FSYFzBzV z);Kw{?ZH}{BSzx!?Kd4BoTiNH06r@Snje^4MT8irQ~0q?VEHZyVwFBaq28{W4um_@ zU|!cLM-%UhG9et%)vdUnIwk?N(23(lCr39C7rbA)PEe0Ps!Wt|Tg(YjP7E+*(gN>k z*Qjq?i)#kOw`%FNjWsQXdYkL7QMi#p&X#o354U>Qo^k0H1qVqL#s-GNPUZjPXT87r zkg0R~OU`_V=vd3P6ljRgrG4_Aj9;NZrcu|o)Gmdu)4R&om;a`xG}jcU4mf8+x5PIc z6yuFeRDIk}B>TNnfkldC2rGf{ zypG_0RuG*`Ga{myotd6BW&% z*H0uWPDv~CaTiQe1;>#3#Tb7`N)EBv_L@__=Q`SKYh+7-{ZyU!o~Nw($+c%|zk5s< zI?&%2q+#l)hZ``hl&cBPTd}(WfnJnPl~Udy{iv0ZPxuE~{HpCKM#ZuGc9}=Lx3qrz z80}k93-^J83 zXF^D$95zC|%{8zNxq3gA!un}9VkF%WV4>pIZcl@1n`zEkcCQ5Iol6Z@j0WaawyR*) zo2}9A5+cQW+tB18N7?GWJ=w=iX!(ko%Yz1`*rh&=Ue<&*(C4+2e#Q-{9yG|I!iz6E7)p&6HRcA^TlkB>)ZDrS4cZ8*4WzU;#u zPDxX(KdWdu?QWZ2h?k2~l;PKXj)PTS@wEfa9uITT*_V!ExEJKidzb$XZe(JL9P%ev zNgZBIalLLUtB7M8YgbwIYePxucEQ-uO_Iw+NU3ie?I_m-(~o{Mz-2&SHOKvAR!{Kf zF!3u2sMyd6CfQ}-S|XlRkf&?;^VN(TC!vFsGozyJLJgnviHP{hS#)MhX88nl~-T8f3r+qu~);S6;+9QthB-R40AL1rX1-caLsh9eg5cq0N>wRgB>|SqA>%V*R{+HE71|2I_D(?E4mYzL}O54xoGTs0Y zu~UNA%Dh4z+ZryX4Jz-?u-dO=ZAz;pGl9nyCC_vZM!viJ3QgDzz(1e%D{^aKZRobj z%3sm7socf4(?6a`d=exljLHqgJ~90SXU0UV^f1c+)7D!0LyqlOEo06+$>d)aMBxo` z+S)`VM^^z(jc=}tr{SxAHBGS}?joDyXhL`p7ayjYyjk;z6{EJdxd8{p*PFj)qnwcl zKVgQMg(-KOD1cJJU?DXc=Hl`eMLiaTzq`dJlYRkh`s!X8a-?*we@JB!UWiE z>^lAh+a;~2-EvgLIq@3~`z1;JBEh2}WS91$n4&fK_@37puyIfVFu=TJ-fB$pEs38n zIx|+9%X|$KA$j|H(e|HVn1Qz5T+UZAV{O{Nq8%s7#6AhC>u{dx?%}DSbUN7aG2Ruz zO1xjIgY9^$$k#DFqo1!1#kFd#PFJkc06CY5yp+yVk|k-mb@Tnr`}_Chj!iEk%>5|k zVSesD4dLO8gHsy`mFk~})00`EE9EgjZ(i)LqU0}s3Ry)fo*hN8jR!9TWz>(_`y!h# zo}a5G9klI_jvVx3L^ogmxx7N`FkTsTRKkZ~R{)Wse)3$Q8rFdmFRwyRcQ@Jy4w#2M zOp@~S*msG59V?#i#CRdbNNMyY%qJ-O8e`qnnVmtd@YWddqfUTz)a|gMdnH z-oIQd{cm9Xi%`-OE;%Pj8Q4bWWkb=D$gPpVAe13&>uW@T)|2-nYYNfcYD*oe(Y7%txPOy1=_FcTZ z^JJ-|Serlnlgg50Qh!I?{-?^L6rX&kMgSTF9@ii8B;a=D$g-eTLczAJ!L==-li)SB zv2(-vRLzMaeI3KT%PhTcX=>fg!skzNEOfu1SuW-8GTp)R%zQzBfo*<=EdO-LdedaJ zukV@by~Hfy<>D&EvfCTaNoLQ^C8mHbb`8D*2Li3U$kgHoSE7`SVe-u;ZItXjO^ z^{wyBxOf>vW;!u8z4a^K>_cR4E3>ro+JAT;{p+OV$07bNkM*nsjvM2RfBc#SCDs)F zAXB1ct}ngi@MFZ7uL6W_OtLB_eeISB(yP-VQ-Sg?OLc~`3Le7QBrNsDrz(o8N(>1x z59J}d4#XRTK`oS6c6FL1JCjF1G!p>u(7s>ik?%O^;u5$ePbM8K=Ox4&>x!&EihV$QpI@}CqR!JfAnWjY7ix)JTX+l&qT|h_l<(2i|rP z3V$dohV?}|X06@H_z|!Eb^{U|7i_OQ0Hc&iPvgpx25Y+@fN}vv-2zg#yIHERx2;;)aVO$Yj z3mY^6Copy|4<@ONXQGn0greEu%dqqQ!;UVV9aeAOr9`9q8!lXK;$@85(jWfedPJ;m z2b`gvR$I&v?_AI2-mIjlw#hQI2uHiGM5Dp{oRt60rZx82#76rJh`L%4$2fNlV~RhP zz*7;ciQzPOVEbKh@z?j<%UXs2LVR%$U;Fw&Dwns|YX|eFIFqAWJyr4$u+1p#ZUYSA z0H|=u3VGA1a~&tVCF5clwmxleC4D7|D&RVeuM^qU)tRZCsZ_fxW{pfQlDvbU59P$v zatdob(h*4HHf&rOQI$`3rF%PKsEL-a?Q&e${3NuJ(vF^uKlorOU*}vCFg6xYBv*5 z10+(bwo!^rqeddO7FF$fb$c3?Zg_~}$2Wenm z6|FHdF#&I1#Rho>Z9Qf$SdKX!(jDD#g`+qDd07v=NaA55|K-1UywbZ3mb^`i0O@8Q zoiv*MM$eVK6pzy4=$7hMg%LxWnM;xnTyJgry%`*1)@P`V7_F&40xLADhxN^}{nmG- zeDte)Nez7~F>TM6Mt4k{OR;7Ns&{{&A`UV=3ttF-J{qVyedC9F)hZd=A&%~Z43QP_6yFHrW!o{4K+o7b z!_z{G3A4%I9)~?Mv70HjE{4!3KCezziwj7ZF{e**Xmg-#zYbe6QV|PbZp;m*(gw$Nmn98?uBUQJM{CX?)r4}Z zHvhvbqWi_)^X}U~iK4W1z){l*zS>V~o$RYd<5punq`EOVIsc$*E9CHWk(*xkR>0r5 zbje^euQdCtVdv5lEr-p@L2JG|Z=XVl-{5gsukp2WwT93S-%ZWuMsIqGjy{SJ`8jYn zl<&-q2lAYXSM^uDb?_rcfex=we;~|EnBZc+cWArCqIrz3A}W2o-zk(~*_t7V;O&`X z!U^BfgY^dTIr2+6)M)SMTp5_jYf=y0+Ibsh83!$8=nzZRx%@*gFTMRikkU0eGN@j` zpl(NB%dFh!xPSgK376D5bSCf{M1$-cBZ@H z`y(!4s(*yRVzco&&A#4_7d((I@S# zgt+laJLZ>|=yg>=236i|W8NLbDQ1TL{DrM5GlMQxkJp<;1%p)hB!c9#nU8R#3O}p#yY}I7wSp+}gb)tPJz9^c0t?8tUOEJM}-2D*&tn%j_%dKxE zFr?HNZl%IyuW0)Hu@G?~<#MRDaW>b#9|{byRM+fG+8V?3`&kV8$(|758&H#zWc;I)|yg?3ZaC(_TCwpDk@FRLf9?r62M-U)-D2Gz`Y_s==YYK(_5T8*Zx z@^v6?d-8V+F{Cgvlir2{Dcv2bQKo|{mCFtQMX=4jeX=5FjT&7Y?hRa@;7z%p$L&?~ z2#$YA9!Zk2O`_d!rt=RP;{A5F)M<+qh%;w*K@7ZkEt$}JjXl`l>{pf^Y%M&o(rlc* zIL=%T+lVD;I~{Ik3RYsuOn+U;De!M6hI}R~)&2vO)Lh8nm1(JH$NC%A{@X*X*pJ$~ z-j=q){Ru-9um4tB6hMF8ZdB_wsH!e~a5X6S5^SaU21{T+-^M!f-s!OsoJv)sqSL|@ z1a^%HYLPQDB7^Y|1VAE-1El1|iTH(%G7mmGnz5MVrf!M%?&u)Co+f(Kh>5->%(V`@ z5+toRE_o07vK%<5Dt5x)d4QCiLT$uaozlYW5u}iQ8|FO z$AVg_9-gvg%iFq~Eo2c)|JFJn3r)^=ZRf}KvxVhEeIB8W87w=9)Iw*ZCG7{F3l zYv!%u^7cWtDHp$9r|QcN4>zDhd)^0j1sc|7t6OhCDKM_84b^wieot4$kEYY(gg-#5 ze!Te)FR9%d{~z9IZwA-SN>n_Cph*=K=f{3)i>bKPG7Rr2F4~v;K1>!I))(Wo`i6vI zs>+f!4bY(c@FAzOktMAgb6Y4?xU8CyWmB+Y=%<)pToTRbK1*+LoSoW`dAm{9BVkU! zhS>Dcbh~z(sac-HwvKLkUmbD6*Bul#bgGb<58Obc!*qed{{m_Mge*}%>i9waW|kaD z=i=g`>g=q#{@C+5Mt`j}xzH+V)!)^a@QCj&))`%?9|seMNKSFr{FVBvL2t(M;`2{J zj`xnVK$hT+NIS9dLls49}{539r(4wVSiT%=ip#q#FWYeQJP@#-FyA{ba zWlLVl8sp7g*MsTdm@zJ3s}vF3-?Z5Exs}-a7)QRfj$vxwt6{SuFMpURMn9#Z2<7y+ z7eSZz3oDe+xNi0DR^0{D?J0GRMP4&|R>lIH)6MGEq1}|T9$#mKo4EcKtUnz&xX*Q=z)J}SG1?x|;6>*;(xx@#xl_X4t>PPTs5(ZUAs-QV>G z(dN~OL(TZ}*=s*3c5{ifZbw`S7z}fi9aB+W*YXe>L~kLw59+1G5VM_sF!4R|)G^_= z`Qjss?$7Vk=P9Gw80Nk25Z{PeD z+)Zy62X(E5rdFMsNvuY#x-`Z0$f;BR$)s>#=xBKjt?&xzvae`nxwHNQ{RA-Z3)&B8 znp=yng(?%}Wk+iKl3E~3Lhfy@{8<=9%q!~)hjNbKnCf1pk3B(Hpjkg@QnrZ^U+Nh5!PyGDvGTcWg z{XV00L;UbjS8@&hvV*!atnb~fIPmyrhMshNLmlrAy)}9wDAU-nf_meivbqxjl~yXk z+Mpt^BL0#p(ems5%wKu3N1wh<=Fo~!p(YaalBPf7vYM5ol8H7*vR$ycIQlz%&0Kpa z%huq|@?aC$lr(8zpi&h(bVA`}iDmMHZje7(qWgbzf+6kB;p*x$_kD92e(%pKSqOCu zd}BeK*_N1F`Agk*zIikD?ciAF{hV{~OmpL9&HvG0NM^Z6M$kqk0VYx%lZa~Vnc)7U zVo9f;xL{XeCH?P*Qd5y+X7i~y<5Sbi0pI{NugXh4AdnOkR^yY{VCawrN*_CT2q{3 zxwCV2oSC`2i{AGToL@5R6>07`jF<2c%O9Jb{B97{7@E{r=J)EjI+C#rWpU@00pxCk83RoN|Rx3Q}9L49*XAJ(2R+ zZZQ`|;}a??qvCz^mrO>j9ec|=Vayx56Lw8M_f#R&Rjo;_;TQ|{aU8GLSJA{2#-tCP z_@foc_#B-L=d5J3W`X1^{Y}oO`Lp(h*tz2u+Go0>A$qfdsuX)K#Z>cG;>H3@3*i4o z4+Q{!TdaM`q*6I5G`e+7FbSy^UVT6lWlItA<2O~@uNuG3CiCstI!4`k++>E#6z;y) z0gmDV^BHB@B_=xW*U+3kssBtnP;jB|UCbco>-&Epu8TvQFO4JOqlFh6OL={N zE8Mf9r5pHZ>7%Yq(**OJ#j2p#qulvIB@y!_c^XUu4soFE2tZzsUv~i-j5sjIpy5Tm zuYOOu)r72l)K~nSnjtCBj?+F@z*0ZGbRKVc>Sv0|49AM(_2fmHy5z-ppFJVOCoVVqgM$v)_Rfp(&?gwWwHDq{ z1^>xaM#ttitmKve>8!&5WL19!r+9%`Pg;3ug>v8i*PvZ&SR0a+!6h7ByYtdz0=k%A zOc9-9{s%iZZTa@aOS#3m2h7u#J6I}^JO-MJeVe2neCG8RN?sitIUustXc%T~k+9W0 zM!8|r{{ZiCThAjzn8?q2BR)bTaR+jIYuVjptzG~LFj&9;^HqYQpc2zQvEKVZE<&?s zL9y$0Ns9Ur2&u|;jUL&b$;8!(VK)?Gx3I(#X!$6QFyoqsj5@0Fd@`q`%Zo7OV*Wo6(X4-cObr)6VR zrsn3reHytpXM$d*$l8?+cA3Xzu5GeB$?cwEnhTX_0^V)VW&91@h6ZXGP`Ivb;eFeJX(`zcGV2kd^#bHRiBdGmh)` z`sb|~2M`ud?(Rx6{2MGZ3PyO5s*wIRtJCp5)0u_?F!{ouGt|ELpLaNevi!S{=hQ3< z5+z)vg4;7aT#?1z=CcbS@?+|`_RGsAvwP^?OO;}$W1=VZ{fra%y4^VZaX?#Mc6g52 zijksYiS$h$ej)9+>S+d1@4!7S|7pB%7v=rqekF~1ooq|qKX-DPeP&J}J%EX9n-|B{ z=%f_yY1)9tB!FbjLx95PlS7Z$YwQ0B?0@n@Jot{?DR&2Da2}YoXMa@)EwQIyCc8rpmsTd(v?ZgZszc=rnxvuBRb3M2(#x!s_!uhE6M_=n&qLLpUahw&w;6U1{F3S0 zi|#rNlkuT9)cHZ_WW_azki~f}vqPi_XgxywGv8zJdF{V8;!(pKCezxvter{^D*SFb z$xnoLQ61K>oWsY~t##AiN&db|q`gX|iPd&0O*rR`$PNvw2`Z5OX?*I{&|DFd83fxp zZZH?}`ZLwh*d>U{30EWowpO4PR-4eR^w=m#c!-FQ5#>Bs0 zQnh;v-}Lb3Hi{fe33d&0M8EohXzjq%#am1j7oWqE2vtr;wlB)#km87nQv`M%fYeYT z+to~jFM;)VYYH~8(`f}pyx+cSsjl2M`sh4L_%u4jyLd7J4J1ol{L)~yA_;VcsBdGT zd3Z+Q9>d7v^;dt^5>4KJtzSux)Sbz`bvKmPs?jA_po6MT814??`?#m3RR!&|R~XkA zEnbzisT$$3idlFZK%rFf@1aHWpC@^`~yD<{b-pze0Q$Dm|wXB z0#dGr6MO8(o$Bi1ZAn=_aUM30BR~S8&I1V=n>3tne=1#UfjFGZ!adssjH4*mbO`G^ zDI+ZX@a3Hs*YBmgkh^)DGhaySrp^Q?tqSjHfbx)li*|tCSCnBDM1O4c3@W5?-?ODC z<7I+Trn0sme>%I>L)nPvs?g)(iw1e`1bFQ(e2GW1D;w~EEK&><4O_9EHwscH_8XM4 zO6a+_Zv~UENi_(aF=D{~YWj-LS%b-fHon){ov5jyKmQ`Nn8d}$Q(Hm;2jh0n1}0hR z1ZA&Z5ieE!64k|vZx=9}+NQ|-BW{Ewr1VsE7un2#PQAj~bCPtPP5SrwOxS>sdV(g{nhh5G2__9x&kWZ2AG`dyUI z6S7d4>GHi}s=X{p(7wQhfTUcXKY#3*?_@jkkHQ~iF~c?@pmULTBlkUrhSeHUX$b>@ zin;5TZ00ykfhKO*0@@Y>HQF_+tFi%Lo$(3 zsfQJ-X*#qTB3c<2BxF{H^mUr}A@1@Z#=VjIh& z+L!&Qz4gWTm+KtEX{r8=%4lWb_EsJ0xuO#SX(FWkV}sv8l)j(+s?k4?@-%56BueZj zBqe>+KS`~eVyl&vAq}<6qBdO}{T|-1d3;PPmsS1nP{uN?4;m5qb6KemSf+84 zgJo!T?hO8t`o&+!USnzi;L^MZ3frGqFk=&zu`oJ+pU*8mmo~W|*-4G3Hwjf7EFIIK z0C`M^=~acXxGzW$QqL0dQyN#WOxW4`;EiS4twK+q!Dc0HLn3xYBnazsN2uu{s{kAM%7jA#?-pP_tRZ+pm=FyunWqMSAC8|#*!mZ8y5uOj_EC14K=?^f5;o#CHZV_mhD0c)g_as9U)uv5W-E##Do z+dVaz#{uHU7e=qBuP>OSfi@UXoUl#gDANUCdQ>s^)Nr&@QvDMgtGo&ZVZW zjY%SKs#j?51l=cVK{(EDkyWF6@RN7-ynT89S-RMyw%tu2&K_0&NfhtNe%YelhGt0h zGEPM?rW{u1B*iq}Z<6Sxk6BFsA2_hh07xRea0UN!n^=H}b77g~gU$d|Y% z))4pPw1_%PpRDf8r#ugBsx*1f`*kq*ntc^LUcLP6=7+NGe1q$go}wawhx!X)Mr(X5 zh2{-GM9_E;D$ zMa}&lvsNi*F?n>f7dJRrSFGk2918tYD1kU+>xPp=bo#;*aZL5zEbg4%F{@(`t(&%g z+xzQy+S0TujN!wMtzL^h^ZkIQ;@?`SD_{t5&F{-M0K9BQBmtC*Yp3ovSz_+JArB8q z&|DEbNQ!Q%;h1)Kv0Le0WyiBp-}w93hg&+=EH&YQK}kc*a0qUDjIfTc-d-pA_w(K( zvXSrS3lh{55yzSDPsESILV^|qY92oPou)g8u)f>wck^-?5Ra7F+ey=TH?+mY)9ldE zyk|dn{sw%d4}M)kY>SgGUSjusP))1*_F{&?=6!)^ST4=t-IT$>kzkF)a%%gwF^l;( zDsXzw0iCP&)sfhAis=#8Is>kkgrPU9IEQ`N85%O~vM7r70ip2u(|4|)fbp=pop}KT zpK@vn3J=-G@q#l=qIxq~8_jpTx#`8dAFN#ZlZI0VFORp|^m6_V%&yMz8cIO<_ZYNT?z0J)3Ww?r{rznfs3TM4SH_P(z6DC#xv0ctX|VABm0?;hJCb;`t4}IR7vI#q zXe#wn&tuWd(U`cXY%{`FK3ihjF06!z#MpvZdkm2i@=pr>s`oyc8}{(NovKT2WcM)H zT6bCfn(S}Cw*-r|S0KGUza$Gj>G6YDP<>M4rqxAWC;o0>Y%q4xM@!NsiqlDzJ$}Pu z`fHJoir$(*8eddsTqnB`T_YCNHSsPI8=a|c7GJV}jczk|m3?4{+o(M5M2SR^$Jj|Y| z4;?X_-wCf3TH1c)5;OGJWgtD`sy2-=hW{JEqL=S8j$Z9qp-zd_U=fL}AIFBc6ka+z zBfO)V_gunfSe5~GTU2CZYTz#yO(i63Nty*>LJBwslH7Vb9@G(~KdXg#gn98#AOf75 z+O)erX z;pHb&gO-=#2@gks>!f(;bTQ_CsxM^XrtD@!_2zBtl+$x?lP68mN(J`C)VYT-KoUZ=^5vql+aq-t>21NSDr) zpaU9Lh#hGzi{XhaYc&;i3q67=SHLsbD9dIYrj+4p5l#@9QktZ1LDk8co`5K*JSm0B z6Sz#O`3cdhtsxvEfEA_FqgjtKrsb%Wx_a~RhYpXj=idrtnI_c9RNr&}^(a|AElLgY zwnvvh^JezdjN^)p06UwiLhVzHAyA|Bf*$fEl0wT=ba90zovNL*kxK$v;DZruQvzRG zr;`Nuu^;g6veC{>;gX+yPD`q>a_zjR6}@G?wk84Cmz4A;TT^vu{a5)|Iu!Mms9l;cI$eAl}Sr_}8*m4g$9I%M3B%q!2of>dh+3B5Xtqm0l9mIvoG zQ%9OorjDP1EMF1q7oYX2+e=!>Fe)2w@uOya$!9qvwqvJ72$%|=Y9 z*9xIhxLPjB5nCRweuc}fz7u`*gdb_Nh9pT>cs}e8_w?op%fBa(622snik1HW*^abS zE7lGWSo0f+e01;zv-%$m`4;sait+V;S$>>-+EP0bCMm}y9xF0F`>HPSTS}ogH?qT9 zv|%wNE!jthA8D1@2UWw!S8Bd$y!ZlA{)&;O&bgC$TIFte~3l<`G|SB2(M zAyi}-e;u@&jiXW>KgOF*Ngz(&x;~=H`yPV3&j3wlF06 zJf?#YIuFIzOOlQ%p*@(4hm=uGCgd;Y3GWR3Lj2XK>Na(bBq#Y!L^k$bs?I_3u;54L zT^}QS0vU*5)aB{*7KL++zYuul;^$E6r({C9OTT zy#o)uqIObnefuRx6bL&DQ*HN@7J43P1;{%2rD|F#IxGD2G=45%t*}=uQCZ8vb ze&T?Y!a6(uH=uT_SVhz9D1GHiA(y#=tcF9hx3#+veC>SG@5O&KVhppB?`$$X=_F=4 zhm9X3OFb+f)#YCQ^E$pF4hODH^$U5MyVr=ka&$jZ988IWe9M4C3 zo^9~-{skhFZ^q5%;y;>!p&qw|rHIpzqp*IO6>F2As*;G0Qar zPZY@+F{59xT!~a*(4!Axq*A_tXsE2c2f+p+T1QQ!yta1U9y30v^cHSuf(?!d++epY zVm*(mx!;@>D^Py9leec2E0qT-*+?v-{KX(|A+CNZ&H!5EyGz#O%I@S%WhFiQ<`(HE zBa1TB&sVrGGBGwuOlxVFhObiI5ph_Mydx23pSrxbT9xUm+z>3;56EnpzC$mX9Jn)- z)tAM*3zk1Z93kU8KofNtQAZFkW8%)Q~l+nJqvldHbb z90E-x1}<*IvcUjJ`ND}dJP7dPX;1VV^J-@X-?!rzh=muksyYSm5u zHwbhYDIV^oiCsqVF(Q;5348GfsKyQ!UO)63mV8eWJ%+iS%!Qi{!9)!MSsY zyF}8Vwlns&G3=M5NM}seRqf%sgVHe;o2WvFKlAqv1v}foAHXCA zLI$2mZPyGc4Jxsq^`n9P2r*)|ZRNaV>JHbB`Q6=x%FQ5j+(QKShG~@7am&nkncj(Y z2)t&3gzUCfBr})o^Kp4*yQWYj)?8$+`U$6w*4(FpO)&G8biB^uombJF%G?&Iq;<*- zyG3LKRbPDsqx(m>NenCnxs*G|Bj$u&n%Feo7nD)rdw|t=OXvHSS3{me)iquXBXtpi zUN;hiau@DS9je-dHQcOpM|!n=3f#TE&O!5_z>v8>Y1wxX@R+DWW|_rfK9j{mOD@tn ziO<5kjt{<))j5AL6nWYEs0Y(^ctS2Y+_f4l3NHLkom3t|7i_<6d)f2m*W}M3>6}#Q zavSTF@Va#}>&>N$>K(psmYdenv2t}zK54(boDvlNe9Vh)U3;T!h93DqFKAH)o;^M! z0QwxTak#z->KS5Vfo)vwW<0oP@^D;A{`yoK@y0hP7Pzx#Qb?Us6M7vMrRfQ0f%e&} z=GYPd$CB-+SkR}2Qt#4@vCUg^6;d5mmkpi7s1@~uJ!Sg16LbO}!E`Tv;Vvo6Kg=m? ziBv5qlz7KsTRgyZ?wqEJoyJF-4qWA_!Hojg+oR3+G)a7=GdjI50>a|IXeNH2UTEh@ znxNeDCZ1DRb_)ou3kzJp@^bX`*!9NXbk|w{zly-ms~WfFBpRhMp?)U)a>%xc@$KOeLgrg%p=nb;?#QTlP zcW*d*76dgclgzF;UE9}N&A1WxQ|Rdjt6ApCXBf%D(lhM!a} znL!wa`p?h|k3Lap8>e~@2gRy6eyjZSaeM(7bk{)E@MV^nteD1S7QuJyAY4q3N;)ck z*6*Qgu1OIxFlz;$DXGJ90ZAYvSS79XFt&%77}O6UjD9!ai^0opDoXs_)|L}ElU(+> zR~lka;G4w&<_yPgH)BrvX?}DGrlxZRelWbo6OMl2m)I2;Er}M1 zv%na$X6%3}tTf(EXl!3HTXvnVr@6v#fX#+W#3LFO0}kcZ7;4f7dz{_WMGu+G1^dj^ zf?Hv=Q zJA3srw9V#PGs~GCieBwsJB7MBC4?Vs>Q@S-4c`%PeGK4*|Cy>L>C8y0Rd(1c=kfyl zpETs@R(`3^Vmt{RRS6Bne7E*&ffg(pHOK`bJ@z}${B8^*sWR$H7r=;#_kHcaj8q3H z_Q!MnC(WJAD-HX%zlb{qcj3Pj`o+~eS+N7mmkvioE1~57!Te!YIjT&dyWocM1w7_t7p%9 zLqvHnvx1VjMTQz4HqEd9iB+z|Wp?wJ;5V;jJbalVym2c;ELBFw{(It(x>Fx(V2wld z%(U)Hm0n}rIwyCNl{>OiAy5|KqOBiW24B4eKFQO*o#;d8p;i57=X`A)2}KJ6mW53i zX$zy&tJ%sz4fBGZbr@a;@x}g}=;4f*?C8fLwlhTU)czhF2S}IPFmmurR)he4$j9_n z-|@U{GyHSjs&yXw?46?Awp{}QQ_nrPqwQ4tM;sw-lg;{Ts-1~^U;tIJXXLwsX7)Ja zR?v{u4`^yjY>eO;a^*-j;;OwSz+=ex%)fBfgCf$tFYh4@MGHVL1SQ-~lWautgSWCP zA1@=SAKDGny8Nw?%rDF?r7;q9nZn)DJD#_9o%_wavc5$L7c1s0>+eHnwBNj) zEq|*_zW3rG^n-oETz) zj3ciz>x1Zdcw;8vzw+bHg=fHA25G(UTN={#o(HNr{snV0YUY7fb3@G5F{+R6IX@Cy zSr(C}j+tLoX(zc-=>LEyES^xANy*vwD!Ah!?8l7hjr4sM`;)s~Z`t3tJW6m%v8P^5 zyE~=nUU_o~8RI%ep(RTu^|_|JzX+i$;0*J9y7Eq5NU80b?lRoeKqSWZ6W5@<#gP@;(9p)CU2%0#4mz0{;K8;{E-f!eyf%!+jMIt;xPOKqG z_V>ROe)u_8{R3lj#+T1`jiN!FaHoLZ(~@ZRBQsP`HGF(W%EdIF-kkPbfYK2= z?XAc1YmXpa#Jjp&Ug;kcA1V}bpuNCk@o}UJa#mq>u^I(u0+;teZ^8Oh9Aezq{$M?* zY4{B0dH|RB6Cc5VWW|h5xWo>FuF$U=@L8hiKB9MfD;>_%0|6skCprBJ9yWDqX415f_uf&HSdPE!T zi#E+Sj4E5NCn^qcH$8E;cIrJOBnvH#(k&KI{e9`}sww zFfl)kux{bNNQ&2`j1q;@LQ9_sVqO<|H8y|T5#49}H{iqLjK2EVtEAt7V6pcJc?U{M zD(u0sb)A=U*?F!l8;82f>n@!$6-e)0#eV;$+BT=bT>Wg)*Fn@sygyV|e?|c#RMc#i zYVL^@$JuORHL9w7sV;8Ebsnmf;Z^HR;E84L*i6dJC@QA(EIP+6Z1Eg+b8aA6h+3%W zMRfEZRP=pVdn8n+{cYJJ_u!AkDjS)($DonWxC0{sdZrak>^xMwKT9!|p4XJVll}NI zIgULgBBE>2Vvg@RwG7kvht$}U3eJ2*vROJL%@=Rb>)Sc*(hdLn9cLN6fAYL2aWqT7 z@8^n-=EIJMKo#U?a(LNh_OiZI`OkU10Pv`uYxz0f1Axf3p`UJk1#%lTntmMAR$oT-zK(x{mDhgQn| z&S>|ON>AP}WtP=|hz#8m@%sY;2xtMdlt%|K^2eiRhL`uSYx7b${y)Cg@Vx3$6xo+Q z%_#yuGbPf&gMEP|4z^g!Usk)04Z-&#a&}_^Ov;R2U8h~d{6mwuj&}fEaICqIYFuBD zg0fej9c;r^IdPifta^mE>Z(-eX?O}jWQPS$O>=J=+0>-6Sb~o947Z(A{s2li&a&}s z%2XFDyk-JFBGIWa8qlr}Pl1=I|Mtm8;mTAfFE^k*T%0lzSMe z=(yV>d~wHOv@WPI%QQl)0U(eI<+fO9dlD3vrn3?3KwwE60d}*tNPV9k@v_z({GFTY z^o!+;I>1Z&uazXeCbVx}y z)Y*qgUe}ajH@-)X`5nk*i$jOIb9TT6nf^bhhfN8k?;bF)JBjefV${o5iR@OGlI_Xt zpm|<|N*o{yZcZ(kYOsJ6yQtora9)!AD9VJe{y9}OKF7w*edlE}=pT&ZDoZ+(!m<~b z+u|EoaY=gnKbj))E7I#FI5R{uyGNf?ywXEg4yu|9K6}2ueLKSqRIJ!is_v)5(n+f8 zx4%gmIoBZNbhngzhR80R|Jx-G(`M{Fs_%^a{gsb@e;H|iHOz*v)s4IiRo`&9awNW& zz-!{CA+7TfjmoeL?M45$UJUWW3sF;AzGEkd0R2zO!X|DA4;BIx+z1X78(fLL0 zl(++4 z<{>{*DR5yTd^_~l2`7J_=qW0>c5*|jjo7%~dQ_d|I2lcPeh3;(|pKE(q!~1qL4Uvs0u30yp zwt^WEzf|5U?S@+56mWOy{Zjfl%;njYxPFCVy7+z>eLVb+hUCxy@-0D$S4fmS+1n!d zpJM*0SNAXqQjS1o47vMC@&}L9F)LP4eJXr^QRx9|;yiOq^=2`o@RE8L#x{w{r0;Q9 z3~%w)xulLkq^=ZVxFP@H=QhwAW}a{G$AA!Y(w6DO9+j{d7W8`(v(qQ;Qh^h?5d9E;>3 zms;DduGZ;rXZI~!hA#nuX^p&JufQBP?BHaUkTrFED-tl6I?z_Q`OsGXwglIw{a;}9 z6Q+*z6=YpF%D`CUwmZAM+=xM1n0cU;gU6QP!w@cD?#=G30*FwwXF*}9lPu;P&S*Z@bVc|;ODxsdX z3?FI?0WmyTl{oKb1HA@y_=Y62tH&JR&f6vuCNg+#*)OQL@T;A z;-LoT`5g6rJPy)mVbd)se%gq1&RBOb6dWQ1C_hpg^ zNkAw@b+CaWHy>jEKl9p26JAb3W-(3t5oJHBME_Mht|YnAq{a-UE|8l3xhHHZ+%6 zc72r_ldbU08q?;tn{~n^Huvk!$u7z2*8ZT)2@E+ouI`a#XaL{X?>3Mh{*LsDITWUU zSPbhyvAwVzdad_cq{?sM0Fn)OOYRu_T1)O8%)SkyfregRWm)_Ax09uu+y&RF% z@#@+Rb!x5339lLZ9#LDZ|lwMnoq@4 zby*Nsv5z|Y7ksl%N{2RN2Py-avHvvgAgP`v5Up^xo9YcPntcD{%cb-A?VaXI$7dF0 zWU~yACO$KAC88(^Ecca8hY>Ds_-H4kFBtZb9H99LB97mYe_9_hk~#DB_7JzsI)MpS z91_%v{(J_D3z*ly7`aX?HH}G*6TZV_0`5wbDZWYX5#z1`aIdqRaM)R!{!`XI8UK$4 z8Z+SJKAA7W1e`xfg&K+=uh+R~Q5A_UjTX{`g$LQ-AQj8kES-KI>Xzb`LOkKFh*7<})t?qcHOzs!+(J*=;o zG(Jv*1CcyrX96I;C~fgKMPlOp-tBP5z9rxuz*eZGhap^Oq)setRYT@ewDz>>hxe~c z3zbIY+zbb)SwY2_-BIZI=zOA(l?tDgbx~T2js5Y#`~&&w9V6N; zgJZ?xq3k?9-!rFy@O7ns2JRVB?H|hM{B|$8rJv!QlT1ITNw9H<&z_{B zjb81=lhrrOGbqcP|Z?3jjghG+J*A-P}JKj^8Sy%`yb=fx6l4Uz%b|1BTZs%dqD=mB`mi z;8_-JOUseIky0tMs@foOBSx!v3Cckjg`caC3=ku}CI&%QL6yugz~F1AZ*84}Kfb9; zx)n4E{Ln#KUS<&kO9(r}0SD_-k5JLR9?T~w+3f|Uou+qC|C)qP^4OLWHK*8=m= zDbdNgH@AvbVZY}Xu94M=Y&}rH(@G8A;$F|*D?DvN&T534x307QyUvf)HFbG_Wf~x7 zYz_TO5BBZ4T0|?vPsc@SxB0ph6Wve_Fj8nGDnp(4X zl;Ikvny2qZ`{sz`M7idV4|}FVTE|b1L+MBGq= zL*)&JZwf)Y!eebb1+(+eLDmC1k2I-%%H*%aJFaMfp*tN5A2P1e(HYWI_mOtOyUSrG zZ#zb25qnh~4gzP=AH@1r>Q@cb;`WUETaUSxKaM$6#Qkw6=KU;7vKY>Ud z3KP|`KSejaO#KR4Lp)#Te%XJs)u+NLdM@Hr+Fv@WdG$5#D(yY?zrF(~1QY`uQ$h7g zvq4dcoG&$Nj-vt!?KUv^W{24$xfAaD={Xmol0(7gg>*U=bL?Mu6s5vhyaf*MdMs_L z=bOEYwaZnS)}+J#Xeu?I{qyH_-90~rX0!Cv4>qV*Jc>#xnoND%Fzs8i+oNc|uD2ItOt$1n;45U9(ZZEVja~Fm zgtLdj`Ztr{9|P;Z`$=Q1bpOC>b@EdzQ@Ij3&K7CPSCB8t{qG7q@NVzN%aY4_Pj2s~ zSY$c9QCZS0n~09K;xyNA;>!$r^3p{WbDBwd9+P|0uUz&o{wByiaf2#Ft27D_S1WB@ zyK%h>pT#f)Vl^x_5@7f4{_3Trd~avYxBd?OGc#A%%FxeE!0@CQiQjH(zfM8<%D10h zHMBso?4w1e62zqy)5IFN9OwZ9lj=FelyoHnhcEk`rH!wRnN|E51b<064#xn{iwLa{EVZm@NH8z4~OW z*?tn_yb|zp-EKXQt@=_}yQd{?=tf?n%O{JbCK&o{;o1=|Lj7G-Dec594hvQPfhr3- zy4ikC_13^sKC-?QN5%4o$Y7!ol1oaXxd&8>+Qahj$g(^;1DRSekc5g^w z8T&jf`Nzg3 zpc_9stlwW_vNN3Ty)-Byi$5c^;JW#)V{yl;V_rubM^E20D!!Vv+z5Ua`(^erI-0wF ziH<#}?>+nuM6{eFrOvC#4xQl)he=gQ2|2RDea5*w0;AfPlSSvMrzeKf4oN15X;0YOyg?qMQ^Si zg2~(d3fiZzpmKBbY7!{ERGQ9-R`Y~f==)^y8~uOgN*(^ItlE#L9Ne6HrK-B>*VHg{ zu1z8*a298?Lm`<3T<96*NP#*yH6!YA>@*f=hh9xsP;0{0AhBUohPO4Xzk_c0O2)=- z_Wf_qNB*PvUZ!qg-aIBZBUP=zHZx=M?4b4Q(p3x0C-jD)YXV>aVr(}uDceVNOiPhH zefPeS8&Q$ZqOvoozNA%+i+iyBqVJms!%(?cO{kn zqoGszW&9^e4`o<*FU9N!4l^+G;;i!Z#KP9a;Bo4?44s3rPJ$XA`m5gL;octiYR40@ z)!=gJYVd%3fF!RpixzbPGU%gos^nAY1-qGEx+9T2m7kkokJ%m5F#LJGu9BAE)-I%) z324#4w>7&LnGLDVPJtd^ee7L?9DmiqDaQj1pnx6`5%ZBUAXFjc|Ykw2-6aFPM?>eJG4$(KrOc#Z6XlyG!02Spe z|Nkk;v*^n3pFoulU{HX8{11;iN+r&|;^_}f4;^3UiZFHGq`rAwPs*Y4oW>C5OnxhAOMoGONG0J3;_)jAo&N-w#=zO0L;^t zVpV~Aew(mV9Y6v%4SV$leH=s!4+B7SE^-k1Ky?#5=*1{V+B#d8T|(PZGCuetEP2<8 zFV}6ja|K@;fW8cVb{tH)PTVse2a)yMKoDuhEl=Oij@iW3EQ{^MWxuxZ>OBC%4c`8? z2Sf$g>>LnqOgbLtab_hc*?h5-jWOyjkCR`umDkT?3s)AJRK6qyLI5!XqFoRk&w_n0|}?X|AT{ z@L8L*k(kvqhJD}F2BsZk_ha;n!yVRzv-9J{vA4CD%J)2e%ZmcmRt~nm1>B1`6Bu6P z5_Cj5XawKO$1hdSIxXeH&mW?lsOo~}lyL-QI(xT&ZKe3|(+`LOrprmdD!;Y+AXh}guu3FpXnR4H1Dt|k|Zx_ z-Y?W-LrY|+lU>oP>CsVM7QKgV2zlEIo538fkc>QtQ91`2{9tW%yp^>%aIvg#AlWSi zCCCskxM7P!X~Gs*NsZ^gjkEPnkSE^^+}xH#zn#Cd_$TR{Y$QQixW<(sFFDAr<|fbX zB|Sn!oUY`09U;cA6Mon{y>;wEVr#9!9%`^rm!_ODsKIxU8Gxn(Ixzh}){sJpPEQT& z8CCQfgJO>h>-{1Pk7gtUS%o6zuB%gw2-`1DUhVMe*Ev2SYfw*^)))U@-vR5}=MSJm z7I$KA>Mi*h9vrM-trbW91t#kr(fY@cUKJ(*K^u!dDOu3cisvsodu}3LIchM zZImaAInY)Cr>dTieCJ$Xdw4m#5n1P2N)&%}5ecOqT!Ke-u*E!X9AkimV2dM1%2#j1 z{}QAr9O@Z)6Qn#p3Yg!J6Cjp!;Q$B&8HX9grOL#<{&YY}sU;#kZZ`SZ^Mhd3x!WfV z2{PPw9@BLMkTgrll7!6d>jX0d8haOYRy;UizUj^QFFV_?gHQV{=fcA{#^zX=gj(50 z%3>_K#yAPhOL&6TN(f-bm*@pF{qr2d>9YIH>D(qm2=6mZvWkY;=Z}{n4v)%s&oczIWKx@L z$$z=C6Ib2h=^3J$)Tx`z*3ZP@cRY~ZWo3$(-EXc{uA=!tfgkQHiFN`eHsT6)ar4*c zGx*l!TYZ=HLvyrqYb*Zrtb#_LG2znvBq|Ex@65)qFGjq)VK{MO3MpYu#Y~XV`8tB4P$x3txM2z{M$*grdkb(>}4Ee%`SRT+?#%VDnneJ@#}R8Ep01=0(v` zG}52^*^;ZI+7JCUSPvG9^Gj$;`=>r)3GWYNTxzImoNr(5*|oPxf*X0_zbEvXMK&&+ zewiG9QTYHHvnCR;@ZES*(92dnw?#5XM=mjl6SP0jUBH7{vZCB;23eo}a7}4sEP~_J z`<@l*^Hkzr;x_gDiUO2ifX}a`bTIdm z^eyP<7&S*Xuk;ty=BA~b7`@2+KG|fyK8^d8fTVqQC~irGtp?$vBYR#YqYFLEIg7Kc zpQ?4&qGSud?+UW|vTL?& zXHD2RFqP>K)1yPhoiO}=H1UndT9J4pqJsgf5NZi}15iBf<@~bwe1K{7=_iUgsEpZ< zUV!^-PSIFL_Ip}mTWi1u6;*R0_>1Ljep_{@WcVUqTF@DN#EusyA4%60gzP$YGl3#S z%j!HR43C?qi_N^6qo3cB0HCU^zk9VgT{j2O z_YA1Oa+EX;{-gO>{crUJ58Gn6(lDF7>SQ>HIecKHqi^x)tYPtcTra~L*qXTZUe7q# zb%-atyKygw!Rdt9GD!jGKu1(z38rt~y@L6;88el12=y1q`ko102XwUUf( z**}Onpt;&({Jr0rp17|2YENPWh)UV&K-SHz>7D5l&U*lVUROhk2)eHS$ZRTKA#aMF zWXEkerMV22#p`L@3cbxvgq*(^DP9Pt+w9$F4>&T%1NlY7iTm-5Mh}etDVpSL3&8xya z$yU+4%H`#z<1jz$aEJR4k)H=dLlYZ0h_Cuz~@7?Q=9Z7Al~?074d2~2*ORF+J#sYf%0%SSt1qo_X1th_)ciI4LZSV_Lqazgcu~Io z&)wCLikBWb!5u>tY+4#}g8)(l;$B0&Rv!F=NU6FsOC$$8VP^BETaiCs=}>anah`dX zlh>$G_Vi36gHyfXwi4|dfgjZJAcv!xeM%(Bnk&~?`N^PM>>VT(|G6pX_R%p zMSOkF7!F^&neM zC^g$edGLTOR&8_og{74A9UM+;(}3n9EWePtqP@nIrj7j8Zt7TA4U5B4dqagrwnzDX z%axK=c2<2@Z+hLSGB@f`c#ab5=~ZOyIfLZR;{3T)(Cn*KZbFohRl&awPH^R9Sf>&6GY&Va}G?oclYTS2SZz3Ijs+vRO7 z(7RyMV)X`2-OrK+p!BY-z~)3HbDiLTX4sh7F~B}a7lRnQK9Vkb2*db%tfrN@a~1Ud zKeAxO`F~U!9t<{vV}$e~=yrJh(+pFk;j6KbE8K01MQkJ~e`~#chH1df2hvdLo+dc- zD|Y54c)W9X%CN`Ff<&ot4@^tH)TRbabrl3`64kx5Yw@5xQ3lee9TEo2UJM&35St<0 z2+5r+z$1cE@9^USij3dp{_0;;X^TBm=qOgY)E~FbONjsoMt(zq`t9kq1^;3~$_O5@0lIZ#q zWS@e<6WKq!d7S21&|m@WDWGYXblYloku7WoUA{xCIvv$SMp%ez>gVMb(UQWYH<+(TmIO@CpN~%xAjpfEMnJDYaJx;l2Aj?@aKVtJNQ-@)IiZ7~-zbSV#-e}jE z;_-RU{%S+03hBeLs7A2U1{XCX*1oBrSD;|iN7(GGUS@RXds<0}p`pua07e8Q7K3-d z>0N5$hUez0L-1cAn=LV*8SlpEek3iP9M~#$Wb(ep?jE%HTaS=g-Xk0R@GMx zuPXXoyAHFji}G_lwRcjtNCLje%7CW6+E4o~g?mBPv*$U*V}G(88z*h7o4Jqe4<>kM zD>Wxb<5u(*L8OfVQfX7~n=RG382KVrXyZ|2aa+39Or@Bpeaz+2 zNfUFL`jsAAI2l==`xlo2LK^Txhrp)Bk<%v9@ZIz#r@*|)Cq)r&o=VV7xH_vU>uDuK z{<}!T8v}XHu$+R>$P%@2{V#RN$@7<(%;WuvjuZQd+(A^qhq!*YF{AnnSPFslHx2c( zeZIk#(k2s_xBK%DJM(&QGJndK4aB4%F&CdlQ zD4nJRdw4Vyog)3FkKOJTdJ|h7Lt~U5^n5$!80J!6fRnBdi*_J#ZAiFNMt_n~%2-Wz zak~JBTmZ38Z?7KVP_T{Iz7wiN#FABr*3?AIh2`PeicS8b69W%HDL{Khn)*xIyRkcc zDYrvF??px27k_064^gI|t7kbTv~W~JN+ga)11A{(eTSay*Ed@Vn6`YBnRCB3x%XB> zzICQ$z+~KMY^Dk?8B44V$(C^@1jufxz1`c0&Y*ng(3KxXm%A(Wq94XKWf>&$fFPE- z^}ROl;TI$88UN5#+x}H146AO7N5Gh`%;Jz=yjw0a&h4G&ktRd{o3)nv=E9l3re)DZ zD22(r#(g}HgH(O@rA`<;y7YNSBiA~mx0$lybL+hoZ_(vi!TgGz3G93p$ApQ72HJ2i zNFc#ClzMS!s?Vdy0^TO+c2C%|T%7D;%lCZXgBsW3v7LhbV{k@I&z+X+%6Z%qo*{<` z_uozRIw~hGg3Vy*PqfHL6uO|qT#EuJJBI~%7n;iqt46&K;Wn3*c-iJ!G$`tZwZ)H< z`rJS^aIRA>>wC|M)d`!(cvQf9m%WCk-42dXku{;oG@*=Wv4I_}>ZG7tK`1+aRmtm4s z(e*qJZLurBMY{@msZ(XikebrP3mmIBJ}@X5X)%Q>a|sQal300&|HzyYTqYo+gge+1 zG`Ju9x8w+oQ^W$-3pxa%K>cN+zQ6~o7KmQa2046%b7Np);zKT}EI_d9=jUI&FGy0Q z9>Wl^4Ufex9dv9wU|n|7R}+$@)oDxD+vznF&ymfab?L%(hXP{Kt>kh>;yj_=bv&}J zuf^J;dDi*CXiGIz^eUoW=$kKFMKFPHk-6r3FWaR{TFaHu(|0P59~^}-lIGz*B$RX* zwE|feV+#@bhu2&WPWV<-GkL!J%7scvdG3Cv)muAtM`H&HKU2A$bI6lFCgt@N23~3D zZY2-l9uB}vOarK__?ypOZxNTU6=S-!uRoAyU%M+JB_J4ciG74-?HefIN5>?f8Qz&A zchS@^PoVuFb>fqya*FTtdKs_Qwf+vTfo)I^Gu_aR)7@F7D;(`pVf+ER=DAjN(aR9t zJLCA~p5EViN@ln9kWnapE0SC&rr+!KiP$)D^&+}#7 zEKyTzRGf_YCYgO6;S9~Q2K=UIj>4SuwQ>z`i1o-T7el(@vkUq)s^AmC5g~y{$?wJ~ zX#hX=Uq&kr-{b!yV{&NzFw4_{D!F@t6{ES*eE8{@L*1D~+>AYpD z>!I>J?Arja#Z+!DTv*y$Z>OW&f=+1n9rU0?U}2{M8;g;_x~+LEs6ye532S=yuztb8 zE^%*kGI z9>FawB;VTH<8O=m$Pk7!i&jWwQK1}V+jvB``7=}0ELzYXe!98?>MF_oAlRfOuO;0z zF+EvuLF%$*v2s5{Bna7%5Qjh5V4Z2-V7Vze^x#IFs(v8Bq)a+62Fa!NL~Z1tB=j?chb%+^=S}iE#ED^su|4SL z13A{aQ70iPrK&Ep(VoHvD{9oz7*szS%u$sW=9>03(OAD{DG<`KmLu}9SU}Xiu=@IQ zWy94`h}bu?(#pA@K~KJ z=8JctU<9-m=(rl$DmKvO!Sp#N8N4A9bfVi@kCTZ#r~iF@f;7F&YK<`a_FE*2TX*(W znk>Wsdxk1`O~_GxPRheT(R8L)d`|nw!|ToBE)w?I>Cvjf3mco`cgS&h>ifz>$s~(m zEfW`8ODR%l3O2;Yc@5=ROvw&g*F=ze8iBQu*vgEH$Oq-D_BP?dR*=6IW9F^3$!R=tM(R-w(x>_ZWgD z0+!>he&oe+C)2*+xzR;x3D>gZp-~}pIP*qu8D0iWF_`bQ`6g}UT10ec`9~3t7jIn4 zMhaTxl%hP-z4`D>R0kyHMZkMP>P05{VcODguzRb$yiTQ{wrhB`Uc^utP`R2^CIr97a7Vf!nfTDE#s)G>OCAOdlryniDAltI?B+6zc;?R5qE;= zio@o@{;fRiB#{&@9P0^*?hQh5ti)Lsp$JHqUUCHS7m=FN4ZF{X7r4o`5;~~*$(|!Xe=%bZyGGiLV!4dK>3n(V#mE2<|Gu&b_$K z%Bg@om)Ku0JQ4Fppqss;CxZUi`zV<1+@v@>f5>KYojN$#d#VtMKUczTd|mxSy=dw* zF%!h<)>o>qAQVa`#nNoA)9GlOOGZ8&e`60KLyvqMQUME^4K%Mx;om-E`5TD;yfv5F zUA%=GRrlvCggjJC9ri1ZNTjBoO9dHNRT}cOSDRH&M|e+MUH*wP7vm8{q)N=t=1Z9J zHo4(Ctw;UB^T;oxnEwvJZi@_E#Yk`BFG^r#iv6Z&C?E7k)wfOkQ`%k9ZdqTxGV6Bj zfG4=hEP24_4&|>Ob_b!Q3ypg$U;iTu@IlUHz|Qa8zoP6ch-JY8CoG)PJysBH9A#b3 zG~BR|Dm;H27wq6Pq3PotyM%zkCN7pu>MBMArgZ6*%38)04)77=b13OZH1-%@wU zTHrt6k{Ev*k<_7-rq&k>k87^fgF^umT3Zb*q9y2vXSxKg)XiOuA3GiELhFZP#g;u+Iww)4QJZaZ)}X8+q5>J zwdt6cV-Hh9JPhTG^HYE1d;Ic}8}uM>HV+sl0dC;fFrJv5j|NK8hvO*>X|#vNXN-Y^mQ;}-t?ei``!0y# zT$%n1h?f(0$WJ^3TGQo`CjQ_g$-_;KLnzATOhw4$Gk;C;LV3||KI(N-QlHTNJR+1r zswdr1-w!V|6ZsxgfMEHLtTP<)o=_taVlFngUoB;u0Ozcc8chEnZ0f1XE)ILNIBWDI z6Ci5*ZQ|YHN%*#BUzHtE%jA0t^DVY8Ao_qv+;x&8pM8E@4LqsG?)()-*n6ST{ANWbpmQ)o!!^go6n zMNS%>4Lv58RoaGttN}yK4LaJ@l1#@17BZ!IvJY)*1!}K)J)(45|71NmSYezAeBaeh z#tBtkWKjxYJvPueB-LN`&mw%V@=REE^O&Em#h~^tFKG|TC!}<#?6!Xpz~*&N*typ8 zHY$XN9Ea)S#IlX*UoVuIlR_NU#JW{6Rb1nh zG(o(7a%Qzt6$qun@G09drusA);TIJilp)^JCf!n1)g4Y!Gnhrf%7uxNLdb&brEF!a zS|e5)qE2qMhE^<%?}(Uqz_o69o$G-;T$jFT94=lWkG#BR!a@+b`7XK`YrA3A=SJFe z7bOMm4F8{QLT+Dk$h(C)ph%c%tiD^pLMnos!x4M*QAhtz8p zEOJ?4+ugc0N7KZX(_g(K750)CsS)0AA~_c5fjX>-L=Y`ugu9GMR!V|h{qcSs)#*Yv z<0>>?z1W%xn?NUa8G))pNS*O*6D)GG!_H{3L66P7@!#)A^G2pt-;T;S$rA@Rbm>Rq zbRL0Hic*L;;U{42=YM1myPQuV!Wa_&jNK2%>NZ$yod` ziD^g*4z~RUQ=cbV(saM!)oJ@CyHxC5pt4mEInaeN0>>ynn?4rtA<-#T`}`kyRjA!- zv3%9}ftf8H+7igar>kKTM9TIk3+Q5W`-%3C?Xh;zd+On{c_#Pt4rOu)JDvJXTz91Z zn#=%>rCpoX?M9D3=5l7MNf;Q+bKmMun5%v0%5tm8{UNVi>1__n*4f1i#QZPBx3N0e zbw(}kkeMk1YeNf}&SgW({E}WhhW*uAl2c&2=EmxcJs`cX??<=fjLMpLg+SIhU#~1b;JX;gE|LtaC6l=&+U6yBN5?bBuK>(Mq;qZz z3f*!toTNK>oW3oYci&X0R$OI&P`0vS+o)yx*c_o2R^mq&CnT&SSY7fWaLGZ$By+N1 z+LD8WZn)sI@Gl9i4W)S7%1^asSdTtTgH~;W24Nt#8m#9y_V`6?aH9yDT3Z2T?A;eLJ!MV(w+SR9HGqB8Nbm} zoa6Q?m@ON!aQx}mFFl3tRx@uFS@pJI?}dpnKQ^l>z)3$-Z;_(Mt6?w#Me}*?bdhP= z1ICj!@@DT%yrk|+0UoKfRZ1d136}@g$n+2>`oZbU z4egtt#VfU2(=Pvv)NYMG#Hqb5rmj^4K10;sX1=ZZely%Q_j+H3nX;-SG&oe~+>l7w zvwm`K{~8drg_;8@TNTf&F=38{%Um}Sp zq&K4l8jPiv9&CKHz(6cXRyNheRIv}-sWR7`crul|jpvife}*ibA?ES8ne-aLRmn!Z zWdW8=@V-vEIN|8{Ln58l#OSWw%hShK>f0x&V0XbceEsx7LNrTvZv0BDEPGPpAh(&U zre5=R*t+Z4RV`5PrRIzH+Q5XO3bvE$UvO_QGbpv+xOiTtfQ%7 zd3--8zUY+Mp4m$10_x$Z3uy7hby}SIdjTnB?)X|zeDgt2c?fPmC(=}tjskd^Id__n zc(?UsWz2rnc`i(L2i_Ah`z7|*gl;qP%CtZ=uu-If?Mnbu?&5{Ew?KAVL}ek%#bqf! zMggYX+#ttvJvRgVt;J{=5q&-vZE-<~Grupr$>~?6Kl^Quwt! zouu+FXtCe5-Cn0elcCaxg#>f|kD(XsF&`EJR-Rr|w5*JoyT3a!kk#M;_2sl}D1|My z^Elb@gE=~&IgZZ-Xr9V4?@xoyR*a|Wb#7ejWNsp#(Q4Uscy;p61DYCG_;1~|ZT^X( z_+0cY*Pnatg0A5p%}ou&{7!xoT`oJ3MO@_#pDSS?^M5Y$HbrCkkCrsjdenRR%i!lq z^>u1)Zudui0W4KwhZ!4$_`bwv39<3bcG{%v#2{u~|k5a`JPXj_{6wTZ}I$G7)Qw_snM zo5Sq1ylRz4NtdpFfJb*DCq>Kw4%0Hr?7eGB{TEF)u5i%>rmf1a7;qqc-h#T1gK zd4mc%6A2KCzEDGq8M=tX%8=MNVGzkEZW}8B#8sVCU6{dl7~k(aHQgDeAaCKh^?p8z zghnYhBqVSM?z;i)BsSU-I@ej@Oo{iOr*w%*qzN5(z_o4BVx*j) zRVGVp0>)(u>HY%oq{L|YLPr{Tz9gA}ORb=Qk5ES3u}%Kn;m%eTGMF)sux@M>kaahs zyd-M6!4{G87XU$D+4{cGPSH7*8rVHenE#-WXWp#u-+#x(Swwn*rtQ~GpOOy%E1>*R z4#FV7(Esa`*ijblMcPq6o^)u}p_wxG{EKGZ3Vc=@D9St}H?ybUvkIBmU_k>la_Fl0e2Me1tJi7u)2Q@km7*m~y> z$+;G(_-64_+}bXpfUR`Tx$X|?J5|ZS=scWb_ZaCxF}9T=$vCtPTvX16l7-(2s=DkQ zvppuXX}01PdQ4TPIqE>&lOt7SMfN3JUh~0K-{*bdZ{RWW6F}^n5XsW%I&kCu?)F*R z7MZL^$$EjB~Q~^p(L`w3T z7jkameiC^uDl%ns%0}Uru_Q$I(_=(vN#>Pk7DQ#TdF}FITqWD(xMMs3iX1f6(*d4m z2VxvKkeRt?Z>_V!Hyg7L#PMXd9OM@q;(A(V2;4nk(Vd7-qu#IlBmv$wNgFh*T=ZRd zUK{e9X)H|HNqh>5aG5z!6^4D8Z^V^SSOXXSh(A`lBXZZK<^!7qU}(j zO8)vywaAwFN8X2m-dAD9*Cb)eoxMdXo3Kg1BM}}p<;AstUvitbA^+%hTy~!`!=oVE zSA~avC3XF+LFqt`Q2y71Dn)O;9N{T#UydGXh584X&`lfFbq*UP2CKT99mnX`-9wuv zPbZ`fY#X`y6##JnPDK^S{?5TP(%|=x>USEvVh^3EDyT1(V%l#G%BO1v$%s>z%icWk zw#`KIvla^*8fxri>wFrI*F^r>yDor~U=jUDn!HIjt07(arw33J#e7Xyi&+`GwxvR>*eGg@{70tAbmsOwHK~$E?N;KK1RIt0RfLlTmW>2Q+MG`yz5EKt@5wr-H^Oa0CCbr5qnb6=egk)bbv-0iPYbJ-8eVb zZ5I_UUfMw+NTITC`)eJgpU6!)teyGU`s5QF;kr^X2>fK2>zVNRU}A`1^#5*`IPDLp zqd00<2ZXb_7l&@>n3_$co%G9(7kjx2d{iJKN&1xEg4@^_I*4gJw z&v%LkbYa40dFI+bR9d@Olq_!4KcfHr%GcekFB##Iu_x5;+#iCGhnv zS`f-}J3XlzKLQjya_psqETE*3*0=By5R(L{CwF;-59Cv}jk2rLjazvF2qD5e>L+hN&`$&ow6j&yH zg`_8EpwX_^&MaV5WDoU1&Q53tMFZo%zKs{{$*LkzuqT*_8}XBTGhjp2{sJb{IFnS0 zh8=tN8$cjC{fNAfcyEio;+9{dt)2-;<3Ivw-Mya!Y2oclyxQeV04CQ0Z2C)*ztW7!cc#-}}$5589X6Cd;*mR$oY5fU0! zX|Vp7f`9*K3p4B!8K*;}$AG)m{imT-qaMsnI$b`0y|g*+O!n}%(P0It`hc6ZcVSL~ zo5Uje zUz>h$`^lI>o%W~YKeF&HRw5%_X(~(-517GwmYaVHlkBowmKkIUGD0*x!6SaZvVX}d zQpa9k^{mX;6yJJnFJmB}JnxzU~A>h)aF zGrTuOuKU&nK%ixMP@ReEPNW1i5<%UbWZ(Vkf+aaDDJ#H<3vV&SGc)9d|%21t_lS9EBO({=wa0HbE}Ri;SX%vlB0I3 zHGo0r%?hQ@S%Q`5u_Cb(#l~S~B_UO{uYG@daa{q^x8DIM4~Q~Yt$8HpqvW|cIH|{c zkI?qsChPR6rh5MOg0}!XMAh9=?($kZ0)>d182b`O;|A%B*eJv7uIJ+i2YD)GP;2}e z=w}y@H|W{#O<~VaE)S%7$9}#XhYF-1-b?`3@e;Of=^JvW<1`Bh)TU&3v()S3x-m>! z>yWr?yJdxbG$8jAdq%R6K9vk~yZLG@FP3VU;Jk7ZZPob1*J3)i}+fxTQf6%F@_Mr|b<;S>G5ln#we z?0k)`?2wKim`-9B=lY1p$8GHBWEVD#2Iwe1`Me@RDhZ*)vDG?a7HZw6L%Gc|#U( z%(nx4YIN=e+qF27Po`2Hf$r+Am47J`4TeyWUOzKM85CgCFL&c^Czr(@m!xG%H&sZ zL$^$~J&vkyK8HHE5N9Am1BmLN(Uxw$|B|)(kDJI&SI!_snhBh5oHt-Jp;^6!`^}9m zW5s9agx>3kUNH`HtOj)T243YS{`I^g`gZ+_z}!|{^Ym6aOpFbIG-rBkzm%vYDNQ@& zB{Z<%N?oR3q80DvRkYIe==t}C3;%QFk|-Wl+4u|zuSCNPq;IXJ5I$K0Lt?B(1mQ(y zE|l)DoF{3vH#Em0?^Q1KNsYRGi{@L{{Hi~6llhFRX5c+>*cSE1b`i7t7VR+8dDpV+ z19I3T4Vbm5aW%_bn-c=BJH;p?{eO0_al7ctMl)66Oz>Ro zH8VUitfSH`GK+Xdo3Kx0XgvOvJggB<1D^3UR$ zg?i7#ZPXY$>ZSK3ZhjZrI-#0X{Tes~=@|N8lA+nuP&GF{-2qD55LOd@Wmw`;1)0Ky zafMHV7IsZgm$6v`q+8%^&_yz+4EF0FODl`j9v-?BXlne}@l$@^PkW_zk!iFnh1kFl z0X}FE|f{k z*a&I79a<(D=$Z>8!*kClDwIrYP*JtXT_goG^y|x)W?B{{YO*dZ-8SK^6IZ&CVc>EB z)+LEjZoZs!oFa+EAavTkB?!kwHjU|x0I))iW`Z`4?1%O|6p6I?v5OwCmUy_<#s$CZ zJKPi_KUh&}${N3KW@eT!7nR^V_*RZ=J5jYDiZ1R)zA!sfV!KaV4S(Ohs%ab{;Tocktz5H`r?x76aO6BcZW=pgAnQo{GkN- zt9ZN`+CLi7{$N9BOu(e3Wvani+OMv?KKo^`W}z2|jW*ll))!Cct@$Hpa)>_a(1h?^ zObX_)2y1|9B?IIFJ~mPu@!!75u~3(%Egw4 z$N~m*r8|E@zctYeH&^6+XnT-+o_<2B0POaDnQO1z{B-3FN#`gQL}DmDrMYYkS(GaM z_Eyx)}p?GmvUZT6eT($!AM&o=+g*{S0cFdr;{iCuD!svcal9R}}RC2%jpipHr?q`m* zw~?ES2Fd8fR`7+e#5~X3@A|Mua#vnq9(op#4NCx0>Bh#a5s^UYGrZfONpG=)CO8db zSu_?6YSOLn-dGA_G@&tg<8GqzJTTr%eEBnnBlIW>&_5c>1q%BPyPX7kH5ubCXR7!e z!)S5$0!$N-tVbUZ#=??q52AW%yCVon-mYs1b{4C2?5r`LEpCWzZ{d5XzE%}kpPE8T zdynEyR<{jH4uDR=nN^POsyF#2`5`gbxUJ1i$G3|4)8$-aP@#aXhI;VeLnLlD~1b(M6_Q?OX{euaSeLwoaa4TsZeej7FT@|)u z08k&65d8a)lb=xB`KTzzCHIHOi%=bYvG7RVRE_%#c7J8{)9an2Ce;0NG=a7}QyF^x z%bC>#Tb=qQyaZ|{p-uVmtaSa|IU@#4w{25h5+SO+yicPd!Zn;6nGC2-Y=73Hx zAbo(XE&5KvjyYm4$l&0#GWEE@SEOlJ^WO0HuW#Pny%3bE#$nnCKcv2eNw`@2so2z^ z>L(eZm4uqDERNTT4Cm4_!7I%lW^D?J-;qdU*zTeYdyFmY;S?sZJ6CL=cE0jGz8{_+ zH)ZHOU1N`sB)|2=<=Rl>djWkOZ@sfQVu%e!t|d0h<@95%U~Nq*ydE1W6~4E zMpJJU;APv?*8HDbTjEHUs`9|p`CP&n&JLx!u&7lwME3Wbv2S1Ax+zwfE96bh^o8GS zeZKU;oBG2TE;O1FX^L_?*^ScV%`aXjCX@XjJGhp{qBiqeavmhjYy01VhYG znQR2VRB>ML#$7eL1EF0~$9CnKcrfL6F!*}nluK)}rIiNF)je%T@GX4rgtZXYJF7%Y zgKverp=R{^T+7aocJGVE6{dP`F9$dmo2sF!hx7iAOt%jBF7+dJcn#xjp(MYKK9J7Y zy--?3RfafrSga0EYjd`kuPcVBo=G)TjA6iuo%eEOlj^fUO2oIR*WMSEbobx>#VezU zC)af2o6|()C%acfqtGmZ4=0nfPa7Oe%u8KR_Y1La6*?UzM6;2}|7A#7P1a)Fazh?J zTH|O_rCOFwugZ-}l@l!8&Mj$F&Y&A4*`jpPqLZ^W+@6KN)7xq~#{6RVK2f=OIhI`7FKB@&|JvopFPQ z8JLdClNNz*ih2q*J)26@Nvb-{aqnj;YtEjOIZ`ZUdHe3qrv!}5itwZA6E?-}3{5SJ zovkcBoyZsxrUb1IZ~5vLCaYzIx4%f*@Sq%=Z&iZgqw3e>V%eKucwT#SB*<5a3vQ_z zNu&o;g6sFa>F41Ado4Jq$H$g^MCr)+v<4we=t4Bn$n|G+Cr1!u3+yabCt7G<2kL)~ z?du7CKl6~Z$KLNo?VfODbp~zz8dSeK;6QT7Wa@f&M55I4q7X_24UVeT@Ig<0)bkc4 z0-gHxYz30LzKK(k6gl4VA@}e$mvHEUEAy52_~i5nt-Kz)_C|X^Nv{aT`s0IEoUV=H zvDX*bB|UZ@566Qgk5*z^VRc@fMVYSUr`%jR6YT4HP~vAP zYxy;2`Lz6|Iiih`%;mSu=@U9=>f78kwbmu(<972xM3d@HsVP1oK7J}a!oaj~O1w~! zgZef2c|_V}S##4>*lmKL?sS;^9sq7(0d?BA`|cic7@DVHM{;8AR05Uet}jo-E6O>} zJ-WX6IrDF==I8V=PP_ME$M+srdxj%&CZ@ue_FEaZ{FyrCr$x5Ep?S3CvcE1D(SZf{*ae*AS2v$NA2C z`&|^r$uV|O3nW+e>h_w6`)5?I%8U2|gsJXt?(jf%W#Wp@+(&31XhKUiZGV&WFGeS{ z7W>49sIX!>=slu%Xk8!rw}yBeSTo5+vZ7O3(BFManwgQJ88QxF;HuYaFuTfavGTb# z73IP{_yl9rc~xOM@7_w-v5Ia?T6E-5r1Z~Q391tuYF>o-?`w_2kb@Ehf680`%0kiJ zE0Jk$3N$Ynjjfk+$)?k!qPwj|Yq@^-IF!CCb+D0KRP>d4A?fb=Aq!+vA0=gNCOzx; z{!Ncfh5-C-t>RPHJkaq*F0b-?kChLm(`A2{5=s2zf<$rg0B9BKEngn^#M2ZvjNIW| zPGaqj)Eatt1MJ;4k}Ce_(=9r~6XT8Vc@^*NeU*syNvVwgocuoYUKgBSwAp3{E|Cq7 zJ>ud)8n$6u*5ae$L_dyi8}BDNeA~@oQH#=(W^&Lhf(nxj-<;n^>JJ0*UY$*#gNQF) zj@pMNj#zG!Ny}ai-2BXFLi)0Mo+nqc3?HT7f1iDMxJxk;WWacOce(YYCU?yg_<3M> zYvXkHwNaS2oCvrL!9MkA+#vL}OGbff^_ESZ57eTLA?zZH+BoZ%lG0~LW@Vv21%!fq zCcaZUnk*e*a5|W^L&!vVUFgVr1=Wz{>+l?V{hK_#LyBNS6^CduuGXrrFL&{0Z^Qp- zar-%#oTMh#A6=3BUxdV3+#}(+5kr>#x|@HI269H>P0#5o{&}~-V?<(}k&zbW?f9@` z7u)qHb=xExrbr^gCn87vrDPeJr%rI6YD=?a*q~(BcG>slWji|OtXWhv_8ZWf=S|yJ zK|Pv7YiP8Xun!=vfP>@)k+cgEQC{2z#3BpcY|l~^3abARpGY~X`bz7!hSE`8Xbo!P z3IX;gw<~QtJy#fV1G-w@>|5a^F6C*K&%lTuSR zO{BxlF%lpRw~VLnHBT>|!@x~sBVNj*#{br~kkq4(JQPibNWt(Y%vfav?$x-*qEr70 z?`+}RkM2oZE2+-q^{JWuLyVt;)s5aH*qx$Hu`- zSu(M{-_+k}0p~r3-plrkdk*iZy9jBcDd3R-P6JG*f`uR9ehT(UT`Sj|Y`@sq4!s1}}+mPZl|5X=la1#KF}a3Djz(z`6QDqZEC(${v3fzELuyQ zuBOa!feG~H4%ZXZx*FOQe2U1EmV!k+3$kxU&iM6=*>P%WBKur(SPChjQ>3{ui1KSQ1LgmfcTbr;> zrGK(KWiT~To#4WU{BPvZG@Y$dahSf^r8Z@1VJm>FAq!&Bh-**Pc}~en(P%m=Tf1zXr!B z9g?PNW~?q3rgNhD!($DdGo2u zPMg?GL_n-zLsQzmTh+0>8Qeo?^fdgjed%H1{EnjOkMRauJJHzv7EzMIxc)fsh^afg zxpWg!{dtx!JcF0uOfw@C+~fQd$6n^@gjx$TMy}rPatKxEVLJLSQ*g3H&ECy3@z0*v zaI}ij1!MVEVsZAL!ya4P^~>Z4D|1Z^{_f>7ajBYy-cRzkb0Hg;5Qv7dK$0@lbVWUW z9haUBt8g)Nlw_^5tY2Av8dTc%IZxA(U(8X7CSxdwoa=f+qU4o;QE!vDFgAn~pKVmX zb8z{mx7Q8$DR_~LDbv)WSWl|_g-)MVaW4K5zZ6e=;#>2z@Y5Sp^7E>Fr}`dHaXs;|}46CA3%2@4}d3+3Rn zVqwYJW${Aa!&x=nscTS?asiMRwo&q-O{6&1SrI91CG)fwHJ~FzNbm8(w5nJ|PD+p= zX)#UJ0Hccl(zUCV2XMWe_5n~Il8#Sy>geP2?-)z%I1#uGXgfS(U9za@OEdEgD4yYR z^G*gXEQAep(l7Q9tI?N&o$d)*!Z28Ce4ui4h`X2bWIg{T@5r0w6j(t%(4es2XYZY_ z2`4Hk<0|8Lc6QpvTFmau{L97XAK;C{jw+G~sSaSBwrVY3{^CQW8}+Ogdd#Qdq>!y;eGTA?ixk z(vO!tzmNUF1*Fe|=|JDwRD=u={=QY5E{L0Qu|k)&A6B7s{p9$`<$|-0Ugc(Y6z3F}gU7 zr^L(puf<_CJ!tQv@wVo^cT=gLqN9ad^(UlNifC&0zc3-KY$m zk-ny710@xyO)jdi+lO8CBm&c~^;J6ULimB62j7Y>TcHO-(R&YLc;zTo4qhHW9Y8kU z3GDIJKoGeDIL)lM&P|#=<_Ssuy#2L%>uZPl7a>^J$GxI;P$1vm;!rpULJq-TIy0m<}hvyG{~n`~e!jy3PJ{DE(N z!2O{G^RkG<>QH1D4?aZm^U!IQ6+f%i_&uGeo&5*WsCD{-;Iw3h2(%{ukD{{-Yx-;BI0%Zglr$(q zQM!?wNeWZCQE5i!fH4##B?JTnl!=tI#Ar6ULt1jM(Ya9$82dkaUhw|Mb?rLm-1j#= z+w+P6DSP47gFJ+mS=!gL5i9WLZm5@7NK&lx|R^@@rzTM-bAH+`0W$(vWf^G9>MkM(@_g{7aejreM!(`cM9kGHL}Mm@6M-nB$< zKYVac_zP?}NAEyK=~x}cze-Hw7L^A-ef1^hQ_JORy&SUkdwl7!4ZDZa+Lyr!(n8XU z;;~)Lbs0~GZO&(K)E&>btpN`WxfChyMh9 zLVp4t0*-G6nB4Ig6SO4iaVM00D#a_n+a&DLFG2)SZ@ue&*UbpT>&lqg0m|^V%fLVW zvVSa3mAh7z!1u28u+Q>%c^iWPN$PMkI=@{GS$gw($$x{>1x z{JomqC39)PP1C>+hELFyEnq#@CB0RBEVDhjBMr=_xiiQ5$0+K-^Le3ekkbYgZnVtK zpxk9gs$4`7GLev9@hq&0sBHct>Gr3m(cIT0|MV2p3t#zuCGK_+0V`HjysoeZ%?O*_ z!uETgsg9)&IH!^fG*HREAXoE(tOSXif9~S;qT20$Q^}rfyW^_*)xWy8(oI{e4mE&^ zsk!%WMOQpTZ?cDKC41fpUuX`NIO8(qb+Ks221n?l-}ehfc?X__lXWnJBhljAab~~w z@ZbZV1?gu_C1jpo^{o2RV`|WZRiTOdBrc+A_OsYG1pZ(8<G&oX@d~+q-HJAgrTR&JZdvo0sq9lpQ5)n>dDqOyImES7nCqEPv zzG3@BOiOX$A&=r`KE=u8mPS4kuc(I&D;p7!ys)7NB&s!h0_jbr6e@iRhyysP2B>~X z(dlB)T`K!qq7+rkZM1BPT7-_WW{*|}{CbxY|8w3zMJZTk=d|gB8daAW^Y(myz4e%# zPd+dz)V}hC-?GHjPyh3M0W1iS`_FixUa_fRfe&)f7igdo#SaQOy3!chR|&zECM1cj z1tN`@(|wWo6~5%X@@%I4RBY1l|1?|izcw7^Q~ajs74@> zt39U~X@xsM*Lpkx{8RNDBMu%qyh`BsSe++9?-0S!e$SkxCyX&4HaQzkp}_+2vPjdN ztbpBvIP1c@NCHz9-{)uMO{udahJrnWu))H&=!${|XZg0xzF3!tp~zdLi*>qyy9O<= zv-o*bi@qu{X6Wgur(|nCUg-C-v~NW(c#6D^=Z1|}KnzSxj1&?QKTML$8@8JpQPC&{ z$yQhIdc_CTJ#>+3YkXFD2g~IK-fcQ(0|F_SrQ2w%U7~x%-dYOz&ZFx+n{Cc88x)T1 z%ZSNWiA@46QKv$vGzuT-&5<7~Rsu}Uu^(klN|g!~M5KhLGe_E37ca#~j9mm*j2Am> zhG(>Tb>TZFsPRwT!y*fWo`t8-z1WZM{r=ez=y)9$bCxp;WyFI)H3a7hum*qjh~+Z>!X(o%cSM86At6Z&Qu z>M~wccb=bmCRNu*s$v}SUkRDrY%0<*x`yReEpaO^4~yp1DEwM1>MCjG?2yq`C)FA% z>@uCzv_BQ^!>zef(ZF|M;vr%+S-B^9-$r-Rqw!eKzqHuvUvvnT2Bn@?p8cx=eA%AP9_2P$=MAflue5z8h&vqv;7X4nC_NK;@k{}~2PqVYFVTkH zT>Oo9a%CCKG!!S9HZPya_A;FvS>2?hqDjia?j9P*G_$~5qS0;Zr^>(V=~8Nl!{%!a zCVD82`5dq^yA8(_(~y&u1>F+gz8LocIb1t&!&{r*jjPqp>Bz2+-Le>-AE%i|F}ZOp z{`^fALs`QEb}?4a)lqBVjg+AdN@Wh=@T~&euu& z+9~#8<}a(!EFCP0i`q}H^xp&?Dcoy2%g2aJ18Q-oPmVcHJ}&Ke_Y{n5x{gx-Lsf3^ z2%l$ecx#+0cu%Z1B*?oDTGal@%_kmP6qD&O!(==&Rfr6jbwLEzdou(ryDEt&1#3;K<0`7aEdUn$$9h9%5<2> zDpb+TL&hoP%ZEC;LkSH5LW>CjTnU*Fq<8D{V1k!hc zfV8b1Qe7xsNIbfuxosv5cYxsqu~~m5ru@_qFA~;Ce}A{(+D(dmzIsY(f^%}Kp3*1Y zJ=3#Fl(SzW^Y_M49jYIK*ypZIs?P^)4_hw;UqCGg=a)i++ErkL|98$+boYoPkKmv5t4wTlnX@$$60)CAoo zYqf(@097uv;#t~eZqgf_%%1ckLd-5e41iv5;XXaf-C{T2Abc^ zp}}UjKH>T3oI;R9MZq)wS+9g(m+uyBF^{Sm`X4!&_}q$?loW%_1BcJc(eY)^m<{WB z%u-QiDCc8XhPqXstvn&pytlqtLmkFUI-TS^2^Gy_NBR+5r63hH{ zID&7UdP06%I(lPwFvTJ-Rr`5Oo~X8Go7NSK|!|u|V1-a`)p!bg_C*-HM~t zCYN>4`jbANZ*L}%4+wcgvIY(`Zb)>xR4=CSFlXy@BnTiE)Hshp?%kkTa&CyfQ@bz!G zKTh`H_LjChc!}g*o7?I%)&VibQGSk4X?jIz%2ScaeEXRa!n5v7G%ey2nH3m;-se&8 ze<-dR^urW8lKf~e7kkcPeV8%tF@B~l7;FIB*}U}LRv?ZalZ^q+u0Ozfm`7GUwp=n5 zYj48cw%b?qc6@fHq7P4ZO|6vW$tJRowVjO_6ZYSY^r*`J)dsMAul(kXk;zdE>rvTGG7O=IR#cDwe0zWe+`FHVA5`HUZQJ}LJG z#XSLKd*!29{d z0(r<|#(b1ARv^ETr5oj}iI0gG-I|LzOUhabO=S<0`*3QDDd{UEwa%VXyXpB85#*BX zb27%eInIL*rld`Ly^1>V2$|ZrXy+@(qK1f%v9r0~lq^YiaOufC6&f~nIt@v)-M8^k zEj5=#SU!i)&Ah|$rj8N?eHI1sosJ9T+O%%lk3TX~t7i{XOKs}|OjN$`M%^-jzWBj` znB8j!x4c}j#wQM{uK6r@?~Yk~X{rk$KLitvy;I9{P3tp5`!3Ut_AXqH@hPDS6_edN zTm8D9A&!6u=09f@i8rn?j&7$cc{V+=urA52fa>Ft; z%Q+gu4efhV&QTk=n{0VNj(9A?S^uMO<7ex%6~u2QDA*Wj;Sf!eCtLfNc=X=Z8smN) zv+PT1n~}7S{2-B0C}`D-j^IYpv$`A;w9)Pxy6c26dk5*4;HH6Fhl!2CX|Y>>tOrzG z^HH1c?sb0^P4m#hdEv>Jp2SJf=Vbto6Nx@ucQZY=sElU`C$EXyBvl;hV=G4p+D$_x zL+#@e(x`4WuXR-v$a{&-QI9J8@%aBDY(@p*Q=#ad{O3(9SulGRGbsZxLX~l3-gI8|w3-N(-}1?W_Yd$-qUdG%jNh{cj|rO$eLUv>qSo*n6f2!# z=CL21GI~|KHa}e5?~`zPVXVX&?9yYl-e(wMdKllTu<~87mraW~nyAm9C-p_5w_fDA z$awt2EiIeHjbs*rNPD(M;k}C480Zs#79xwO9s~G%bM1jhRiRR6hmoh5NptODB4Ld6sUV}_ctLRU}X-$1!L=BP765GWX5>BQdWKD zh0(MrRt?z{1>1Fy7zsY55Sde282k2w;@rJ?s8-p&iFM?E6cXoKZh4)-U+P{RFNJ4) zHxTLURGR>W`lIVNGb=8707^EkC%dGuhvVq{ew1u*^6?w^X7Vz^A-NOlJukU zCP0}TPUmzRC4xZ>j~27N&BGTV8uUrW1Co{4s37^l>Y7b&W%BepQ#8M$IC_~8U}E$w zEphpDdMD79vH8#4nI8n#V)OpVAwJ#awv!ZMxz^ovWe%?wxXdWTA%pd@6;T0qnB}B| zBECcbmyamhFSU$vvr7M?F!p0!xB0i_A#k^IP;I=eYG^XNbqz~qF3S$^YW@2_lkJ}U z?LJV(;qly-daaFovsSbORKlxDs>_akfNwV3aqiyP&jn@?VeV0_K~35|Kt(|IbVCe+ z`POzbGf@;3lVP_N=RLXbBAmM5_W*M}7A(+;X)eLpD(a|`yB8YRR!f@h!&^f4CpUtX z&PI@jSy?`_=xtE4O)d8VxrzP;An3JC#-}2e5M)^>n4F)dYo6D15HC6>&~UqtO&0rT zX60|GY)xf=C<&&2|32C1719|P)p~WL#JAb-(y7opP^PRXO5lE%@}tH!iLhqksAgE; zj4JeeaInjd9gyv=Ay(UzqBvR|;e&{+7^5fn>Cbw3ML_JkQq{}v2Y6N6e>lv|>SK4S z4+$aIfu^iFFBs6CPR4AOPBIa`6x7azP6RF9{{tvWd=xoM&J6yYhx}7slC{!TGEt%x zX9TdaNwx}FZmV6#CR%9|s#kNy=m^>9E)8KD-3NQ8F~F9|<(It^N?h|CUs)E}`ge_; zC;SO@4-pTcysO^j&|hPJrArA|)Rvz$EM--ZapR`_N9{S5pZl+OKTNV~MOIrGyDeLO zPZ=%oMH-#Tk!Xie`~ec2#jHeF4+E{I_G0axmlgj%(pbxzKTn9Kc;UJ zI(6?wVJYHdpFk$Y*!5?s=pSh>`;XI_ns{3AQDtZL`PMhBNH7~^bIpVQU|ur#ufQPp zONdqpJ*!u@^-Jv=7`+;q*uP2BEUv&i3-FAS%#kXBWGzEtE#b=GNbF4c@ZK6s&Ok4d zSp+3#C#FaIjE+t4f(a130It#3G{ep|HHdV72;V-5M7L_BN~$eDx?{M2J}NNfQl#Pl zA#C-`Wb|*~Z+??-fo@HeIOq=8fcueNWbpQepby!T1^+4_-j;X5F)KH)!#i!!S&OR6PvYuhZq zB5n8cqZ=wGRaxt(=CRlH2n{4|mdK7g?p@}a*&{uKMD~!x#y#tT-J|Lb`PaL>0E}yD zA6W}!6%XhN9O(8?PVgjjO-2)rxr=lizYgy%tKjUi{Pu5#WrR;pen3)32m13#at80K zk}iQzsm)}Wx<2{moNvRZgr))k^Z}4h zgLWb(PjbAKO%1*W8CB+5vbrQX`4aJr5&7kJ&0EcN$i}@TzdzSs`VZjkV#p{tW@=L3 zEth4o+`ZCfxY-fNS!=iB>o!s9mOY#%U;$sJoT8>k-->S%6qR*U<@=K_9IikS83B7cu=9eM%$=|$a_Z`M6-_2K6aJ&n zBiOH^*gMLg`U!ny)?g*Du;O!W3Wo%x;SNV{3hdqBP7Ly~G{^^9Q|_^@c(Z-qe4ZvM zAGc7u`ksm=C}i7T-N({2>1j)d6e5(M!t~8fq~(oOKY{t;^_cbM-AiU9}5d zr%>ibFEZ!(BbV>z!O>!Jdi8z+OyRUArUylDF{g=|Ymn7p4*Ow$T$K#t;c_M{cqDkv zZ^ddYKN^MQ3s!{D`Z1L;PQ6pt;M7tctOBOP*!oVeAi26wso|^INXLhc14E(Y?&lNd zc2pvaZ3=nErM}i``RT0EgR(oXFY5)R)dYgMs>Z<})-73!hpaNzD~dO1w)gE)P&5ks zPlqr9Y2qqj%_EF!FHI)Zd2?0Gwr4+_>RmnSs^9l1isSTrY_iaYfXdqzPwV9^TkX!f z4KM3YNQJj`{A>!&(_|PaB&W?Q&Efs8&3^DIl5R|?N#M?wRT&KrFP|#Mwz+D3wY)`p zT}R~m63q5%2bXe*Vlswyf5EUJ6@&Y$sU2aSWuN|)!!+pik$r>;)g(Y6uax*UoI#?u zIH+jR{Mm+wkfM@q6P7YFd6?mNmc#Q9JKqpvxr~{);N|MicwnOY>GuN6oigK2%D?77 zZ1#>EPyN39Dl;@_snr7V8ktsc);k7VeH`-Sz zMPz5j^pd?ymUw@S2T4+_gop`V`XPLwB_R0Lnr3_%*DFqqm`A*|31+#wD1lass{hw( ze{w^2F!Re=m*G5*(##hre(+sPv zPTIG5kKoxWB>5}J1*ha&X;t&c^gl!+eEoNc0@L-k@Bjaq@%)_!sntH|VK)Fio=V33HNwj29cE zw&Pe-{091I^nGCp>s9vZK4|fA)k7=gDunuL@|kYoe>qLN+Q@N2%DEH<;mLWJ7^q(% zT4CPB_gOJ`hG|Qx{A=|x^_v5Ggj)BVw@p;vuzN>>9=2afB+^lGYsVI^x=f;liV~OX zrY-Cas#oWG66c9}$Y}k2-(F>VqxOmUHm8d-pA4=f4RJb zujFhu@j-L4g_T8L*Q4i| znVhEQwXTu6kKZgiykX82dbHGgvxDOgY!r=nM*yrQCT03yyjUP+-AMk~ytMs5OYtC( zBAmrSqkD5C$)@x1qRc{r+tp%)w;i{JkSmG}DGf>M&bCbvjM-OvQ3fi}D(W2^{~J7N zlk&9m7j^1_@tfOs9Qp;3z z4Um9-{$1)MBse#G?4{W-Ogj1z(XQ0vJpHgJ1@udD3A~Mtso(?BohT$69N&>@Oe*L{ zlSg_4j=VlFGcQxUse+UL_ld^qMhvEAZ@^x{Wt?9y_AKbf! zL*`BwKe&9p-K=m;<~TII#O>3XCSb9EvN5eEB;!Lq+5ZkzK|a7s&IQm?s5s?xq{(Ahu+TT^Vjk%)tUB0F$$n-?V6YZd7pSO*=4wVy(ReM2KUm?@`y~th{KA=l3S_Fsw?2Dri97NugbBDU|z0oCm^H)kTfUo(U$zn|9Ot&1u z!ptXi)!Kk^?cEpwj%I{qKz0kN%K$jD zNBJr_-)v=|&>=5;DWmG}4(3~2x&wK;bS8e0F1w9q)-1%b zT!iMVINi|iSEk+e{LMW&W$5$5tAinz3Z(_5)qn8_uNb6k?Q~tB|J1&c4yAeP*veg` zHD6+3Z68&xO!i3&qhB|$jw!Pkgehy0;B1F+q+%c*5_ggYXGg!34ex~1B}Spes{b}p zQNOpq>8&=zsVQT?6<{M|D=sYYdwGgA=;(zwu9*Y!MbW#EmZAI2wUE^7?vO|W#H_y_ zzm>Vm2|DHi`c5BcaC6|QfFm|Zhf}q+HNsF`+R1P7n{zs$CfHU((aZ zH}px|KKEA*Rlj>0s(+@Kv5Rz}9%#LFgGb96cSE`N=B_=)xm$HC&T?#ClA~hpt+1s9 z&Xi-jsk#@tKlx+D1V~w+ND`YAZgkRy&D{*7(=nEd#8g^m=H-+InnSbSe+-Bn~b_>gK_;}#w_?WN}U%Pqr{_UGbx z#%-@4HuI}eP3umUJby93HNKSy9gd4AESl1sd4BUOWL%zb!vF5L>*C(jIN~g;r-`Mz zchy=j!z@v_gN{tGPnH8DPLNnEwt=;%eG@-^c+9?(!XXQ~t3*$sDG2$NT}Z*nl$wG& zF!iSRNXis^6YW%Zk0w;HqAJM#f;C-Xg!5jgFDbm_2-J<9k69sGDG-8tLzsQub`5zO zswaOC`YIC_>a4*m1(4L`@(B#TdmGA+nj0t#R9Woufr1*hY_@@9=e@%auiaj-M4h^+ zxyg%~g+AVUk=oqs4$K*f^Asza@SJO#5v}sgeIHP64=WYGu0R9=yr3HD@<;eptwnD& z|HyGh${c&drQX982Fll|>xVyy`(BL`qS>{!b|1f^DvLMJNhp^?%DFjMcXK)XCh4Bl zmH;I(X~t^kI}>J^|Hz^}*28$kpc5mXLm#n2A5{72IU#Em0u8#f(Fwj(A!?;gVmRWT zpLx5OY1m}nkH?|rf760wmMwn!4z}b7N`m*{`~)K-!VvEGOlSI(KIe86k&L_0XE5#a zsJ;Z$MA#{b9UdU38veRnVEbAxA?!!nzAg9WiQdYZ&u> z?FE-a$XGfNYn5f*mOEuVlr7{^=sb@Ha zyuH)>W~wmrY1>hNg@k*d-q-T!F9p#Ukf`nZ&oZZH@ax2PNE~{<8oyxZHCK!YSksXH z7BAiHt!&TuxRcJy4)nDrDO=ar4y{P`L~ zkdUluEIDM&zz7#-fNjCu4o-j!);gE&P`BBic=_yrZyFpmA3I;^QOl;M>vxGz)ag-C z=K9F_jf$?j0E5hSE*G9zesS&b-L9QEvlBP>pp~S(3xJZa8Z7tZtKK??Q(AAfnNo21ju`v^zO(v`I2tiCK zUaQ+x5}B`%$6nn(Uq*?^XfNGrxs@W&Mno)Z|ZWg`18d!_=vM(m^9F9gP&DV-9KNX^OJ}8rU zgq4UKePo#a11)-Kg)T%5msS`2oO;)g`)@1#g4|WFzjKRdg}jOicLgyG;kXQw&J=IWQJ9)MC@n=OK%F@3#*I-Y`eRs_k_2CY;xnT^F~>>A#Ne0>tIk zoW7YmNqbvI|1h2X4#TzmYGWy7VTau1xDtYxK3UH8l!`oShLw2F+S1@Ehz&D-FUwRO z(WgyRpY$T_^w#@VK89EOLQJX%LV3!^ae+ z4*o(&7b4?XF8&lyS|oUX*pK6_+O$Oq8DB*hpS?VP*|3Y6=MYfVaHb7gHl0|G(fg@c zg-`1l)HwV*Uc)TB_xQRG@P?@(0ffD`xNL8}w$DwvQF;70@eN@Wd#~Tb>OnjAz^XAD zDGVG#;O5oBp?kTofw`qjVH}CR>SVMswmA`5Wi<2`7GqYb2z|Z6sw}~fpuHdabD%V} zyyPujY;R{oc6dx+yhUV?+UGpGx;!SP8_o1gMz!LT*A^H1lY|Q#4Mr>(&zY|oC6sZ1TD?~uF)evk~yP5FOBkS!~Y><#xH%%UCm)Rg6P+bvbw^B-c zovm))fth>T`u$EWZ^_JzYG}#LIo6i9dM$jSRH-2$wEhylnr4=|rJk;mm>1|i{`295U= zlCO~qQ3En;ELHc#%qKkpnD@Rv*_UKU=?OqA>>ZM3{-V=GTn$z5CIRs4t}yksnzr%V zV6lof4e1`WzG|O_!j`|DQt{(Ng(j`X&nwpq7$0;NRbqODdZ_7dyUI>^;h4M*Swfi=bY*2>gW5f9sSpQWvkc{VsOB^2S~x z{dBaIFw=Wyguejq^gcALqNBsPIri7c4x@T#)`7%vN{u0}J+p~Onqy zWIU@Del730;IY^o;{@w4zSeyIQJ_j58*w|6$|-uBV5rtz5ZSKUtSf{q`%>kO;>MJcZq@&tR;nfH92iGO#i-J zN8FpP+HxV9jR!DC@+>D}8JS$x{;0i5Iy<-nhIkglMGUv_ex|)U9fNDUGo_^XdN&$) z8}cH1{z!1yZcYRT#NTS`*aYP9CGf>#kq@BWWJn5qm?XcYm5=?;{KCZaRw3TdcWd0- zue|AV^&KE0y+O-#v-vHxPPP-8eGf(dnF_!Mo3=1KFtW4yrK#1y@hjMo>FmDb#Bqad za{uX96sEys=EP7O`po&*#**rTuj*%J>$B6X;ErF23ZYpnqB!w|yCTSabLdjX zwojeQpZbc~{%HqI{9a$2nso2-#Wnq<(u6u^ZZ+oC;@m~j8?jR8W6de~A}eMVONzmR;nguT^SLFPl*KHPx4~34nt=@z-e(^kpm2S?K8=}{WJ?FVI6>D`B)~-19uwvLaoc}N&nQ&Jr0{VC! z81<$NADvaao#_hB@8R=)r^zxv?Q*TxxV_lz{SQ`l3KA0jpz#z|3SAVkdCj z*v8GrQ{Q5WICmb7pP3~$Q*hLsCMkX$Ia6-v$l{27W4`8`$0agfrw0Df=Yem=EGs7F zMPqmi<~wTGg&6Fo$^>EHv#MS=?v=jMS7B?IjwegC4`Py_fED;(?E%afHJM?LX4Mb}^LSj&ZJWkR7UU}bspuZw zO0a%jPFEke7MaQz{1=Kb5#iE}PW_(N98z3{sB&ls2h4xSu0Vybh#zDA3{<=ZrjB}x&x=l82i}Hsh+3SiT8L~M;dBu z^lT}e1nJZus8IL79h8yK8tE~~_%NEs7Rrp#ixfP_dwutgPoMP_*(|?x0G07tWu$Bs zDc&^3-)}Xv+DMFz9;aCv`%ROyDUAOtx?u4ve-5;=PiuH_uzGX45`Xlp@lC?XqR)Gp z$Ex2ZlXwEn_GXv$Uv?%;TPWf6b;6_7n$JZ%RKJyDRFgjf*3Uf-;!8+&c~_#Km`p=+ z;zK;cpY*HYUkOX?UJvZgNdZAU%q|(;5G^e`gsg6j^)Rn;O85uZSJfnMmB518)Uj3g zN69+Xz~e)NyMACdG3BJ4JY7%<+Jd}Al?`*i4i(3OGwMAziAH6kng2Y$qaEK>)}!YI zDKcJkyUo|Ry<+mKC%>kwW{-p6JSRo7{>( zs_Ja!J+UKLE%Q6t^z5-Ge7m8Xc2Zf&zUn9zsJ7Estdj1yFi+gWI6)bw!dLkTE#=y` z6_3lkBo?I^q6F{YAlRyzS|rQ$6e5TrayaE=uJCi(6ou7=37k@E9jY^R7by2q++@U!Sm2sX5_QfF|IU7iazn%#JUW1aR4NPLR+ z6C3gB7<-*UQV>90+Y!8ZQY$!Ey(IrjMN+YbjE38A+w2g&Z7b7w4)dy2xeB&h*ZK9- zQ-gJzJY}-`>B?*={T3_|b(yRe7`vDr|BzmtrmB@W893$QLOBCMC z=S*}<`tAyw8Pd4YvBJVHL+>ayP+{%AF#9=BMVhKz<@JF*a+(uG1AWYC#g(`M(O3Q-x9}#=x zEE_Cf^sahb;`YsA$KF({V|M>EVF;0K6Y?jo_Ab@&-rMgGz6*>M9xwF*(v{aA{oMPG z!qEuM)TOnJV~q@qw=|By|5502W6jKAoLi#g?kw2a@6(&gR}ayw>4i9&7$;MPr`mEm z(qBo&XpYWVLE1WR-m8~6ANbfl`vS)bb+9vuFiry0nUZ#U;f~M6Yhv#7NR>T<6!AEjTke7HDMvG{AZVLBw)XYzdpfI8tjZxD4ZqZfgb!*J(xawyPiR$kBdb zE2~D~O_HU=T6y?WpeO;U^CO7_L^PUMM1ySd0YTe#U-0@`;!nM5fCdAYbX5Se0ghYI z!$)`-bTDA-IPe+UQ25S#Oc4&92==4MLL>Y>?1Ybs&9w#vubwOH=GX|3md{364V5QV z@3r@8dV^-KwT+QzBXOU)ND5cK@ zH;rIsdxEDN&NfzL6t3x(fcsFrug*yDfUacpgi90rEuQaf()0MFW@ci- z^e^v)cd*R9Mk2?Fe%N4n-l0(K0DVzCb+P^1%{`HowgI1iQ{*{ZHw#=`%YEU$OpfeF z07o!aY)__<41}>R_$_&U@GBMfS)&cXz3ngiX+fDyx|OryOk8h64sIapuCiLiN}vE- zW~X3G^J40bfG`f&^{;MLQfNuuJM&USGuj~J+@LJ&_~rngSvon3EnYASv`2l^P;11m zB5?b&aYTJS74`ZGZwb?N>~g{`@0(xl)m+?f;)AJquAU|ZCna*5e#~qc{Ni3d**v-L zkKEjZl*iDB#(!H4T{;$VMlDt4VgIAxMy1>rW&V@qk+9sob~OO|#e&Zh7!jSjBoe`IYi`g!8opaPFeHrASyu#DQQOBMs%jLUqx|(+n$YA16F&XuNr0hFOFz44aVSC8y4Ne1IYva1w(AVTZ+ZU+`|1bEfQG9oQiEw{nX?wZoD=L_FUG*u?MR;m9h%=yGYe9fnQJ4xoyj)iT9JKgzy<+z~e&Ip;GfjCy$ju#_idh3l| zPH6Vz?;oH1M0~P&+V{ha#GTw#eG}V4Mw9*OX;vnGX&}L!V}Oc*?7=tkVm9AtW*;9> zj1taJx}jY8V$oMOi8Gdjf3%WBBeHFMzZUn7+rF_CJk-;YMD`=}rCuQ&`-*~T6ka2l zU8~jfscfG3M0Di0)9mgNW}k@kp>P&n%oV8k*4rg#p|KtluRMjk#~C}cA8Roz`6ZlG zNnFLhom8+}`1E2T;P3_{w3kfh5owytUNBC)Z{^CRS}>UENPoko@y?oD(6tscK`c7! zDzOwIpa71`_W`u%_j=oRP))kNJrIk&N@}%VLC4r3NSPRBUhoklTn~5JGrcD(QJyL2 z)?|_bNZ2zpcKqbbx8Da%ULt7zN3nxN91f{k{%c$JK%lFMvwf*C7fV|6R+(A0mzLvg zhj}Lo$&oNY(oFa*k_43VKZ+=Ovh=TU4@b=G>$;fv;K*OC@jNze^IVjQwa@S2Jvu%H zo{jLgpua=^z9GR^;7R8h9`w1x86a@zwPHM?a@!!gDG1zq$UVyw_toJxP@} zKcuX+={2oZj6~K*;Int|9+^myq_Jubvm}(CBX3F8`P9*q;oj9RC-tc>2u86nE;*Ou z`ESbJ!`oDWM33bdr6sctFd%}=)0SS^-@zNj$F8)VFG-$fw;ghYKKa{WTme=768{&61F}5GZ-QQ?sF|wlK zGz%ed6HoD0OOCr;%k%(e#r3acO>%Uf>rU=<{A#(swi$>0ws&jZ`r)4Soy--7TG%Y5 z;a#PCyRJ~C$PR8_Kupren_B@jEupww9gb3gPCrGXIh&~;1L@JpzrHCxO&W7&rD6@v zxH(YHnrd7MqGl_~sQB*YQ0;3AZV~UbAdoi_5!|nVJd6w(3PQhCMqR_&wXdZb2#CoJBr~6M7@(#}f+B702Td6?`iG{0~4&d}K5epM5 zc&7p;m4`8<^~?Z-zrWGYTLb>$%A^0X{1ulbPU++^`T=U!8ui6AtIpOB=x&(PJgamX z5Wq~*UcRwfA1gV~;%I7z?EWLpI?$o{!Mtzp?M)umZ5xNv2vOby0il^}R$&2sr3qlC z{7Y_@1X&Z%yr2>7{B~S>mDMj@h`yTstId<$p?Qa)WlOA1iIhyq@<&%ckx-(Z9o9w9 z(cun+eb-my`M!;6(yduYe0HY@nn!T3?_{%A+Fu40r1u{c-Lwq(*Y!qViu;O)mmfTn z9wl>J7Y@@dlrAhGy82cVG@`&AMwJrUbE&$Qh3-itm z%}uO&I;u;U7o{2642#E{+z9ohsUjwoQVPWY$n;>!guVEQJ3?pcF9ht5y9V(1rv#W_ z;+h!fGkIfHDb7AdOBP>1$ly=>w{DtI-_8G}U`d(fv2K`>Y2Fa(f?b!=pQoo^DzLpu z`}mm_O8N<3=dDJxYrKwc$EU4hAQmKZY;s!RudPoXv*zdepDX%cOomzpCw(xJ|r*{5Uym z=@#V!2yI~_(s4&D>KWkf!_=D7uv_ytc;hQL`(m!w4j^)nGP!d+kSkO(A?DD9T^oG* zE27$kHS7icG_2-!jIR2>EL2?P&n*Mgbc&Bcl8cFwC%w69Q@McKy0 z#BJ_OFlW0Id&y9+Fe;r5+sU$!bf;%Hj+%x0A#t5>*Ny^cBelL)h%$e+&p?$eM+Z~| z$!}69S7Dq$=l(VEki90uVjE~sw3(SHMJf|gUg5M-@2=eY@*`Z`_gy20hK8o@FR1Bq zXNtiR@uQeM5BD4D+?^AtHp)6V^L&(9QBw6r)Zbiyl%K3M?LbdwXVTB zJ;8np!BLVy_nyZ=blp40Y-<=D5jqM*beyl1mboOvC@)a-33?}1zC3=( ztYud}N!2N3#JXoQkBjKZ&iW^(ZxgwF0eoFuMKywd^cvg6DLGhK@JyCrOVL-gx(LdN zwc?)tTaGXz43-pgoN51ooCdYhUK0Qct&3?ahcPz-LP#D?-|`BVOX&?-oYZRSYoY{^ zC$VyVD|b95{^&Z_hzBb&HGEgJEiNI>nA6HJZXOKO&NLJ#`M*~sa2~0*_Nr=9Hd8V1 zC*ylHdIp*V{pM2!z*@7z0SrSkiQ9dP$rHcjLRbGE)k4vs2dA#S%cOQd)&!_6>FKyi&c58p z@TzWe!pMH{!Y`K6#hFt6^FbL2UAKbHs+n1yJ?;4B%jZ204{nrIEk(39s~Hnhe>>wF z_58d7ueMHH8lD!?9kKRqwo<}TbS}TaAbd>CErV`8~sr#xANY#oQ(X`YcKO8 z4n94Vr2j^wrSyy!8qfgpcBk0_-SMW6?w3dmgugDIx3c28*CTM;_r=8kuN|S-Ule+N z^YS-L@E7#=g*j0L=k#ctd0p7i2OLyhxg%732lb6cVxg23rmx0){l(DRLmU`{$W5P6}y9a#7i_-JE8#9ewqe@ zyU?=j7sM1)PnBE-7N%8NPeRLXO=NOj%glelavC5G8t7+EUF#Dy>g=`9EhUc}pZThe z5#NKO`sJRYgjumE$eq~MnT-T!Nv;-=!?E}9N_E9C}ns5C6>CT_kpkKtHyVo z*=s?+@N)J=VbpukzFDl4(}uvT@hKPsrfRs#IDBpya;>9OIm}-7c&1K3_@L*Cyf>y^ zLFV9XV$WqtQi^A_VxdwW^Z%tX4!(HPfpcnk8CzM9R z!6eQ=XaGZfX;NQy%lpaUn>eBEVZX`!tw7HKLQ&a$!&2eKh53Msto&RU$7MFjBcD9b zB8hKCMk%WU!rjs=_M{UFbUw0cd`Uq($;=&`P4G$#9W(n3lTUSnA@ZtZ=O!y4teYve z!n45lZef-NU1!R`rN+4cjNS-I+u#4P#^+?paHj+pCQ;>q`LT77)bqB*LgWS~6dC-p zu9EoUQAMN8iv`_ry@uJVKsZmj69Uqvwp_b4Qp=s;!2L3DZrbuR5M9q>#%7fDIFH}R z*+#uCNMv#ic{HTiSY?I6LQM7VZT|dZaB)^pT`I0Q((-6)sFEW+yje;fp6za=xoz6? zB7ET_#oP`cZRva#fk+xUMu`*XcG4WXOP~9dn~YuQK2Y41-`%zuSDBjgQ+4G3o|AIv zAF<^NV5{9OUDBh$fgx&LMg}r}_p;p}C+_h|1$CeOl$fNrwXQ8P{#7HGp8+{ZO1v)F zE78l`3FTxHFz&H1e1u*3oo}&atI4yAi1i_I==m6st3<5YfYG^yHx{7CA#H9g(bwK~ zD)`Zxu95AS8~4>1mXNS9{JT5QTK(G5dOm+jMgyFkizUgsl)_7N8OAi`ReTLec;F%U z;MK*-Bmn;ct5v;!AL_aU#}w;*{Fh@)Ds6nHcHHfSaZN33dY+AF@1fXL)8C$b)lgG} z!tQjqCf$mri$GK7zfd6-v-{?P2@~xKKjWo`*f+r~3T7)09w9n{xAHTXdzf;I&SnPJ zm7Nd%Id7C+7sFGc7RxGvE?gKk*Kv1a01^8+K?0p=Pp%I9X-{|%SM-gEFi92r*~BRg z@CDzygkLrxx%t*pmy_Dl^rq^@Pit)B-$VLa7a8K)Fpnvyhza(5 zoMc0|wcquC(hxMx?AQI36#K_Fdjm^*MT3gEoXRZdQ541<(XNDZJ5mYgIrE*2{nPK= z!F@)Gv#nXD*f!Rje)jRNzL+tMU8R35ClWRN{gig1sY!$T&8kloxg?06mZeDGW=?LS zuH#xGg(Sc0gSgkC3W%o&W(&mPY(Jf`2YDtq3;l5XWmqN!vs1CS*!8Oy&DUmUlaaCSm@f-#biAg_0|aK z*B$mw|62C5yh4q+;jfr-@2!;>3~e4&Z!4S3pjf)M=YnHBq$NHJo%BkY<3w-4u3mWZ zF~9uzglbps+^;~beJ`~ow>VeT2hgp4>)Kd_yqfu<5-qd4(b1AOc!WCLJ%!D1sLp-_ z8wK!Vxs&o+GJg|Y1b^3BArHE`lZ^6q4ZVtPR})$#RR=nf@`(z}z+`mK+s_;& z>MA;y^2q*0J{OG?wwYS(vcT;KLA8ZdoZ3XYPE^0d&z`Qy9k#TWL{m}-8)N@95?W8! z%Ch*qCq>bVoJo>Ivyuu1-{JgmZC|)mTCy9UOD4`}#6A|&l@>@N(LH0jbN9!o$`ygw zh-d~x8)a@+4KeOS@mJ(;CZCgJ53GK>eETpkyZh))HrrAye%5zT_zRIOiD0tZX}8Os zjLg^bvU4g8N2iA!jGgbk_ZVA_1s^fCF5sWp7@x#mW{SgwoZ+%I;#|#0 z%=R-0pCko-@?t$4fsVzr`up<*Uz?Yb&ee%f@lBCMN-3jxd?et#p#LrXj)O}A>v!E6 ze^KnZnSo&oU1?ybudYL8b)aGCZ6_8bw_VYkSHqAqS>*SnXH;t?B=yRw8e;lVdg-ff z??0kh+LAY?w#pcNuPD6?ySa#`r>P&Ja`u%VR(8oGF>F!{FwDe}$4;~MS+mQ?SCiEg z`^2l{f1tZC@nWd2!HtcEmBhKWg3VJ~;X3ojU)f&X4embnRsHas7gnSp6}e=Q$3d@W zlyQ7`pz=|}#0Xlir#V-h7$kNPgvo+|WY00-M}_%WBip7J$sNvp#5wz8dH?(x8i;HtV$XIeNNfx!zG5qA)hA0Rw?K&J@_FvLv6E z3Zue}U}pHep9#>ErJC5ncO@KwcH&6ctoW0t?gk^O zYxnwl75bR3*~e7_KcHp=mhM(iI+F7mq71=fUwV8*)M&juAm?fIk5m?IJNl}%>-L_M zqz`Q>`8EMu6mVL~ux?D0Jid(h?2GmOSnBhXVC^aW+Hu{%EfnN$$&N_<>U-lQ(gHjpB~% z+I_CvCaH_ljEPk+3hjUYd_7r#ms>&upwO4y9~ow{XCr*PR^>J}U(4-x-e?e?mwpMn zTD?#W4ELrFoqvqqX<;4p*+q1*uz1*j-KZqhgmjy;t%LtOXQ(W1Gkvx4jcT<;^+qD@ znyzoSlU?L=?z5@G_GQoY%ir|tmfR07GfGM=n+6H z=)wfR?2HwUOKw+MhyvUp&oDXI9@sb5STHI)Yt`gY5*PkPX_2I?-r%eow9zhQ$MQV! zW3i9F7ph;#ck808Ag63CkD4h)Gcp3_&(Y&$HH^I1A4`bVanjcirEkXtt7LomgS^R01^=E5xN=nNk65XLv6&hUUR*3;{>|8%y%P^V`k^dQ z;GB)5jlMQw*fC?*3i7u@P_xN0=x#W*kBy5XwpdVp^b#b~QxF{n+azVXct1yIZ zOCP@D@@(-ECkbsWi&}DPTc9Drg~O|eoA?hZM(Pq#jU55MA5A@GZ52QpQr4*%mw}Pz zfYwV(%Fg)+%srThvzxs{0qk1ZkG;<@OXQCqj!G|rSL(=T^T zn43~#DvEb}%0jwrZdh|+bdpI+^Fn=({vF*(P{$Yx}7jpTCgG1F1 z77!Q7X7k#a5pmy13*X3%21aR9G|iE?Xj5ZMz!q>mK~BWth&zHzpdIhC%mdP~cE>gt;e+^4PD=7)GEl+HxiEhuU@pWZ920$g0Z!GVhI$jez^IOjZ*0DVF>NN8c!tKM@aPoo8_!JZxnxi{>=Q` zivhq+%AFet%E8feKiB8$j&C+CuoBgqMd!3JFvdv&>O~YpJAj)jfV(e)$+nDHd=0Bd z=0i~5UwBYf=l-vzdpPSRT`*aOwjl1C+>NVpg38@*9-5B#5Sl(M2 z#rw}MT@X~gCvMy>eHUm1H3q5H1lTlYCCTn#qI|5us$s;{2 z7%GG%Jm-W!VycWc`#3x+$@m|YisYUbsVJrG4-<6N1PwKv8xCq~Cugv`{wxNz$;71o z=qLuJ#P;3kK&}&q4T!F5$Jrlyf%0%85vR6@Hl?tSyRk$85juK57jRKAntE#jM#>h=H9B^ zG(l_{3X=q_!FhUZzTsyWeq@JqUxt$XMM>`-J}JuA*X$6fmN+ncnwT;X)64WiX}rZ8L1L{^8oOU7SI4t(2(5O_(444ATM886C8W+P^-;6c8UnpOE;} zW;h$rWISNKT){lBWt!hfrcHbqT;Y@7cKK6H7{ZX{yZ%!|iV_ltj;)~sEvi@6bg(r0 zd|ly`?$O`>aV+0W6G$c4+l+GyTQQ2vBJC}xARc8&j@eh@S>pKdl?`{|XQ+&o>2dWi zFz1P!9{U0_mIJWe{gnQq2PK5I$ljYb{3|60pa0^PivHOrR!b7jV%=OD^htj(q6PEg886Cv!lxg>eJXzRXy zq6BWWL$dKMiFqbYhnUhu=RuPWb`%mycYo%sFhye+`6ChI@KXTevpY1S3ZH2L^r)_WTPG>l%nZu)N{Yu$vgZA9l|d+ z!(jJ4wX?=@ext@Vy@6PPu6gzcQqFL`$?A(Jdm%AVAGYk&2laP2f_H5YZ9w7^baz~6 zo_{syE4Q!~DnnOD7j}AUhvuLA$`SYHz{x15iC6nYski-aB-HiyzQYy~bsVBzen?X{ zKmBnkr#IKC+Du8&AeF(}Jyuk~l8J@113~`3DNjI4Roun0Ms3m zrA10)&d{X&;xV2x-HC7vLUEEK`r7zrBCq1pV zDQO(C&VYLZu4#kaPLe)hz>@nhGdI|@yEQzLcp2im)s5NjU?CV}t%Het+cj#kKdHEu z)$E0G^eXC0vXJdWS1Ijth!<`yCK~uh&R})VcsEOUOO#{r8e%&B@w~Mx)g8Gk1pAp< zdkaq*2A7rM^QTVJ{l_iU_g=xlWaeX^l&;2Yrx6CXM@F;*w6s_>9f5kF# z{vTDn)zFb9cyT}UX$)Aet}e~#)cU2tkJV7FWx)Cb`jxU2e)vDC@^>+1IcZw$d5g0^ z>NFAxkHUzWzzisDuww#$xcV(7#%OO~E<6%OL!2wNh0;vmF7#R=9nO54pppgDcU8V@ zX4RaL49aUGvTb1U6QV1Zf0u>wpOqmj1?vDD<4u*dehjcbZ`&iw-f<@tZKYk-MJCT5 zbWiRyBqi9CS0&lUKF&4l-l0!5cXa9%T0H*yykLWyKha>UOcdhXRZ|`-$Ne$b;*^uy z?>dL(fFHw@k9?`=J?wU(Me#Gtz(KN8js?TeYc=XYuM8jBXzF;2$=msuqRVKVEPKZ_ zKk9%wTMcO0U=A`9i8n{H*boo9xvw-e#%j5eB5J-J%@@6Y^D%HW4#j-v7H6ttzzNb= z5c#k=*f!;=Y&HtQo28GBwLFCCJ2>SS`ntcNPqi}-9$F?9W5_h*L5i@X2GLD}pHD?O zDXRYd*XxNY(tdoIYn;p=BM37^3DvRtkmTlVYxv7}`(GM<59I{@7GtoXq+3n(U21 zd4tc$%K1NpsKwJ5Wry6qZkLIRS|aUtr==s0H%J-V&fD>f`xl zX`-cpa`s7Cld76rNw`etzjJg|LTo=uXu`&44lVW9+se77kUSW}6gVC{@B69frUEcx z(|YH0xHQ{Vw&(3Z(;*L6A?I8BD#lhSPi<5Q{3fDJ&J8X?62cEf*%Q{ot2q$t(%U)a zvu(VSavucZS+69`V)}fs!R7VGML-7N;@7l@E>RA>h9+YFk_zoo%N*Z317a+O zOzt0@uQFRuhtECixRUgqiWOHMdR;TsYu>P-CacsLJky}^3K=SO$3e?WGx`2_?~EH? z^McwE;2QjI0BAP~zkd=+Sb_6JbqM0onzfVCZPIrA6O}d=_I!24x~rek{rk(zGR*q% z+07%UX*EQq=miXP*OG#obiT5sTo z{k_)NO<(9$?%o^(4<2cmuD?EH;TleE^R){bYDNIprwS&!2Xjms&yl0krp*7^yOZ`3 zO!vXUOv*ZWcr3<)+(4h`dc$rZRsqFBScr6WAc#z~yh^T^?yq_D4Sx9Wa%~7@xNAGS z3}VIRm3=UY>5C7^FJC@4ONMC@UZt%dUE=KyA3YSr%01x{?v0u>ZZS~%MQmFNG~ZJe zD+Y5>hJWwXc_20|U?~aFJ?-A1W{1rb33JRUGHs6NupPPTMlvh_4`YY?{AC7`0QM}bD&J7P6>Opr=$eck2CTZ|JH$kLQvew+cUsNk@#u(aNd6&py9|61N`b6fP zhq?XUHNJDgxrn6W1Nj*7lUL))y2~{9vR@oi#55*3cKdqK%e<)5Y-`U^agaF2mqDp+ zUy?W;2jRz_oT|qvi8DhTWVf&%w6x&x0Za?n+lohiY9lfqZR;dcLxhy{h7ud0&vFtpvijN-IJ0`rJ z=0?i82Y74<@1Uy+hO0M4DQi}^?GGkt?!`_1``a|A1Lw;%`p)l_LELnHDyQ{RE1H_2LT6X*nZ08_!ET4QLR50j+)i;3uN zs~Tb9-|D$_pa1ogEZye^x+EB8l3j%ImO>2X4irA#O}vnT{<1I|uyK7ZxZk*2Q9$yi zC6p!N9QwJGI{Lv6!vn1ZA$zNm*Pi%x)fgzO6mAYNOP((6>{l5O$ju)Eh>EVsGCD~+ zv%k;hc+=3?0V=z{0^7J{2>EU38!jv-0V$Ev&HVWHyV9F^F_KWe$e{U~Y(3i+G5rK<4pb#vvy+%H!i+@1RH5aH!k2(&y#u#o?8-^EK!%|n%R2+PjCEr5`AIw>=B zOg7Tk)4skzQgfJQHO8c);$}ucP}Gqe>Hf@OcB1=YWPFZWBS~3+~A$SFFq?L*klJ%^12BuGCg=Qpw3Kor~hV zmJA{g_CwcZp=L{%HjZ6@UcFJAhgYCWf{PLTcWvo#v-se}gwA_6+hda^T>uQ#`!t)& zuke`}viKZf*A$mf_m{5=if*+3j%D(ua=-5JAf+L;*lW#Z-o{!_K*avr;n80>MGM6( zC7FIQ;==VfGvPlfxwWBDPgopn@~B4##;hdgG;wcXrPk(-@IE`qH^n4jmT1snDH_uR zVQw-a##jY^x^b`!a~!2|SA28Qu-+w*Ead}UY6KXdSLoI5!?W}_eAymlxxp$m+Zzy= zATd%1_9bAG+6x^YK0H1y+PZmUs(f;4Kww_cL1X6ub?Zd$!l}9zONx9^sGabj<3B1! z7hhEyWR!G}s_lqa*ct;-x*oG+Tb;%u`9bSEdd0USNUdYw(Djo@#9}U4Z_fRT zE3#JQ_SFEdkrpgBO-4`UD>i2M+h_acwnF&TuI^3x^VS>Nrv(&y0i8Z<5T-XExfnll zl8HA>T-=XL6H70$(dt$LFSm$4-qgOv)u{_stb6;cmpV`Q@Zx0WGPEXc3e_Eudp>8q z1;0C4I%^5~o5+_F%wccLX{Y>FmIk^%xeJ`pY`+6JHejFX;Psr+ueIf?)w;(c#i8F?WBC5h-9G;jqOWJ=ZZc^U?vBH0 z{GRF;&9S3K3jik2Z?jEh9_G@{8~_EnhQ0Hj^m?bvu7nf)Ou+PE$#Q%{NggoShsuqv zrYk`=_?ri{`^lpL@o^<-Y(Z$N(8&W7@(2v7fnIHwarcXtnX=l{$>%8~x;uP8dskZX(0G*i-8@O4cUFZyHjgob-m<*S|ccFH82$q=6v~HvW#OIgA z595l}+ zA`)3A7KKl2f;vi#jR-Fp=C_g?^uu{ay?^kb zfeF7(xgfAoC*ospA)5_bx@uxDCEyJUk8wc!epXwNZtN!?@Z`g`AU)`#{0 z6j^B&b~817hno&RdjVMr8RP%T83VcFRLBWlb9iurs%<9vFhux3Zh3N-Yl)s{{2vvk zD(j}-y^R3ZI)dD*F_DKKZmTps#?i}kT9YcS-<;E6x=Rbla3@2Cq9dbxqZ((xTP?dH z@YGC)DYv3NY20XXTC+?`@xHPznHGW7AGeZdO0%n?U0gDHZ$MVEH6YNiJ;1G%J{;;V zVCms>q}1TH*?u=gM0<@Q;l7PW7^YiP5v6>}TmZML-6%m+U1O7X=6U$+qq{`HqP=<) z&iL*;^J!na0^*>ErV);SFv^{Yc-IVA#)Oe6|CIJ(f=No`?_q%|T~K)k&dM(y=Xfus zs7=RByIoi^JN8W>AdlknF;bTBnKv=P%y+Jo85M-M+iWo44wEvwk)*AwuC2K@NziOt zU`*+`%&$%cw3pk>I$%~O8hd}oZt{maO_;A4CGgxSiG)53#nuC&MydhTu3!1b=PDPz zLEYxj#L1t7*E};;PPl7MEWF?R8Qp_BXUG;TIRI8b!OOOS_^e#KaHq$18gGJjPDO{X zLsJ%Fymu=UD z1NV_n#OnG+pufKJ@${44TpMBjlLB)dDKLVpF@f+<&Mg zGXeH%3gXt0XjF}6{C4%( zYhlm!S}pxjIr)v(DI!uLh802aC}}gkw3xUo#=Sw+k@;NS*gG&h6PSUhU^-ukQ(M@6K z6KnDtUN~*^^+fZDlxf{^%)hi!#hTO9OAGG^vJqm<2l$@Q=?HdV7g0W)ttX8h7d{+? zc|d=#4<`4IWu5`D1}4QhvuF=~h@naqPur0zLSQ|)wuTDx-D6R0ZiDasv1YUsSqi(a z!H1RuKFuVXQC;#9@K8=hI{nQkWpo2`SZx?U{5xj-G((*g>N|YK@Ylbr2JdI{&!Z-eU1fZ@7L(d-q61xVCou1Do4X9{rWzR4T|W%yO?15&rq zl|!WaVBGqy)zZX-vP90*%oIjgjjkKzb0X6c&jG$|kNx|^^h|eAY`OaWbLyQrfs?D3 zjsIH*nNC2^bQEsZ+FpT1HpirVeN>;ox^CCNeE$iyG3VoF-aB}$E@=LaAmQSqoEV3n zeEtv4PPARO{s*Hjnw_6^F+KOGb`Sc!yq1i$5O|VkCj_gRHM^m8jT+=Ya=0u;xOFUQ zq+1hZ%QwqQn??uH?C0eeXHT^U(T}!3!@?vZH^po#q1}!xLEHM$Y)|@YV!6 z{=)64^dqVcyjKo2p6**-rHSD$}g0d%@@y$aUoTSc@UF z2_aN2l24l$4-(!^Q8WlEtL=##u%dlWBfMd-3Ccy|O#s+9N`l?yyCprI<+=_ZVnCXH zrjK9V&*}q2^@^W*GGt~JR$R^6#yXck-v>Tq#F>SZ9n)#(_RA0S3wsv9?NygYTIjiA zOTQwkQQ*1x8Gst6@)NWpAhq#bjv8NmeXRUS%pVOC?2U7>bX|Z=#+U4#ItRm3cLf>M zL|3e4NGasQyY*lFj}Ie13BKrlUQdA=%iFeosik`_(qvHI`Z=Fs4x{gXLKw7{s5yM% zxOJN|bd-Aj%goN!mtO&Uy}(RG?aoT^zXvC_il>EsbX^I3Tt&)1tXls4>CK6>7N!?p z#d@j$Z-MCsEDVw#B*vm+)ZH#Bt%w2ll`fwcIdN(ZF!mkZmml2!4$DR!UJ6z=-HFVT zai$gTmLLvG2Q%&2bnrFUp`^pI55~V2W!yJK%JdrU$qRMH)Jn`W>oK=|ecD&TK}-9S z+0b61D~S@uoi+QK=IlWg!1&)<7WR9!!?f$f0#ej&d9@W+R1v-nQ7k8vZhp zMk(iq*lp>z_kK~!3FRO0EbX_s%@_?WbwUK9P}LVo;6Mv@4)i_EAjy&l2Ei-#JRfKd zFgFwlqZ^f^ZGc8p_KV+<2B_82AtmO-cODeFCH^!SnKbkR0Ra*P=1~_+B)w6XQt`Ga z`*>_=URj|-q2&ww*GDQ@vb@>r)Er;dQOry1biaFXQGB3=I>V%6fV=|t@d=8=ggo)N z{4I@O+Ef)H#uQjVM|IPfMs{l;vTF(=*;A?azVyB9>wM(t>#4xsV)t|k#zVQ3s`q&; zj{B=cAug;6U-kVT28|!PHb(?px!jybgW?29tkIaSFr#*)_I{2H7f2aA{qkw5DdZ9pp z`PU+V#Q+Cn+hPwJb^kcQr^5Vn_$MfjR;Qp*Pjg_1Eh4e4ui@f#KF(pK;Ob6S_XC zEBh|c9ee&OiBCT>v3r+v$6@a5D)ju;VCJ|mP~v^*Wj0GA<-N?rTeVJ4~YojLo#$kr8x<2GMEAg(F2oH#xsIyHo~UfI0Bp_68gnw!VXBJh7OxE`szAg6Mkn zUJe}CR|DsKPtQJGwUq8*eAM>W4m$A&@U={;N0Kp%l-6z8#x<5yk%*X!TN`Zb`to4u zLj;>rxaB*{s}#Wcrh0~G5WZulC_N`NW&{;fV4;0U5qG}x!G~&dO7WHqXqr$DT!SM< z;^=H^lklgn$9YUH-rTzkWBYT3E0mUc>|O_#!rwQ3lNJ3Gn-!kEV-pVBx&VLI+t3Nb zE%@YZNW!A%_e#e^R?%vJ>w?aQ@_rw@DQ5M|uAb_iKUtiRQ{}Wwd_s1d(>PR#o!CMs z9vMU;sx%{2naKSCVl`!Lxm}QwYP00_+Z*d?(%)#GKNc5E@>W=Jtn(b1@I+4toIo2q9g2+~`9a*zcF;BkLe<;SmhI%$kdIu(OXp(y;4n~+S$9pc? z8sWg9Z{Web;dk8D@jaD-LQ*qX&$+YnYh=W+!eI_}Y|+tX?1}mV+ojJ<4#196h-jFo z$Ks`tZeRgc-;1;O)WLQ@7)xPFzndZLC|%+Y5(QDu;hs^5?>dMgg|=R#*kD)?`?{)| z4dX!{9w#+&df2R!7~}NP+kto^N(SS$#{orvelw_&2if2!5(zXCj^ol(meJM(1ADgn zJ&b%zgc`Krs!r#O?l+X(%UadDs9g{Hz_AGdkyzD^;HPsfz5-{jKk zBp5s;ePKGfWHKt6T}OWdJ6I4bIh&F2fcJc@VdxX(Hk^pV@8h`QzR0L>ZyVW&J@;^P zW^N6$^%fdVMl@G5WQZ$Jr=20x#^d*G=_pUn30l{-Pz8)GRo0^zaK0WTnfP3*#9H?l zrha%y$N4ZDiLN>PrtmPpEFInrcpzgyL+(;l94~z|yfUUNTs$@HJffHX@<7?>+YrZ! zL+@F+0Z$ebRp5$Vtham+n;SA?GAu3~$hwz+bF1ha)!O(K@m)JHiT7Y?=xP)4#DbW4 zA#3S`W`qgj#95$+n)v-rQO_Aeu`KS;!5Z@g53SWh8#6Zfpx|J;woQdgRa3&KkLXMTbpRqxytH$#)yOf<&CN=8J0b^yNd9FrbzI%X zpRN{gtCdmO20c}J_#kB^+>U7AxGZXCL#%=B;^-U=U)Hji?j77OUrl#^nP&2a%4g6k z8Xlt&oz2##U6i%YThpMUe}1|;Oo|Ktj;~BG)WObKjUEFvRRu^A__%H$wtrD(1uK>U z{_R9&Z5ThgR9Jldi-lK0y+UK)q4ORDM zz%2K>n50T}W`0N1Kr{x0vy}+xuA$8v9PjDY+UDdsOldNSW(5Z zvKv0pdv?(*?PW|X0?OY4D`!Yr+*PQWN<^4j1ZQcs4Lt~MbEdP`>7t`m|jr3II-+fFHW>u05`j6`T_^eK} z3c$K~o4)_?5Qo@k-#2Ji(1Sej$ZHI{VF#_(djwRE&(^<;mBxHtaGNCGJjviN)V+1) zi{1!=EX6TG)nRHUGNTX&QB~&lo%rwEH2cK%Qm!r6h#E&A^Y5kxo4~F@9+AivN00Ki2&AR3y6ew4Q~c0QC-zSQZo5;|?(wX; z(hK`DtV|ofq-W8hI~6T0bImVu|9J=zVa0--i1R4X_T_z$P$i{(Z{fRmV@SsuZ|ETH zsK9e#UG`SF$fPmmwjPH}(}=-pXXzMS{(c669@P0Ji-y?yd;8=;J7hA-4=ga96Dudl zv%Km}jgn`TKU&T>P8=grlW$*NbRtpX+^$SnF7!g{sOB0~r|FvFRx!Nq)u{s_G~C@K zximj!iJ$O~J}|%hqfE^yQLJ{iejwX*N25XqgKu3qVxB;>naLnOu$1n=$+S>caTMW~8i%_QI9? z&-UTOt{7wMHg|yxP?aVyaLnRO_XD7??D)60K1M;sEtfIMqCa5_MBPOo^|obldX7t$ ze8rzJ85OaU$0=!FGjH))Y_QAM7G7KVtn7mdZ+Q$~SYS~Vva+z+<`!*87#yUOcn%AG zrbDXmPRn~CocbMV2&_y~CjxZYs45PP098!5HT@q&XC2n`+eUE^5Cs%P38^Wmq;xY8 zB}70`x|Ede9-D%IbO}gKq$MWZ8{M6fqmkZ-F<{&8{l5QlU0fr!=X*crKIe1%O0m+&dm8oS z`-=xmnx#`_C1xuIbC+T5$^`TEJ$k%=K?~7qt6seDvyDpgUw}bl; zxwy_Td+2AzAlTpab@gwOx)ESTMNrW$Yo>|6Xg3wj?p?dX0dt+*pqiMQ;dC#JB-|*; z1=iUCPzg0qC53v>qP2PyZFx5zANHF++J0QfS|*drO@#J+W5(mJLDy1lq3R8-`}vUG zEyR52L;r|g3m&X;$@(ofy;;Q%T;`5jLCJuQ_wt)SSJlrB@t^uMKgoW2#AIMk%!C6^ z1q6!+JYq9;coy7jQ4$w>Q$*?~y&rdGy?x#k@cJz8?rkKK%7APc#z>n1915?g#TER- zN4%Pm0x?>)E*%_vuJ=~I%kBP6u?8%rTB+}KQ-DnB_~m(&Pq3+zmXDXm7Q;~J=dSZd z?t-oOcw4_R<7G#kk@GXz0l#V^o97^DG_Qj^8LxXKkNL10D*AjBj^hJeP^pt< z_HS?1wLE^XWOMNy@pk8(e{Y)~CrjwdItM1rin8JUd9lyK$D|+NSd%d!Q=Q5#xP*0L zmdz|eM_N{3w?<(!40$`PCr5tVnLFVnu76TQec&fYH;Sxw&w?UKTX0>pD?ig4YUcHl z&7P{U-W+Y_h0nmkui=01wIdbBkMhiit*|4-flqHuVjqBF1F6Fx`aWxj2mVdFc1EtE zWrzGitsHT~sPJp?9mwrR0{?PXlN}xgO>x5TjtX_5F<2O!cq{#gOum>7f|)scTe_ZKt2++N(<9d;LFD zg!b59>-Jd|o1B5dq4X`|Bx&K+6WEZnT#WVMucQ_GS)RLi!|{(N0@Ef1QiTx_F$0*X zkMgs#Xc+#Up^`d|9y{8Zef`*JG@6sP65AVEQCk;&DA-)W6|Z~svIku&{)F>`n)|Dq z&rIyah_V0309R!tsQu`#?rVGjR;w3&i@>{1f1_VI8}zv*GksaZ&S5&@@3f{52ZdyT zQJ5Nc1@)F;h~zCW=|N@}LjcC4dN1C($;v=>EnxLc*LvN#+^Gps78sLIPB8i-;Ob5v z-ei!BmFPtBHQ=2}=1pS7dpxWBQ-K;x#Nix;5SJ8MdVAoYuTMy#z~t6UuFm1)gaLBU z9H?#}rvI~*{h?oCAaNxQQ)0fz%Oci+t&T!Syu?T(3*b2siOhSpEQ#&ZEb)(Y$(a6n zeFih=a{u*(hIRT~?l2v&uf$U6KNy}1^tH@;bgr{!nQIJ?iG|%}JDc7&haR$P`EEv_ z?R{RObdx`^ytUA}vOu&iSmJqs1T2(wjx?5YcT$wVnY5ca`Y~VI1DJn>LKHsZp7x+* z9e5h%C!Pr17$hsGKD8&@iRgF>METpLM9Nlm@js0B7#tbYsCj?5(STPdSI+s6hLMgl z#zMa?GH|J`nnf-yO_t%y>XSA#N9j#Dn}vpPkmz_{BTL$oR@f~yA}NX z!L^d*=No6|#a0m;_X08v5tYB2kOgjz+@_J{^v*PdpI05-Rl|~K3H3_#_q(vmX)D~; zr)$L?F}6XWMi?hwkL&vB=^DFgn&tEdH#o;xh9eoF??29`^9HM}j=zYdm0l-spZ%^| zs3>$U71g0H&~_88p2P|s^3V1PWNjDEPeCU2lFE=$9^r<=bw6-6E99Jh?FmnVZCekS;^S^TN{gsB zqI1k{sSPZ>{IzP2YJ$~l|CvHJ>eN0 zv)oahslRuXvg!q$Jh+;wRt_v0z$P1K3yF&NBt#~Pcqo>e{9V{I#t-NI{-#SDMZG$4 z`-T*&A3HUTF5!6@#W-lQ&j05!!$3qKhY?HrcG7N^5bWk};AF1eY4SboM$s?cMg?T^ zcQRd1!C~-922?EC7*o;cCaFmS>{%#}mu0Ll``W#vYeQaV#fpaOSH;MC1U;;Nccu*P zl)8QXzGHKKYjcxet2M{K6Y5ExllCHi{x&99mEnHxS}D5rBBSVAO@ksu-XAlm?yi;A z#l{huV&mIEH{_26h19<78a9!dK`AKAoA1(a79lC8;Lw9KH(A=fIzEbHrP5GLQRMm* z!Kc{F6C~DaPMh?Xuv+ z=LS0kaGM2ZzK*k}2;=!fX+43mSe^a${Sli(0crgh%5~YaorM$xH|?EOmIAB!+i<*| zuYB(O@1|c1og(Tp#TEDJXKXn4ri;!8XJa&{WNhDib+v2JI{#2L23hFG#*z;1&o&6{Ff+sJ zmDV^HB>SQHr*+bQWL@BNaJFwoWbeVve2sh%K2h3ZG2u20zGsdhGH(Uomx2+*Ucyh$ zbBO>rd5cZNrrW_n$h8;<($Si5Wl&cfgJx>svp@#8M~cn4hmVNrSX3sSxv+&sfa z2@5*^CkD43_BP4?KrqDE`7acjiEg{qUr-T>!_j_Q@|UlmwXoC0*T#7#isaZwrdf`L z4<76c+`Cw-m115Wx5(sO80tA)`xcJ8ieVQm=JzxI%-` zEamP0$Qt7bf|{0TmxuB*I+nQq$bj8$9OO;%Ailc%@eZzY%ma{Dvagf?dXyNkRGCR- z?TBWV)wUeoX)ZEPx}4dyqc&Tn<*ni({4A;G_x@UJXCZzaDvXBlxhWlLN1BW}T&#bS4e1*`(ps>!Fav~RI^1WE!eW?bC z3&!bdYB7ItYa%2Ob<2>Cp}iK;NfUcR=1ddo`+Ew#O4y70p93D<;PHOQiLAwkU3ruG zNslpx-LS~{>FMq=;h*?eVZQo$-^7&ddG17;hZIvDUoKgRPk{$SF=-L>0Lg~$)pWas z@7U{3mG6mn@}!Q~tTXC5=1PCqcB?_~sY3V|tv$_44|Hu&#}dU`;M>dr>+z*)z1Nz; z(!2L#B2w8VMoVt@-kL6`2&t(lMDG0F|B%vZn!CE8gP+`2z}nr0HV&SEgG8g7)MeIPk1@G-L8 zd~ux%2?Jic3ZfQ)13<|kzMnuWl1r-Z$MZ1EQC8z_-hRS3;pfJ4RuXCwvDj*n8%ts0 zCG8ZqG5iE3aHm&yF5Yr&v>i=P(3m-JPTjp_a?EDD%wRko)hk{}6_W5OLFcC2Fr*Y% zfB*l{`O7~VSXfR%s0(-Gbwf!Yf1NWCXGsoe#yIIj!_A}*Kj}V?=-;O@-#%h6L1}kx zN*(&W6Chhr7y5RmLu7McWfta{Lr$h6j)Wv#d zD?{*@Vcvjhs-0_4)bW30(eOs%PjAMEBk=_){tCsJJ-lIoW8SD5$R`2w5*nN8H0ru{ z4CWg7MM8Yly|~`)TcxlPpN3GPQd*Dm&v}w6$DQumB)eEvBuDATISnsZkoxUNQ@N)7 z+$LoyZtcsPhqV80leYntmIq)R2@>P(@s6E;3RkYE2kvSg#b31MkBV!dEr!rz?_jAT zhGGjY;EF~F*#p{1(!prkb3GXMFyOtj$nCzvZl$P>c!V|-lZGq$*Fvc*? zB1+75am8r+^gO#CjAF8svc23Kd;KjRF@pwp^R<_=5YFU&=i$Z!E;iC=PH8pG!N!6gYkJsVoXahNc*MrJP}{JI+O4iW8UKQuZ)eq=sA2Q zAIPPo!KY(qh%Y=Z87U;-(Qqbf}9VOW&QWyQen< zJ|Uk#USzqLGscN^{7uGhRl5LOb_C6?f_c?8m(>!~iY!lVTmbiPYJ;X>WiMXt7va_|yBa(!FvqsaW z{01Xi>TKNjc1}QFIsGjH@Gkkf3DUq?cX~K^iZS-R37>2B28mv^d7vL=JTj4hv_d+J zA?v#HJvrIya?&jmj-zAs68)?FfL(_T`zktC*#~Uhq73gGt>2PZG_XZS`HY6na`isl zW%Vv^nlXOFK(Q||wqEC9IxB7YH$gO<@Dd=WmsWTW;#Nf6B?vrpAGTSPUS<4(sFXqk zo8z^R^|jMwnkT|MKRBvHU;7*oC;R%iLr0F&1e$#-!&${jL|!r~>o#z1ux43c{ z5%9mc#mCGab!hXq=TPB;iv2^}ZtNMd#&+W(3pL#*ggdzdnAz^+%YEwaa~bY$>kb~r z?vZHuv7OyuTAT1~oITbc&CP>S++s=7KA7iDY49Agbm`{}hFmyOyv++!zaI*mKX01w zx)?`Ys((ldC-{ApQnOtv(|~ym*YfQ+Nt>jlH6RQ|B2dy{V#b-e;zZxN4ns+g;s}sQCYr6V`6p!Up^rcg9=T3jEzVo9g0&Ru91M zWny8HuG|5?!k#~T?ibwA{pabmv;KvPFU30cDfykZHCDV4@uh0sr{B#W@fHP*VNDGu zYfF4GV2`mNtK2BV8w+2InPZZtrUOL!bcOQ_^qoTjy0sYxRk+p9tK-CCK;<9pKRN{8 zWcbMQnlb1@g;&hwdv}drLX!3mw-L2$$U(>PeLFWK8AsEkm7pZ7i!FJ!vN&~}uRn!; zu(mQM+fk?n=A&t_5#v+(go!R#mpx~zyw`8jWsV&tvqY&!A#KEy(BGW>mx6tT9$P3u zX~io3Gt1_3t+r^&c%Ox_mz}(=EY-5AS-!tXZ6j`qcd^3G0 zn!1)g#^SLPn`x%G^2i8a{uI25KMM@5@kc*;Fvwo@yLdDGbA|XaOhNWwgxy7n7^;=o&>yyiwi+Qy~IEfG9gE?wPeG8=TB+3{}DKlxq7|$b%qBY}o zX$6-mzX~eQbxR;pDL-uDLhdJK5Th^~XwEbo2$NHii{7gusAi06GmkkkNe>DS>BN59 zifq@=_ak&Abd4eZ%SCUGEVZ`2OYp)4>JHR5pqoWgsx09j*u_+^y4aWp zt5NRa`JP*&An;J1MwOzsz^iF-el|*KNlOpNQ&jLwEp0R}IPbJ(rFylkCk1+U>+*LA z`+qjEv!1eg+HktgNQLT(4P;(y3eA1@S=oT>iq3FM?M?KSp|Y!#$4o823i|>+rvD}* zUmt%aEWKH?u=n_MN&c2J%gbh$n|In2DpRc&6LaRI;MS|zuX>D9yot|^tP^<_HpR0_ z`VLG^Aja62dz9vSI0yd$U_cJP?cfU0U2Ax2gAQi>v$wf|oh>Hmw>YC~riQ11exuPO zCJQ%0EIl1i9w9iT_^!efpro1#V7K$HXfj{hO_7)!)0B0SV*O_=jO1Lx z+U+so&r`#j=)Pq;>c49y8D6k&^{lQlV{N+n;nwDXkii9sW_OXXjN~LATg8dNF3g{Ek_6LC^g;tdoqRc zv7JDW7RBd)zHzjmZQ8;0r}Tvzz1u{`#ju)*M zBJTc+%t)5d>>m zYO3+mc>&Rz^G<*GCeWQS?rg^^xXZVqu&M1%^es`lvk3L+0IQGdyKW9;qcK&X)>5>|DnBsmS&q^*w zB{7h*_8+LyB9|}w$`!)e5Phf0|LElfYPmHMRvvIxQ8F9=?mPeVF6`BxrfH=Ir=kSr z-mdv=fJNbYba%dI_Ev7Ui<5jWmmR1^@tKB7uU;w3I>Bj8SfW$DzRQws_xw^PYc^r$ zDY5#ESRd-&n{Bp{wVRY#A8g>Sh(f^Z7NSVy3}>m;HgI6otwk5a^(a2kvbBBa+s8h; zrGy8jF<)s@+a$&e3AQR*&36QT4)sbzzkkx(bLR86mpYm^kFOTEle6dUhWtn7Z?lb( z%3Uqz)V4di#r6Bwwwr}OR>HkUeQrNif>DsqY^ zBh<3}{{(ecsP{A1b>ld1H_a%@*%mI(bt8O_gHg~dc5rH4)^Qg{69fM?VtD4ugvLYJ$P>V=ma3!yH0Cub3aWsuf!>3wPIw_`tHlwz5;+d&ls1V}^<4yW`jG>rZCC7f<>kuku#F^bY3+ zG84QLRTq$JL9>>*d$g`566dbO4um{$(R}5sdYYDdB{|3Y(4Gkjm0Y12C)D*< z)R+cNTXj`A1jqvpAatN@+$8!Z=yE161cM2BN zGKgWi$hYSpr*Z%6=^2QdtZbXzKA`6}$^pboCIvdQkyVi(6Yee&h}geDKxy z0lUi^u`y0vv)N%zsd}T@{%IRF>%;_CHop%i7DQlZR>C3(Lb2AXhb4@y-PtV&&u_Lg zG6@OUos)=HyRelXO)25kSGtz|>PBOdN{)kF8D_JTyFkG@Z|1_5%4Ri1jq)0c-+WHW zRT1?XvOGZmhY|n^+W28@BnK=#`PnkBk)eo%ljAgLfN)^FUi;&z8*fVo%0GN53R0!5 zSSgfkvyok2T z{7#e~7Y%ZN9^8L*FpSnhO|N39p!wZ%l)ioA$*Dgx!|sV*#&^9fZDEe=jAQ$a-Zs!Zhn*`W!rO4CJ%s?i zvCgo76wTq*fiGutd&g%RfwLJ7=Q}}8q*xxmpseSG6kAE#zX*+TN)hiYYteuB4{`xP z^_yj7{5MHpj6i}WAHjhyw6|B7Wox}Qn%eW2AL!OmIl1#gMq(TP`Jgw`|D7cU2DG!{ z^2bPu>II8{JpkyWxW3b*8+qhfbNYGNDQW0LsZp3ERY!_nZt$?r~` zsgfkV`MuwhS4&chL};A8yl1I}X!?{xeoK-8K?EYPGCHrIMvVDC6Eqg+Ca$c+MnsWB zKKafw_P`Z&gdp<2P2Ngwgzz<}KD2$wZIf8IeqMQ;7OKdFH0}m${b}KBJNx5DF>D^ zcMNpNII3?l&Xm=Q3LxoUaQdVZ{TKysn$G2OE-(d9?g#hEPCcBt9~8A=+Kega$t?XQ z!o;Q@lPVae9iZE7Np8|z(eIh&Sa%HRb-$>BWqZ$WM`zn=7(CByFstXEXh5?L@@{1r zM{^Vv1iU@;@s??UVVP#TGr~i8JodGOr3D{=SWY<9g{Wnzqr!5)DfPD+`$wTp(Z;kp z34n5Lv^`T>_8(b5LC+A|hD(dzPIur`fpu2 zW4&bz8Js5{`o!>Kw`BdT|J~%d%D9+Si;4B@hW>kR#<^Gd=vdikO2jyqCA(uj1(cUbU|~2bgP{Nz3;m zRg>{C!Gh(pE#ggv2U=eDg9;7Cr%HNtddXvx*lLYHz$!0X_FJ2lt>sn#GRDyR|KkOq4jJHyc#iLAu`&7SGli zg8j_QEmz=COb^laaFfIz+w8&lUZ;!HJ4UcOXThr7$`8j)j?p7bb?v?=Lhb94`QUGi z!@Bnd7OktbPVC3ub)2jQM;`QY7r%YgXU4azVq#=pu#N?)ip2 zC}xwkjkZhImEU_^R~5<9{OTi_OYBo#D_t7; z4CokZZBHVAOf~H}a0CD4d^Xr_z^S8DQVVwx@>lIY-i9` zv6Lh1m802VkxZVB!I@#lK+PqT&0dFH++huTwb^ zt9r2O-7N!GULg`Ofvg0=EXscLTa#K@KmWnU5+MoqXr9E9(cquvo@8gG!dEi>JEx=K zd$>Oh$L~ssrGtvw@p!_5g;Pur3APcKGXg(B^b+Dx(a-)A#n&Nsh zC|poHKdHP(7~-}5aIpQ89$;~z=1bNdZug-G;MOI<8ET+tFZ#+*}?RM+^UU2Ke19Bf=Br1#+| z-1P~c$$OQv0}9PZfSs4zl{bC}AG;Pbm&c+Xtl+&{H0gsHkjE8FToStwcBu%AaxcF3 z%Gsa4gH^jF4az^km0@FN>W7RMcX?oE(f*7ZvE_6!h-(Dq0Qe46euihlo5@9O)Z?C} zn^^2SMM%Z_kmVJt)@$IuemFyvUAWsl{QTN;nL)b8=vG1E+9R`j|5$>oT2O2B`=c1q zj%DuH7KPRL2adj#)wVxlbL*i$=!KX1x2HbmwVJa+4*RtZZF{`)fK;36h&Oy8qB}W0x6FbYda;&WuTD-D>sPZ`lhE&Ka~gH8(wV3xajt zwVz=b^gU<+J%1On?A3MpbZYUF<|}9uZ;)HvHluPDiD%4P_r8U5vL2SRCGA$s+EcTz zm*6EDGJ=v*vBo*UY4+Os5NYJa!_#(W*sC_J?ElDU74Q6(?&U0Z{d~437{6&cb4cAY z3<3Dv(vwy_!H`2Z9=kn{(r+IR<7H7Xb?YOT5uJ7WDIdULu6~~YPxn!O&ygNGWCn-G=sM4u zd$N(3>taxk^)ZAA_BiAE#}9+Wr~B?yLPuGD*ALd43$^$RjXxn$`J~y~_rmC93|ttt zQC5cRM5;iK8MNro3D$HPz}BEIU+Xv?f+beqaVeQcPKG)jJ&QWdYAX~IwY-fPL?Qn+cOQn2yV4lFQJ;BFG}3h{XwLbIX%4Vtso;bUc`JZ1y&W)NJBX0Vi z8)59{jwCbloaBGf?25nJ=!IE1b;CZHs{wbENF=DiPgpc*=pe@CB4VNzBBM$x>c*j0 zo9r@oW}lLb)!}A-w|fQ^)H%G`Da@86=tTF*fUSuok}Okh`Zb@X;=G0c-DQ1x1N?w* zv`5=Yf6#98#;D3MZ(w{3p99Jv`jX#yiA0Y@2;l2-p+bAqZa3w#^WYbD;Z2oE zRZdskn9Eud*x9Bi;m4_NOexMSUYQxR6vgMVgp~m8S;YGf@Y!vr%5t^rt-qW>$3a21 z3tKn1l-trZK_jh9M)l24`nG=}tRG)NOOKTeGcRF1oZl{D4<3BwJ&0b-wlu2mlcCe)UGJ9IXH98`%;hi>>s5z(iEsAx;ZvU$5Hp;e4znxB}-a7)U`6r@N%o=P?R~LimWMeA_wSn&P zGLwX-jzqRwS|&e!%}+`_v2m$_9<2ARFj7-~h#*aXuS?R$19?mOcmVhg>&~GagMb|C zm`v<`mQ5P(NMnE};7L?gO+{z1l4aJ$Y^Q3JfOhvxy^OrBcCL4(BVfz)tJ+6cpT&S_ zK0f)6tZK~Voo{n%R>gsRYtsBut1c7Pem@hFwlawawc}7X4dn4{)YaA(bc-Bnv8qz>CzB5Wws7?Rqx9P)xUlILP$;L zMdsLT4eEjb&;jwv2tdr#$AfL*k%mpDtYLA{e5IVZRB;x^!}c}DsVlbz`Z`|FD4mFHpa?7vP!24rvAvxc zeqEweJ0#_X*4B8{ny6#ytH-I>8CeVcjtGIJn^r$1{yZRyZxjOC$46uo_0luo!J0q= zG~1%3zcRUp|3K;v?g#Ybf@UyXXFP%Y*2!%ps1q?C=86i3iUU;_AgX_PCY8ym*t*c) zbLNf?xF93$sI|8e&5FmM3x9MnafbR=^0{FfdZB2QsqyL)zQYOhOO`tFW0O+^8iD&CtW~`pEs`>mUu4he?H>N>PQ~wd!M*=?!ATPg} z@(Cbu{8i7}3k*g!76~<0r~1$zuYcJ|kQfD=@5^9}dwnM#*0NWZW>?xv0d0zRq_yNF z?eA-p^*fDEedSVx;bU=9{!iX5ntRyYi~&jGg#tZPRNzl zKXnt%_g7(KNqU}~1ZE|qMVCzE*!sM38+Ilc_?pKr$i9-CF~8}wGaU$j3~RRn@=5t% z8kmbE6+vNwaMQ_8csty=_Ig7`5U8pyi!c0fcLSw$S%9*!ExEel?56M>*fG)6F)PVk zN${+cL^F=9$GPIsn3J|d!mWDD*ZIRVH|S;AC1+fd>!5Gl+p6H=bgNyK>y#Dr+P&Z; z(xcG+sSSYzEiv+VnB@dgjKHBc8$4=KZ8od*{Fif_oD7q~pH{J6M{CldCER0iZe@c# zaNNfOMRv>v1$a5ak8EO4QCPyB9Gf{)j)c5swRdU!YTG}hV1Zy6Et}Bc;L2jfYhH1VttqFe zGYffd5}&s7=W%Ayq@2l!1>DZtHrsgrojI`w13|S|$yuyb9&RnJQ+Q|DQ!v&fXmY!f zg)by{wU-s z`ncZ>q!y)?NdWL>P^Z!rrffKRwxy$-CvQJ zzLQN!R1c$E{&8dH&d#KYND1X1)b}sCslUWut;5sR#G$qMPX=6$dvLHXg{kN9K=>`> z7j%i$@<487m|1m7nPoTU9@Zk-GoF!D;BKJe`p)b5-8_R2He{jW9_>6>?Bf~X8mCt2 z&8fb5^Y*52ad}z z)g^K@*#(DKRQWSFGxvLnE9#eDFUx_V7Yk&`6l@6c;*a+-;+EeoYRCoEi@x)`dp)&X zA$w-m@Ob5YJ*6jFEb)$H8d z8k4yC=PvCJF1-s2|0B!djj*(*b-N0ncZ+MfD!pk_tN-@+Fw79Vy_{J@u)s3qC$j~4 zXAZJub>CFD9+*V$$c;zIzd~bq$N%4Cra}uZIWj)+U#$&rnco%VQV94OuHgKu9^}UX z&VFxqIkJ_|?sZkw$@K-U=`zc-?O!~s|5sD zo)niFyZkL$x#&j4{IVh4lvNVj(Z*McKt2k^9$)mrI8NUDOS?rMX=5}pCqkiCbd?_> zfq#o-a;aXJ7jxjNd4_nj+xs8c6wHK|AhYXoW8r?mbPqcA=#qm{Q240*+g}2LWBCTC ztC9aq4xA#>UM-HNFn4C8y+f9`_cDJ7O5YS_M_l2qaA(;D74U~_7~o;$s?A&Oc^}#9 zDM=528}q*aDaPD)BqY@HWvleE1P1nIej#&+t@j*hLk9iTpvirl{pz#CRN$=Jiu-&~ zh?KT8C}XD%xp@3czyp7usO=%+J8bXyFrec=IF6b>7?Ty)m?OR3gm6x7@?k{I7a$vYZ!v zny>ANFD{=h6+dv^41^_{Q^yl1fPds7%&~6CqiA>lrHAaAvb;g?%ER-W8@bqb`XaeP zu3l#hO!H^wUC|xYy9^}I5B-!@t{s(k6*|n*WBfSE@wT-h(=xKj#?$5H^dmFr>vU1_ zUTDq~Rh+drfDx(P?&wMPl|scys%Bw(a<2;})lDytoY_U`3iZt0%F%5N1a)XdTRtGz zVwAdqT+S50cXzx#)GGjQGeRBZ7JZCblbCy;#f3 z9?|m}*8B-lAIjG17us73HOAK)J4xAw=?7Bvs%8*ikx%*s-jR+7VwV+KZ{;Day07Bf zSe-2>C(b^tMQKG^5ieM*=o=2qj=~fv=woV2&6SsDGr4lUGwYl=5e-;3i(v%C^vVg zB|n?xyE(tQh+WYR1fK6cx~eX0jaC=0nQ1ZR({~G5uuee;>VDf8Wa88>mECkn71`t`(d8zXn#sKUv>!s^u+T`8{zh z-jvN2Pg6MqIvGBNJ2!qKZvm%rDSodLDTURk z#_025=ateL`x5g}&o2>F6lM&Rf<>gN#=5&aBk_g;Jn9jFuXt$*G`JG*TwK4H$ z)Qo-5aTw;kWfYu6vQ@8d$sv#e_RB)O=dB@IGGmt@WJnXHd2LGU!nU+zkvs>&l{ z(sW@Nb+!f38Y&5Tk?C-zj)r62q8B6##$mSfp-6=>f~FL*^?H#{72b2a>00AI6}|p? zhd#y746GYo6c~*O@2)ibU2TM#36DN{d*-_s+h+IpbNt0F%p;wFW52 z4sW5w!3P$Yzn9;Yuu!4=;oDF0h8Xxe!~eTJ@lOn6!A?h1bN?(J*J6m}1%S)NL9Mdt zxWJz5OBzphOLC37=CGfw_vWyU0MpxdhA2(R*~I|kf={oq&Std1jYb!wqt^~+y$$I0`VCFu8S;W)vTTf$F_~WiD@K$Dt{oA(!4OT>=7lX ztEc<=&!mt}F;8x={C>_FyjjL&ykw%`d;Olo9sLOFtdJXf@4eL< zW~3rr)ba|-LiS(sBrQ_uTZuD5izsB<i*k8y~6}$?y0qO@#=2k-C$yk|HQcfN0W1L(C@DeFq%@3)8E5wj2=X55Z3J~xBS7Bwg zcS*GyDuLz65blXCYOTnMbdc=#wqH!D78j&Xd451$0>PD!;)M^tz*garaC(!&Erm$e zB;zEFzkOo-N#u6U9Oy@9907=A#b6m6|F7fsg*7$b7f#RlG!O4Q$mwxfWyDeH4Pa2IcI>jJA`wTBaW1!~CtVmN7h&72KxU ziV_kp6042^9^8zfB3J)0qVvoIcgvJSql+1sTsLU6_F4y6Az|m7>{ske?*3LUQ)$Dy zpsz;2L0sQn#~6R6O^`n&%tq~SF1r7XJ;>G z)VuhO=O-D|rVU{+Ib2pd{qMpa7kD=vEFAeMRg-RRt{=^zan5*$D|OReEO%GhG9&g` za)&`VU-ITMUzl*H81nAH;%hstZ-aN9iS=~rkfZ?AG4S+2b)avnV8JU#F+Rhuu2z+! zIeNb-a`*)l{t(%u6=TridY)g_{o?lTUI%+_j{A^%7@DW>YFJmTIr^AZf3Fj|wjMvr z7=)8GZz^mV=#gVq7vqySp^yxU_y^W~g{?OGl`75nc=|gkV9>ANh=Z}_ifDXU2#OL| zS%PSVK>PF4f(l5sFuVZlfWzTRk6<&!k3r@Y%Y;s>Eo&=}c-1XxvtMJ%x-FAx-Z^AT z^@X3M8!~FUGHa}MK_N&{^Q8gIc*@@%|M&777f#Fpa52lmp0m%BS1n?%H;kdX>lO^^ ztvX(woLn{D=cataHUtrUFznw0Usq7#n$X~`PDgw#kFIODevgyv;+?C?NPzH;W%PR0 z?3XeOV*g;P2~_wI>(SLd9Ulxy@6%clRd^ex7Nus}BdgpRW=+tUn4eVtkL>+8$HJ?W zd9Ne$v)L%um;1t7ovf*Y0eWs$5@T5Om|+gV0uL{Cyu5O#()8oaF6(}%xhmFlwemUW z{J?D7aBOEF(G=DPA_7qv>vD`DM*+1U6_2@7>6$)^K3R_2dC z>3E`^Dt>UK&Pmx}B0Z`bSLXFUR+`Yh-=3kA^@sdU%IJsN&>x7qQS0*4O%>wYW{K#G zGoUBuL2~ze@3(b66&@zNKCRn`Fd9^x)1kQ8I~=q}&~*7fGVTqpAxWj(TF$s9rnXpq zuOBFUwjXn6Ip`HlDeH2#m=#(w$y4Pr$k1|Cl)=LPX#HhP``}2^htPl(^*wA;$EyOb(%`#Am~j(ocfw0?3x9K6V3z6lt~j@vFqaKKzAeHL$zt0 z2K(n1ZlUPw&8Tk@{(iW;I~M*1U3fPm46(*ndPV|W+ut1icv?$i=>8LD`%TJ6)I8xl zL8tCmO{r$flC{CRvQ|pvZXps$ZdD#p(NIHVQPK{BQtP4(C|xJeumC!i!L$4XtKP}M zAlU3Acc$?&WKl=rJ{4)0O^!4D;g_C3kBk#xYMp7Rc-Fso-<}SKmY2nr>V+o8ebQo$ zi5*;ZfwzXlgXIu;C@1*mK#rr(Ghu5LEcJp2lombbZ`u_pWOqI3aO<(!m9yN^=E&DO z&lA|sjz@fAKlLz#1~!`>DVg9+QamIbCkSb?FIqpmUTf=(j;DBqg1!A1{QTCDI zm16o!v2)y8qYv6f*{vFE#vv+LyI#%Y?q$B&S{H6Dp82`OWlez!e+5BgB7Q8yX1byzd?W$ugG_oNE<1Gd%Hw z0u<#@bmeHo87jnspoh;~7iIlKqIdBH{%R8tIVZZ=`SM8&twPBoO&+07>FNxy^n zal^z^Bo%4M;z`;|tX&#*_U(+YwPsxAYOs#rUM)JQd14y(vm@l~H!F@CdTdhT5QedL zy&#M(V2Wz8T*#|*I*QG({q9vi#O=X%23*tbxw249UCvHfJe+I+ubyL9rc)=?W)#6| z(XsMd%WFsH@RpYi(OXuOWmv8I-D$p*KX@1)LRGtszyA30Ahj)6Xfvx>wFl?Z%Ar_`2^uvM1S1ONe@H6kOWzS;W5T9yOV}}1EVCk zU#@`52T~CWYaFrN=W#6{w_>B^=P$DYvlz^n7oRHD@J8+|R0#IK0AefNvI8h=9=(MR z?W$++w?k_;);CQRHBQjOJc4kleIsyV4rh&+#hg6+p(DcbPLVv%CN5mI!08h9 zitfFNVa(u_Ulv?rBq?Y&h*|OD$^}oR_LNjG1A6EO_|hg4cCGiRTBlk8TtSB>jGE%1 z;h5zg<_=*k1N5UJ}bW<~--)<~TO%`}$nJ>-zocy2fs=-MjDS<2Xthwxx6PB)(2kjPYUl z@3&@Kh^QV$=>56t_nfs?9fF-dErD}VzzYXi$uwP(aNI#&8CUnMGA2(BhUDwV?RT|nK+qs?d(*+X^vleFy0FaCujTD<^E)3M1Y){sCykdnAqKhp zV$hi>JA?FF=65>frhA3uAUU8a%dIm2r3fKC0(af%{F9nB?0K#yuqMDq&7|Jnq2ctqS&aNOdbk_J-MOS|KZz~@YI@{YCCE_WieKxA*b4K*c7Pj%!n_zE}aUl3{R)^v&p!|0} zRq7ibqlcg4?zDw}Ol>VCnON}Y9_U5Hvg+Kq$LJWDd5ar=M8^uEtdbs9&$3WpbrUxe zXyYEw3ce`dQ?Jg4HeJ!@E0j_!Qru$hc90r*g)|wi`8g(O6g=*+Tgbv)uVw4adZ2aQ zWKFJfB*wIMcFA#<-y*iCSEXcV#<%Eq?t1EJt&5#pMd%CuKC9f-1kJvqLYXM+8rPXgu!_SmF8%E`q|w1!d?*q~eI% zSfPU7%IZ%a9)qE!zY9(TGN5YZMgYRGE6yJa#7!IgW5DB2rNzIlHZm2Fp5mT7?~p4N zd(A=S(uUG5fa5}T7!Tv>iEro~(D6T*Nf5HX~(+tJw;;zn;>v+!&?{$Xq$&CQ) z))g!1SxQoaePp&6En;4>Fl{Bo( zK>wMlEJ}D@-WZ~^OZzSN|G9b3S?~pzuZq`n(Lg_LdRhXo5_&t>6~hcD2=STMrRCP| zv{(o@`KaF&SPvgUrfMQxjQ_c{oq>5=&8pv{S&IKM+(G5W2~aL`7*1+oWt zlPmO$PkIcsfMNEtLjA1*IX>a&>vVL!t7Z%+n$BITZGOQT`~GbOdhwE@R5p#7tcscT zy#F`~^DFlxV`xN-s#if8&y{`h<=}J@Zmk9s&_WJI0u(b3(g4?U3hZ$O+JZ7zY-6jx zVsAeqCa1?d6L{g9&-z2|ZICei>;oT?0%SxnbD~_FVx*OV$~)4wGb+?-ilqAa9_b}m(Z|fDJuNe4r~@CYeKot@bD!$DnF!JDkxhD#Lhd4hBT6ONdF_S# z-O+MX%^PxW@4GC&s3-amgZMDYa>eUPMh>qA4^%@&WQ;8zkE|6HERUj6$Bi)QQ&4f! zWIIw|rau+dn{7`p@ks%nTnfhHio}q(Rw3Ms(~IG;dy>QZtfu*YZ3z!pZ`L%wR3-UU zpLs_aPlNyITclL!c+RS%b}@v!)S)9-P9Am0TZJ0sBV*=|_+KTxu~E_pvCf>i`~Cq{ zkK&Ao`gtvs0RU9qVeV4;1a-+sE69!!|HcMenEP0>Zy#vu?!5OGwDOpzZm5by^+hLlA*!Mxvq=^) z<@WBgq{u0E4&SKztqDrqja}=BQ?M@O36l(2h3f9CgeiZ>mDbBPG~HB02i2 zL6zWW_IuYjhsA+r5>ZO~oCYQ;Es)nHvbJ$_bY}4ydiKAH4=n7~nO^MYeU6|Qkg#5< zq@kxh1hHYZQTZrL%9sTT(*hhf`F&m`LFE}cL6`+kL`NbiL${_m^m*CopXez%(-5K~ zo0NMVA9wv_i?+GwZhxR3yt||fXnVJb&n&UCor3B~Ui99PxlSlpzqR%t_>D63Hmgu_ z>h51hRc>H!bSgmd;{GvkpO3s6xJN~xM)8lg{BDcy0cci$dHJ_3O#;Dl~;0KH!CJ ze@rVM52`nug32IRTFj1Gery5ar~xqt95adh9Gzgso_BfV7zG(!TeCe5xGDyC*w1$; zce=nNW5`)(vH=OE4#xsHCO0=j;yR{mFAtjtFag>zHc^(mt3N>soV}PYki#HdA8e0^ zu({}4XFy`}r`X8*t%a|$VD|~D2*;H|KvuLN@fSK4k#CXVnx-$C|JZJaOMJ=cVT_h@wKAA_}Nr;Ba)K z--HhUkyUaONX{D2M=)q{ajVQr+M~|+b(NR2;cimJEBt^O?%DxZrJ!wEP7uxWx&=y* z-~#e=0LtN6FUdq-cH5iJb+GO}lbg-~ZU=1BW9@nILGlCug=Ur)ctE3LK}{;dn|%d+ zWbJX1B~3_$%wbqWR4P{)8sHanc~ zKXA}!vqCAwyHnjEZ9&qkV0N0&&Y404OY`x;SM6dY?+mcNxd6Ui&>jc63p{*yD#1kK z0{wdyoa6Pn0`R{M_ypQ4P8Fw9xxZ>=UV3ck^S%5<^a6`{QRCB0YJLFvoWP7MG*HwIv12Rh?C&<`4jtAPq0;OE&*OL0+6H)U_Qk-u4nF{V5TYi zRiEygQ)X+X_tOKPu-%h}xCV&F!a7mkU>9BHgp~(wa>DMpn{SqRH8B&H&A%Gy){QBO z4G|V}yZd9Ge$xFB<8hN*tv!6}A_K~#=%6^&C>-5w0Gp+8C9|SlzPPz1$Nt1V`{vOa zhQ?KNS_GcU7STE_rOWCPIk={5WBP1Oeh_rL`5t<19i{%g${<(2BIbhZX<%(}Y;Tom zGBz@&I>%>UJL?n?IKgoge}@0WQ3(zB5jncntDpm_l(znFQixkcc@&>{CG&p_(cRzo zC0&L|{Xs8Wf5n>L&i_?wX~XX*$7gszuefMnum&gI z`8~~G!3cRJEPUk=S@EJ$_xFU<`DQA#od1Q|7uA;yz83_O&)Dv>F06EVQ^fbt%pydS zA?+*uw{ml(5^9pG?Ak8y1zoO(I)Rm!86LXt9nmkgQ2Aj@qx6f=7#!qsc`3~M=2#0y zlz*K1hJ8kxb@!6K)cAQx*DJ=|>5)#05|^U82_QI>K)_|zmgpwn1j^Ryi~3aB=MHS6yM(y&!^*H2#uuCy7C>rzeVn=rgCY1{74|2>);!xUNNlVZ~be{Njhl(J^6 z4q>L5{yOi|M7w<@D!s?uZH)exo2|)OpRY7D^Ly$+ro@Csumfs@vBAN~>DBSG?WN$k zXwR-3rL!tiE$zY_W8aG?sjAQj&4>1QcuBTBb;kAWtJbM54jiU^}f*rwaK zL^6L?uylpy+b_yE?FX0NaQx<2HQ7ulr*}2Vbo4WC{h$~Ut&sm&9bA<0z)V{2ScvUe zr_l9Ysdc{a`}@vZ*gJIc^pa?(UB_uF)*U%PUD$aW=&F4g=*jqq5w;O_`dgOO_(qSZ zr<9LtJfSTj_DrwnLMU1U`j|D+o1*tr0rh?H2ly>pReDS4TqOpAC9!UMFjf z;j1uFbEx*aPu}9TBw~5&-admBFei0h1I0*3z1x<42PhRYFm_(jSd<@K7k<#bF4y-$ zAgJ!B4tpF31`%Hmo*ZHM>rM%iGlA|;yXe}a7c`;t7~-&m7XzDtKpEe?IhK zJMp~PN$n+LA^JJcF7Bxq$xhA=IN|N=q1+exTg$FNPF#n9%6pNo?yFf#{X@8(Vt+(Z z$v=iR?C&xeBu#C%nBwqDD4wMi@vR6M*Q_Pxj@9{;Zu0BV7uKymqXxG~kIKGm)wDJ+ zr~ss;h+?vv11sAD>veUEc@NnlvL;B{Qe&N%`D-P$E46n2Y4_v^P z->DV3F+{T^H$5Gx?o%4t?@Rv<^~?>M`R@^22Sqts5s#VMpUeS}8Fyc6w4cZdSeBa` zAFtTLWt>FN87?ruT(I9t71v}?PYPphaWz?JdhzjS)rcc1UvVjasdCS2^VgpgujXJc zF;UkBMizyvT5y>$*+Lklg>~{yXgz=ap3oWmFt>%U%#%Mbd&f(goSi;miNEh%&*W!v z*n$^(Q1-3dKx=N~-j1o(wc+i+ZkaM%8pQ8FuP$oGmVUV+_y(|x z0&97Qrw+7(gWjKN8~x8noU`-Bj@goe#yCI#eNYU!*e{H0x7V@V(JAy4rG6`xJ$*LZ zDpK4#&v6+%ZM6wVb_N9bxD9HDc2(Wmf2G)Zea9-%T|l|+S5{9d>LX1gbiLRW5@)qX zXG{vLX=cOSBiC%#6K{zTh8`hMlKorB{zEWq@JFEVVYM23*8m}%Q;0JMs#xR9yksaB z{Jnp-QHbXwN}d^!z45G7j3Oc|53Y5^9F{4stb9tNgaJxOhlbZ3gbqTTLWPvBKZ=DM zbQl_jnmbNaU{hC7J+XlGQcD}2yg}FG@7V*FimcBGOp{IyEiG;c*7d$`lqUUVbG53G zGVv)550o+x24p~-J+JS6xpf$DX+HI3hMrIRd=G8660T&$gQ^)p<(pj&)DZSJet|N@|Iyk;duIds<15Bv=Zm1iwR=9u? z`|lSD>QwMbi`8IG zJnL$vyr$D-mB58YRxI5%}Ng635v_Z<$`y2c^Jy1oBMF~Mgw1uws4 zbrPQVsTCLIb-r>bHZ6OvejQHR85D6PH5p{=_b!zP1zfYtAwbnMKT!3hI=9g)kXP^y zbk$B9I?mx-4JEFCBJ+~sXCYt`t$8=NWXi6M^m}8`&fCKBC(~sPrcbgvD49!Rpw$f6UE?69BnOmy)s0fyFQ9T*EP?gc4^}D>Ofhj(B5^F=( zgm*$1>Adt_Ec=f5@NyNP9@wfza&H7B*Ecy$$F!}8KR>S^@VQOry4sYyIuK6gN|B-J zQ_$Ta!h@}BtLpllTGHJp4k4?H9+T^D7@XtsmPU2Np|4D~V)23D3UTwKBF^K1@^tApo>lgc@hK2HTzGwaGW0>An<*x>xY!i_ zRBmHryc2O%Q?rr1EZEuI*SlBG{cjUb*&7rGFAp zc>#1t8Qe!0U;o+InG}iTs!-u<;;g@{caD1)bam5vzMb~EOgb@r#0}0gJl|x^Ghb)_ zg2@N{nV3<+(fL&byyf_&QvU{_BK#r#cO{dCK327SlF)f%VG!mM?0%`)qUwU@;vQ$J z%f{~WmBgPv`T3R5rMgUTfk^j~GUZ8&`oE6uDGEX>l=BZ6WYiA0$BU$BfFCEk5UR&& z!by{_h&1@_o+u_IL@V4{a$!7O7T?8ugK^8HaS%eln4-Bl{Tkz#oc$0#le+ z9et#^wG#Vm&i+2>5v)>+k)iWX8GNrj<)(?Kd2Y#jr>((>x)V^pw&;u8j|&f96y#(Y z)C?VJCU+wkVJ@Wc&cGx1H4^@#;#Y0!{|x*>3yeKM>AjpwBQV?#RlHkoL`Ng?qra!& z$ym?s0`6g~QJ9|)+n-5Eq1%ZkcN{w+MzSnd)7mgXZjkDMP98 zZ%!-{P)YW!=o)O`e{`FPZBEmzjV;Luc=G__{%026Zi7k-28M7~19H;9_M!F1-8rPw zEy4RbYWz;KC_P*4lr@V5@C)7M|14GN_d`_s9Zt%I9&a?-uIi0&=s7Xm8Ys<$#%&uA#0CJ4G3^)>KcQ&zJAM;eiz6AzYud zI{R(WCBoihbC${qbESk4V`79|<{@$Z8+}_MuBmsnIC<_>>LX{;cGI#>mzm4LfcPh- zH>UtbxPWG-X;37KTwNZZ=Y=dMwdl8ICcis12JDIc%>myWcCnBSR_*tI_s!Nqx@9I; z=2yCAKTLW@ZSTAwr4|I7g_hqn^a=Lk9C;;Yo5b8F8!ir!ZDo;$+cCf%b;@BP{fbO= z@1x3QH*1Fw8h17EqjyNBLXRZp7iJYFvB{M+t;AiK1>=>NR(9QDn$~z(KpeZL^3sj5 zYRONAxWg}RMf>9n3|L6!4Z;_cRsxlM)pol=6KV_nJO3h5Q_K<6 z32>i>D!iJ;t`^BHC+K|BT!AM~^dBs^O2IB+=i|ga?Lmg;070y81S&}5V*M^EH!=1*S-^y@b%9d5dLVRW#azj^L@0zE_ z6uSpy%zR?CHxZk4iS6dna8B|2iZ#)hO#QV&7ppxDl5_zg&9akU4<4pWX?vWpsHUEs ze5CP3QX%1$`HMwS=scA7i=UOJ@m$&-&Ongqp8{MBcz<9~rxajKO zxiL+Ja^Z1#kADmn3V)8wiQ2_sx?!{IBmOcL?#9~01!zu|oZ<|(;ecV^*cxGEy&BIl zHB0Xi;X<1{JYWt#-Fa0T?(8~x1Eq5#ktQ#cYOYy{;TK~W8w0lZ`{6!H=3EnE3tTx7 z7GpiMBAh1=hml+>1tNpELPl;0FO{(jWT$p8=dLR_?6`NAzn&i@#JXLV7Q%F{= z_h-+;q5ym}uRwf-N=!4C*jO4b%j1gNl0}ntDFXCTy;Ei4-t)vgUw9An7ng;J!)&s) zwwl8&rJK%qSsC6Y(NllYrgJa7>cO{f&d8EH28|sRh);*6_?F@_Z>D^H_a`Dj;pfl& zd^(|S+$9R&z#HqUM&`fpZ`~u@sGv(vXa)%DJGf_lMa+X!iCqqY@9+NZ4A}bY!a2om zfO}3vV;=O|k&vDJhQ`9pp7SYG`?B1Z+rzFidA^+}V);yq&oH-KA;GQ*VUydK_kslu zWKoS{j+{>v2FpE40S(rvvaqE=ia+9`z^z-xNrHac?RO{ne54zf=>#5I)NAt^@_^KzOe@6yLzDyOZ<3}iN zStKOo;@T_iOu_7F(s&#X+Z6*>?+xaJ6OR{vt zKl^+O2-WH^PPi?a(7;a|VL5>*y?%^t8qPk3yS^=Z-=z+X^DYh(*hXe!UC;!Mju6Yv zP)yV;XY&^$aaXap_8Q$08I1D3mjtM?& z87)6pfJus^vKcrR1RLh!=c<0;{4_K~?p=KQPy{&RpHk;{XqP(|#y>WncLCN}Zm*MR zmU1H?(K8jwNqSavI$iSc*sMp5IRUPq)%T{x_4E~sY1a3g8dv)k`!tg#vxCQ+c!k?9 zML#zdKM8NF+8@-?$g;=KE;n_nTW)3hEnqHDz{bO^eCsMduMci%+KjvB6FxrqIqQ|_ zKM`n=a3!{6`MK~nfg{AE=p49XHXOy@NIr_Dvra<^#;vIrWCHrUMeHCy%YClGVPDQg zT6!&ue`EY15)6Fl$Bx1kX!lMttI=$0U{0BR^d)GIy@?sHig2Ubn1N5;t^d`B4a0_h z4)fl=x;?cHUni?px)Y6h3_fRm%h$2!aDuoS103L)EnW;a|r*yg&H~+qTQfI z<^qMKQ;!S@_XqP`k};wGvNzl|c=2`fZCkW`9`lp)?2kF@EIYVp>|~XsewmmYZ`p0J zsr~^I2=>|RVaUj>NWJ9llh9lDHF|w&E^~!r!}Wcu6b7I zb$NKsN-wV$u#O~#(pm6;x^5QKdX+v91mbXMts;S=_KQ3{jNh9X2-W@mfpeEK{qKUs z>#labEVLZ%vB`@C%zJcoT|1f?3h9Cf2jJOgFG%p@02kyrogBPh>+=tu%k}0a(k4|u zEGFA~NF01@+Fpw5_2T@x67fbU8#i5?l07%R*Hw<3iZVVK9j1P6d3o%#ZTg`hUJRKkt}7IK))ixd3(57FfZ{I zy5J|4d^B+@MSli=M)YXES9`|fan_F?TPomg+ z1FDQmrWu|ilTll|7~FT)3P9yS(bvDt&gHh{a5ZJEiD~n3w7iL$(%Q_HHWk>MZJK{4 zqXXTfB`q7jqjhPcH%E66N#4UX8aweKN;T>plKB*~&gA?y7gGU{R76A6qb+8}9Cj0| zK9D!V)4qU?X}vXem$A2?EHH>fZYn|%-rH+Z`cY(P#(}k=^y65g54l9 z;$XLx6g>I77B+SlZW^KSk!C9hHR-^v!a>8qqct}LGaP9T%cin~>K?@ZQX7(lpbvmX z>MlJ6}lU`<_4ZUSIUfLmL4qsP3A!KM7pMSQ0W04WPH>)%=)7DEhY%9a3 zWNTbDIIfap4}0?%!LoRtO=lw z5w15gx3~VNhq?G6`}Vc>%?k528?A7{7dzzrESBS3t+zjCc_Osf70YKQ2o~O%ZW{_B zp+7QiaIDxX7m4h|wF=K$BP#OEas1aUX_D#n&OkCxDEaJ%`G6)gg=6O>XsFTCf0ME^ zUxp1(wEjs%H`bprZ`d9Hwa&5k#|lmXhHvPlU(k!Rd2rXwfrZJeJduJMI{4#*vcB#s zFkOmw^0+}JlSo6=6R^A_I`A%Od_nNJr$F8>-%xMvK|6U&7dSH4t=9jOsCGJ!PZINn zX<79y4d*~!0sQpn6KB2(J;Xc@CJK8%r*Cp}fjY?~2dNJVoEVh&#p`B3=Mxuf`IP^b_o< ze7uW+{cMf!_?N$|zMEk{RKOaRJ=uGUwS33IYERX(Q%pp{$lT(zz{Qe^hc<^SpNXaW z??h2uPQPjD?A9)&&g~6oxyjNux3OQgyHz&D0eI;~*xh*$$7{K}D&Oe3oo1#a?kFy|FVRR9G@`>qRTj>N>osXu8t*D(7aF3`azxL0E!g>;bNx zyNLW?;Z`o0%fg$55)^8y@nt1JdA2$QtZYkhwDS$6k|es9vz+mo6doC+| za7GxQj+!G!iAi$3_m(YINY3E6VDFyS{Mu6MiMWQkN3mLaS3vZd5O!qFB#r%#^LVrV zomR4Ro?u^WZ_7K)AIvC3>nZcJ$ngv(X-YPo7QBF;CZz7&eU8KX0|amlf`M0)qZ!Jm zI$j_VllE$QHc7qmxZ{0Gsn%+bNI!TbWoP>sVnqL#Oq{v|ifm>Zndx(n7eAR;sPQ|}D$jY* zjLF^~HTB7Y#w73e$pSrj4|)}Z^W|9+>rY3YSp<3kh3=<+HScFX&Uf7k@cy~$GvR6X zh;$4SSb1-o+NigEf|c17qf9T@Zh@)1Izx+8>Ffo`4|N6xlGO^Oj;L=uUJq9o#!RBY zj>1ouk8C13Px5B2HIlwg1!nZw{a0J@iBIkpk2E2?GUa+WN{3%)T!V;>KwMTlRx}QH zRZr$F|L!c{h4GgBIPonZFFNizoAS>BHdWQ1E7v@rbv+PnT0rj2xLwHIl1EkjS7p0- zC!NhFDn~M5!)2(z$(QCXij6ohiz(Eo2q(J?J?;K*7`cnZ(i!rjGygGsDMt7L{6d_|>DK_H z%5uxl=)JaA^&4Bmm>L7#&9%~BvKf(?b*jCAPvB@neAJvv?AH{>aH+=ALElWQ)bRKr zf*k&RLMmIsr?&-(9?N>cT@3<~!x{QE0OtDJ|NG@JU=m&+qjhZ5-#oCF<>)$~lG6T6 zq^i3a-S{x7*z8Dg{s~UGS+Kc6l#CY`6VVdCv_k{dEl}q})qvJL{uDvzYV{dl`o?%n z9LkJ4ilF|^k53xjctcG)PQ+|dgDyy%45Tf~wV6sQJO)+Ge0yIprU*6n`CZ3?{UWZY zOI4>-{5lRyJ^m^9egjvd>^(v4W|d|kP`BzKY3u5v!1{eommzk5!ewUuNM=t+`|P8K z0GAx4>ji&V8AMy_CrN~LXLi}x`4kS&B5AE5W#VlzT$%t#lUrOgiQ8h44~uFs;@CW0 zh$DK)RtHmOU)ymEAYBkY{xMw1jR9g{ZKLRCvA?O3^os?+eRq+>(W9|p4_OfZB=c%$ zr{*I~yJC&E)1w-c|kyU9d*~5bIHOqzTS#qWj81#sKiA1^cjV6?4H4MK-)2V7i z8YXRPM2&1^%(y3%GX0L!P6r3@2}LCL0-=Y0LG+8k;yqxN8E8S*jBIkpiTsB0jBxy2 zfxq?+Gxq&n|2trx{b$vYAiLS!cC2xFOaxI>09+g#F0f!SDcChTLH=uJpUGNw!{FlQ zZ!b&X6E#5+#SP{RTf%QKxcWLiY_-sJ`<_YF2>^++oH`}EcLQ&(s@!xLbq_-@b^%mC zHi-04Sm98Xa&8_X0QFvAj#k_;eAgDaE_2g zg9j4&Yw|evK0LAHXJp*nu=SQPPj}F2ijf{1*RCO(J1v$k=9h1r=r=@%FB@x2d(WC_ zs|wuj->nmheTNMBXqX+u*%YWAP;#MEbdN{bzSQ)y$hTdIJEM6Oo+W$d`5}f~^L*=P zti`-&LIJ?ppgr=#zSP1~pzb#UnH6th(l*q|I+H{D+cur`>`gIFR@KFB*JotRS4DSA zd8aSYPUE?VZ*bZ5z#C|{VjOSl&nHU_CE|xgcDzehXfoIvnKRO_Hg#yu@FTy6v+If z`Q}#eO@_Hj=lt4oHhY(12NZakfAp{jK8v+GLtDEVlD26?m7^(~<|sj;dC`|Ooqgs* z0aMXx;(7+##iDi>_7c_j`5E!OtEo?J+%rt+*&9jWK9Qkv(Yqj(=a3PKC1npBNVCyO zmmWMqZuz>SZYAkPZx&a`-B9$wB`>r2brcThgHezlQJWXVx8{knAKiIl#7NC(cExjJ z?>VjVyE2LpvcVGD_i<<`w)uEFpYE^&svs~paI|vGLYYlQYp?>)p_9F*RUH0FZpyVU zXNZy?iB?e2bI1Yf)}3j!tHj#{JHLJw&Wvep&{@7xRbV$ME^}ovRuu1I*T7*Vf{tIo z#QLQGw!x&cKeN+6_Pl|6eIb^?7SU{qN<*H_{n|6Htj2!_%P;HW#F>Z~uh9c$8n-+B zB4!>uAvg~Ns_M=Q8(B3D@7$Di4lca64FV1M@@&$&+G zXEe3xik|xkYbVylR_5`x?fPlpF(`sk6^(hoW%$gA7&?Owi?yPQye_0#8rM$X`s$W& zLs>J7gUK3-ea^2ARdKb(9?kD1PDB(?Xd5pRmd@)?W~CG6(bxYNB*53`e{l%>MA&8z zvMt00LksQ%43tntoyKL)TLXdg{lqA6nWv{ll((CGrX%P!?uqNE1#%Zt51FLw9G}Y2 zF8*Uk|JZuwkK_G{HD%eY{f}n0Z5dKsh_3Q(B-QkMx0ex*rC$gUb-_W>xsAzJg_O&~{2?cPkng~pFianL+6>7`Pjr-MhaK5>&Tl-Qg#ihKwCTGf+jkE5T{w*OO zg$uc0HY`qPy%Y@Hl#B`BnT8?re)`=ukColp9gVJr7)Z{h9MW6P!_>z8hhGHbtUopI zb}y3u{1pz7(CJti#+cz8-});0H;7Tp%IsZ3s_!bN7wImgCtR-7M_I9(@OR2MK({hZ zzQ=izEyjq?hOcONKGhT?$kE`XEzDX-hJ95n`*{Sn?S?vpCVFz{R7I^F=kH3z{PByO z3q7185C66>mT5pO83zL$ezcH+X@t#oG+dDcq1~vwJKCf)fb?z8{-V4iqq*fsAt7Qm zx}EdeK|z6IM5W=iqaX-d0Kb2W0em8R`s{hVXBagJjgUl2bT$#gP!~0+^}xi>FK_xq zPJ+;}^@=M^ool?nCi?T_sGw=L=i}U2mm$a^EjrNB%ysW>cXK4O#W4%XZ>K+itJ9q< z|63~a+GsA{lpYf*{g6h^AkMvVhbeeTL4W>Z02_vETylJaxr(y;6t3kf`SsT%|H2Qi zT=P3k#sDs?zv$$iq16)=dbee$TA_t%=T1Qcmx`*=I*;!fmUpwi<*!u$ z^t0!4#Q2%h5T9d9M&cz#I;Z_pBWv!ozWaLH%MI(@Wu}Cvth_Q)8~Z2yTsU3<{}T5% zB}#c{$?u4?Kjs{t=sd{BgSSRJ>lo4{1w`Hz>C0D- zp%vi-QDNl z!!Mj#+I@7eKUcBK_2{YGQbX_Q@?57Y!`m}j?hzF3c_qpgxv6vJ>abId5%%&r=U+li zd)I4xl6A}5r*G7W!p6a6i?x6RljlpbMTWEV#t-^x2&fF0{b4jW>oc6Q#bXn?c^LvrqQQINxdbf+2!JVGd}fOW=Z$j z2xuEJmdy>#whuIs+xBQnAQx1^{aeJlQGzW)(pF!3*M8hvS_pW0aWawCe7YRi%W%d9 z#HlR_15v!GZ`MH|@Vx75`i;!THSU?9ORhgOgLs259)DnaiS*L*FSGS@0>ut7zkr*X zo28|~LzG|Tk6!+bHG2(Pd$-!(O;bO=o)NNKSA8T=VmulXlu<>1>`vlV0;(T{NBq+wnZ4{n__EdZeA0peye~4=>%1D1hizk4ylx1j z+XOjVg~g#STlE&g+>*xILyWWEQxqO$xU)+37vJmC75Le9RvA|>{VZ?+%X@3syX@6; z!yzNO#bWEA;f5c7Vy2fI9#IxBFS~1!(%Un>HI6`|R?U8U@`tKof`xgzjhbwms70l@ z8G>M~R=iMI>%*L>KuHz;=S@0V1MZv1v#?wC8V@VuydeWtW}<|}7ga=Zuwb%)_NkiqC_QTYjgc3ZN#B9iO9G%b zbe4G0m~JH;%U|Cg)<9LFFg_d7=3M=8T4~MwZORDNRwNb+%EsWAN16?8mZDx7@UI$$ z%^zUCgI}3^5)m7Q-E?QPn=&|CI7!q{n2k?4PI8%1SO-xaNb}4!+1K?A`soG(adJG( z_bP6uaubj#DS;Uh`|=|v?sV=$WZ8O|?^u;hsq>3F%fW8Su%-sQ*qPqa2^haip>@rk z_wN3PTwZAWSl>Hz5m9`y!V@m*p7Gw2=Sclg>dVnjY(J`wOF8_2%*e)R$pxVdhY~J# z8%4_um5+CaM(yA3N##xQ`bM-lZ~SEX@KZ}?Q;Y_%)ZuMVufEP!Et14!k7(rFv|S@| z!R2y9^6WNTuNiyzl7gMbzeRwmX9b{94}ewQO4{vrJy-Up&bj`%pt*<1W>EwkD> zgElahoeLY;J`hH9um-W!j`R3Av@fMlqj|10A5puIPdZxN$Uk`mHVHu-()U$`FKAls zG;9F9ZGUjN2miC+qiAtfitH;O8VJ^F#a6~9lV|0h^ z()1TQ{m&+POCC54zuL^NzXuMd&!{-b+|IYdAmtlJ6r4Djj)rkHOrc)#-pf8_n)e)uOCs1_w33?%<4lqF9 z1bo2_KZMbg{o}aQ%^70N4I~8MG7E(@+ zjlLZ;bKe?<|AI=#ix-R)!3>(KS}H$icGuR=uyn!6LVNL}<7+40huRhH@+mL8~*IbEx|BWb_iFCGTMcVOq|w9U_IA3?DdY9 zXP3bd&{{s`U?3uW+RHbdi~z~37Zxki7fCI|@E0;EwZ0cv_j~T)hn1GzR0-*;BW2zS z7C{gNhDUfd7*I9&fpI}Ym1cG|qwYh%XU?+eO8A0#!2XN3orPwdXWB8vy>~{-wq>HQ z?(``9@=!jj;dts=K;YIg@+OvKzx?j3TdJ#AhUUP%M9UkZBa!=b^I<5WO?^4tnIl@! zzE1YOO8;3}|2sgIcKSwbTXLqx5 z7-8of4HNVs)#HdDX3Qb-0|p!#;38s3h+RxzmN@ULZ-u97X@8G25%60Hp`+j~zwnxe zT5p#b89))|$*Nz`QdvuRM;bNt&J_#@yW+BUuJ?IRIfw5>$%(gfk%Es0K1e*S zf^M|QEqy-|5XL<)<|6zozUd!BKIk!PsrXc@=rOuKpBpPn&F76ig08gvtZ;*@2b6IVyeEB*5>nFdzuKoMc4#Bn>&AOcC2u&;Hd$@^{x_t z(@O*+q#92?$J!vau4g+Qg_y)ufBAdv^{->9$?p#)Qq4}7nb;&fJ)HprJI$(*r9`RC zig*D${Nx;i|A1e-#uz$AQ_h8qHZb9Z8rbx8DHmln`6rZ^yk$7TA3-9}zyo#A_akbB z4#=H0Sy*3AfzG%xo>gB2vedr&Ed~XR7q17rAXSxtTppwjQn@VOggqT~CH&ln!WhYK zG~}lrHPzoe&576(z14y})IR-=c#WK3p*|5{LxIMsJuPmeg(x@45H`PygJqwXkL>zY z&sT+Cg2lGpATyQh*||N^7i`9X%U`F4xxKM5*?MJt-azus^g-!TpA9>=^!TfQKe=(8 zhWpdETi4nDF`Ss5=mV;Pb}NLN`zPtV>S~H(j-+eJ_q9a*yhdCuLwow1Su>AkvFwC- zTgP}Nd>m2dvL#7%q6suoLW!)vMQV}~c=Ax>Sdn-`XuKBLhz}EFCE?>&Gl3B37QI3=$4D2d2ua!6Xj) z-wn${Te4z);FPP}bI0YBwzeI5c?4A)npUz77q;)D6eNrOW4OLhKS2{MpF#Wv*=U%Y zGAK9L?{@klW~5XG=6>8dJI3Dm?= z&P$+_wj6l>F)#z9a+nKM5?G)x!U_G}IEO%c(u{0xWYd+ZK9%m#pcbMmc86}ySmDzy z2Qwz<=(6DW1Wl|9>7yV)>J7thzy!$LQ#?F$sXqY^os^GQJ=uONeV!|SbEf@g8TK4Z`O1_76w`x! zT~`zNKnX`+6^y(8p!W)oMl|YcklL|+ncq;`V2ZV&f3isB1I+{nyZ!3)9k8?Z-X-ZK6gJV1(C{N9ee=fLm}AtvSzu|fgsMiqNs)qfF* z%jJ_j)%^t&1q10`uGU!<@0ngDbu0;w75^!xNsi6hARNw;9BqB+VIWi<}1<9u}AcZ zcCUQ4{i^SpUovfGf-hZ~MWm9}gX$n62Y>hDZbBZDP?^;V$Dy#cbi%uh(@`yLa*3r1 z7fc61xepTrXh`al^SSV>(zm2G6^rTP2I0@Ia_fUN1Ay`E0t6Ui2KU|JN|$uuFRrc5 z%2L~im~(hW7Pax{NiCBgpsxas|30}_vi_P7!PR-&D|TxO$H(`?*p=@f6uaK{bE(KI zsn@ly*aSdchI@~asdC#EsobLrfX+dq)_~(V5i2@PB zb9cH~o0C+{HVHFcG9=OZ!>-f0wc16KXF=0KQnd zK4ctH+^VfedHiB`d+1jC!Lc4#3OUe7B$T7m7Z+YmIa}tXylNho@}LJ&Q@%Pop$olQm>xdQooO?AFb*>*PbWsEr{@WcpAdNQP8WV3w*Py$VD}olJPqn}>Ys zEN6yE`GS-9labM;b?X24Shu57&|%hBOBVR}m53?O&2z?cMH#rmPh{;sO&J%7kMH6PZ}xV-sPhXEVh+FNN}#Gx>WqM+*s9+{zGsQ1HV{wZm(uh>sd zmj`b<^W}0mFfK6RVJ}!qqkjzX{iDdPaV+`CL^L43z25y79DXuDG)d=F#HF;`eHHjb zC%8E(=tgf0^5ROlyUB~CQMGd@fsI)Sg8yRp;=b8mno#CE3Euqazq83A{Lex8b3@;Y zeT0L{H6f1Tl19$Cdt86xH!Wp8!}$Gtb+9_gpDw-{rPFm?!`9lVXf~$FZHH*e73hei zG}#kTnWFT1b@JjpAJ8Kr4mam4RfMz(P}(GUNM<=ycS_{+4;JpA?P6yRgX_E)f$5yU>eqZ{s!zS10tUQR; z0PPiR;T6H{$99JmN z5H9~+eaur6A{L3c+wJw0GImsRzk`Ar_imH4;5t1ACUDlV8ekJPyH$ki%JTQt1Fa5_ zL9Q_%CV*y5R|lLYPB5;jD2yuhAg(%ETj5Q$BiblUS6Y4WdNmShK|#TOdlQ8I=(oTA z)*eSG#?^g)bNdWj0{O;uKf&gb)%%l!*z9l25rv*iyz&!9BlA14BBDkqB$7v;quXZ05HAw2hz|$|HKgLk;kZv&MJ&$Jm zctZg$qW9LK(7bd&{7K+@P}-9!Kmuam3X6MbcnuI;%x&8zCvXSNO0+I`D$ylF@GdD+On1=Z?N>VaTGVhh1Ww?r5Jc^`-*Rj|Ifz@Gvq77$(Y^lGvdny zg&Xcs9&>&qjo5PH%ffnSXU2sVo(hnd9>EqsbxdI^3i0%VzqZ9+h?JH))2k#&Wqo}b zEs!SGFyfO)FXMLSK#r2?Bor{G?xkMx5j}Cf(XEUHv({S~5nn%P*P6BXMICyPAqUf2 zEc}kyFB3v?XPgGkH59<3eIK{}( zx$bUP^MAwLoxU*5d^gD*Q%pU=&)<_ref!)cj$i+~?aMt|4Y}`T^jOVCdC4H8UA;jtq0*-BhSNwViYaWaCT zi!q;-Ta8cnu<)gY)u&y-bUWnHr@<+S{0tas1Cp>#6w7eBvWqZj$VbcJr|-+b73lp4u$J zeE;#b8Bh;hQRcCRR{8l_HqL|Af6_kgCthPEF_2Oac3ktccwGX@eHBhiXz08Mb@l;P z57KB}E-no!ddSQFdY)hU^gAV(eYO23DL2?DXI^Nj2`|8wDp`X(Z=QhUIYI!k$AadCPNn#;S`nt6z%pzp;cW*=re-E z>b}|X5QxoEq__a=D=k1j{K#>hLWknvfxSgc>_H=QFU)7jFSy@WhO0Sl`>lJU#r_h( zl^I^qF&W4I72G9Wvv*iik3Uidu?S8I4aF3^7LivtJkL>sb)%{a`;d`l66RaV%8gi^ zQ1=SeKQCN1egxM^2}RyX4dEDw`U|=LAa#kN<6UEN{1`wE1^%>lM>XHu&j1iw+{hw=iFT)efP3u5&bmS*((yw{=I1B1GT zBojJDF~Q82A5sV3HB#GnKH)XqXnnsXgS{&6YD9arsbQU zLUx_ed%nbK6L-U(*oqS_HF4f&D-}pX6#+`Q^^fI+li7=?@hSBx&AxNq7gHM7C@06S z-{ZM)x)(U{K*;Y%NL1<88QH6pQY}ur965sAJSiJyP&faj>A!yKGOt|oHawAgUqQZ-+1AXT{3_dzsu z*#TvM!|#lcz*=d+s=ju6&qm7QfPe28_7>jK{`icXF4Rh$mwEE`c|Qlz^PQrJt?&fP zxl^@TITP!vx$JJlbco)^n`Yb#mA>pD0joKVWayh-1ApOv`mV;QkW9!&SRK32S_{YD z%kEKH-E!{4EmT$fo+Tz{!FLrNlZ#cZ%O}9BuWdz_SR;d|$NlRM`H_NRtW{38^q$LJ zd(x+K&x_$@9~= zBd1!oGd>2zM3&rrOQf4()0!4Ok`*I{3GR)KtL z24JdU&>Io{HaM03PA1khmksk^CuFenEOn-D?z?-b30hjeD1PQ9CbD zB10zmR&faom_pqAg-b=mN(D1Ha{E7h)n3Kg>utY&C$FdEb*<|IYKm)gw+0mb!ZWlt zV!==5+r2a{)jt(Uoj&LXNCi~ATx?JYoR(h>hT3c+6^rBCy_7zFlh|558;ftgSE3^y z+aZ|bb_JectQr5hE^5iGl-Y$Wolacg(hV-8eZebk{N>RGEq}u__R@?`=ujsr+oZ_U~_$ zLrc||h(pNRGK2j@y3+R{%KDSWTlb4^3XK%(<`UNB83mnmgfE=kiV%*Ar3)3#&%ejm zMI}ZZ0!7RmynmT~?4T~op`%@5>?DwRc*b|;f@Z3%uH{BsXR4HN5TF0rg;NOAF=J|K+>2{; z>Th3cytp@9z;qUUgGIBm7Sy2;8#np* zU_D?pKk3=loB`w+-A?zT2sib@I)%UX+9Lo+>DSM^`vk54y<@SBJ^$bsA|nuvu4f5; zl{h{wk;GtEuDKG<)k}Y%TSQe=EG1+PiIVq9$BU6NO~O2VKj}aZ@##u|Hb+WA<07}e zx7%GeVSE@9&e6#sW@Uo`U9%wYytA}XPx^2by3WIrG>iL>BIwcCb`0w|(dW+Gve(q= znPuzP*$*8zT%2S{yV+lKx@NGCb>VsR3X;iuX+HSF?pSS_!&;OPNU7@Nf^uSlqhH&P zzmcFDNXjWvn`!35Dw|)Yu6}f&;J`dUd}Tifali%Yf?FPOw70po6hu6-0fw!P!0Icq zY6Um)3g{^!nXn5Wz>D4f@T=OJiEeU3XqnmtQA7r*M@?t4p+WF5X}NQvXHk zy8m?xpUy2V4uxl=XF!(90YkN=wK_yWD|5q6PqRVk7zLS0(3Ohg&UD6I#$vq-*i>qo z1GNyzd;d{LFpZy=9WrTfBx#Hqh6NahRk*-}&HD>c8qqE(_JK0@QJ208oPWz`gO0Q-aRV3gXr@5stvx_7$kh%6nXDQJ!z{3MJ z^E+O?*YNW$10VtpKFswMkl9*QFF}N%V-sxenvYo+{)cCNYxz~}$ih3VVV^2i3^jP! z>136Jj;*-M`NF@#tiPSHa7c}=`BSGM?7o*ph=)+Vha$*%Mv^4U+Tsii{Q2iKcG{Gg zJX1drq!CuQuke}vQ;)sHJ&8ws>Rf1xOoEY;q0cMU^Om@)S-qrEv`+xnyQU_r@d~-& z@+DNaZu~^dc|Luvph?(WG?iJSPj@7A@FPQDB|Y;u)Gi<7-{%U4ZOHM$2R@E|h=TTe;*L9${l%RpplaT>q>^ zCB%Ouu<#4{x-~(SeEToWn)H!+J|RM_9T*nO^PAjhtBReG?Qoful|_F!w8C3PdPWBs z6{bdY!TsBZ*OUP(PNx|3)hZk5fl+9m)fEIbF1P4UrhB>5j0Z~f&p=PuvElvCrmvIO z)|LDiPj!I9@!E^Ya-MYUF&Lkpu>nrDTK=nw zU`+g%U>aq4XHNbxZx`CG?1wc;e>KDLl&;=N&vm(bdFdO50nBV4WzoDZ#VwwAJKPDU z;6(6BIdBJ^{tZ-8L3PdRWPwS!#fnes$GY&I-x3BV5*yY>W#0S1$FY7xv;I0Myye%H z7v=;Yef^y_;ulhO`&{}R%6!3{{KO#aCf*59%FfKCrO%R`)9|-`mHtQZhcVllU)mnc zI2@Db$QyI~WyAz$Or(eC{e$W{pp6LW=m$7bUv_g$GktC2t*bH=EawAAHoT22=9_ZS-8(F9#gVzI+gzC){Q~}Cr}g8kjiUw zZWA)qBUVLnD$FyBp}zPB$4@aw8jp|J58mUx&U^HGfL@;#d@I$zyQ|=VNG_rg;Tt;o@Fs9JZJ$*IWnXlex)grR{+P;~_vAu6kzl;#5UTi&KLYJ? z8wL5nF3mJ{*#DN>;$yoqsi9Q~f`-Q{E7mkLKbXe(MZD#56yZG%h7k|g?*Wk7IwHpu z@g41FNuzK(@Spl`2`SVpKC!Lz+wr3adEC{QyuD0-qs9)J~eEs$nspD@AbZ8>0u@+^}@9}m@ zKZI@;Q2DVBem%-ty;9&{$0vVEtJ?Z9O3GVj2kz`J5w_qdLWiNKhfIU3?* z@q^A@ypzXB|FDZpnQ;Wv4W4L7Sg<4Qyb*vBmza_&msSdL&|;BXi}#w*VjG}T2)~{G zsB>Ozl?odF|6{Qasx$%M1}Qr(loS1>)-#Y#k7`R=TKitEF!H{K{^;D%z!bOxUo&0! z)n&pVeio~`jqgHxY5njPIyVi84z&Fi+Q91|GFC*%o5ueB9>@#L8kcidJ~3VBT_yr7 zV{@LffbNFa#jn7;srLiDf_3-)A$VfJCZr$1Kzad!v2NY40Y_=M-OfCQX^R8H>2*Ebl3qsNBaNFf zsvW3p2;3GA_&?+^pFG0q(1i+@VX9iP+GPzo9un2MbCR8Dcg{I{CQlr*cLh1W2H}+Bm-&kX{5VH>5 zM?i=bKD!B*JXquh?`-GKSkTVYoyrAPqQcjZf%t?Uj(r{xqOspU997y_!5kv-^rbQ0 z>wmAal=9W)bT`)}N3{|6i!bhSiRMM6zGjbJb^_15vIlG`1H>Nya_|o59@Jze4{H^_ zN(1T|3E0hF0Kb7PfImHBu+VsJ{mdpYPM*`#Lf_8^shYB|=**<))f4};fd_y+oLLiu z!b=50$TCZ+=r(=*7RwhOnKzi^x*vxs|C9(-`g**$Y6(vOX-nzC6p^hnQV(UaVRp|F+WWM+<;p!ygnZ`jY zRiDjjscIZKO_#|a$BEuH%r??F#6m3RwJOkSb=`>4K1#FW`q7krk|%}aFxb-H&8z@ay%jG z)bcT(!TSW*@7xFP+JO6Q{WwFgC5DeJ|IEB_3_f`vNy`of)yzbiYfrt&K!ka#)|{0v z)2V~@(&q!X(q1XG@E5FV1Uta*Ns&LJ7C5iYXk2BaMt0x)01>r8>WltHc7MU+FMrfcmbGIcC`Eg?8Ih~3l7 zGySPi;mB$=%Sdy@2;!Spy2Co(;(qJ9Vs-3p?|r|}&Nt(ZGAlp!ht@wX28bU?uVF!7 z<75>@zeu@g5)BkJ(cX|c+vvZ%+GAFtI3dyEevac9I{(rc)Ll54*{NQcr`FLMa&zZ3 z@AvM3dNoEwW!h8o2OY!x-CAyW&d>68+pdt=i%nRR(+@g)B>r$acA9) z@pxbyFFSruH*JkR$tT9uW;X^@6X#q4IL<8c`r9cNn3`Orcbc`h(y*SXJYO0=y9Gxl zcHhSHkb*Om&EB;%!>rD94-AgTrZ8Ul@iV#pG6>d>DY}*@nbVsTZM}aSU_%Ng7sIJSB#izq@%2ziUlD9I)6PbiG04=LbUBTtd99naar0fu)4W4h%2J2o4gvOK9jE#2 zEarfeY|Oj3L;T@Fl>(3&}rmx-4N5cv;Nz z`)x;Ea0wDnDgUF8wnyv>ul|YH$nJ@2oqOpKEV^r$iBG_Nu|L|Zi;tTY!{u}}nCX5^ zc>YHTWZak~O1Ve_7z37nP%!@&ud@pa-#x54 z*v&@l03v$$XIf50Zcj}U{sx=V8yt#*DorIDL1-I*VJw>cQmXK)%ZVqjDpju7{R!#W zAjIy>NE{PPqNEmkXdDWUZRdPxPJX;$<)$*)sg6MucuvNYyy}DeH9A#_oX87xhevb= zjzAdM@~1KaN~JTk@A)m@vv3VjQ0>4HOE42Wuz0a;YO&Hp)wNn7Y1pTT!bA6zckTO$ zkS-n6vndYKW7fNt%`w4TBN@XJ8x{XujpDW}mFLfWmIL?afLCU3AP1+uC>}b2qy!Pp z2vfyUyN7YUbbi4vCJ<;2>{6t@XU)dY=4baWyLD9hgWLVDIQEu^iJQ|IQJw`6cwJw) z$6L*H_6v|-`>&67fh88MaHtZn7KFOBUGQoc_lL=lLzZ}VQea~}a~^zItZ2zic+i0> zua5>F?Z|)r^sPnOBxt3_LtUKn27`W`7HWSf`#RRFEC9+ItNvC+W8M$i*td5gKtK+) z-u`E)Fc{-U+iBZze`EUelie?>kUMv)rEkv5)27&L@KmX{1B9!{@uVR= zt`8sHL_~RzonX<9aPj5D0-$-QnTbd@nEV}g*ef%Z=;Y8C{JVJ6b30B3WL4BQ;-P#C zYhR3O={?IxEAV~TV2lb16d0-!b92SWb>$@;Xs(uleW!yd zBsC>3Wx{uRWg=-t2Cjsa;3@yz{X3cS9rr~SG3BCs)i21!1%~urE!P7rzj@#>r{<4| z%MK;P3w*w^(ar&t&+IqL>MJOIgWYB-8@F5p9=`LeFW8+^cTL-q)wLocrxV3w-Brdj zxtXyc_2F2sUW0}}pRw~t7MI@LDkC;ubv<6s1;avgx2l74+L4_7Od4f%oGmvHM@bS6 z34?i1?T?=8!<)(fQM_T}iZ!45U|3H8b8o!#PoWFQeU?W4f$uf`?lRsk!jS)=V6scsvf^Eis#O{YZB*%ZlPpWI9PgQ#{IK<@<=uA9B0(}xb(rk zM1^>ch*Z?>L!V&pzP9{sMghgxt!_HHW!J(Cv9g{JZ(`SAs-LSzXS`+NJv5 zTsee-7R>7OjM45%jdGp7Vg7`D<<%?H~f>FlC%mUD6qBI8Hv z3bDQC;_j>;1^=U%nYaE09yfE&p{$j4HG9EknN^X~)jiBY(vaE;z5=pG-5>x`l~GQy z)2?K5eO8h64w>gt>lG>H;a|bNf_hs~Sw%#eI_`>T;y2$t9Mlb9`AaaY9 zk=2#5eeNexQv!X+J712L7KyD6d;8W}UyF6Jn2R;7`nw&zvm1VL(VQp{F*>RCIX%xZ zJ&zW}PVfge`(WbK3p@~5grZ2(e-xT+ApD*`$*CIZj~(i7T(olY@2&bj%JE`~<9ue> zUq}Gh#rhF6yc2R@mDPNABlDrHk!yi5*Xwm;KLS{winCO)IHG9in;_H~=U~X6)uy7~ zDm4B_q1VVoIMtnbELz`wgh{qRY)-agDzWj=k}V(+j*oZxsv0JR;dV^={t_0Ewo7a2 za`WW_18eN`I)pD6Yl5yaFW9Vq?tNvvL0j6o%LmJ<1tG39DbA=yt}y=`0IPl*wZpU< zbR*Z(1{`#Kuky(eY-(LL_{n#No3oweS)TaFe?+a-5iP@v`J-iRy#w?5So+&9E&sZ( zpRjb4Pq+!UNmKYcK-^XN-<6DW3G%7Fq)n09$ma`$|LuQPskLKz!BQ>sI z($=aI%rZ^ zM2i_I4X8UXq$j*Rc5?Cj<5<0uymQ-ReUIGzufi2BIjgh9-O_aassD_pvo|Q;2rXZJxlybGJ0)@bls-9=9X{{c_+RQQ8|Me!4UVBFa5kWF;Rfc_&PUX<`2=3 z@MDEWtJ{n#zOGHQ`ywq@InUDp9lh~{;m^a&7x9}x#XK&|?9`P=uJ~s4ea|we2yRjN zDVDRK#Lu|r9dG@{5!>fwTk)W+AJXUuC?(44MxEq)xE(9o`Z;L+&S%rEU6QL(c!Kc-RPJKFAS#`!hvUQ*_ zk9H~SGuVWdE7!;BWGI;aaW(1 z+KvOR(=XR75%02vz;8*##8)`|p7i;MxYH4R%c;|QAJg?)QvON`DsRQueln1IWK3a; z+bzIR;bX8v+W>iu{ zWFfSv|DiV{AS!T?mtovB*!0MDCV~TcIGa1Y-x%*3Vt&t|z5W?p)J=`!^>m>{j~>e7 z43Yxj3-Bq25=PKDVe)sAsrHbVqQj>r=jDf@HWP;j@0OW+v=w>wBAj#iel|VQq;!{) zIxl4VkTa8lRuk9T6(}78HxWYF}v;F?ZbAOdChO zk#lv+%%|LS+KRuehL&cpn7aM#tM?z(>`ZUm#l$_=54BEdf6|_K`nOwD#O`D7=xV=! zfYR#z{TSZ5oB!TpYQt6j)0IoeT#axjC~#*L>f!FQ?Z)C7hG0T&Cl zCzuITz_aMD_2pQC{vXz{F-eZmqk#D*BXSs=t}5Ghi^p2>gGb3%>d;Ap&p&Be;<2Bp zPILJm%fzS2Slpl3QEqd>)n878LqzS>b`ldbS^Rv`f`;VS$+8s0>MNQ?FRwD7g7Axy$&?mx5TI6=(iTU-=R8KyRDH$TyhXfE!zr0P#th`~mvI4-Z7kmZsD_X)Z`oVUeEhJ91#)L_)3o zt0Qu#+{NurZ~81MUoCoufL^P9n}H2wZ1zQ4$DRda^St);-{Ne|UanrSJzh-`D-_d} z9Oko&x8IN00QpfHFQO_TU5NSJe&xGk8+ADTa&++BojZ!tdOPw18)(CY4-IVV5uR6d zZ-(xu!8k|>!A`-Ez$dy-H-FX*;PhUVIyYN1TjJBa21TcjMt+z*A7oyn5WIU3z1+S; z0_s{v_=0LbCs$P!7XC%*mZaZ$23Vnm#Lpqk^e{(^GcY6*5y?a;Y&MaS(dr~s@4m|@p9 z+qR+GlT)$DR2{CJcMPxpf8Omp3SU8ZvcjRIj++TR2@=xAJ*3z6WUMt76Xly^`IQ16 zHmqc0d5BSrB6yP}oHgbg_if2I`c!-6R(9HM#>?QVt9I;^aoh{re~*@zbo&Vh+5CQ6 z$UDppF8=9jrdU8vLD5<4UVQR39CKx#0CeC22B^6Np2~MSk_k z=dZl_FAvvW{kZn=rM*z{S$*i238_mS+~{U6s5UsV4#@xNEGIO%a=?TI2e@Yx-o zcbb3p!e2ETg&W_yb-S73#_jcLzs|U4-a?O`D*iOgF5iYZo{i%XSrsmwUOQd-X&-JX zi6m)(D}YWGje&g0p>LOk^=e7i;na=7bs3$~^@s5R?6etXoEX5cG+wJMRVNMkm^ z;C^W6d~3rh*Pa5JYhDJA8q>)I^QY%p5X5O2{lbOh-hk%C$vfh=tee_3l|SZ-nT*P9 zv`Js~XLC=tD_Q#iiI}ARdEpbgiQ0v4btVt9=ZJG=mU}%FGsY@6DP5;oe((l$T|qk6q>sob6UvaG|64H%RUz~}Y_R&zp6T99`MRYXg)uzq**-K2lY#lF10 z@=FVhk8H$ACkLkPO>rI+BQR<5EQ09+VeV4ZFRv%9ij=*e-{Oyn@IlkbuCQNK^H5V) z5n5a!x{9=!mQ?5n3Lfa}W*^|&=e@R|h3xBo>>`{$E4QC$p6o-873)=F|K>mgr%Y|` zmkNDIZ7$|;*5q9{H*nxRTZ)OgEauAW+N7!=3Qn>08qIJ%XlTqha!UV1msM6y+DoFa z`n4Gs^d$Ke80RrK6%zpY_Vjy~R^^>1`n9DPrJE0*ZD+5xUFRC=7Fl;BZzCUG8vpdW zM6XZ9m0XNQH$Un2Pp9L5;4hG7?Kv4=jECQ03|nj6F6Z1mRbR9-t?uy{hl`jc66{bz zRt2KB{H84*4x&+XDyR>G;U;!0U?V(!OHJOJv4GbXbz4d;NrIG{Yenbri_x2hMXAB_ zqVfY1;Jy!-{C%hJ#?W@WkU|oLxCQ)=*xBD2b zz{r3SS1$-F!Z;2tDdn7>|Lo7kBRAAw+XHsH8QWf&m%5yC6Nec$;rU@nawhwzqn}s7 z34ukuc~2le{L89JNoiy`#{Vd$WO+EJFk1A3!amAz%(5*qO&4Q86~>734hP1M*9e3M zg*)kDW)%(C`H43n=uvKPpR=sMM6W78*!ps(s2J_^89}4># zGNX zF6iKu`>Mj%*gQ>MlOqkQC@^GYGpam!Rpe`PevZQg-=y$rYf4^Y98)Tj+HHhbm#d8y5P z_(aEt2jScZ|o5gr|}DctKRWFcLs%tE@g!Y{R4B{G{qh4`-99J zw>nSYLQ^)<%_Ajcv6A9X_`V$kX5x6VK4(oCRL`RwOk;9%;} z9(lo|MrwrtNyysD3r4v5I(N?GK&Cvqd-7e68Y4O4zf$tv&-NT~_B+F)2)(NcPd>B~ zB32bz)MHot%%CFOXP^7zk8|Ex(XRZJ6PQjAom@F#+ zVfZ?qGyl7m(E7!Ij?GEBRJ`O& zX(yL8g?F+HY|Y4u)5&zOTW#r^@-pTB(K^R_ZIej$K8xt&qW9B(PEcPlo~(QP^^BG8 zNAh4#yVlKAjrX)4X<)u1MViXdye@-O4{3L;O^EjidQe?#X19J&2}InSVOTZmJFm?N z{0r`Cp_07IS#aX%A}yz;j=B8kVFTVZCvKK*k0Jd;I3x$5cLT$lo8lN1+}X}J)PE`B z;lsNBkd-c?l9>x0#p0h7N$8pRsG}?a^w(*9=KaHpuY%%?aT-L&Us<$y0D6Ac081Nx zJstnl*UYNsX6wZK5ZeA4i+Hh!n~flqk}7?t;-vCZLP1fM^se5-i8*}v_1Ju2(qE45 z*xWI(ql%@aLCPC#`j+HgYgUzMunUB}s$bebM0oCqMU&%ZzfS7~YsGwTY<&*9%!Max z!(UUp&(}65%T4E*#x>l}s1xdX5Kv*Sl#>OTCy5R#3UfB8BD_sW0m}-!VBI6ZR&$}s~%;oiJi;-@{b^^-(M1)lVw?cSW(&p^v^ZxdjOZ}9P`)w_xM8H zX9tmCd(SluRf*)L$o?VCq2n0C|0reySJjHKXO_72Kk>odg{ELD4(Wz5^F&r_HCGJ#zTT{!{9BSVMR zdImyf<6Hai0a6pmUGi_>CCdstAd^AtfinNVonwPDVuKcwA z{U@%2^7IH5r7RAt@XnRH#2|uOTjB7*!b?>@ZG(#>N5Suj)UyP zZiG&17xDR&3*>;my{<0&0qQ5OpH&dm`L>nH@}@;%Ij&SUuIsW3m;bKp9RW7(*rV$D zCVE36@xWRNIlCC0#%Wd(7vpmzbmP*hbuzahY1N58;gO-}@dx!Qu+Q%)WGQYhR#rAg z4cY@HJ5DNDU=w=Y^^(&7Qy!~exj){lJ*_l@+lknml(x$lT5e8#rWV-$hbXOvn?}A8ZRzA z_-N(jQn=1DU6|~Mm(_#uGG$I{D?icx45tRo8;=l%0)zcmW~OMb?XS}UPRK?A3LC72 zyWZ9xN9Igz9Y3JWDsrl-nE6(fZW~XqWlxLGyV4YNH#l5TH;)*AZB^#N@y(d;h96F-(1gjS%exe3fOhGJ9sR{9(09&)Xa8L$6 z6E4d8^vc8YD8Hw)OUts`-7rP(54hK~sr%=_9kNx8EQUJy_mY!ZtnGhq%{Yk;pU{}A zC8O7Hgu{+|=Nar@>rP{A*6TT}*SL=UUJeokHmuVR7Fs4SxZA;9f!H%T=YQm5C&agd>t2yv#jDrY!^GwL#s7)H5;%6LoFf10^iKL!@ut7GbY+rJ#^;<+-o(RjEL` zR;vX97AZS+Yc!i3d^~P3UY8tXY+_(JqkgQcIq5Rfhr(I=G3w2j)%{12Q2$-`ub3<~ zi;lRT;;9m?;xr_RsypY~F1XYJW#uT}oz5Ebt`FMp{v}+2nIoTmfCfmE`1gM|njTaD z2ib?;!a{o3%E4XoXJI0z-wXapX}F3c?NhuA-%iz_C(e!jI}9nP{yL5Pf>?h;mM^CVCzV8rIBz*)NtfSF6?UYVAl@{b&jOY>%z29dv27(pTiAj6zNK0dLtkd!BiI(1 zUj|wwJ|{DFg3hnaY#sK+6L>bev}rqXDBy}uY|3}(h;ODoNs^p5FV$8Jq7=5M2f5YE z9~V`P#Ay!bXFfLh)mn7t+aaMbgaa~!t17skcK!v(0d2!}0$E{ndDP$4(CjQ~a9h-$C%=*ixZj_?D{&kF6^L;c6nVGs_>OQ9pmQ3X*{HBb) za(#x*f$i=y6FOPCqyCbjVO4XQ0`qnCpEhkh4=_tqG!#@$ z;7-Kc#0s(lHJ52ZSi%XRH$pMOBBG3zemvdnT+g`s%XJxhD%;k+G~3G-Br?>G)yVOs zLn@&1r08J#96Iut^>yM4rxgzF;P7B}$-n2HBo~g#X~GK`ls|UZTmpesjLfO`9`|Wd zZ0k$rvS@lNsa-6njkiP{R~d-2zsWnCD#}`p)09j*JO+tQ2Z!lhsnMQZ`{cl{8B9Rz zIDc5>$EAi4)I0FP`Ln~CZ7m)K`3{F^57Rbtc@G|5X9UuT>MmIc4?1%IZOpNW%nOwo zKjpZy!jVOaEenXP%1|=eUJI^Ko>H(-RN=R{_aZsw{Y()MbVb_@w9>{az8x*Px5>lu z#_{l0Z}iD@-Nm28F!S8HM1v1#i#ewDCp9x1ym?hAxFa51li*KVNUK{}iVF*bePVW?*w^qfL z!@EU8$+TF}uu}=|Ri&4X^=Zvvd-NU2Pkr(qf+VDwb5SW2E-Z^xrs>sXl?TGo@E}$# zt%cEpct-|Om348$d1RxE?$Z&Ee3)vN(m%xT+ zsi*^=A&0vULcgTRm5JW)^EDtGV^~BgL=;C=EiHbXKh!^aNA(8t$XJ%*p5F)zFl%*6 z2Rj3i7mJ7oL>-{JrNXMKVg*7Zoun*v^@6EYrMiTqXMZma4OG)N#Zx`HOC<|WL^#32 zl?8E!UFK}@w}?v9qTWabLdeCX0q0HZ*&VAV?Gd1s1Wk@=&QQ=2(zQ%omKk0STFC-c z;o$=Ny4Z&2Gl~xa#wzlZNK4u94@$KU;$5!fJT)~Q)-eBTLkCiRuE@>>cws|g{j4$d zd013mT66yw7_}qn76h){I0coc-!&QEOH!fAUe+raSnyFO7P>ESw__&gje$*c9Fz2h zlag&}#s2RdVw|94z+ zz4Zco1{EI@uQcG;R&69;Nf3lI^X(&@{BMdvjHXvmvxw7hHC+OQnILVN@kNxW~| z1-e3J-h$m2gR0u$%wN^bcyAZh#GyC9ec`fxFb-9PwMyPFtG&K<7vOR7V0~81gzLZ; zaGpbYXhs#Q-BzAfn}($ooDyhKbNX#ad56xMmm9-|k0T6aiy0ObzcT+Jo#U02^QY}h zZOUyZi))|SxSK0nxn4`BI!G}-iAaw)kNk>H2`w62E{2-KuZzGj^z;H#t62fn|s_*B&xoW4{-OoW1O+M?FA5 zbVD()>1)xFXbT;dD(-H(l`$XBDaorrT z6%7#gLNl|(`oQ?g1*WGA@yo8%J|W6Je34fxdtTo!s!YlA{W}tz6^lLadeZ8{cZt;K z^=d0?UDzS3hx$dV*tcL2D;2q%xeNg*7x}BBGne9WBB>Q>s^(Wqk7aK?>00|Jpu~`2 zSo@v$lHg!p?>lap|A=+U#n$O8=m!Hl?E&n?sG4j)#`+hGhJY?5ccHKvpzA;@X1>y* z0no<+`y?cbuZ{@4aN*JAsdDpVwr${x`dqpp#v{wKOH1RYywI0ZZ`~OjH9Zf@;fhrJ zfJGQ(9BE8^>RQjO@w$QpiDvTJ=6t7+=mUqR(_KCV9X{DUeB5$?87p;c{f~mO=0Ue$ zSplDnzvtjqTAZMC18N5*=*&gi`ieG8naA1K>cRggx(dIhzQ2!OR8kNTkPwg%k&>># zELxa!GX?1qlpah$x}+sXN`rK7^rRc4V<6HSF$Np_p8Na(_rC5q_rxdOfl~=f;!C4$ zYEqCMX09ftQrrW**AcJJ!Wvx0)C95lmK$S>d$RS!Xb1`Q0kUC-md|(eui~E21{fKC z7o9f3(Dssl{}e_1gzq6#65slM!hgmUA^K?|*0DxK_~<7T_$@+ZxJus>9dg`}Ib7!?h+WXDGQPpIu-LD3k9k z(S1o+jTjZs6nA52Z^=oToM;?fXbRx}9~rG*Gb}1aV<@pG8M?6pbS9u41VuZWX`pGs z=fLi-0e5F>{cl56oco4HVsFkfiBIUq_4Q+PtG@d9pSXvq9mQ7v=4Q2?wKsHx=H>hW zsDKKb#!n5_VospB%6D+pJ>XC<_M=)XW)dd(lMpFAqV)!Fk`O7>jRn7I&*>kjs!F&` z_Tjxkm~IcNf>)LPhI{uAGy;Y7;EI+~EC*1dbGmbDZ5?4-NDZE7t;Xi}Aw7Xh2i(EF zAU2O-#*(CHt)vBKl(xuLAZ)ZkRdtrlD6NCdi4!u0Renv-2K31R&ODs47lpVI-#sEpDRSWu@bAJO3JR`rD3EPCxqiX`Y^`!kQ#YK&ysO;zmYbWDkSmW# zaAl!*YP2KSaUxHPKs7i10S+F=Dfs_70&uJrB1{HBW#&$&QQ1|wXO^;CCe@m~-2S`d zq(#s2oAWPP8>g=eKXYu&tVZK`4Edd%i;7r;nl_V+j@%_YSS{Y|8kGUsenrb>tD@W6 zT(K}kul3b0vkJh*-pVQZxvTB&We<9+7{RytOpu2m*-ef1Ms@8qGjQqLQ5J^DI!n#A z+pe`e@d|J#Moq3ydbz&of9P3q{K|dHFFI)gH|;4j3)D%9z&ByiMBVEN6ph$poNfFU zSL71{L~D8>pj_8W>inBFhpwOI;G{fM#3%KUA?2>>MxDK(8+dxFlnZ<>a?*uU&a~}4u3|{x|Oga`sKY45mP5xc@ zMV@tA8dqjXl21>*!)Ro+XteePd~b8^fa1V@JZtw z)i3RAi>gBap-*-JxsxB>zbix)+DmncoTb^@7K^Gks|yx?_ct1HdQlo=016uj8ymd) zRWyv9_~Lqal?BOXF6oE|Q@I)iL<!0v|F!}wx?duP+(LGe!Gy<2f}I$?sH4xOWY-pdWD zFXJfwYli^C4ZtEWEF?y)&i|M3WLYOhvm)6S;MRmeZRORW4%Ee*Y+e3|P(f|>HsY}z z=$pmoiGE0qW?AiD4Tt)6{kiSp(y@r4ojyyQ!nE@br22_-&=FqlDpr~OdRCcw4x|#W zfgp#;Po72>HmJ<y%RUARe4Iukp#r$Q+iHSuOpC+}kz5HFDcgIU2 z7QE#G#`bNFt3Qw2C6BrA!-PPr0NxE3+Zez80Hjgr)R?z1>neR9D#Tc<`OU{6rHgwr z>{ZMMMf48S!l; zRztt)W~4Z9mTkyJf$eQD*|O__f75UjXfu|1H~S_^v3jLjEC+P818w44+3D|%Y{Es( z!zPMcywv-X3OA;+s}5R^yrwEE_A94*$WzwKfe*S$(t@85Bh%`s)++q%JIpB+=q{U1*)YH7DhG~o zU`O?>Ji*O$1qcOLs#In6RLjo`+xbz=Q-+Tw&C1O=Zr`kE6HoCQ1nr>w_LvhJ=iFVZ zX6ljWVoZtaJG(7gKCW9$*SCtZkEZ`372#bnHUxVde62e8l#AX{gTBvMTi#0qYCL6F zDfLiuYe~z+&cxuxT_aBOhr@;+J$sF+YKR=y!|+?m+|Fj;IOH?4!E5&B#Mw)lhyTq^ z^d__z8TrwYxbQq6z_ji!N)vDvMcm%aktH-?>w7RyKGcuH)CvY3NAhmwG;_YvlTidO5DA^?BHR3BlAE&qh4ylii$k;-K zgTT&yxher-c+0-6cCAk$rJnC4o^rq7wr~?VPNX9PoK09yeN&(1Fi$Kxj*U%lMXPyScgv*fhJiiV6Ef;8iU=4@6Bjmi)HnuS zm~|b7>^`bUI8J$_qFrsPd$9@!M|hIlr(C;3)*muy2&Cw*PVwZn5GHJ-V#;%ON|58F zV>|jyQ6OrTEi6XzMpxp?&P$Kh0!}HcscYr>uVFcT_M4=C+LeXhN+(j0-EcUd6v&L= zcwY+@2Pqbg2rL_=k^|@5mjpdE+?nMwiI+oT8N-1%N>xR8q^B1_O5lrHG@(w?N{KcW2Hxqd3C1I>vrmxaf4 zieX#7&=eH!eq*FB4|3sMfUKUqBI4_Ur1`Rw@d|gw$_9I-vbLz63+Z4hVe{kff5V+0 zN4_h5uKw-25l^(RSJ25n%sg;*ts$zBm!Qe!>Ka;==Bg?#;P~Ibi27jsSCwFEcY2c0 zM17@3yQOpapD_@NN4aQ@O+t2&8nHrWqs-utEDHm=)*v+eab5_vtXi5Mb}_FBG#y}6nmxbR&&+tXr`?uqGt z*)ON0EgVT5i@vgMB0J;E(kqt;J-$Bqz!=vMZRfH0VsMdnu_0MZo}x&B6&0nr&_Z4e z9x77J;|Z3ib$hqCs3Zwtyy&}rYkc!2c}eL5{0)PXm@G1I#Iy_YO%(c*%v2j!?$2~k zz20JqF*h|}IO~BB5BZE~IxA#m957h`J*^&E(k~9}g)bZLAT!WIwia!o>LPPedxc>S z4C)cGZ~Up>Z@vtHza!g!s<`MC_gZQE?EXKza7EFNbe1Y`dLM|DGM?&aE9}|8S^biI+hl$BI*|&Et&2&vs-ct+OOilfU?j#`h}=P zbbQhLE^hhXd4}-pNuAh$a=&N=>&HP{bONA<+=;ifCz`%fEOvW0n^FPtR$5Jbb-~*&xI8^ zGiN^m@z8A82ICV3fDxrQw*;?cA+a#_Sg}m0g;yducANAZPc#B)y;-ooMH64eE4(%& z_Zb;oI{Cek_;V%F$ylt-6S4?!J5LDNIOp|)zh5_wLRYb2e+W_czb#3}4%R#y_**r= z&+W$QMka7G=Q$aSWlQ9&M;P9%qo#QU$bJR`WTh@$5}5dcL^lIsaa;>@)@+?g=hJYf zb_rJBG`5SWUfEJt@)eM)9xGXT^|2xh zT~X?obQ^xF7yZxo`h_m<5?-upDAU0lnh9R(v8rR;aGD?v0#A=g^VETGfvM=<7C&C? zIvf8q-|Xzs4RzL040Oz`$Ym^3_@3PP=E-up5`Oe%zufzR|e8Wc*P2Y{T^o#{SH*m81 ziU5*eYzAddAEmnh82-dZ3ZtX!!_c}dQL}PxqP%y$H(z`w(_%>ZEf4~=hACa;O0NdP z>+XyuZH$G%8F^cq+NRGm>}s&YrS8=8JAXa9BA4{v&fJVnKz?yWat z;h`k$Dth|mzE8fXjl0K-{SVdcdfxkd>10gE5jvr+9l^-!=ORQdg$fRK^}Eof=4n6C zExRacuWA~S*Eo!#g3zXygYIiI7SKi|j7z0?+=AEDDx|pn=HreFf?82T!?dp=q*i0O z8f3o!H||9aM^Z1_n@eQNC;NMKstM{71Kb=>gcsGc+Yot2M^-X~Sgu~g0)4mg({?OZ zZ$JR#rc~1cOxID6*J7nrO6adG;kD_*nY8S|TwF$80qG-v!Rvu8Dz0~+B1DDj+bRRP zS^Pydu1X&`8cXW%$MV6}-2UuJ_}M{v4w@k>=@Z!+{i3A!VuKu1DUQQFyh|tcsoTPk zx%rrT=LU$1cpFATSl@7G@P+n(@3#AbUaUWh_PVotP(LAg@BScDE~}O1+T9mQ;xSV| zkRI$o;-3QrR>I`RVYV15W$vBSctPj%_XtQrK~K=7T;3bOOs&K+PGIb%QV$0S1?PxSCnLOJ#lCEJOoE1DsWZw*(K)br-q3PY3(^bu54@Sm|ND| z9@rwtS@RMvVI8tfqv+syV7rN3-zP}|aTv4+WOm-OaDux}^4hlZ z26V^P|5kgHG=W0Qwu7uVCp_bpbgkn{rd;T)Ju;eGyn-akV-6QPJb{NME$v9%rL1!$Nc+y>P1#Iix-? ztw{w`>YW!q+*TkjMvNyyZ_!Md_5ntI$Jj=DQKIzT)KpoYq}m+ z22J~erHpXZp$82CRMH}1_xfMhN77MWGi{gfnKrJ!44Ime{+Cgq?<=Y6JS2Tm-_pW+ zu7e>-t!%KDBY0w)(SOLFV|(R|!0jE~=s1iCi>Ry=Wzw z;a!i@#VAt!Y(>z7L%y_EB8VgI?Bd#YF^~P!{X}_6uy}B5<>*A*6$su`nSI|Uv^R2n zws+O?DOz?Mr;2j5h%2accd+7*emQQ}2(ozdVywt$5_a$s_ioA5jh$A!xdHaN^3y-- z`sqEmome2{Sf)jU!0WiWp>daUk*83Ke+x~3Rl}O!;p4<^ZIcVD zM~w~N3kN!yT=>3*kufy0T_#yzfsWxi7c)!=tCNSt?`bv@l0L*cmpv{LZ?4I3nC_>k z=GtR-u$I~8xT{{x02t8G(~`&ul{m=_qe=`t?ZO`ClP1&@NU31w@=GeSY#pt(klZc# zg^zS(Vq-5XDGmg&0J_vCI_TcsWm+6apx|E+MNO2F-c|$U!t>dqn-Py#FQO&xijQxg zo_9}|Y6gvE=*DJO2JR!TAQ6>n^k&By#vMqt64+Z{+Mpycf)G&{X5E@dG{VWof%uE1 zw;B%SiQ+Yb72k7Xl~o7NY_eCDDdOQ>;;6M$1+fE;BMf~+!f*~#yM(!ezl;8Z$1_D1 z?#N@qqKg(4>TU zb|Lne;-}T19(d#5bX*hKd*xy4RQsLvXmFUn*^aJ7PsI&9`U&^#6%Gr>7Kcx4RYMhO%!Rn(7Pi9{d(LHN$F|`G!{b{Q!b}kqN_497 zoDFBy`!zU*%IiD-oPO1@`?68dt)d#1{sYreZ=lxlkUYSR7Y%m+Giv1Sa6X(oux>jx zJyr9rMJC*y&3M-NPJC_Mfca*;{vo`^JyNR8eSWG7@1tunBK3WA>e1rg$BXH~#Q0)WD1IvW z_5~SFU(r}Y36>u_GvReVXir*XFsCC-JGui-M{NV)rL2FR{N!`(!qUG5h8E6Zz{#C@ ziP!p78{Gm+{1TGR^w#ZtGns$F=}+H~_0f(Zr}IWE;U~r->hFK!K(_tp!HK*ryL{*9 z3s4ix=k&_()Q>m#SR1OnG{n}fA-Yp=D`Lxl)0ZzPCWt3@x<2+XgwbMvv%x`Re?x?J zb5rLTbqVW$P1ZYqMyt?K@Awfe0zlIYt!k(D!p^C*XC4^8jjVFBPdlnl6n^bWPWHH) z?7_imC9DI(z-J|tvHRHBPxw%IibiZDcB1JK#hMkw*0vrr}F6n;Jy) zQ-jh`d9tjXc^Lf!35c_AaS_rtV!;s8&nTK5k6j?Stc!O~DIE=dX2?jBo$zLpuFmJWwwqXGJ`)FK70X>E)JM84$2=IHIkI9FFb=#hn~&5QT>K;eWgML6%Z0~W<$S&}HX@jR zo`c_cZ|Z(Z%(ha6++7GvRZU%5dKzxBoUhlr6}EJ2)-i>@4?I)=dw5j@cAS@XzYha9 z5bR5Qp5a;#P$iRGO;-z7YfjvqFK8tuxYe6R?qkNJFBjo$6obR7j%?%7ZM zzM>Y7I_Eh|%^rp8O&>Pg(d9kfv;}+~R)0EG$4I5`gT(ocf##=K4Q5Ia)pJ%g_>fuA zbi=aX6Gx(gk$Yc&!#e_;v9kBJh8G#FB0BSdp-YWbo2UP@kj&Gxn$AT~>TIej*S$yf zH)Bra|M}15I;}EEyGJlH*I0@aGN<}FR8~GZ-q5=(Ii2W{L#R?d?_qT8?xzV&1WP=6 zY~niD#2sH!Y5rjHk3=R(lsMz_4mh!g=%^~|A88Rsr zJ!ApvrSs?y@Dw)|&XN=oax=90AK7-LHA$8bABe>mo0qdj0hD8tZ?=3E$CO8;z43N? zXB!;XYxrG8gk=@{_|R{rqMLPF z9^^6ipjs^Pzw=v#OFnc(9snHC96P0-)a~Cct0$1|*qO?+__}<_zG-f@V@Xt@{AwD> zi0*y&t~}5w4ehKvX^HqZlC9Xw2!oo72pF^^{#B)NwO1TS=h-jtAhnDYxdUGDZ+$6x z^&ONIn^tW;Q!fq=8r|t!Yv!{rzDf6DJ7WcR)YZXdjvWpX!V0^3IH6@{q5d1P9EtZm zIqXxod}-tScYP@~=u&W~9_Mv1iv_*Hn>&hzE;6mBc|vt3#|ekfQ9+4>7x zdH(}!y%iq2mi;p|G2}2U&G1jDowWOWW^W|zVuL%?ZZBo=^6}8WxSr4-v?*?JE$8I> z034;R7x4hRhJe>P5PH_M#h&BP`#4OtA-ge_^rO8aKJrn<>*wLWqx~h+=?-n)d}*IU zD~J|&VXLmU0j^0erOIK*=OU4+#)oZ^+5Z$s#fe`IU!4f<2Rh^Jugb2agSwSv>aj;( z4!r>2qM8|!Q7w02KKr#*`hC(k4{!Y^hSXcP=czYCMwMu-IQ0u0N}88tiW=GxY5`K0 zG>?1r;{+ClFwXlVX=Avc7;39&g_9ic1>~Avyr$+NDv>M*Q2wSc&>bA{O}Ud3L2e_oJ8c zu3e4aO|k$tOWzQD)m1)H%wmt(>LC^tCE|({H-9bd4BcOs+-v&&x_?(o!-Q)|;b5u8 zgRTEA2OW%UN!q9&W_Lt(Fv%64eeE6Mbmi(DXvLx&?PjEH=@_6S;w7duaT$tT>{n)M znf$zGZmt-u1nxo6fWR1M_3gJK4!EKnTX|uh{+l1Z_|kR!qVES|b;rVxa#tl_2WM}B z+WJE=GeZKT$ayZ+tYJuGcpQ|b;+nr08W6kxku^pArDPIRz-g5e?gXKq-_w9zT`?^CKN0U&kDf5h$^0Vl% zL1RBM7nqb4I~LtH_D3JD%r=|0#&zGf4pZDGBElUt*MnqhZjbKr*P)la!^U;mAA7w+ ztcdJ7K3Fy38X?nW4&blG zDq}m}_R4Rx*htl%SDYzwoT)x%nKS|X{5H|7O zB6kYX^!}i^vmE!!wZ!N8#`qN+R}|P5F`tIl9XDImhDPSxPdzuqNv9O`Ur3#0sXa1% z8NZwcZliq}`dN|YoiuE0jTpa>xh|6g?j2$5&1g*jxqE(4yhI37GMjEVn9AOI@Jx)C zBkOx*Y~ei_+yEimkT8IwflfDQ+w`WfRppf{?+pkby;(fDQq)pw)@pvgy-&MIclK|x zYiLo>Qch6Q(!9;0QaRPwmBV*+|e7G>O#_o3{ zJr>W_@U!^Se_Ab-F0Kcu8XrFP-iQQ+T5AA=5IHhLqkA;m@s0o>q=HCWQ_3mzv#llw zqatDve+=KX^33h8WroofJHN>#kITkEsY&myGQiI9bTFQAm=*rsW~Fr5vuHO3>9AXw!LMgqPPGA+|{UNHAtk3`93pwis&tFFUr<0tAxt0nvvu%)2rnAy@y=AIV^KhS|Xm_ z_XuHsccjTnERd2^IkKLQ-`#i=_m{PkTfFM19KDykYnT{Qz}(_)cR1BLdqt<|J2+YJ zWE}zec`a6Rv$83F-fhf}P+9H>udOCAh-m}y|F;h{?u;;h97EKTeE~c(caao{t|YCi1SIXwHAfQ;UqAJN%KXkf_U|sIg}l_cHp*r;hugPm zSzhgC}0)36I4oOZX1;2<0ujk;*o7Cx3H( zEac~1h)^~XZH(!BkzLzL5UeT~HKDi;Tq zSy~j9O#S}R{d#}bR~Ws$T=$OtqIHFD2FdRmuSRgk{_N3fX>MtY8ts4h>{t7YC{=f; zZI|J4sy*L-H|!p%1VDO^2#>k?t8e)7oC96Qd!naG&OJzW*M&_s_lQMPbbC1@7jseV6v z5lZ5pf*BV3hV+2%z<4(x%f3N#y|O2Z7KEs~EoyNq{PL0C-JbW>J)ylD3|P_8UnPSy zuxc2$4s2#0f)aS*=%HF!WeQ?ZYW0A=zBJa-E=)tF!h2C-D_!61uh{q7l06ee2NsWX zwGyOKmufneiF>a8^sGMy-ZKj@-EpgAaxOnO0aOo1z-u{H_oqlqM0JuP&OSm~1gzQ+ zZrK<>-?&uN3JQ(5*J4cuIXvTw!BT+D;a#ucYiZY_S0yNyv4_4>>V!V5nhv(V!#|D9 zGN-1}LKq*A8_BaE6zuDq>QW zeDzrAX@+)Z`$s+XE#J8VOPja1cB~TCPz0X2zUuHG@^DL6=dRYK-o7cbD-!>wlEHK4 zyM8YBgx3?cKOJ+BeT^dr#KOFklAT_5C=ANRrjG6aHDXIz2VrdxsBA;u4U(gukLOsF49Dksy~tkFm=~Wqqj^LJxrWL zSKwGbqJiZLNQCG|06%ZW8Hc*G%dfA7`EOkuS7D&_+MtAf?kKs@SCs4Clqm6sH~Q7K z(EH)QFRmthC3+Kdjy43M+Ff%=V%X)riz$~gB|AnXeDNn%Az>qY*+0D}5r0gxRecTL zv3`R$p!RD5fhSLKV-fY4dj~S+if~#ruUw~hg^7f)UDFSXJc1X;KlyKqr^LAPM?8h3 zT&0JUTYU~Jul+k!2WQF|tIDR&D~<2d>;5zy?8W9hcy#1#WzV~Tine-0NCx>hS355> z;G=Dx#7(Z84T-eYlczw}MUIpD{aAORE>EthcZhBS825NEjY|+GVf8H%n>`a+21UP@ zyZeJ;0!fY-^(B!5u8Q-R$`s|SrkIF`7VPsB*#3^*#fC6?*;xGuXe01s~s*hs@Y@+qtN-~M zeA2w`m*SmGhGw6F)d1N7Xx(ZzB5Fncsu(1Qxd1@`{aN#lK15h$)yCt&WA$aeRFi>| z`-+U;H*eis*LlGS!9q4Dx~d6+0wl)jP9!-Y9W!Fnsj&UkO*E|N6D^i69*=l7$}CUr z7CYU@>Zi)u#UCTDmpH|bZsEeKvDh$(wy9dX0O6Fs2 z)8ANd#N6)Uzaa?}_pqAFYWT)EnAiFU*bJdXaJ1iWK46>blVzT$Ul{D%W6LxNd0(i= zkd50a0lCRBZ4)`9c*=CzV)B!dE9y1m`b30Jk(nLyyBk6_24yPUdpR_Jn*!^heoH~) zT&yH^qQ~_tl&XTnQt5!C!qNwey41T0>CW&A(f>K!0pTw)Qzf7N7J@dDFB7<>mW+EGWP4DT(mkg5AQ&La|K4Cl3Czm3af+!HUH-9jQ~JFS4j;U1V=0 z&B+Z^iqE@<=-l6OQ~A)e=e;@{Q_T8gu;OQfAwNO-TJ4bHfGT$hrg`<9q_$?x_0ZP{ zfWth+W`{*rn>sE0B0hZ{)2&`0enjK(v|Kp$gbaO5;KEy96-Wci^6^~gwWyR!cLNAM|3T`p^%#Ao6pX{_;G7xVQ zoB>Uu@b^IzNGV+QVbXhh9P|y5J{&_dfIEJUlE0Y?7`dW}%exu_mZQ%t>^G~waMg>< z?XP0X(N=c=xq|{WmfisR{bi@gEzrzyRBhdBga0Z9p9|A-+vG`at=?A_dxNCmyoEiO z*$iR{9oJ?X-4|o^7nKaoM7rj_&!k`_n-z)gDjms^a5Bqo%#<2x`<-<3Wb9Qc2a&$W zN59+5OF-)9mQdS%MIzLzI%qo+3iu}Rv&F@@en>Q)T}&`i=50V3pM5W zRa-xf$YO_1y_)*r-xOjN#J(A0(9uX)=N#@upao%xj(p$v%g@wMe^g5<+QsSRwx1js zsq!mgP@16jbMYCJpe1rMx>N91F4y_$S!vQb65+513KFg{ee@{R0pSwSxycarTmF#F zIivAEy%@p1`IiT-b7KLHR}QXf5j*8X&BUaJYWs7NBBBOCqbx@fyvjgI!DO$pT}iG# zSIkL@*p%z|QmCb7*vy$=d((F|0w z^f&lqSmH}9c4}WJRi#O8-OuH2*TgA`4VxguJ}zd_r)GAtS&m#ZyR&xBNr?OLOW0;d zy9sM?BtrOdH1aX)E79I2%f4}nJ2Y;>b#xksfsluLZ|H7}C&A|Q2&p+Ivj-QJP1ZP5 zJX^h4^54weBp`Odm#_cK1Fnnv&Z{)nk2N-29}}V2v>xY&LK;(UX9jUAu4Y&lNsFf8 zZuNN%`&-EnUWSm2b&@o$ZXLegg0(&5pWFIVc->}F(u7#(>4jh2ud8ynJ)qEg zJ&Is&h^HzB?5z!2;b{`P3I^Tke@)-Y8L_&k=YHw&mR!AQoYm*J1`+WdDwq9vMn+HE zJki%$qo*AP*f>o0zLeLy>v@;_Q%+6*WJO}^|Kg-3vNoB*KT02}%4kSs9<2^vlv+O- zXfr512VcK-a$NaO;8Rrz5%P$xvuD>_>LQQe!ASONcidk=+)f~_?MKu{Ed95+zd#a` z>X(+NHjlTz7zf>07Yfsp9typ=W*ZP>5hUf=RIBRNAO$pWjB)=XtEU$ruD;TL5U zU%gkGCaq2un8%Z$CF)aKqo1+57S@2no|k5Ja?(T7h)#Rneu}a;{zn!!1^EKwrL<2o zX?GjEe+xagCFME29~JAQWvEw;xmKHkVs!xF2!P{#^aZ9}vCGLLcYJ9TsjOiKGx{B5wIX zpfzKfH2&NuldJ9{zwdPCfT(j-H9Q>%xeto4<#@FzAa6kUd!w+(x%HXqzO88y&i)g% zXKLkx-TPs2>$>MsK_>4{O7-sECF|l!0y7*uhTey&iT4PPqOX#gx6i8Qzzb>DRJH)L zR1ALu8hL%UC6$X~XCXWJmu38Y3&@?{3g1=2%pLhZ1WqtPo9RCGIF1BCuE*H|91#p> zC58pO2en%hwUy})wv|pYNS75U8PRUCyMe9_dp=htYqCV+lQQO_J__aO`dB&S3Y9J{?b|=m`{fD4AaA1`%TvWD14$-A^&OBjfO?lDnOnewF&8MRKv!d1z+H?|s22Skb}BN9vQf zrKwa;ofY$@@u~Dw<3B?=vbSlPcqdcW9L)dx<&Qa#6klTX>nyvR{?FE7Fn)QBFG{@a zPgD&@QE%ICTZ{3>POsiSPB`B(G_8yC8)=a4QYwf@~I(O_j&hA2OGt6Grelh z3L~@M61W-k?Yb9^)rZWVuO-WRvq3`aCZ%caXzrS8MJqk+Up!zwzE>Ve9(m&_dh2P~ zjm|>~VEgSi<-?xh1P-9hXV+>w6X*$jJ_Y3t{)!76GL@Pu<=g-U8D*AzKvYYg&S4-SU^^%|`~@?`*E)t!AGlLrG29!pTsReYpyS&JX^ z#di<)Y;7y~6OH#lj6-L)b2H8neaDNxNyKP42yg>&kMKp@I8_jona5f)0~r(j<}|=&pH@C<%K+DUu^HQ+oo&!_ptkl+pv$ zJpPsN^JR`jz%w$wP05c1@_g^E&WRF0*vBvqwm)L|=l{2wGqc3pHxcg;R(=z(u`usw zf73+Px|NXrz|Ep`o5MKFmj=H^fEK}w#xuaoJ54O#ci%$zm~jKo7%IyDCN*d%8q{E# znJ%(j#>5MBr$QA)GPmFX%40-XDlspy-m}NJrd}mQz%T>2I5~UP16i)`IoK)$UZ=2i zR7`TqhYp1*jtw1`Gp1R5z*$icA=f>UgaXWYun38l!{4e7_n&zRij2Y5@sqo7w8!V6 zp{GBlJPbJ+J(@rP-~%<{OOha=2WPW>l(JFYUyofW7CBh%%SrzfG5xeY06KILtsX^p z<3a;pl@}yVP(|aX36I>p%4ujSoyN?y0s>!owI^PjyurbMR_&tW4fE}HgU`9=WYzGd(m%<)nd*r>?1%i%HLMv^gx;Rdk25F`&0es(h)f zs9P7h8RbQc`zfe914v4z@OjSPME?XL7sW#^ zSq|PdNTDo0x%+Z^mwtY)8+`AY@_KSEhi2~c50dG+tMhP68{vyoZ)02LaMNm|>ACn) zZPEk1UJOOCH2%-NiVH|Yi;)#+sOZ^$#fh(5T_?oHbgkzZxy}^M?)jWA7MC82^{@U> zL$B7k?^_DQNyl?6n=A(+6@jAePMWaDL0-n|SoRUso5RENUgU1sLMNzM!}UkH`m>r!}ERBht&#ul~y0e9?6Bzy6Irv9!Ug8#6Cqec|th zCB&gA3O}>m1N;=V`6PG}w#a%sjb}ctD43K<3+NW}%hYQ)7NORl`WWA8kyu&-8%W$1N(JjL`WmTVN`j;CWBd+BwC%(FP3yb`g(~wrOs=8>>Xbujw5s9l%k|~Tc-q4AZsVs*(ntl;$I)n?m zimJ+E2*|9AkycbaA>K~J{m-J)$0{BKm$=-~m5LW562E4X6=I_N2wU$T-LVN&2dQ7t zdOX<={K0v(_xF>qkj8Xy>n_S5a78~ICRNrZU3bMgvfy-XML%uUqesJEA5tyHJ8j_8 z3L)_-6ff)-o(S8qXMnIT4)n~NY6v~5039M0IsdMr`weU;B!0(q*7zR%f6MAXOwGa*D2?Hap|%#;I&{l^@c`C?-b-6qOUcf0NOoA}U<9Vdpn1x>Cvg$Yh4zgV_F?1iiUC5LMxQ$f;u@ojVa0eoke88({r*)D3(Ufkp46Y*gJFhYIVtUOn zCIl^g%KFB!A2hC^d6}cCP~bM^EGgT~787FZo*5v^3d%BexO@xL6imi7{v2!?=Q{#% zC_RUxk3#ae6DJEY{uTEwrwUQhG}xmh`SDsoOcq@8(iw_b;5 zN>_=w1IB(YL0VPFV^+$ZUvGQYHEw8d8}It*JY~om($o4)LQCf9&ft?1E{LTsyz+-F zRY5&TM(An8_+6;T=cT;7JjM?@vqqiN6!Y@6zAr5m4# zJC6&QN0_lKIDzRzECQwg5-Ybd6&{kE-xS(@?5%U7^ZmZ_zSy50%*H=(T~$)Pg_)3O zs=dK?Ro2mq!cPO|F2;QxeH!gjfpFEpG%EeK)p8d>C3~Ntp{-dmdB}N>8g=0tywazT z{Tgg>ud$4$6Z0jhd!%TgaX@4$B6XI3$$c!Qj<{AEhy|VKRG{XVpXT9Xq86u&eKXp0u1H_rxyPmE-)dV`g|P6x|B zY?iC?rl%rA6M4*MrgLU5Uq7L#PTyu8^^_2g%RLE+Q_u&=uDj~@aDAA)oh!RjTW)bt zzO5$9U9u3U-o@v!ui~%3ab4U4#TuJC2sfZ^R8V)%vPXaEwdX#FNFkMPp(37T3t6Vl zH(npU40qR|0~ZB~0L4FtscI>uPu=dIc!kx~WsBE+VSjWdl}ekY-;q{AR+_={1#4-5 zyQ0JDfCs&eyn_tK+fy1T07ROZsQ`|pZYy3Cu;V%6#PX5D0|sb%$M(` z0$Iq^*X_JVQ@orPS3-xtM+3(UBV?VvFa8gzlf`T-qWwKMGDz6WioJKTfs!X|#x=*} z4P_-wO0PzuIscEEQ?$<-&}3Teqz^;Uv0!e8W5gKY-5qtc&Efj-Z`-vp%gZCGKt+wl zCb|VSPrr$WS`Qo0{-<$~aZyR_Wnu4SH#Mo|(&BbOX5s-nu0ID9u|MB*yo%+KKkJQ8<(nU1~U zdz>Tr6V?-T*=zoUcD-v+^z<0$7izl7HCBt>xczJ8ma8VFd#_~a4zsqZRQy|KG3oY% zk7fT|pqZB*JZ~DPN#!UjzUs#lTzR6J|v>EvgRTwN_Pxq*b(2VoI`z#~Uft>#LWdu3KcCRP9wG<$JablRKfCx?68er0 z1t=l7xx$@N8N$Joqt$JyHO_RVvle<``w>a>Fi$a^sY@Uez;7POd5Aj--iHNXXD`tP zImZ)j(g*kWg`~65%GUebH@MO4zA36}OwI0DnOpU)C?625CwQ#L8$pMBvdcwF(rlXb zVK-GB_?~E!Eg=!37(eY@)w8i3`WYOFWNyb5hg!*%kjB~bn~cSi8c<4gAk#I*AX5 zIIX^n`{+TlgOMI3vy)tKxy349T{+v!El|e{4-;uvtgZ@%(sur~^x9QnbvZ4w>HVns z&3Dwz<=fO{LtWA4#kPN~iZfA5R4u^+6JpV-|JPLaWb;gG8ggCjt~Cr8uHcjoK!$Dg z&E&=Bl(y~cadpU-g(j|(-O6-%W@Z&%U5l8%gNbAFq=>q>w%i$vX0Rui7o2;l4z)4f zaN&GcIQ;aV#yuXj$;TLrPgM5NP+CRN1us2V2>#mX0>LkjJO`<;UPHHwf!aBNe0BA?x_DT;gHP` zmTj76M@3B|D}J5-u<)a`rfQwu;86qfgch47T2(~{>$rMEwj7|wOX@{@$9Dgnd^pBl zu00f+i%mZ~8Y}(i1;M?z^w7Qp3WkpHjMdR+&{Q)52hiF9q?CI9k$zSeHJ{TWpOmL% z_k$zZjp5L-#v>6n4}SG*gJJ~588UedTlui-OQTo zIPS(ju)n&Y#z-{CYX*faRv$r=nwvy3RQQ4lEui7O6=S)9Ts(&~0#TG2TGoktcW+*; zY2gvsdNAysOa6DHT{%NTryYL59k9J3fb=4zU-mTzGJJ5uO z5|K@u79_1HSsvMeKbBjZG~*UL()@BU(yA@Anj?*?q91gowXb7=pcQY^D6zLk;!Vmh zk=WY|LlV(z4u(N5hadr~K&|dCl7q|upF~%ON0a=sc4pTL>S0*mfU6fy}QjxX0N7PSAG zn8*Ej3L#)h@A?`F(_~TDNY0&%H{Nj-$(Xpi05y)6OVmwGQT1p_-6_eNK;rcDBQf_b z|27H1f<|H1MLRZY5B`z>uZGM`VlRBYLaGz zsZ%iF+Y*AVB-$gJ0B)I0+D;X58L@GxPW?K6yFTMPqwF6|BmPf?Kr$?kL@;>i53)jk zw~?qs(gra$@oC*(uS`pgcz?WDp^}=g&GwzqjZ2z7#p?#Wui&UI^8gI;zu~#8Z(<^M z!$E|Sw9vdLw{<)s7(k>b@jbRpS$g`+$*e+J=1~(jUyEHLHMLy$w*a&7=XXrQMcv%& zvV6y~9u;{RwYRDrnfm&gRHZaUkMNcP4~;-6e~qoK@-=Pq%bM%bZQ04E#nB^~8t!;@lYw0;>O@0Sza%Ec(Wu`FhY zR`KSHR}pt#@XN;%LsU&lz!Kw2#vo|G4kD$u2nY+nbm_CS7!mMx%Z9I7+tBRGTP5S~ zf~SKAa^>>)alev^l~U4ei6xIVNGRw3zTXfvF1##)q4Ls%k=bg&Mz?OqWc;2zbOG}; zu7^36g57ZLi2$}<>buf-=ktfI4>h0bI3-#X9RwD${ZI@TpOAZaR8u^e>Su{o-{Mev zL^$;zD1sm(@0#i$^joRJP9pZ@cfw}-cK<+Wufoj*{Jh$I=${Y^wW45-1ZEtg4c;;4 zIKy?N>Km@=9A;1`wjW}*jB*HJlMbd4{Z#>wM1Ulxx&t;uiv#@n0%iebJ_2JawTAho z>)jbPThwIJW_v299{A9t?dvc4FpROW@5XxR5Qx!9xq6Qd&t{6(ia4Pm5BdAak&6pn z_%eju(L-03&|P#x%vNTANKuJ$maVy~%RVDto@M*Vx5p^+(<;*k#&HY- z9!a{7#A%`9fTW2<7GTlU!!mfh{7#ru^$`dYq2u7Qg;qJ~FS`1_^Axe~o)Nk(2DrdM zr(aVvBn~NJ|fqfN9`9xs(ZKB*YS5lZSa(@ptxWsOkLS2Oo1U*S^k)$hroeWQBUeV#h}CZAV0dK%(M`w0AJgQ~6bpHI9*Vd*d{y_A*u!&b$L+g!$i(8Og@n zyTg_+BVPZZUe~|)R#BxuVd&Ej1)LJ^P5U{AA{!`i-YX!Is2g>wpBfinm z53IF6kxv{v+z@@a(Ivv(d_5_RUt=&z5Mlquc_1&)b2AIfM9g%bfW3< zAHuy>O$3V2Nq^GdTse|6(|~y&dH+womai3sd8&}Rr0Sy}z@<*6<6A~todzb0_3~n< zGF1|O4l-i;vJQVYPN=?GFRJuMGsBt)y%6Rd4T=A{|AeGZJGsgm-hnb*ead&M|dz(-sv0W-qL7N+*w1V{@91CE?%dy~%qb7c1ypXDguMTRYMwy8VL?E-Uvl-DJ|xMSZQxAN zP0OO5Fo%r>Q4y@)R*bF&{jghm*8Qn0QGNFQHNJqd78Ptgm>X(Dq#ar=o@i`0O}l?G zzGW9kTNbsd&Y2~ha!uu*632DPy9riZA+e>qEpO$DpDP}~^zvJAb7o0(idnW{7%eOZ z`pWzS4L5L6PrbTZ@MXzOtrsQX|hM6F$b)NiaMka+uMaDBm4eoo*0 zKr(f_s2t6R&%>&MF~f>2LF|~@{zqh=b6i7)2$>`m4e^#eU0X&ng~-j0d6d>!w_ z;6z}x1)ylo*5)Qy8QKo%A7LEO&!!wFEOtWEQ6o#xP_mYn<4BhXU4qGOXePewL%qNsdI-_UeEk;!mdbIAv0{_F~1eMAatAH+3G}R z`5jMB%7*eg+N;o8;#ZcqAN26(l*;4cNw=eof#*?g0UMxurSU%$E_UO)+>M{$IliOS zWX*G>)|9&pdHcco&kq@=L8m{a!1fvUh+PPyiE>K7Czz!sZdP~ zYZ82ty?L>48eXI)>+5&Aya(%nb%+{OEfB-znVue5y(_l+3vD}fY@GZ(=8KyAtya9I z&e<6Ynzb=c6JYNZTo-bh{RMh{T4>bx3^R!yB463)%+V=KUWOh`dZLru>U{$|)`RAV z^suKBK>3D|a38VfKvhtn%@@og-rGNLci<;|dBUBeW`md|Qc=wcha3*lj*gJ8^C=?u zJ!zMh2b5|}DtG%8mAEW^rGw)RKO~ThqJz~3YA?u+QmQRUV9;maYEG#J2`e6z;;j75 zV4qYwZYmC=nven50lMF9el=5MAdh1t!yH^KQmT9ZJgX{485&u+rhWw9$uEE+f z%Nnz#r^2M}CH2t!&ciHoJMo6Sw{h7eUNRveUnT!GU3Yl`chl9=RK+}{P}^XQ$1dJ+ z!6@S?CyW2uSi5kBk~*>LSbzF>*%*Icp#q^slh^OS{#BpjpARuc#N4gT^0&19SZj>o z>me#-qucyEEHmB^DD!9A)^!V=tv|r(V+pxhIJFBM+W#oroPY6thIpziTCDRQ@tMrk z>c5bud?0%7WQ%1xEQn0Ro(V?^WG*GhX z{or8!uRDs@L}}jh6eY#b&J%Kog4-ZE`2FoBo|>u3AA+N#Pc7NAE!m;>r7}B`;A5X- zLPl#CWK0`IJ?v^KYHF&}WWIg$ib2PU|MvDNaAC2w(!1Z$(f8wBil*qO)ONqV05NS+ zF-pfN3Ro5l)=r}?&x4c!tD*lWqBS|WiOphcYU-wr>>|OSoY&G~%xj)WoO;LV_~{!e z0gq<<^H#0d`tLyu&L@R>?`_{hNS6=-t@3q(U!E`#D>msCch@>pcMz-jJC;k?CM#!Yr^zAzbQBcU z5`epT>z`^CMnb+Uuz2~STWe+B8#j5h-EK0v85Zxx@RxV!)?_vLd0e?fn7lpEK+&r8 zX7u9~BR_IK_?rNlrt);TLB};@_{M(}x%(ntY3?qZg<(9??91ve*AXu(Gqg{|UbRD> zFP;ml{l1Ju+Yf~{N#S3j{z8x16_h)_EeFY=D2K)F2kM|ARXX&7U!Q+^HCOeJo?usN zd=p^+XF#+tfZrkGkk>yVK|y&PvuZyIb@{b!W?*O1W+#kiuC8miHOhp|>$9t1(Ra~y z;296Y%Y2n5*djOa^Wyusys&+rEzrOr=`iUDz3*n%8YADg^rSOe?~tg=Hw56vQ{f1Z zj{hhaWarQfq_>2GTxaFbzKZDT%9_w0U?0BEc89*#?kTnLotsj7wwbPTJI5GwXs9zS z9XGt6t?nxVLzdFK;~0phe!zPjKs2j#odPDzU_OHBGH6%zFU)KNE(D#$>WXwbggkFd z!&uVrDPR}5CRj5IBVzq2^|Ib^!KYMdvsGu>4(>W-+D z{Jv?hzI)}$+0%nZk8k&c9UJkg5_W7n2L;mYbfh@AKDC@37?gJ(${!?{Qa%P4W=V#v zOycWe#6cO-&~a`}tL=AKqdo@v`TXA9-y2qI(5;^vho|IkZv~F3zEAi`HS^H$PFN$x zz9<;STp;3&usbqKUaOVES6WVdH0kfwJN}Xt-H&sqD1X9Fksw^ zCt|~cUP(1#@5R`7@S}*{@(S2YGxfjh+iSUbp4F4*ONY~UnkBpDdjkwb^gsF{L|SvH?rEVdG*(KZ-_T9&WU%! zoG1^wg+uSL67>jLEo50dL)VvMo;UqtF7ihj^eq!$AI^qC1KnDK6mI%VsWjrzsrqFc z;+?f}R|ltlWW)Ok7f$NP^@@l-tQHq=6i?QKB~#inROw@`dg*M+PXS8X?g~+28&p-g zC$sbG>KHRPVp`Ox|YuS2h-C>w>OFiod(R|=U}PI|ueF)%(3pzqBv zzQ$=ql}MB}NMvu$zR#r-S&%<2DZV`i_GLn*x%Df>6mY#>-AFiZEP*0gfVOFM#}XIs zxzfHmOOrmk0&d6B7e{fZ3%rU%N``dMwnoiwK+>V{f`3owM#ndjrP66qZ7j}5Ypum@yUV4woo(O%M`+b zs@%Fjrg<(T13}^+7MCZ`*2*`_aOChJws@U}8rSaey2$YdNS^RB{VBO!WJN0JwiXt# z+qJxD`9F#`m1wo}e2vrZZjoTA2o`$(9)X7~0^A=F&hmZv&KAL#Y=)$@ z7-=S&A*1ua$&SXg(hdfpJ~5v7h+-otZMfONS0AH&#npf_j?&@#;a`^f429YZ`U^+n zRb0G{X6jwpHr5V@FWRtdBvuGks~th_!l|13=i_$?K3AoZdtFG;7dJjM*j_P;lYV>v zH2axaO*K&yTJ>3=9vz(P;e|G>ZxV{#afN$USTg*mV=Esr2B#6c$F`Ci*U$gB#Pf?fx*r4kl!Li6nbW1KFbor8NX)xqZk9WR0Wp07n z$1^XnjQ+`eoe0XOHq^~ZiY-DYxDT*6)2Th2A%v4@g_i5}MZ4rW!;234V&~l2+^BJb zszt{}%Xxc`aAT(clrY$SdkQXqPnK7%tRth|e4H9jewi9y@G@BH&J$WY8gYmsMtk)$ zgt{Zn?7hZ6v8xFgjc?6mLuL4)5#x(j4P-{NMx5qhfqi;^<+lSWVvzWy`?2W|%u1dmfB38hc! zCgmiqS(;lqM}JJR;o2O37}D1xyqv`Sc}%I3;s1w21}?dYz6xIYtwxQ;%l~%F+Di4U z%SEcL?Y6Ru(N`IU`q?#eO_-9YA#$dX-a_g#vy*i@<(onD<=pg+4tmz-pd1KglPb)F zFjhzy(grh-ZxQ_3F%(W3laXG$<`SbCEbq7yhzWl%fA3tlmDI}py)JO)>{exyQ}pLDvrevSF|}KxO?3ogqA7uDh)hd|=kL^A@nGy) zCNk1lrhDHXT->ASXVDUVtY{93=z?G=?0+&+0&i`SaN5-hB=sP|Cbt@DA+56xt>K^` zb=~omJpS{yjbw(dw=P)WKvRd_|0uM8nJ3XPt!(!ELnd#OJJI42td6xDnn~^Oy-=z{ zjk~QkIbi<1vd zTz92OdRh?OnSGeM($gkhcN18F>?jIpcAi1QmA=?0kmsaE;!N}Bul&^CU)b2gnkWZW zafILg8H+kL7ASrP%TVmuj)S!QsKR%mVhhpl5_I?lSUkyc(Rtp>uG7&DDoYv4zCiT) z_}B^iSZ8%_ze*1i4iPO_n>UVZyJ%dcdY$1sIqB@%tWFN*yrK)ad{>eT zNC5Ymxa{WFhWFqU^Z!x2h1uge+Q*73O{($z@4&v&Xi4zdFh$_hI0z-t_P`zNJQR#( z`fC^Yx52z%#!^pMa<)9XCH2EjaQ+H-m)WL=2$WHk;heB{1oPzuJDciBHp7W_Q;Ad8 zBbJElD_O68FV**FNZhP>krlfx;V+ z&|PhzpY|uEru^+sjS48oP89LOCWb+2synBzMp4BIWZHz4So6OJfQ^t|p#UqA7k@{` zP43@xxeP3p*vw9$0}+d(7_b1O05NFP-11p7@qn=>A1KI-S989#c)E|T`NqNVG=?;& zy^_MtBeGf_Pk1oY;lb=&PVjE$Otf^W8$(vkwdHkD&wBZrvac#|tRz@B{U-X8jG{H8 z20=^$%(I>UEGvCq6{+`K1CwM67dQKoD!%xh1|9r&ypO^E&SkY}OEj zkJiN#Nshz2`+}~;63w*Lx}5u8`UL!HXX5^B1d$~AfV2U&1-om9u|$&irD4f@?uNCK6ai%og!O0!*~L_-(!&$l2-gto4W>UKAE!wFP0vC-&NQ}U3v zkGE7Bc6soMqi>H8#g)uYS4Nw{FJn-s)MXW4vUS&mTzkx6tAdByxk3Dy_D~~iis$Jx z`-z{$*~oU_Pd-cJEg?WWlMRGNF1cDh;4xg>h}jS-D9uOPq3LIzEJy#ysfsP39Hd&k z&RqHC2;dRb+pWy(x!akGt|!>vb)O6Cd=zydar%z%&HLWD{H)c$8h4`h?CNQ=5fV%Xn^frA3A=k$ zGyUs-yyBF!PR-E5BNGz;xC6-xXp(AN7Mp112D%*cc`GaPN){XC`HTauAPiTHK&GVB zSCK))TURAfv@3{uTSqSD?I3>EvF4{%Jxp4Vjo3f;kK&{xiA?K>zent}m;xeTKID>w*`f+H~oxqTz|O z*+_J?bRuz1Ye~gf_@iR@j<@NWC&KH+*!(eo*A$tpwczwDoOecQ8iDU0;PZap!eH zG)EA$@k%b5+ty5bE#O+wOJEPDaADB}^hz*A~ z`jO>qEi?_l#fm%&p+8}8d{&E>k6h`P0*HG-FRX>1R?y|Uf4zA}LFjs%AAixCPx>2d z&3c-E9`X(n3HOfqM?8g83h_}Zsypp0*ncQ=|p z{c4^J`<3|EAPvuRuT6uOcOum={dKrWNMHO*RCNWVeKPuhepH50jP=U7vH6dPwa0>J zt`1w*k^JRIJzYP9%rUK80U+x>w06r&=+;{9@nK|oJRtRYDFY?Z(MYxU6gN0+s5<(* z7Dk$B!B$r)2D>ts%ux4BHsx(uAv+Fk<>nNkvPH|;%?^b9uD1QVKq$8|j~Dc*r$o&( zwNV*)Eyb6f%MBCUhq|kb1lNu!j!TlTof)owAe$nt^is{TbP7^={Sj zmg2mm0Xq!_COw(1pJ<=%-0uf`Qae+5#vTT<4P+YMm&(%BDzwK#;a*xR58aM~yxv&` z{?%gb5Am#WkJ8!syfbY&wg!!NWwdiM=|7+M;TSF0Tbhf;s5VS($7yb~C%uFpA@Ig! z%V4Gn5@Pnlst`sHgG3A_=%M77$AZ(TH-GhU=+0canz$sl3);%9f1l?)@n_ z^y1B=TT@h3nM~pShxxe9MyN3ETaJ%ieC9VZn>)$o+i-!)OgFN?CJQvZMS&UfqPt?z zneX}h6A{ONE0?=5C5j(D+}vkOo3qqpPw+G{klpYuh1Wu z1knr4qb)PCkXgsG|9#2go*q&_T@hQ-kTHu+QbL5GnM&1oTy}z7WnDXa?j@l8yfU6E z9;-DzX<?E|Yb{0^E@t2LIUKdEx`tWIPAjTOWfD3K&s=)w@LEM?$m?!NC>hx4alGhb|+8m>vBF?rkj| ze)*W*HhZ|ezc=sF-{#8+qeP~+jb?>W^0^ZSlczR_iAH@v!_$+X?1$rdk1V!(&_YG% zlY))KN^nb~&?$`Y9mc;BPemAeh8G-{KRu)8w5N#tdH{WPz2yQBECDAoNIj+PcI`f25WeltKV zKriJXDd4<2sRq!#E+h?1Ch%rf@i!g7>{+RopT65uO}E}v?fF4bIO|G9yex1m@PNTR z=JeYUmEaJVXVDHAuQ+@nRD zCD$ka4TR!fgAz@%l|+g@CXqb|K_GnZvnL+1MBR?KvI*y|z`;ZQkkrOeec#=T)kcb~ zdeiBT>OR3*?o15vb3s{$fZ=3rQ1@6tFvGM*-@}AFmLb;{q4I%2f$b@WTAZ7&dQi5J z`_D_vgzJU(je;$mDbltxr9WPx&V%|a2QO`qUW>Ww;OQ;JJmErOwQBJya>1?z?GiuT zv~wzr!^Hmu1TB-_9=~?HzKfxXc&sIWJ1Uqm<(7a~Mj|VHiy1?U4o6E@bjwP7=$Vo+ z&iz;aBaSdevS#U6>1^qf;XU-5p(_MLEBqnupgkVQevMv9wZU7>)FWHpy*tF->t(&F zJ+Y>=;q~ZeoFC(@Cc-VE=UL_yuBUxmb9&kk zvu)3i%1RmO65oU)eu}$#< z?j+$C{4tUICYDfbllQCFnwKtwp)&2D>Qo@)J9EllbYHx*!PfJyP{g}BH7mUHsR5`GJN_kZf6|~U!*(7IdxJM`RJ}jS z^n!K?*5hinCc_U(a_Zy73smi@Q*E|3<7FiKOgL2rr9B%<_iRqB0j3Hak&mP>4t4`Y zyDp(AND9LT5|g)l0{sxm>W z0t9Ff+-eVN#a3 zxJUH3u6}uBQR#YBvd2L)`Kpp}>|=fomC-H}<5Gm@bEazX(#%;>N#%+1 zzjHC#C^=5|Ei_iwQUapZo=F8f&;bAumaWVo=z(lJ{gxnzMdRClv(6XSs~M$U4G7+F z7-Thz)AT$iNfC`*sxh>LP^?GzMzprmE}>$A}&vDppvvx2oxgrwi!{K5Z~&ojmwBCtq$ zMTih^!j9Nm_rpEyYFCxkYF0c4^;u`A0qg*^aj#T4!O#zdnH)1ds$GAc{7_MMrYjv0 zkh>T(sK4yj+I`}xgIZ(;m2E2fV@%%IDZEgv+wE@Y5{2?~_Yxq}%C?zt;6Z>*nvQ?Ew$2eL9}Z39*?7n`~d9Jd`yK@mZA2 z=B(A8`5<-@D-CbUtGXWAg_lNRk9jCok3JiBPwg*Pn{@3ZA}2i=o(F15`JssZO~u7* z2|{g)3^OFbVZ`zSz?-$@^?=jobJvfWLypg6@o;3FlFHIe#tvSQ@g@O6_?{{K*n*Y9 zH*Tqz-Rl`;zjor_*@=1zolRonYWE1=+{N!`CB8dcs*V>ey9(9d(Vr@iTXd?^h~GV1 zKAG@&7I)M>6{V4pdvHPvRXX112q$L!Olxwu-vbKe?7Pe$1{9@L9>26FX zy%^g?IF{0N1?At^Z_vws1}m~!dt)>=E&-C_E?e?UvO<3*fr?-bc-4-DwKgq}`u@ho zq|nFU&_MUO;g1vnv>~nJn-gSNLT6xlU--MX_Zu61+I@F68^fq+8$b0d-LhtjY2q^z z|K7xd+pa#f5_n1vk+G>&S)mrCLo8~|-M*Ye&3xQA}~k`4_e+-D!Hk8s~yDM;J+Fw zI*73!t+_|{ddO&#`mu7-iD0h#AfT%5)>GavDq_=2N3kzvnofF@G}faNhl_r1&JJ}k za&^ynBg<9o^<|HCe=N8xyu$19tU z(#i^SFT+^~GA)IRPA%lIaYS8!y!Iw^V{AX}BxD72%aSBBmfD)hYEnNX&VIiiFTMq9 z>l}$cck+%?TN;9EXd)}D26h&YZR`iL%Z&MHJu6Mycq0)iM2WQsXjVrGhGOhD5TtNN zM~*K1aKFTe*R|f~l@>msh5rlpO)o8DT1@W0|^}|kZO(rJ$M6ISHv^e)5~ar(%C zis#NMf~*S){yGOjWNUOELgF%GHPJe-eI#r@U(1RIupfsS612S6Nw@K`@BgECaEmeb z&9w{TgP9T@fF^6Q#mcEeeylG*bHC?W4F`{^#v2$v{CB{_T89a+s#SOk z2z&F>A5#2B;fI77@3Ea`8squ_rtc_uq*Nl*5f|IoouJX+J4$81_rhMgaMj@@SIu8N zk7pC)E6@yy_p>^cwbKIbFV{S$`jWW4XToi=(rQ=YuUNpIjHI_?IN~qwW=vIGJCM4T zNI_Pk0em0cQ%W1fyn#Y>ql*O#A?>X(=jn~giSE+77<^FG*#29B2?neGne(u)yBNCs zn|-{I)l6!cmHos^za$=1m)qhuYQ8*?{}ml7q^Xip2b-%iwFI^TDG+Zw9#PsM=}5dodZ z8MVtAL_+=21*2`$&=UG8LI$we`v+vNEgT7t1sL6RI2WJ&l^Qm2H_eN4@`;$Vl@3=?eV9id=eNnMR=KbNRtsi!p^Pkov$a<~9A~3p zR+GLbBlF;J+RJqO^)p-f%jG?bXX2eVq=B)FhfmNC`@6H6mra(qU9VXAxn%z_-@#q0 zZy4u2Hd}e4C%M<(3fUW`pNaz-R^_?>qqqtFrnw>P`!jIjy?aT3$8N_`JUcYYdQuQq zf+}*d(;9UcM_I-yJKKFV*Tjb;@G8LZD-<$UGD)U82cHp3NsA~3Wb>ZH6C7fXPdtksRfkQo3?URU zR@>a(#bnx-S3hBY7xN}0vDvS5!s8Q!0qlXe10M>w`E3~-nR#tOMM%2rpLi=xR+MIP z_n{S+^|C=VB|^qiRG#V@{}D#6Jek|!`a$ui$J9G`bqlPIcCHZ_)TDJEpLF{EvQmu& zU}fALmm7-Ayfvl9x>+qcTax1Lj;<0g2wEFJS&V)E5$Wzo(cZosz}Uwa+wqq0xv27< zTx`S4OIFZG~Bi1)5g}0x7J#s~o8`A9No` z#A4NMfF+em`w(#{y=W%Xv&Z!&YllJQh*WOV4KELjo7jaL0iYmNzOm7<2>pw5ha*?Ya+i?_21LIj&5y%yX$}^ zzt6n+glu?8{q|v1VXNCO=}@JWJe2mgx-|JT`)Ztw7Ja#>9WC4M3o?qX?S5sU4Wp>t z`uh!jl=TQIWimDlxrsdbI&q@**PGF6J9Tv2SnS~ zG}V1=lB^o3tKrygr$_g7YR_hZnp@YswE7gm!kSskl||gDn`hBt`;5<#X``W zpm&}8j>;{GDvKg#(MMF5*cA392_py+BSLtKp_)4Q>y8qWctr&8_6Wz`Q4x91iBDZ6 zjH)tGL_{mlRfCM3MTU?ZmKTJu!CHZi-rTn~y-@?jP5*eVmEF3kW%apMvcq5arYn|_ z6WGO4zrf6W9P%yN?0j5BxHZJ;FgxaE{Cj+2P7 z!kW<`h6V@lT(PPOg*E_nq_g^>LgWDk*>*4Uu_8IB$oH(x7cLr3GMfe< z*;cJz4Zv@ifpCQ<5v$VD8jq?e8}LTXh?@;hZ21x~>?gl+VOlj|R3G~;0FdL*&tIZwAA=K@{s*{9>_kw;g!gyU|aq&?((RC89 zo}nL*0O|&|aEMT#ffKktxncXboausoP zVD50`lJeEC>9ziWUec^tWF-N2xBbrr5cMF}Ai?le`K;TLy#?sc(#eCFF=z;QDNb?=aJ{vtG!3m3+m^`IOMvFvhl1`^e#pDv5@1pJk{>O#4_DGuZ8=qme^VE9CWKVsmrA*i*3bB-dWJbFj zL{xDOCjGCpbFFIhIJMeiH{p{O&!F^?FhFEu-dxF>GO^==#mqkyrnjP}tj>&VtId_NWVBPcBOUKsB}b zcx7z^9gf&~@zX+kEV|2IRH9%m)Gx{3TR^*0R@jvLjU&6?ZXfOlD7kkr&TwIopUDh_ zx%P`}j8H>ZpGhNLZq!CESK~urqO9-;`^cB8*0oP{Lxudh+q%A)YQnxph?^>gvd?)DXi!&y?tmkufzVfTqV8l14rZ#M``O~BnS{6mKN{W zIMFv0=IGwoV zbP`$ar3bBR6fy1Mj>DtsKF?}?lIv(*%oo*7Bga%DY72C|DO1|hw=iL~4t}5?1 z({y9}gh8ErgR4;PFUBgsqdgKn9wmMF?6pHslQYxCi0d*}`bN0nT;r-qi^0}O=?G*x z{$;c|Fl`kGC8OHyeQfQuh8phb64Of_eFCN%T@hs-`FbcjLoN=UP*|?Fh;6FEk<6yo zg7Bk&%XJtrFIBW~;Ae0krrMzWb|*1NvSxhl5lsQxBl&opyVd&Oj~<`PTY2$Pw= zkT5Dn5sI)3jL)hQ8C(rMs~2Lq!d^aI`gr%_Qjmxe$)smxPr|t2T@BPhyrXV4q=)p< zxruHx8?RZLv!w($$eb`8@4v(pR@6L3(62Y@p8mK*!oWEG(?7V9@FJ~37Yy?_h66?r zGPD1AM@nsq_R93Cyj1s!>dvNDVM4N!;Z(uKTkLtssY+?*P507|=_a*lhnnBJ5BttO zb@PM5T!$++Ik2>*zDqRo%2Oi&fB{e+m)Z$pLo7qK>T-*gLA-QN0&_2alXi3`m1gd2 zyj5oo`8@K{ztn$BjTzn#zTQN&Y09gDTd(!VcVRhu}>1G6r3t84gH?qhx;EMp&stNu}leFm03F{gi8>w!Q94T&>Se{k z$GmTc>3EHrKmQIAI#ak7wzSGwT|hGSpd{D~S-7cT^tfJsD|Qp-Q?nmsYI5vnT(}!7 z>A%7nzXF4PiGbDF}`R(Tbbhy@kv#*I-c(sJ!9cG_GBU;`x zSh`|MZ$a-|Oqj6S`%fyaTt9dIvXiNEUN-c*G#$>5(OO1EDF2ifPMGcRSALpBxYhrr zh(OQSiuPVg6F0XOZ1|5t9-%~twU8Vr^ln;Al=QHxtNEHAzYfvZA`Q4gE0z@EWO;-; zU5980QIkA@@0IP7whlXZjuUO|VmUr>{rFD7DD+S6n2p4e)XIs72fNmD$UPXvR}P4u zk|{lFpKXTN+0}BqzcqX`#kvV9O9iYo`m^QWC^87Ynuu{ev*A>`|7l)ltt)$o=2h8T zr>RJoa7zfT`v!+Ve0JwMA19?&W<4VVulmVRH<19KIpg!;i~{{|XN_rrLC2t;X74t> zV(I{ZWB^s(>t}?mWr>+1ELEyMF1#zjC3PgUBAochvyoT5fG$y~kK#6IAoHh*UvoUL zy@of}qC|$c2y`7bjAi4ZDXpU$CS{TuDy_y|QSAv|+45jS(;deyp66J`5J{4b2eXS_ zG1NnS)W=L#BruE#^L*?XF*`mbdEiKsg2z-PA*vKN2LU*TuHczbq88yZK%?<^g)b>6 zDvk|Zok`cItNePy$n)B|UYG;>sy%a0S7!sZAr}4u=w)A2W;E*;aJ30r1$1v zPW6EU*#a3HklJ;?4ZBIuErm%`rk%B(T2z8&F!xc3tVD-lO8uFI?gf_E!oT^NYuy6D z8qlV6fv|PwoH>Q>I*;m;7Bf$F5tV+ev98Sso(6|sghHH+D<@TbV6KY--0r!AU>HzT zzS%58MZu(fJy_ZFr;ASZmIbW;jJfk%!^TvkOF%$ECekh4jP7pfZG`kj4jA*j@BeT=_kMQIx!?1gCr0+2 zRdr)bG;{3Td&G1#um8X(Isj8nMe=5>_$b;OXdzcCr*|}V*30c&SfcM(!))fZObqC@qxDwZ^ES5TnY&PDO!h&FwZcfoA!9GG$>MI8AnK2`5BL zwD$!7n*rnD)Zvc62iL9tG!>j$dfx&4Jrg=r-4ykFY!ChIajGfkN$&=9l&EM^2pOPl z$r!HtG2Ss8*F{&eyMrGzfr;W)!c1Nm)AZ^-_K+E6IWDh8Uub1;n4c`DZX78*pVZIg zw4XI9J^MlYM^<}xSpX*#8iE<7(8AlFIz852=)bEY+;)%mYh}ItbE;1tDICZ)QL?^x z`_3b^R`pNEGQObZvebWM8|fyYP!y>(w!hab%9~FY4+Y%*@*YJp z<=T904{v)#LB6@R;2MEs6xpk~iWk@H2+@)E2{@(Ts*%zQ2i@UPi|{H;mXhW7g6&@% z&9p>*voWDdcZO7IAL(s0BIR}D?s(+tDl7y}vnd7RL3`4x$hm{lUj%#XL6}@^4PNK| z=>@jSbJ5QZ`lJ+Vt8u2*-S3#Y;uiGb&EvKGvPsO@-Yiafl_G=)Edr+S-slt8`>!205@^M*R;}75p@U1%=q>*yI=PTQhji!xOvKP z)!YomdFVwX_@%d9bO{yvJv(?Yb}K9&oNR4;ZEsC&?a6hq(mpmio|H$&ot`y?( zP)@E3ft?q6yJILSB?^K_uc4#TgK3*t1lwc6w8J9TW(qdkyNxwCMEYyPmbANi*envR|}Ze?Xudtb%N)>!&!#Qw-@ z-mvTi6c5IFkza$xl_>BOA4#BoG?I)c7qx4l92wXFk`7wui=~~* zW>Vw}Hm08ln+?PXRlhz^-M_LYQN2ZjzgU_v71(aA+b89!Z_|y3!UzZ zgS;=t)`3GzffTFnyDNC9B&&3q(x5ivM0F?H=0zQ_ys)Z+*RK5f>u%E1Q)0wF5UlNN zx#IZ;@lJ1n4};t-#`AAqzQVPjB8VcT0Q6!xmJc-x`olcJl+?RqOV<|K_hwG<8b|&f z{L;fO5litASi0W?k~?keaf5BO%t8gV-{YM9=0kRzua0vwqGL6<+1>p4;=V7S^Sl0d zSenGA=MM&~pd({iSzyncrb=ng@+lia?h1?k67i@HZoAo82fxcjw<1E?XNJxp0bSFTtvY<`~1!N8sCr% zhx?HyAuSs(fZ<4v$^q_59BpE@Yok+V>J$VB06#7r^;n`*J{kTp3FK;`H#bVZsBYNN z_BYSHxrSV=b2X91=ymPr2xu!Y;RenFKD;R%?vS!rkGrYr{UPK1KQe|@cZ(njTsN|P zm=dOs;TVJs1a;bG0O89|!L90sM6%3HCW?QRG79AK6!U6#cR|mS01W zF~D% z)x6rUp9jtOn;W#l9c7zpE}1B41>vCAYilzVS^}vD)1;`OqY66X-1}bH`&Z$+rNqNM zrg3lPZcd&$f_*-sLj;Xl*`9=@_-dqjdA92>_U{pIOh*7g7$B;LI0YTJ5BYpf=gstu z!@I!kfR`0V(L1K3doX^Wn)#=k?k60~2s8Wgxz$n>P&8$FEUyL3>iE2j8D%NgqX==n zw1^r9$o!xE{43V9Qav(7X1zS}lNvhUZ~On=;u*5j&EY;;(5oVVX&L+3VC zIP5lSzWeU2;CiF#+BCi0cFu*nb?SOad}U>*$!Z}xsMN16uKF>F3Z^+BsqvOhpW71qMM5DWM=NmPF@kvN zXVNa)gSab>vLjhpRNcV-)DM=b6sMx&x8`Q)fBF47Lc7L_D0T9sa+Dn0jjuSjjphs^ z2HrmfGv&Mr8k>JP_BbY8v)<%JY;kIe$=lca%ewJ@! z@w+)Bg;{Pa&mh~*|KItjY!N_vSk0rO)0?|LSyvi@ulpnz#Pzon1EDTDU#!h~Lq-cI znf?RT5gBxB1)C3W_Ynh4rWYU)@>b=9YFKJQzlPWDizhbUhk`9HGapmj~K zfX~Ir7(T9Z0TLbn^-Jums7P&t4n9@We&gAzcJO!ZWc3j9^ssd%#rPt-m^M~F+Au}( z2~2G7>W}{^!oR&;WMG9Ko2TOiSoe0fR{h*zKARPHJe3oKx_ip0Y>M@U_AkVLm0HWV zf~MDfT_~G8qF1%BzKd@yM5h2PL zd-pf%Ksiq93WU?0PkYle%QtV|jv;rLGqx6UE24Nq`)+%MO2Pl>aR`W6K5@3yQ31DZ81CSxnVXzpoq<_ zm?z5f5Lr#8H|E`KTmG?G|KZ|{FErD0;~H|N1QbT%P2(9Z?MhXk#Uh7h^(w*+t5O#9 zNQlmh^OS7h##8$)UqsfrA8G$1n<+%D?qOR02v?u9kI>AKl7IrCTIfn(GOcV3vCP|O zBYEv0LYKMBeM*aA&0lwcLX=BU0}Tu<0S$bV03Rd{zbD8S$bjvqBmjHzC|NXODI1gD^2Pl)`f~;zL9Hnh4JsUhyk-+mDK7Mf7NxxPa8EnQV?dZmOrthkZNUm zkl-ppZyMhH&omih8U z$$pBi$)D45p5}~AmX&C4Y`Mi~=GLp=AIq5?)e-u6{WOt=$d(-oP8OU}DK=t1QM)Sb zV7GufP5D_jRRWptTFC3fPosQ!P$oNb!vmMiuL5~E>8Ljo5>`;nDIkxox}3o>w+2wE z!82_s`L#;oYHBMh>3Tn_2Ta=J{ZKT3kFNv`1FV&hO9cYf8baq#M_mZDkRXAkEHHgK zRA!4TIf;TE@%y6B$s53dH%%wRkbaMLy$!fLbE0Av~+ZZkLHHq?WR?aH3tV^Vt2CQec=0~I&e_e02 z4weOdL$NuRz9mEzDkfB$OlZ81l#&%Krul@lh8``dMn$cM2{-Y1ZWVo~kr{Nf&^w7Q z+E4s_x@XWj6Sv{KA_{uxQ?&6`B=v}+xXrx}6rzz0a}W9YP72F0?3PL?dD;7TAf~>J z?^oay{^jm%TLa7WrKa`kMb9H8$p$)99$pJYBx(5^*e(uy++^KSHXBQD<$acJq7!~g z>uULgF5hobxonV`oufF~$i~p#bZK0?8tGK5xWIH7bwo#j0=9VZB_GUURc*O6DqOK3 z-v`tFOG(ku(WJLzHzp6W_g;>byd2(k^S{CS%ZoqPGSHcI?H`$5Px3<2n7S%A+vn&t zMt9?ymKR=De0x-y_21EoA2B5z>lDLD^D^A-X02d|*v#~V3h(&m+t_jy-{nzcg?pPadUB!Z>z5Cs2a6L)je$_(=l6=iQ5Hz~N zs_+m`p%HBs^e$(Oi9=2O8FX*-50XR$xm1A}0x{ov(2nKX zjcFoG!#9Dqmp*LYWp7uwx$>)=)__9NyDvl{N5hDUWDS2A{gSrzdaunwSu1nU93Viq zEfEP*FwEVSp8cxs33s(G>`6H+Nf6sLe;?uDOEHigx*F$1khj3iMtdpxoK6}|dWU+WVY^vMpxXM&_ib1Oi=Uik{@kk9km8s^{YQtvv-SG+Mp z8%PO^>O#>dR-!&WccjYus*bm0K3LRIXN_Bznr8hI>l*tJq}WYb!ePqOy$Xt6*|a&@ zmoaeR?C1SQ)>7Bk-2?=uI=yO1{@K(Re1|3|iQ2*XQBrC%;0>=b3YthG$>VPgm7F=T z9Y_nL6@z2Ezr}f4S&6io)duCYo_P4$Z-VzggY;d$FX$tJImTBWTjwNDU|+&PU5qXZ zry*~5{fa#N>MT-7me!j6Ysm^{N~xu^*I?$Drz`JbSNWZ34jx1^20U0)Gt2BuH01wk zQ9F>Y$G~UH0@*N0>0IVjAr<`t%4QAjAN?(uDKt{Y*29UQ5Bmon4VHAe=EG972hD?~V%^MVEg$kf@nln_QbBhtkYG zBski|-L%apzxB*R!$eUO!Z8?#p+tnDJ!H0@I^}K@ma6j?Uo}eg&`HDcSD1QbCVf10 zfB=|=8rgSmiPAUWXG&>TUr;U(&Y*T?PX79Fn844SlvY89=-K=AZ@rxga{QqWC?0Fl z*0IoBJ1*BCHiqL{Ze6c7G_5$po)!R+x5BB%Vs=p@*EBvAo+rJ&WpCIOcV>TD2 zkX9bS{l!0F`(=?Iof!#W1!=|C#5l1G1dG0-FFz;l$Q^bLxRjv%<)It3@{T55e8W%F zNa}x9t|L|p!3;{|LBlB!y7abI4!llDgkMyFwcevetrS+7MAzjf$@6~GDH$bKbDQqwjqik6I0y7&WfxGAB;vztva4fEjW|#`^;rSbDIdzYAQ2y?4%N`B$Wt zeX>mjZMCEwC5QH}bWZR%Fb-di0dfOO2hNtUA`_0CN-w8D0)AV%sX}G(z^x?^eOQWacf&cfCIt_APZ@# zhNbvEp^0COz#Q=D8+Pw4Tn*qxkHA%pCxpopB`53^DimgE{Z(^G_EvmK+Z(OrtEoaf zku#1N%P*m4~uwJ(ePl3jnMfDz)x--|=pN&xhZm7X9LVbvj&i zqqCWL&CxG3thCrNlkR)CpT&*q&56b!iHr!0SYHXsmhiRgHkNI-l#Jwk{*Mext$D|+ zDa>^-buwS?`$>5{%2p)Ohr|RN-{pzs_3^MPWxtE=H&Nszv2Ut(KK0yX3`)X-!^>O$ zVC4JO7fwTyevHFM5T$+%BlT9`)XW)+w1Rz`IXOpqZW}=kf0=D{eUs|aXYvhjmRbr0 zV`uB5J!v*BouXjZfv1`$MPHZTNwe8BYj_9~*I#Kxwl+DY;pCR=?Fn^3DXBB4`$mX7 zT`&16=?8SjbzX0E7g@atB)44};I?8FJv$qBufLeuh@D;@3U0Ms={IQCRVSwtg9?x+ zy)WPT(XZx3lsJ-<9N*SFww{1gvUSkL*oE`(6bt(}z%0+0BU2*qu7AIu9}+O+!` z_M*qQG_sAJzL3AknmB;9)Af76kQpqwlr&wqx5UFd2wlnV+wAe^NlOe^ywL7JQVS`l zUIv&GO=g>Q^8RmA!dxBoO8QP8O-f}at8s+*VZmQbKE;pw(kO?6;5tC(0@_{3g@?Vu zE&b@p$50P@clZ2CFD=dUr+J~Z{<^Y{`r4;M9Q4Sy`=WcK?p7jG_s|}-+kXSy%#&mp zRCA$}lC(T|@$@P8uFJEB_U6K8sB#iFqN-xzlDa$7p0uWeN&r)VCn|U(h6fc)SCie3 z2Hzz7NAOtDZ*2ICu}sfBw2^P_2(pXh9YK7#I5`$5<+CQFUh?{Z+LUe+qOu9}-7EA5 zkE*6WTffcwOuNI@)tMnz*>_8n$Q@fl=nyQE*wNU}wznG0xb+}%d|biQ--dHNwKw&v zimZ&HfBCPU-`HJ_btJvg=0~m$8dl#4aF;fmqKHg`n1jzG5z>UA&gw!jT(?(q^5>+B z_hU1Sx-*8VWan+E*0F)yFt((RtNOqWXN%yN<=1oypL##Vt#QCLYi}?z*EW}~ycv7T z^Q8RivFm@mfg7Rk7EBL`0xMvfI9iBbSm(u;_!VtOM~-&3M|~#np9${0?$**Af#BT} z7R5)HmHDqx7))rYpLR`Hew2#&p%(-%UPRf`-*QSh3)R*!)em!} zc)v-WIkyFg1?6z<5R{lYA2KXLeiX^2v|Wt9_DNdhu-N>dQ}TKt`h9{X_qeh5l%!I^ z)Ug$_*5`@t1Zc6}>(?sxZ&~`;zA;M3|EzoviR=PIFJ6lLvw8`mX9>&CCSEJ|KH@c? zVD~6ZP}5W}eNnWX=DhzYZ@$|lU*GaqkTQA7Z51|OAGV5FlXgjf=!em*;dV&>6=`4{%H?Jot2 zf0egW1JAUU0Y%d9IOfDmB1@HWZv4^7#3fqsfhP9PQv5N~pNv3lqo6Cv!%ndLqnq8&NtHl4R)6tfO>Ing%uuBzIH>6&L^ z-3DX=#H#(vp+ZZEN;z>P>T9cjuP?3ZeZKaD#@5nf>&wFzkt??o*&^KnV*L7tq z(|JGc-o2<(TSCa}nN-2*sZ3pc|3!3U%O!&!(tr?H=vS;iQ6t~Ni?6m}Mmo7aFhN)o z+GJ!YP)vBg-CW(^P=>>)s_HU8Ahi73fA)8=gMw74T659^K+psC{yhOl2h^K`DaTblA+6gc=t7Gq6~ z8|?wjWkSLsEDCUccf4Jo*~*JdS*7Vf%yZM2M|G(zd(?Lyy;y8AR>&JOl+ zO@1`-ti(jj==uO${$7qV=O6BP zeRW@M>`4*o{{H>h&OI7?r;^UNyaRk$aa-~os1jdgU5te+@3#lv;*tVC>se24fEnJ; z?4O()n$}q|MZ}JkH!9|2JXItRp~*=NNh1+Ll6N4u+}YqVuLa9;l)GJujjN3LEhA1j zY+9Y8WS7()yF*dKaY3svQMnFpyOAQ9n!ppL8@jBsr1~p_FSg+UgV{W1uD{!}k`Z0M zatkTlzC$Og?EuKfRsq0?Tlt6Z-(UM9z2O?EC-Z*8z5!=FqY<2np)4Cy)C+rT1_C@U zhz+de921Oz3#F}I?TwlKn3e;8p;(3J>X&FTS7^X~GB)F`!->8;s{YuW6y~Pf4YyNg zS9=)96J$&P3H`XzjWXWxc%oEUsj&Tztdk_z(sI6gLLKq`@3P7uNv7Ldi3XR_WhJIu zn{0~fSwtI~+0WgX#g(g1w2~8F1^S!Z-t@!9!9JDWeZaYfH?ITTB=L5=e`Fr*Kk{h$ z20vEuHh7>lR`z9~q(Cif{UwHptDovys|WAoUXXw}4S3<%+I1SKZNv?W2#W3}|H!6G zS1IO)5aMZ_!R;Uh!FRT#nW;w$QwS%`PZ!6B3cA6&-#0G3ZT4b`ktZ zzpfqdCkgLOEqVF@XH7y^07-~bV*tvYv|w}jp{Q=4-J8p2;f+{BWf@Wn=eJ)ib#Yd@`WM1%)fWTXu59H;Gf6fQv#jP`!M9)pNS4HN|FnZk( zCd(~O*80ZTlc?ZB3yVb^?mp3k5jUngS9xy)Y6x+_*QMm@Cy8ZlG_c&y)u3up=ozc) zy}dGwAs4waInR#>JN*#D=qpFLuhHLZ1*9t*QHwr?ZMvmO0a}Sx=WLMFbrU3QEB_$- zvR$rU%*S`$(>%t8nX3QM`5hMkF8O$EzojCH=v!)%@}aC3{_kp9`AA);|5_RRTAitT z?l`8Iw9qgtwj^~gR%)~BA%#W<{NCim<$6MJ!xo)+Y!*18W9ICKL4wytb#&tE@uu^P z%B07d9XVThs4XDoL2M00N#co)kc<x2l?$oXm@{7<;94ekNs@~iDiW@V_ZwBI>v48;6G zt_l}3x~BKYITgj7?`slok#quAh<8L*eLI?5=dmv)Nqk#RiTV>nO{i2#zC--8Lpf^= z^3>Av$oJ++~AFfc4khKb61gT#-Pa02Ko)7eaXHRnfG;EwhPR zf!HJ3VM?ICtw2$I{<1P$0M$nOXW?4o$&;PpAHS*4Fm4C=IXi!Rj2+~th>PGR=&UxW z9Qn29xX7A)3ntjemJM-uhi6f3-`mh6ni6$sj5eQDWeci0D}6()B2pb-|5b)8_(mTW z8hIWKMa2<=k`cjj3W&fSiva1@J%hps00ql6M54<-PC6l(buw)09>vYN-c`jNppPLt z3U4267KE>(9qwFq2>_ z!xk(h{3X&v&4iV`@Bvcm2x@2cK~dMDw83TGv}W{K=B--(G$Zt&E||u`Y0o>wp=_Zw zfBjZ@@jUVN_z19xUuf1ZAUivI;V~{BaJDo$(9)QxF>=s>3e!f{-OtM_mCBbMx^eh+ z)If9R;eH_81A1(ZSp5#FGVKC=21wt=0MtqnoKVogRdPJT5mJn1@>^@BT!fluK2&Lp z%f`Q3eD>?x(Wli_(@v1YOz~5Lijk}-mk}}XnU?6x8ur&~yOY5+X;r4v(%Z0=yRxeW z*}A6QOzVp2hgJz&Yvxns25ZEYP&wmUR-+4ZlbvL;$HXmV6Re9RC<(5XtVAbA!@}Av z_2`<-sCD(}3$AQ$;$wc%ZHoK=$p%wKF%~*s)32l{wB;+@3>)p8m!rMP777S1>*k8^ zlst`nXdl8U?)zU`Q`qq4J`<%J@8ct6rU?=60MyN*KB9){C2`(7bH8 z4{XWF;ES4^U^S;T82zmvC8*AHYS~>Z3Xrme_+&nfv+pfs+b1@2$dI>4L@Zt zbP-1nfqcv=pfX$%QGPvKN|2l)=S+U^>n91d&xxwKrY$c;NWUS2G zWLlzq8Du;aO@Ct?4+JOe7FXAXviS z$hTAGU2emm>@eF0r(BXJK%~`ORiJ%gKz~eCCKfaaQJB&2WvMCQUza{{bP=_X3?%;jg4DDPsUFu?aXw46k1w`*>tevsgqwx%^o{rT<`gCqV_A&&}Zl8H8q`sJ#4!N5hh~2 z4j%P_^eUfWLw@D2SDNpAACl&XssO_)1&6vhBzs#BqVk*iVO%$HFTaj zgy1qiU!z#__w_OIy-($>0&#F??uD-f7p|O^ zuHNT&2J+?A_?d+2G=*1YipS>sN=QPET$|cfE=z8#q4#V#(l0nyw2rQ{Mx5CU69mHN zDSyG^*u2S2;U!zzm~>-2eb^Dlv2)}8Qb5E0+{sBV#m1BZBod1p$1|9k&eM$xKV~%KED;-0)^q^7?|1!^4!Hfy?>nDr z)0uk&o<;_%|Bg;1K3EO%W`|Ho_(v>Y9FkPF$D%>KaLLwtgn(P~%e=8V`FZW57|Nov zzEgkb_z_}lvoL5FS^E-kLjeje1ngSptjn_Uv~w#KYAqesZH32w_ppTAP^_3hX@TD( z39{fe5P9ouGe12G95_-qZ=&uz;G6gh?v#+l3gM51H&y1@5D!E+iVwv=VQF-}yeOLC zNf~s|w^N)_Y_oKDRR!RfR}!ya{m7RYh(v2&Ow7%V8E#*^clSza-`77y z`=?xP{byTykjzbXW=p!g;Ip46)5Ya|m(i%Gr{C)@&!VjLAe}f$8h2Y?&Uyu#G)BMi z$hx7q^FR~l-rx`Ky+BV=wDCHiKePd4VL^{v8L~$OT@$pMi5+)kRS4;y;p%#nkr;H=7zI%z;*vJ>rEAuiGhpo{g-b%C;lH=9~zKXoZav4 z40q@L8Z&xp<-3T7=qzUvL%(|^;YqEtU?)9&q$b-vv@xa7I@1mA6T>SfsQ=9K(eEf} z)v2_#Js4l0w?vTh@vxEtvw<#-l3ztpbJCN1< z1U>ui7q$l^GI%+xd+&{61=*FSQ6;iQ9P~mEJZ^ zEz}*D(;r^{Rw?*yG6k<{Udwtyq#Im?bVorxBCB0HN7+`)2a7BSs$I4Br)nM0v=i-e z8Uyq)eH3MIfxU7{M7w917bGr7aT+F%I~|~>C!m0c#F{XJshk9;I7{1NK^9Bm5eSw+ z>@sbWlm7GuI>+0biE;4!@#Pcp1Ez(#Gc7F4i=faHbIa#XOepIqaLTDcZd#veb^yL? zdp_0xkDY61sOrFm&WXX}NE-)Mtq~!Ja8?vL%r-UO%&N`h{xDO;%8?bSO4MyQCyWk8 zb8a%>5pgKTVc#C5$Ia8__m;{;%DC(8%#xVQ=PEkNuE**P$Z*J+yyTR`^(JSN7%oGw zq@WSme`JbIWm%YV%HK-%VJ_(o+bPbYvq-$;g_3C^T5O#sv~pv`2S(?euFxZD{I_nf zHi|f4ieNvnOKl#E^5)FcS|z;!tjAx1StT_eUC!){Z4SioZ5`q*EWlCKnp_Pvlh2iy z8hw;b(O;x{DW{W>cjmv}zX|Q*QNI{llu( zg{49EdVJlM>*v0`Aqu?hB+y74;k89tcidu?P25r}SZiSNSb*&^{flGk$nQQI#=iCD zc4RY{e++VC%Y$~f%%UrQN(y^nq-)$L29Le2v=dw09|e3GAo}i?ogmJln=kzFh6c%- zLyUkt((lqZ1ScFfO4KX05FIh6=bKOUL~|%TLSXD&ma$(E7bm0O5=~b^3aAi*CbFuu za&5=U^-a>B1z@V11u#~DJpn@|PZu9me-XC2n`2Yv&&qK*t+F~SrKwJQnXN3_X3f7> z6Puo+&X-tpZu_lREOtdN*8}CqZ1<1s&M(o9c_J!UcI7|9!7G4?gsrD3&nAmeozBRAdnxL&^ zc;pl>QdxK0t(|04is+gb?by%yN0yMWLzpyp1)g9{ZMZSJW6{VLWiz{)FluBRp9}Ky z$&(J1cwJeWGvS#jL*&;HZ=aW(?oDwl5LT_%E=Raxuxh2CC~VXjMeHQyIhy5Ds}vgnMqGKS$L#?9WiDlGNuZ{+4Q^2UQ54A=1wx-e#Y&_Mt-FLvJ~&#MtbK%O-cw-NqMDkY(q^!NX)F z(zJ7lO$gFmKFlS@w7V8gDcTsT_#j-Bl|HDU_h(r-#<%U@t{>DCHxgBBA&e}B_Os9Y zUK)vitUf|5D{u5U=RaK)OoKB&ccdSFV1IL_wHo-sNB>QPJ-a<}`ekYzCTqM z>;&Poz){O$geLD*e+40_bZ?vglHqDVmaR^xc#}=Z!0m6c&x(qV>&o!EAB6)%Y0A?J#nylcoQevPi;ZuXW73-zG6 zuN9*!7rN#7hW>ht99ApWWxbQ#Xf2ZNGWeFJudi8GHovzqucYR+HD2_s>^vRFv1`8+ z@hd*HYMn&mjiXI`@1I1p)KI!r6+h>GtjhGa?Ne`kx!zR-%#}H;nYN^t*DQSqdx->a zr@Nzs$Lf1Gr1wNG)%>Ci>S~?AniEUaEsv(6;b{~19@r-yiM!bJ_bBgKKz`eIUWr=~ zJTXsBKsEj=^B8W2jBB5+|E73w7UT{Q>G8_f7Jij^7IFMqX%HL2hsMK*ZQvzTDW==^h3%HKb`Uzw5N1|1Ux%OF%|pjhl<0yY?(~o9q$YzA_LBSF_~GsS(vC)Zhgk;FJG)h4c%P1dKkG4IfpCOE1aCs`Ig%mcT55 z;)D`;2oG_WW-~wnj2>GlppXB`vR11}6Wy--%!aaGLBPG2x4DUQyQZuRd1++5<-ru< zJ$xNFaQq`{#rLxp)hmd@N|f2J_WzW71DE?u)NzeQRn&!+c%{T@AF%qhOW4-Mw26nO z;h##|JlS}0P3TN*UACQ7oPVxwW5pyyt+2n>B~qJoL%!ZuWMKP!e6L9^vw^gAsq+P= zXCMIk)e~;u5CDiHzXH=tp#RU3XKxQZ_2}u`!fPatJ>Q_&xaULG`2`O>GAbZlX9JvecAn32q5nhKz-^8+z0@`F|0w&o-22jI(48(eGN>5kq z|HiN9{c3tNL6~u+uvSqJ=kLEmdF_LT$^nT9fZCW~HvX7Fgd93L^;=(9rM&`Gb=uwe z&4`Dw8|GXwqbsf%n_DNPph(VJK$Pf5$lwwWE>${VrF}$AF2F=|u_%pe6hLxobR!#$}hEd7tTw|@mD!&HX~*PJcn z7G72?BrhSCr2;p<(gvgi!!*lZtGn9U`@<$=ssD?$r%jxDLjs(nrEyYe^O--BPjM~N z-JQ;%EVG_XoFD0OW^4U2H&#wvCxhB;{B7Y_`TC^&_lHAY=hQmouYr4HxVSQNyqHd! zl{RnkH_vE$Zi*MGCKKfANncq$8QZYlb#2l}@>1c7@20RcX_ zrDZKZb^-5{#1CakXOVFs8_Og`R)#f-x4T_5r)4X}reCloCH|(q0zr1)-0SZbj^`R? zn#_y?(@oC@Kq&}Q19mCYTzW`fK^rey&^ZgTmuw1&D`-~CixVO}aBNX}TeShw-!vT? z16JNFtz2dVSCeUO94a!qV$3E1x{;ux$hl-=HRN-i9yi!W#u#WHc_fdR3*c}?zs^*$ zga=^T$Ci8Zq^c9zPgNCypRhb|;rl90X2f{+tFFLu9$L~*Vs|g6u_dKg3BfR|xnp6m z^pA|vk?;X71XO!4zV*i?cn5svVE)JyIP#t7(RNaV*XU5GM;>(~4@!KrqRokK_))2{ zNN)M*Tn7$E>xEk&cQ0|bHoFlNN+O^rFs+Ms)9oB9ud*yS8mYAY=n2Qzdml~iG|4}L zwuyfH?in!PvJwFQSN6XsnmqjMN22Y|9MeRn#u5fK!O~^BuO&Qnl`;u0 z9uzKLU-cXv&%A@U%41Yer`L|%1QTuF4myPVaC8A z2oGp%PEdr$u@&A$(3o5ZUzkKeb6A8q&6`DM=RZqLJfXM$Qj~*OK%uP^jkVF5m~Inm zqnp-2>90t6C=)G4yph2qZF%WqPVXG+0?d1EftsfAgJbB8(@)rm-_Z?q4SPkyCy&_XM zq+Ipv4oKB~^v&(~udHgCXiY=nAE#q@(iJ_MSho3WlEzd)iY@uXAB$5ZD@Z7czLY7Q z#F)NcZ}Wu|ZGyN{!*4q1tkQ>`9##tVqz$re-fs>ACmva((WT-Jl5`0%dv7D@gJLm-~6(W5pU`4!d&j?M+ zz+n5T6E{TI{Iy_<#9a3}s^(g<@?i*pH>^F5e#`n6Ap&pt41bKE^;)8nz||HOn7?rM z7n&I97f?ltKaGFXAr>68k5;`kHA%Wbyk1#Hnr^ttX?D!keEZb@U6|gK&9{V?@qJd6 zy2SmP-}Xn{Ap&XRokra{S~)f@#`dh5OMJQ0B{fZ!zDobd1PYDcK9sw=ts}oZ(|A_X ziUsht)oN?%aTwdB?~W+(C0@4z6L$~dU}1GOpRo9X@flw3wv7g^s9?N;%qEQE2*TH0 z1{19g`{1?{-9l1Dj{0}QfsSBi%Y5pL_2>F)prSW~V3Qy>TcNvGS$(G>F#eKDP-Bp;hl~5h&5$!>5VSP?XN|>Iex*h9>DLG zk_H{4?{ua9Vyi>1XKXl@1s#KpnTCRx@3twZ*>~)k~}k?*^u3u?d~m=l`o~!XX+!wKA_6cM?XMEp@r?$ zVtHa{ywWqTBP8MM&-&XU(roHGLr4dwqzo_b;{ou|0t2+cf++`1hP3c}Q8a3Yt4spfM zDxJG}O^S=35-6&b`%ngi2gXRD9!%;+IGtL;Ba>hL0~ zrG7ZW1I_!T{a|^<>x~I&D(w_ZhkipZ*q<+%^4-3yO?lMpT2m^pQ?3U0xwG*0@1>kk zMiPmScX`3;nB($&(2zCv)h`kqq3Kc^lbo`O`W&De@9zEcuM+*_aP(}|XHMRhqyK8> z29giok`0V#_K<-iFM2z7;yxu?Q{wG{i?sneik=>ffH_~JjD5=PZj{&{yN5r9NcFwuZuJ0$sBRrlr^2mOWXo#T!+@i!`P_ts`NUVZ3E%rFsTti0#`5m_H{5p<5qrpdUK+0Cwary zmNam$|BrR#mO2Vli zy0!N;XM9PgCbM{SJ9yi!w&m{p8VR0he|UlaMdek?1GqnYr)In>2}MAH0`JV1}>f*+6CUovgZxj7Z@&UT+X=6 zBi@;z7QkUJs|ydzal086eMG*u4i5%Y;^YSobbXu>V?6gpUG@`g6Hc;JeA6ZxPI&h9 z7BrCVnMFXr9^6S5EBfQR1)xCOYal*o`s8GkH0;QXTO+CD-@PG_onz%cD1)seaPq(_ zgH*2TTOSwuPw7ISNGc`cg#IHL=D<(=2I3Ts0$U`Wil)2#Vfh3zOl4PS_$8Dal1uMa zr$rnJEVhcU&^-RwKt`Bd0*$!d9_*B7n$MUjM(--VepTW*X|mz+=g71J!tr56Qo2|{-Rg9s zocY{FZ^R9T2nSA;Ep!n%c0jfU3MZ;!Q-F;9m<6A5+8@5&??NPsddI7^nVuMKRwu_u zJrbGzH9&g2(U;D4v)<^9=^j8%r]fiSOfZw49QKd;qeKz+`2X>Q-A4oNH*Guh<) zqXpk6_H($FRFFO(ec)R?w|UmGW%vM^?FZIIc50jpUM;WI9hH|C#CX*O%<&}h$F3{E zOgQb^K#s;rE!^@dO2%kyv{P-EzOj$JK+`Xg%~<0GG5PM_l?K}(M(-&71Z9sQzc9Hm z=)>B)f_=o0zO#lu z6zX&K&Sgc-LO3C(FG&Yf#N=SHe#HI+baCim^9oXonumMgev<V}rxi!81ytpx?g(Wldupkuc4Uw>ZyR^2bvxwgI05t(U`)CV^VfNJlg3W3 zT^#W`u8YY*x&tRUu1 z14qs5h6Aitpo{DM^=u8z^%Q_4Ble#|^U2W3M`y|BFPd|yq}!J86$6;3RBU5wT3v)) zx}M-W$18&MZasx#X|To;PXhg1dcOtYb4+kqQ0*>^+%JSDaaLh}q{r*@!dXkTiq4H= zabM>j37_;sygl-mR4!ULFE)_5hcUiTPz|q-WV070KA76tq4FQLN?I zDr{`#Etzorn7k|Y?Xn3+3z^Aovz^q@WK)4gIuIeeiC~zp1I+aQND_W`khL;@UxIhP zZj3+t^?Imf>6_l>#q38oB|(U05ro&iKd4OHjtkyfj_(`^)aI+mpF+l*(t%eHO{G%= z{){Iryi*fZC+I9RxDc+L93D@&QXdlc@bPOQq+ZXUf1L)z5QAfz4Hq+CiBvYaw5A4=>zB(U zaQ!jh;T#e6#bWB^tOBqf``&OacC5mIB=5APz=!Xv*IlWMMX{JnTTor$4^>CoLG>?0 z9UkSoj~R?~QU>G*4VYkCAD~L3V`V?St8Ywr^NnUuI`FI2Wk};r;8sH(-Z=QZnMMgP z@JS}yHM9ksbzTcub^>B#n;+}B_udDn6#QA;=jLk()OqBpk;(O2?b+nZWsOzVmp9ed z6QX+d4x_f}686W3e}S7u&DnHNe>8u)Fw5}!fEv*5_89{3nAwgH_tC-MYgIxhe8<@KZ}mP< zAZN=Has9sgU~lGXqDM=tXZhe|z`oDm9l9O-wV)+{vYW%U%J~oPB)RKtMxjB-{tz@E zWIP37ubZFGUKz4D#jMu^J8ZRNT9%x0^9qsH-pLBSCCePe8p?&dxKaT!~ZF zStb4Z>`!T#WW#GFi3>pSNErgA$E(HtoxO4lke!G}cvPgm#P~*>wJ8b>xY|j)Z24Bd zIj~(1*Ur$3SP*HExVmR`(jygcnMBkzR<6i5p0+<{GF0tp7*qg`e6%65l^n`;W$5C< z^0Rx-1J9`2hy{P%7UC3N`7uju?t{Or#$cw{-4$qe3x2 zpi$I|xU^`WY0%9pH^l|(J><(o@(8eLm(OJ=NR{EADZtkkF4DZd_1+}^PII)Yzr07J zOQA+M1&l1Yvl0}D-m~!$mujtD?fsc)_P3I9XY+EAD{0ng7Db%rte0NqKo8i=v2?h{ z7r1-nO2;rN$q3`@2<6eI$uar{FIT#<>=ye+BFZ?B7d9N`alyV@p-fX4j+jrKrpEp9 z)+2RpSn$%onIYFHv9bA}lYK+s`exJExD4}phCid;B72?dbgoGRUfa3 zwzex+oeVJ>y56E!@BBCXoM_pN2tNl{Ygk<>&B%67oBf|;3y5>I`o^CDaEgo07}-Fo z$DSgGl*Q7`7dk`F0^`RTT<0<$^Jl$lGlf214E1+H^T=$9CR*pF+Y*^@w zQvz52iB3M;2JLTIK_fD1xP~Y(3J?B~B%3zkp}%P67cwJ8PEHH!lTc)A&|0B@!!={` zAO)0ITlE2teW{zF)p7l-V~H+g9e;L0hWGyk^v*~ zGi04ypiPS|)O(P!Mrd7uHE5bWF1py_Fwr>O-d$=ggO4LP#hE?M!mBh{0Ph^|I_!9H z99owBY+?OrvjMhQI%pd_`@_v0Vp->qp(n|_mNdmQX$g@fD%M`9ObPpHtD5e%H#$5T zTu{mew??!X8qY4@9zk)~vO1SSdC1b$pC^sasfMUIOJ7Yi=~1o@e9_zv66ZS*_f@3Rgt9+dp%fJI{#w7OrlVr~h;5$ZNv) z6&)N0K^=V^;sU<%V5)1vSg_P)0KHY#wa|Vpo*#Jv%=L5&i7P1eW4(y7{QK0~RK78= z`;_lxmOpck{pQVcxyTWA{_58}SV>ZwLmiq@3|eE&_tJRe*bZH9Pxvac4q@fF8BzNBCUx*-2XxMb*sQSFn&f>M3S6|cu8(OXE=%riuyRT1B8qdR$ z&4s%HhQKrV1sv5|C%49*9Y?HEDc^40l<{i%k6w}{K%*}=7EBKYmELzClyq*l$zgcE1xo*sMeG2uz%1W z;N`qLt!N*lC)_u41o@mfa=t4GeS5jp-au}c3z(82OozV~ORwqP;2{7?!wQK0kNOz* zG1kt$7JUR&oi=pHlCZ}b@lYz+;dvH%N&SgRX%WVka4#3p&Virz$I_0jYfKTI2EP^k z?}#iu)jj3-5e>U~S>wg04_dtPV?0rqomMd@{AX{UPmOv$eZU5(S(3AqdDE*`OUFWc zk4`4%^0c>y=1M6iVHRAc&Ak~3jg5&W8CvQWT%+cLdkCPl^2qjgQEd5XJnbH4L{f0@ zmrzN=lc3-E#QSu;rb8Co?XDX0^Dqog4spKnM~59K!XW4groTsHzQF9VKi2^p05$=6e#!2RQ9IrB6}Q4ZD*!nO*pt(|{e3<9 z`6cs5SML;HR}uG2Xj3tgtGW$lvs+H~gm>_>okI3pb-B+$U{JVSWUahi=vbAQ9aQuM zypwECIaF`c~Y8Hh&wu6^;YkhFgaoIwooJU35GJ<>NrSa?>zuYrsiRQLGTn%oWW2^>G`zaQL zHo*%wn5R&VWz0{;uj>us=vJO>e7P_^$^k82N#g~#!~xZM=*5voi~M|xy`jv-YiU=CH*sXM^rGi(^?_Gb^Ec1(K?PQz*2JLtH7&A% zRoL=7Y1_w3MAsOz7Sf?bl^aWHX|1-czMH=!d)lR7^F&u%yk4U1oa=NT9(>eN?o#$#+8Nw##K*1Wrl;kBs&HXW)z zpu~hi_mAceqaMnqy84}PDCykR|5m1IKSuzbj}&U_nt$xV=WmIB2knhBex3q6xR)6O zU)iq7?m3)v(QvA*>aS}tkv^kdLvO*JR8y!-L7K}#a6E3g)cHrA$=?FHVc&CwQ&dxB z?81TWhlP)B>4Z)ji5dBw;Qd;Rkt{9%UEa4Y^_2S0L3;n@sOGiMXM8a-Tde2uY=)T5 zn@Yd*>fLR_CLmz^P-;A9W?Msx%bPhPk>E6i#BBY@FNk^U5?j!@bI<$FM$(?vs9ptx zPJ6n2o_q4gyMBp%BX4xTiEaIFIqDN>306DaQ#IcBEf-x8wSvOUEo2L0_cdfHx*d@z zQucAkTQ=lReVobO(@%fkGuta|LP&VGPMe8LYfF??1~i)#&Iay<7eYn|d_^fu=8o6f zDs=Gv_9YDOEEp4KCPiiyWgI?fm z{mX4!SlC~h1fUB_|GbNueAxfkKvrP$Mr)!yD=91>$u@D7v-P=L&kQhC^7Wl$Sm$W?YMJGR@r*Cm& z&>a1s`b=TOf4={rd-=DhR=`%m4$7U{7!vzA)s%8bVm%#(qFMvbr@+%p8 zc^&mCw*a5~(GSKCnXRA8h>?3ku@)}tV>C!|#GsyTKtbLpFV}tx*7X<)97v4qJPy?# z=-;Y^6dD@Jyx@V0(wOWSdeIFI8gkTHkxoi|9z=9179mz6S8JCmJJDbiFyX@%yK`^5 zv*UvK1IYpIE1iliQH_#X|IW0is(t?}FI#;Zc$YztmmDIN4#k{}(dmy>Ns0C-kWI%? z^+1KI6`M!t{`|=c3~m%04WNQ>Kzlp~1U(k_uM3S#>Fmoi3gYI+RNllh#p`Rj+x&*cCLK;!9JPE>>}%dC?~_#gNy1C zavrQHZW{~KYR(_^!D;QUzj=$u>0;G-FTcvp-j)|Wqj)C?n4o#h1{=*OQa#@Iu5FjU zUj@J~op$kkZe9$r&HL(c4;*C+H1|}bmgzwS77y|g#S`;AnS`U(g8p!jfAWgj#d(() zRT@v37LV5b7rgSWY_`3`deTH;-|pvbPh#oBURm8@``qzg-0H#$8^2fUy(}F!%3ZxX z81Ug>dVx4}-1lm$XV|iLuU^EkKfVu_e;9xCjp+-i4JojH@x`b##KYI}bIG70LHgd` z_eP4|ug*Wb6C!gGtFCcdEZaO&lIfwiCbi_9vRq)S?b22i-d$jcaRWN%mO-7qD>5}H zW2Q4)&ak}iaV3}K+*U2NzbMZH}ZX@#6oA3v%aSN!|wyJ z$*)`AJbIA&<2nPrRsdpEWRMWq{? zJ!h9h+8gQT07y8&^BPDQgpF}iD;`BDv-$sg@Ak3Y4tXTvZo2F`Aa%AD^eTb9Yn;IIegCe%;(x#*zR}?;ELqYYT#pt| zz3B6nwXHe)!fW#}ww%>5IK((hFon3IbZ4wC*oQl2t7*3@czYXPX7pYsu~4vxc(X$Z zR0Ic_b>_EhBRep<|45>^LHJ-GVFzkXc!G^B$THYtOrpq;e`6VY{D|DuPoyb1NdY8r zi%t*UaHWdx0f?!nv-qzo_wm`7q}SLNVP`tUM|Ihg!oQzAd(zu~^S;{O@9M_4?-)*Y zh_^Eltg)sC4F&T+TuD1WmOmE>|9W>ww!BbgO?)}c=f?eurzQ)VIuF=bZ=u@qaA>?5 z=5P#W+%_u>zGJz|PLQ2N7r2a-EU(&VPs-HTnLw9Fuyqp>f@@?khks5kKexm}_e&SB#`$0%LjkkTNP zEbv+M6ws{ceP`OKs;<7be-w3X8L~k9Q<%3*^aYb+#jK8jGb#uV9xbwN!)y}-;fxv{ z{)H=k0B+lN6H042-zV9g`t$1?g_5h;a0GRkoRa&t3MBeOIn$?mdvonIE>8iZZ=ziA zzR5wJ$Z0w`kvGU~*|5JAM?-Yd9#nb#nz2!!6#NPI0B|`D~I^?@Io+68Y54cCf^V6ygj7~ zcfqZb<&brFFX_K>Cm3R(ojKywEF3CEk00x4=f&g_cyMq!w6c<8f_3bQmz8p{;Qh|y zJS*CsEp*h@sWqev+)()$?WU}SwYz!(4R3$^zV)edK4ij@%eg!`guKawWcsxpdKh` zGRfcr!&(VR=63@NQt{OIx0t3N0DZjmAoXbrRxa!<*50M4Kh+{|RCid^9hGLT7}KyQ zD_F|;M(NF(mkl4V#Q)0Spf$5UXL<3-SVoI!qGKHHABpLg5rqvpp@U36p8LrI>p5f} zEh4%A#=P(DV`XQoo=IaoB@pMs4s=U)lEsnfpLl(ee^^Qv(`l%EX5r$IlTdfzUcVa{ z?i_)b$y1hPj+e}Ec{TE}KEthiEbVZEd95;KVCiO?gl@)J4ztNZ52sUIFrU+vco5@^ zyA*dScIcoqoj0jdz|3tOC72o_+1{ z=w~T=m$uG+sZpLvo9VNCAT`6gwYjlb$M|^7{GI3n+rwWfil+a;rV{S`o^b46<9-|8 zC6p_Zz>Dn00*KZc2t!b9FX8FgIHNVCB7)gQG1_EJ62`3R&+KR8C(E z7sghd7ChVKuRsT?w!p|&o9PbbF+;$0psRy&_+@}N{pflmSsKmjbYWX;VvGtWWGyL= z+1LbW**;xmMd4G4lpbqW`+9eGI_QN@t-bW{zLmTIqOrjxD}x^1-qM=rRCBFF89-Zh zW8KxMvC;=$4(z^+FwkDKi4I`7i2tgr;SXs!a1)L6_q&(H{WQ$z-RB$?Y)(5l@H(~Y z`XJLQ=N%g+%v>0Z8(-5yyf^XE^w}R>O7Db<3F^R4$Kee2N=78|_Bn;^Lc%$6R8g_o zSRdb4XbZt1h*JGkN8`1gQa7@yQNhsD*P>GH+C6ACHDSeaxG`RYF&LZNKQ*^Fx6t7R zc7!wfN#UH6H1Kk7MeF>VG z`&RiS2r8ZQSaUsIt;KpHM0VSW4Tx8{T1DhyOyVO0^rFh#2tpY0zKqs{-SK%{k;8b; zhVO#+;S$NFu{~jfoKF08sHpM2ZqBG(8=SKX8bSp7_jLKOy|vc%mf5OH{ozZb1Z<37 z;I2o1Jy+M^5l%B`(I7dLkgn1|iWd9h^(LcXT@FH3tKAFNeo5BmyPh5wh7g4mvE_PX zRu(qun(X@bKN6RY$kjT~K}iRlEAb|NQKz|e#;uM{?&Z{mj*b~piBKQmu2cJIV}sUf za36DOTP1*iq`r$lf_k04o`g;0gTFJOCi((d1BI0M(Ir0CGM2fzQQeBgI#om2KKEto zr$*HSXDqLM(F|x4t=UzJPLhE<=J_s()lIZv7b375K+Jrmp zdwmbK(~_+}O8tKRYw`7b${CjmM0AoM@$St?TpC(S`9QW5x;*U>6SWX0YA_MMSFFUc zIo%+;vEE?eOW-1;v9*3? zB0;$*^`(}2Ksv3^cl!cu^-~Bt7jwCWwkLFA zjaaj@mR)OofwaI><*7{5_6H5tR@|#n8MshDv!luw?#AIR_30BJ|EDI>A%>fkM4t|Z z!owcFap+KFx$M#-e%?IimVy*7B~yy>*}g&K7Rc05g~x9tK5x7}*kG`kUWf=iv?aa; zKN_R|oPdvdKhw(3mWC*jg8MCg$(>A{wmtdrkED#Dg7hjjN3-2ScP`8nyevOxw%mRy>K)C1K_&W&Dp}X{!jU@AY>c#i1qCMNy zr`PT0m$m_HEaUT4oEOq1;j~s z9fBDD>gqyv>n9O2H=u3L1y;239^6pmCO&!9vBs@&uYra^)&7x91lzI&5rmJdz>91s z+7x)dIeuXB-a(?Cm0tZR`8Q2f1k)~LJ)W|U&9S**$mdEa_c|qyTNTsYFeFS-Qz()N zlv)>^-D%qs+ut(s3xS_fiM0@KY`2qRdx>{X(ku|*g*);hvEM!V<;!u`-xnmGJ3|_M zPxQb=7Q2>+4C(~`kUch*AF9vc4{HI*uL^JAi?2Q7i&=0%bRNZ2yf8#4C?3r8H7~Ll z?Xp(5aXtf3J<(Icn& zsk27MoaZg>GwQ|{pT};+MX>Ff&GifFsuwZ=o}^ljlU^u?^g znPV?W6RbQ(9{(d5e+ee_TPqHZp{^yKsFFvo)0~yJPvj#wC4N0&Xn(=l$%y|xI8O#{H$7J6 z^Mld1gqwMSJ^cuMAFnK8;Y_ewp5t3Vf7xtjvdTd0&@#XaQuS568kbGEsm>+&5TTlEqZif83292JbiJdsef$fT%zS3L=JqO^ zGVULV0bF*}iO9m77iMptb@>ihnIBi#D{=13N~VRD_u<-$w!r_+FK%%yW%Zi+{wC}u z5-b6$;)u9S+VNnYmr<|Ll}zfIw+4*{@zejZ8wR$E@QdCKlC_sEwFcZIybSo$ib~Dd za>CQXK7YrN%>f0ItAUk>B~v<-9;eyfd6E{7E?-ANHLH(3&6ofIR}5pq=Zxu29X@DG zw-@8zSX*aB*mnQ!-ZC_9%hoCujkgaP63G8u(njenIk|7zG`DZV8E;efUV!a2%mks0 zdV?W$AO}}CIMSJ!704_JsAg+h6fCt+gV-_Zp7z@7<%BXkA0MvA$CtoAc4z-QzN%aT zWLhenIX8gnoJG8K?pR*o%9!v^6IM3lb?tzp3C4W}<{ig8dVH?qmOtC{8&Xjz>vuI< z&+^=tFeHU_bIIk%b*)7D4sGYe~Q z?<((^CF|cRnGalDA^GpUbYP`HBf7 z^8~M^VFbfY9|v*>A&##ng%mauHr?;~o;Xf>Ic_wqmG5{eu6(A8kzQ?ZE-C$xC)rKD za%l>pM!Wfxo~RG@aIamOI+QxkaZ}Ey&cCrPx7>0dJ>(_?=vTZ4(i&LJ7TH1W)p-^pwMI==UGL%o!+(S&}k!&YAwqJ)f1v> z-!IBhwz$dkf0hJ_C*1%1P$4GU_t`vdZNtoD$NzP6b90@K+c7%y{L7b>=ry%#I@N3a}L_VF3mK|~&BJmPj^ zP5ilI8<^K;v*U<{vwXlY%iW-~X7d(Sho)X6erT#P$WWvrQK@ZGcI)*Gx~ZtD+dt#b zVI)-xH!44A%rKXG-%c6s;c+uoa1FR&Q+4&6ICMf_!?1ig@}|NyeX)rY6{Tr4gBYlv zN2feUd(5iP1=c<`W5{p1FwMsEj0c!5=I~>Ja%;~IO#R>f99Sr9LUIf^X~sk|a{am2 zTk)4o&{KgVM`+>CyR+!OCp9!bN8P7z5AgPt8b}p7;$5`iiS7Bd^^cY*%(<7lV?+qXM$Bx{MPZ*K6+ zwk!KVEU)ua$q7&>wRtv-%kgq{v8S9d-(Ejzx|i?$aM*LwhYHqxzGNhfeZe-g)c8jH;q?{W` z9Q7OM5l=8x$69s=ZG|vV$S)UR;Fk*2b_ixV@PAN?ArCOlY=PaqWar-?+Vt%RK4g0r5#cSz?hI8 zY7}}xxWtJaz+yj(Y>u>d?I@?KcZKoqc(SGQ>Q0`tY4!z!u2}F0jh5e;2XpjcD+UIZ zdES+u%Gzo6{;&)-Inebh!h+_;;ni|o*%qUFyU1yN^#>MDI5w3Th_K{~$Cv7e9U~a; zvLtVz-LF_pTRR_X__ zq2k>XCY^g)3JVJBmEc&t0xcwSb!&??^Xfr>4fZ43jjN~sz4bYXZ>2Mk$huY*Jsj(! zTKjrf;ShCu^62K0HpjK6>?x_U0*}Y@Z}Jzxy3DH#L0U!cGkz{wjD5WPq-pm_AQ{rB zAPR3wWOZtk*>5tLT*h#I_p)aJoIWTk@zqL`%Kmy~e02QR zfM}2KwCsHB05G*H0vayLa@Dq9ct}?jK78elyNFEH##c|CY+0ocT1^#A0cCD+B|^`Y zy7Q_Ney+l-?@@wX%lnD1{hN0hc>`lS@TNyL+?Dv35&xfX9)^jC;mt0@2DwWCRHz1T zn-^q!T~Vu~0-IGri}o+9zrK?Cm}nMqu=@9xNESEkgv}f>9xqrFOQ6Fxpr`}tbG<9f zmsAeZ?TZ!^ySV?GxLKvgY@8i}e$eIaiTGV90Nf4tRM`m@ksrCVkEamq&c@$D ze1!b@FsBR8^)23;&$%^<)AZxS7!(4*5L#mno280#sCDJC^^VtuS^NQk@6l*cRaU`> zPvr=6?c#CpSXsM*-@5HM-0^X%Gql3Rlzlavz~qK{RNLY}!(ZfARr(#E(*G>}WDu2H z)Kn?S?V{sy1}Yap2lK_iU;2YHP=rNlj5o($>17^MGI#0dftzi$)V*Rys`~fcsh|sO zYC!;|jTb8FIf{W(pV>0Xbz57^v~w(2EH3OGl~XY9kvVfQgp?HOm;d)&X>4qoI-?Rq zxaR?e!o%7{#tC8=s7c+d@Mhf%aS;+AYVl`%hnkdu>VG5#x~Duntym@9FvodT;Cfd+nrw15<%w)3dk4tg8s z%X|Q~+jGdFP)>Y(Zt$y-Hz_VZYwp|7PmA}u5{b_eZ*+lsMuHixdhofv!R4Hm{T8HD);T+s#^BsR*qm#UV-C9!&gg#Oa`wIiJi<-np z870rajJCB!3YpuENn66c9;V8=NQEGgLT8UmAYW4&V;nA}oiXm;;@Pw~pQ_~e7`GzhU@&c)@J~qZH2W z`ZN43oMG9I6qyr?%w^MMimk{+u@z>V=tskTxFr70L21~HuzB0%-PiQ^Y^_0HELzV# z?>xfu=5xzV9^U0RqMOGD2sp&(G%N6g3p}G^efR`U;z=uU(f+nKtxQ)nfE&G>GkV<& zs&rVA3eD5L$3wX)3)lqww@DZlb)|-nwyy||B?P)ON7g6l zo#daqi{%xjc9qK7h!dTFoz`Lzri z=(ruWl=~i^06G3NBHms@O~L&cdr677dd?`aCu`A(^x24C>KNFT0eyy7m!I`b&t>$N z28;B#dwR23b&$Zx8ste}#zn~7d3&55mLz=jGk8V7eiMyftI; zUN(r@Sc^x{CoW3FypJ&b8{2rlm0nI z{ugQL4sU4X?(sbA0F(g)+nII>*y3GV%iV?k^y?&)N73G;j^!Zlx1V~O%a+5@1f7WO zDyzBDWT&(!sqM@y2&CojR=!rM ztoql)_lx?C5KV;tl_qQ`=MI*oX9tBXLQAacdOZstz7AJ{aF~_9bZHfSEs7c@|3ezo z>9J5|LmbPY!JK#5#&y)he{JV^52kf*)h&5{o&%couDOaHK)zM>wD)v~G@=W?<*Dw` zS9*l!w+#<6Eh^}nL-S$UA(?*0d^tl}AGNqNgpFQ38Kvky47gU5Po)xegF92mFdt@T zHoJ(J&tGJ7@_a1{8RF4lIvkW^DS{?oazlwM0$~I&5`6bXwT3;4>e{n=CjUt#ugN#d z|AZwqJtq@2en!oaC2bwWK>%R}WHn-i2`_;Jg@R}aLhEi|lu7a01wo?>$j6@&38mjX zD(0(Mcrn2Bhuk>$M_R+-vCrYnR_#@dB#VwH8dbJK$41^BYE8%F0k->sA(o^n=yPJ@sHzlXmSDOi{lP zA*>j64Fi}iC%CCj=i}B3FK&cwOu#4?)^IQdR5YF{_oj+fhP)x zXnd@L8Q3*>aY9V)k~=pdh(k}hR1n{H_;g`;H5~&Ahp&Z!>Ybvb|H?!U*;3(E`}gjp zJuShA1Z|hFg~@g};ptIIYCoODfpW?Gibj$XA$B@_Be-PT?IHh&Q z@W>x0&)Us(II9UmwaKg`uqt?$TI=&)eokw8k(DAAMsah`TluEZ3|nk+5grYT4^z`bXy#Pnmrs~s;4=w;X z52~q%ydeq6NJfqc$$j0tX!DD_M>MM}Y}H)@{654*a82EIr1Bg7DP^^_z?6{f*9~=A zY@aAPJ_b)$Q0$nx2hs-_!A3f$r6GE%SG|{~?|IBwM>v^tgYn10^=>I zpqTULY2EW0@jbXr_|1(Z%Z#|snA`m;?}3=UI-TgR)8cB=C)-}*OeQ4fxDq$i(L511 zvJtP5s8v4a90e&IWViCj{)6;C?em)MHOYz7y{va;_lO&Cm_vJEuDTy{DndW4$0^X> zO89I343bx$#;n9O$GQDr=nfmy{qC-m`C(w4 z)g~aS+jKtV=a?nd=0}-d|GLTVy2i%lc>RfrL>x)K4PUsLp!zGtb6jO#<$Rqo7<=0p zuu>QGvu}r;a%t;0|BTk7Pa|x0|41FyP|_R9{(e{AD~s~l^Eyr0ubmS+WV=V*z^sQAR{t^LEUI;aw%4{+NB^GHT zVmai@6lvyW!Loh7m|^>ju-DKphUb+nMWRKw*1KT*+z(r-V+LkTtAxF*NhE`cjHy~G z|McW2ROWR!(6QJAsZD;&R^2<&tC8i3B>sPul@K7 z0KtSR29*lAq&!FKZE=lBCUfADIzOzv`5=3larv?)uEv#5jn6RMTUSVGL+aJ7KOVIg zf`&CaQ!}8?0d?7<@x{7OZ|eGU*<;p%DB}gJxV)f-9UW_>(Dg6(tD8 z*d+!7widGY_@{+~r<&o)YakV8rooDUmqQoG52-D*+@l#P=BmDjqW>=Yw4;1@D~dMg9i1n^b{aZfw>= zH1n)Sp-;b{PvBsS<7FXVf^>a;d5iB@o!-mqbd6($it|3k8X!bm^J&#r*Ibw@wB4-~J>6n9Ae*WNzf)4%Ksa6we?1@8OOFuoK89nXFC z4elnk+wI=7cGfrFQjh z_#yg0;8=w?MWiCfR=e2+>OSvh$#r5|*tl?O;G|3aM^bK<5kX*LL``%^E#kM$Vu4Xx zy$5o|)tLz9oCB)fOJSHzjzDI+i_w$COQUA7Pj$LRI(%(elh54?o%4;9-aehqIN5VD zepi0U^OH^Wu2}lh;DJlEjU2@vcqg6(79IqMgqf&K0D% za2_do7RwK*joKRMjY{O97r%)n3=SYzWw7^5e41S)>Uv#At2xP#whPr$HqGONr;tFG zG3tbot^1%(qYb#=XpWm@wyd+E*pEl^eZhzy1b3_$fe*}~Z2QrU`Ph0t^_*HN`PsRo z1lv)}y2`%e6z&cFhf0hTyt@t``Or#LWLa6xZ(oj+?4dIEZOA}{cgwO@@1*K%#@?mD zz^`;M9!`u>R?d9o3@}!NG};7FTxY@V_$3*HU)i)bVk+_q_1r`dgy3a6zNkH|J699c z@{gFRLEM?J777EIwym+ue&n=us^Q7u6wV*J4pH2U#K5C}bYNC;ykjjEbeZ=QYWG#T zXnIQwA9JH_uXm~7<^R^pVZ_X^wc{dr=g;^zIxe%$2Ngy3Vl*--8mC-cWAhorVqr!VYxu*ZF0j{qJj6>$gJI zLfrBf%TRbI`4T%WK3))5f@V$*^OBPirhD#@Op9(mSiDnjNa6XpfsM$6$t=y;wND*i zdG*$93>+_7io;%2lX9CzPF*_jV@^4v)ZM=i1Ut_M&U&m;MSuqZqMZ-U>aZ%RDqIVN zEHJ=xRRqWiORo}JJ>hFfe9eonr^n;_E82sY4tjb0V` zQFxtl;&%F?(C4z*J}~(bB5?(O^3>M|%KR=k@k8i^ng;NC8&3r&>YA?=-d3%G;B^mX z_!yox@3EWoxBo??BYJb`)58d#emDE|dR#IDW%JaYOSVQCm6Iod(~bS~W=w|_Z&ZC; zu>6L9%Itw~0pePKySE!b>MpgCP6Pd3&X+FtrTOexvx;30el#{gz93$bCgRj)>N*X@ zEWQ}aOaDC9Zw(r7QD`~!3$Y|d{s0rhHBO3`X^9>)uYk-l8R7m}F$CjTDbK(kl_l>y zkQrdjqN;3gPv7ZL#CA})9$%xNGv6v!lQZ~EdGubl-4OjkLEEW7-#$?dM;x)vIr3e_ ztJdMO%v;3VdE;`vB%6#W*fqCJzv_7vK%Z)8neWK$(G#W2rMLQ$T!J!kS2OzdC8SVo zeF9pv@fU@6UrfNAGomqlx3(rP^34+3Q|iC|i|!ScdqiU^-@9^N^-pTb?tddnFgbwK zQ%IT0WtK)>9w-f%?5@$dPbz&i{o@+FqORArU}|=XwP1oMwTIn2nd$ofs))nXD1Bg7 z;Ko}-O2z*>=<7;56aSBj=wnuq7xcCvF|pj+P2lf3?j@^!=xwlv5lYXuT#^{wgsMx? zZXrQ{Lg@F)TN64+ILQ$l5u)g=N zHHHf^fk&hrG$AN0J)dlLQKEWxCr8*s`r--68iJo1SvBnoL$2lY~z%xbd|665p+J9=Zc0 zdFbcoMB|w@R_ztUr#&36v$>_;y8ZMBX} zjlOm1P4!x)D#vo&*1`o@>p^~2k?Zbz?8~j76#%Ni6o52oP4WdZ18q8sHsl|s95t>S zO9->W5@i(}TL=m*$Gno~aB!Wt+?Ib)1z!5fRlJ+N)UjOtaz%qc^ND;Mc4&a#-S=e3 z5KF2P(cn(;W@0_F`OvEd>j9{!5}Y?2ed+t+1-GnbYxCs~->fy19QuBlLVJ~9U*v8Y zq(8;GIR8G5S=nS^q}1P+`W~R_Fc(o*(v;}^`AgN&(S;gA4oin!rpE$CDT;hw>BG{x z4yGcW#=@11N4y-hN;)5i-5}Z;|3`HRx@xQ_`LW|as)U{4jS?$Ql7CUQT&Jg)YwMKY zMsXS|f+etIEv0jSZ|yLHaIl0>M53>1uAGq4(v!Op zU7gXlTi2G4mag7MD8_3`(yGgrPqz<@ag1DcrzvGM4=ys~9QwVG;O425ywX|VcCNhB z{QKQu$o)XWV_ikj--WG^-)ue@$s0ZXv;!V1>$r75O(P{u)U<>+x)%=RYf+mC7kUg& zsyR?>JVN1m*N;~YOBSIw=GCp~e7SP6JRqR>`{ImxcvOVQgYpn>*+<;kT;vua6{YC+ zFZ0Zi!cD))leeo=Sq+B2(NB8B!*iE;X`JFI9LJibH#!G8ILo96_BxPs7mQS>>^MzN zxro<4^VkiZ?|_Akh^l?KuOGv#vXXC&z7m8BFwz=f0+8ox*Zga zrVP(}CwgLLvXtZEPyrjU#$a!P286;LTzu^M9bpzl5jJf>G)DlTnkQtX@dt2F!5@Up z@}9p=*7o8%J8Fy!eg8rBv$PJ?y7)!q#z(bDTi&cY$%>TQ3+#Pwc24-O#bV~Y4;r4E zT)<<}#VLo5w(_5!qh<2)q}-y~3~jd(2xqfWzV}JyMk!9!=tWV>E{f?!!iMFGO>26} zmWsN!Ap;2HG3OjOY2`(-CjT{BEP<5hG5D~C?sPBqxqJ?PZ`S;(7o zOhaZKJ+@SpGJj5K0$us-Lpg{t`H$-9>1=Ua=hzNg$-u z5yh%1xtB1U@P_2;mj!O>TxWo1X~TUFXu936Il)=qjypEF&rSivDgnSdZ8lu{=XnE@Ie zF3tJ62c-(p5ZwjnV&HaQLF6zN^@n7KKAVIz1qZs_oTVLODR8>c56u#}bJKsvvTv^g z&)5yBBBtj%lrM``?D^JGCg@zu?lr9DsWwN@z}|+>0HUErcdIroqT(zq(pRXWkjEuy zG?X`ksLX7v`UvJkaPJLanKOy;^z$5uO%81u8mjzCUaenn z^LoGiv?~q$#TUZ2W8HZfv=^e4*Q_4@MpBT^iRr1)X{CmIN54WvLl>V|OBG3!wY+>` zI=kgQ!k@M4J7wz*b-d@8({cq_vP>Jl&SnWRp1ZwT8`i7$t8vqugIB}s2=wtkDjJ}Z zTOW6G42PoMX}e<{_{y7JaZqd6#wPh${Oz}4A!;FM`XVEO#Hzzalpo#H%F)hw`7NDu z8-I4Tj>zF5DN&WvI~1_l7MYa*HmTJ2b^eJBjOjQfqW(?)- zC0707?j#XApkBTl)uNP7LKwBpywzXds`vBK@n(AE z_8|JklgE$EsceE~r*%IreaWvnl#hLJUyi1A$j?f?k>I*I%k^|&DUDi@J#Au zkxY*&21@L)uogsRD$$?JX8NEnT$!&vIBnW3qs{hoA^Ou+&hPiCU$>wflnHG?6lEdR(4&RPi=BSZh!*A+b z3EMBBT~|$Pc$SPO(?R%G384svx`f*>Ry-=ECAc79s29j;zQHc=TXnyx9K5Rr5jW_~ zpufMS#c_4^YJ`0Ugd~*yfx-hHf-=dtGmI=FnP5gFL;!`0z*-xWJZ8_^;iHFd|MmT6 z)Xa;8bdLMjhr%du-}{FqEdpK3k^^EQg|)7xJL9bq2+g-8%XmZQ<%dM?iI?XvG4Fmr zQO*00iVfiEgcZSu8Wv?OU(a?t3Q!zeG4&-}U6yh(CfalfXFFjk+ukd=JkeI_Edyc} z2Mx}cf}~Q3nfH&4*OiX}<;yiU@)nGi6jKp!4N%4nnkHc4ek?O$OIOBQ@Liq~1|AhQ zi4lD#>Or)4)YYz=X8Bry#a+R4^aZaN*oy^@$vcF3KhTZHvdy>pZ{jnX8y0d4-Z{O$ zKS}(W_ARGL%+yDX_p+$SRJqjoa@loS#UnQuX%n&^xjp*D|Iz&MH zs?CQ@)uL8zD}qEW&Yf8!q@auF6K|M#+wV}e(FGs!87V_pZ1F8A%G8{;bEJ!;=1Cdb zu{bIpHq@z=R=pokJ=Vh(^q_thyP0WVBBg^b^#kti1LDEEwiD3H66G93 zsN* zz5U9P@jnomWrrv@i+Ydv&;)>2L~FT09069F^8sHRs#QknHqgU4CK^f1*wurY-#ZTP;2UGEpTGFyx2P)04) zo~7^N2+$ryMDO+EyTt*?lof-mQHriQj;^-MM20lNq-lP1mLM^)m7Zq{a=>(BKH z<)^yje-@Uxmck*<<^(0OzeQ56#o(ba0=^`ZOVS3u%kf}N2}^;9ZSZZg7dy9| zc^pbS-?Hi&P%$xFKH{1E3R4IEb8mn@5nxx(hQVm~vVcp>O;T5vVp@19)Y<=3?iJCS z&f!z{SEf5(A{Xbge-*a582g_~{nc7ZAPGYI79`Gs=}Pw`-%RccCr@m-i5JBc)!Sr> zvabdFy?Zy(Lqivu*cn^$wDU(TlHFZ^_)R&*N%vnutFq+MS7qmO1`^w%qwrHuSRTJ~ z;LX?H(SRF?C$>TZCC~~RE2s3q8E28P=rCvYbXvH+MByVts;fNql~mS$XwOhYi>4Ig zi|97c<619HWsY$AP;NHD&#}TlmF^)rC9Q+-`B@&@d-Xr8MWxY}V|N=aq9{DwyCdJF z4<2?rUL5r%(Oj-QLe2@a2l>zA7m)Q^w(b|XGMjqyJsk7HdEQf%m-C<;TSmz91!L{F zFFwg-q5#gNWcvn&?loSNVX+(guEpZMR3 z-GBGjCiZB=6IG>NE?aYcH9*x`K-$x(p-N(RHAUc|h-zpOX|@h<0G0`#&7Zq@ z#EO3=^w{9MGQqf`HEkqW;TR|_`M0V;I5#;|T^*nMQ4jts{gLs{>;_`DYnh%MH%n#( zuE>->GqpOxi(`FeM`FVHfvjzw2>9)LiLr@23<`TtID81jERCIW?@(1FRh2q6&6F3D zv|QdN5511!3hv9)y{*l6VmNP;>SYp2b%N}GVj_Fa+D;Qm zu1)PwJbB$(qK|gCIbxaN#@1^CC;9Wzbqn)oFF15|8IFN>QUn@FNkvX+=-0)?i(6=` zEZ(k+)i;Mu(c@k6##UUSf}Wxx|3WoKca4jzV9)C7NgXAdd$Kz_9OCbrVmH>@Mb+8Q zR}@aaFpt26CSO$9A*Um6e|yDwjYnd(O4S za9|GYa_&DIYLz)*J@nC0DXk{E$btJy!rn9beoBrPrpgyv78a*Z~yZ5N@+ zzba__1xWf&8UO_$0VHpOmRT7w?s5sSi{Lknbs72T`tkC9DzzUOtP=+d(;H(ijZUa$ zkg^NOH!d0hO$m&a>gDP!!Kny90$e3rGH!NtGpln2xY~BQb6PI_`1$^ZIyEQquM^HX z6bWH8(yJBiz5m9n#B$Eu{LuWD9~m-K8L<7kh{2@kkx{CalD4xYMRtmO41|b5pHS2k zm9g(+Fe#m}$NjRCFnqv z-`Ad2?L3>#rfXVQSoVCk-sPwL!@RrCPCrF~?#cgSq9vbQApem$2_nSyDeiVCLjgcG z1hZ_NNhc|8q2@}KS2v~JQ$Q|%CU%)s7}_r`a|GK zctRMQ3H}Fh8~hH*xy=QThA~mnA%@0#^?61P1+-que@%E+c;q>$CzVeFi=LZ58kknK zGVRrK^f7A{_nTqKO^_wVY8H`kp$>zPeDlb5_@f}zI;YH{ zm=NKiW}obFH*0j4_1C{_u^Tr(;%dT^65$UnB9|iDQT2AMxL_siU|x}~pq`7=>;kp{ z+TI_gKNvq!vE3KA+LWkBfM(`g0Tz03aG|c15RlO{xbkk}_*73CV{NAg=S_R-oe;#OHB)cdavauL>bPw_+=`Ejqvbxaz1;? zGG4Ur7PoNi%*OU#n2sXBvsmo!nHcd+jioV;z0Xn()MWYW^ci3NiI*g$p9W`TSO^pN z19Qab=mB>-ED+TxWd+&E07%mh2Y+cFskHmJXnfPE_T^P{|q>T%T-Ump9P6*t@^lcp_2WJle` zmT1C`=B5F_O#1r04*SSqFp21_2k=6!W%RT~6?Qcg4HH0y!|XaQN3C;H9DIOA~42WS?m zlCmcPkOI2$)5M%Z^5!F6zbqOUZyY=E!k$crocts^{&8J5cdx5TovMCETS8@Da^|@M z^c5|Mw3mZBpml6DD_SjTg>ldO{DP977jXJ%JKl^hy!8Is>7$cS&^@ag3vWgQYE+bP zRy7P!2;xvt_)xs$!-95J??kGO6%^v-0V7EQ)LV*}8(Ic;NZuOB8$`oVxNKu}Q}O;c zHu39dYYydj)-Ip15$v~M;|^>jaZ#1<oSn_^ zN!7=sVgjO@kCkw(Xf}tNv)Zh-6->(UEJ+!QlJ0E=Bb9phg?MfS~DZr^w+|UAAU}%d$8k!o-v04 zrvS~*V`NnwByRBzVPrUSu{c;7otDp1IMOAz54wJfv-b)5Ax&XOF};TxtQV4f(BXT* z7_%HN)n$8ovO#)r9GZ2(Xa&5@kMKYB|4{+wiGzyX!AQO7?6t^Kc=`&5+L3kv(9c!`Y*vPu2n3C}2+DCXx^e#*-oS@%vv+@0ie=WmO4~R6&&!=(mV?WPw(PA$yi1sGkz{P;M$d1LPtNosS_#w$EAQaD{Gdp$GZX2UHO&IKDl^lQC#?H1|`)mw?M%ViF* zZ_j0M#rCr42q$^h2{+QNm7U=tMg!kx@b=*>un}#K%*ajz$uJ664{@Df*iPx1%G9kg z+t$wb`nXMfY3Ke@IOzkFx^GH&d-7*}{l+FA$4umuJv};gZ@c^qBoWZ1+enzHlm2Q- z@v2M(-FwpKgsd0KjOkR|h;*}B-{ve&`d}*(HixYFkIGf@FU05~spZm-oz4jkm`Y#( zF#<oVgM7?U#Bc150mN?AJ5W}~vx?>tv&D{OdahYWqLpJg zf4}P`|2uMvZ`S<68mR?@+L|t60e8R|WN+mBRu_S~`_>Kuqu5&>c#$~6(#KQce(kTe zrlq;Z(nE6HePtIlZL*F0Np?-svk>bulL)oH=Y zp5K5!zcR_WEoE+k(h4yW$Z18?l?5O=xq@Dydx)tvvvQv5{eER8M(9zTexMOuN=JX$ z!=pmIA0JugIHG#9MPI(2QI`x?6+rH8oxd<4CFs;NOx@DS5_4C?S#rGl!oo1a`_)Ha z$FgBhj9}&-FRRF&{R0_Mo6V(^;^;ODa!gilbUo1Qb6T?h@nL67W|WlD#if!SC({al z@pY4cokzSw&zDGXT!~w4ncMDEX<;6+$?2@|p=Uc;E~=hwfIQwJ^s@`%%sEbt@jF}4 z!nM;^r?)}fi!sOc-77WsUt7&c&PUH!Vr{X*XU)W47v<7e%z`RAW{bi*r7ZOWrhvzf zuW7k;qtITLxb`i7HS4=*&kC|Uky*(BVlssl&i;HL{6>{7b;EzD}|2^dQ$bC5a z$Ny$9lk?G;3G1iMdr!#Q@roR~ov+JVr+(i;pG==N^Hh}Mu|06MR%g@N)+eV)Wu@X} zuF_H(cLuAQVp-oAzQZ$qws9#+`c(<)toQOfI(0@7HumUOAUu}n?XdDUzGY;A()NslAOz5mjf<(%&>LI=U6V3 zJHfa+xtB|6sAwZ)hqRmvSZs{DzpZa?H6I=_oAstjH+?%OjcfgpcY->2yg_oTaCIl8 zVoTnI!hmlYt#}(}Kvd}e7Uu(XXJV#XTb3Nas0qc1}(wE(% zh2y+c4k|aFRe=YSB|oq^2AD=^GM@n!{Y^-O;!$!nu!J1$4otmxoIHmC@a? zt&b<9LPW9n3{tg8<%Bam$m=HwlvwBl2SDF$`;2|$kzi<8+g%%L;oJvssOaFWGcF&* zRpnPvziUggv;7+lX%1!5U6BD%F``+fMq|qTMFsIYR?ks>za}1ysXE_!VXd=er+2nK z|A&kiZc$|k2ql*mtNwc|cXwkaGZDr=^L;^uEC8uf6PF%49}|Bvpk$C7z&HJi!bq6T ziIZ6vL+2g18c~7~wV+yaj6&z|*0*1eR6^+O0*p8=*|!HNLBJDs)tE3AUV!J_i+x<9!&Yp|mgg#}lVkIkjrQg0$`@tt zi6#i$3os5ce}4&4aQam>^pFh1dxuFQ$OUJLw7W{1IY)l&=eJj*1ugD>_-!zr*s7T>(#i9EpUwg?bOBA&EVksiha`#nLIi_YF1=f%?zw-#LHL zpqYD@K5QZ>DJ5x=vu~1ec@i!WU{4GqY;@Cmn~@xswOU;B>4n7aG-fVH*P6mc29geM z`pJXu31*U!%0rKI0RZC!3~BCcw=X_>(F9n$j$M$CI}~|Rs^RuMxwTj_%L3Ij?l1-X%4EuLeo58wh3VBXkN7oI(kxmDk5EM08?%~|roVG97x(rn}1((P;R zUudoXwtjJ*o-oL?n{A@RB>#pyug2s-0r*zkXH+y8*2}oDZ&m9VAbSM;fGa~Pm^GnJ zr4(oJ5@{C5#73(*@I>hlaH-OMI|BIi0=W}dhqiN>WrFQZMGPZ{-x!|+%Twx%7^4-x zIl&#wl@CIfD@(q<{eEhJp#Gs?c`AVwj&=b`j-d9L21BwHOm7R-j3#W)))}@#~4(OAPDHE2n-uwE~d+DGv8t%?a1BO zfqi{~J@8AoE|KMe6|a5wq@QO>FF;JYF=f3jE^Ah}(Vb+X_(N-?qtEIIe-4W2m>xyI ztGeL=$^h7NWvc*50J8h72enLju$vHegnIN0BWV$;B_kHVt%E>0-Z+{E@X8iY@&i{* zSN(@?6h_gE-NC!Ec2?i&@7sRG8UH2I=clZzI00wN@E)im$ihOrEVy~~xTyGJnVIlN za>6sES$fF?_eOoOZ-=q^V4TVndwEy*@&CU%a+h*_+~zcrd_gvy&%L=d&^MQo(^pmc z(BUkkATMnOWR*M7at7w!X15+VgxhaAk;Y-V2mM7xG$p66#a;#svdl1%nIIC~lN2HI zp!y)2iEMDE$2@uq84?&6Of-noUyHrE&~grHQrU?it>Mz}k)NfDF}!VgkM~pce;iKk z82A8Fdq7tg`-A!hV^9kR&Yzm3>-v4iN{+xzOlyz@urvQ8trOg)yY3Cvp7NI?=Y4t& z@C0H?GRD#kYh4gqM*L-G5g6*mOHk|SeLHvXV};}PoH>)ke(PkRZd2l(E7pfEpG=WC za-B0dRoj2LZdc5nA&NN(g3F|OgEF`!R9$>U7VF%w!_*G6rDqpTah;DqU9%-C(aR;J zDozrEebWfM26I9T_BLzV4q2rYk|)RH1-^e8O#GQz)+XhgBhYc@JBpEm(Yc)&qh)@_-@bmoCljbCtUdy+@7?z zXe-#9thidenhS9{U31Bsaxv2!oy)p{YfJl4y~xHZBtDGK7{iNVoSKz(6Gb z(QxoZjA)>Rba^v@S}o zzg7OR%93FbI&^qVcgh1^HcJt4%B@cC+>ZlR*UKtwV!_;u%%zBr* zCOL`LP+pQVv5F*#8>1t!VU6*K&oCWp{H*nsksJdHU4x$aNjKm#@g7XP&SR{DLt*ke za#`S9WX(205isM_kzWM5O1_=!R&pt4wo)?56AYDoHmJbEx}SL#OD`i@bmrE)je6eM zJr%3BZfrbtK1pQ0DB43gf&C6VbF59F9Tn=T;5q$eB z^fro`#}IjSK`mr?AFZK{S3YygB?OyHe|^f2VN`K>;SuPhg>Kg7jFeFIzf!&Qki0`O zJogWPbf}8s{Znz}<~sP?uJb5&A9}^HH%gRAK|2Ne>;x92ST@01IT5WZxCSz-F>WkU zS$9RdG~w!wahj^~i*#e(R;GEadknI@OJOu4*>k+tsCpr-pNf_O`;h*g*_4` zfa~YNzMK$9AF-XkEnSTwsIoqx2Czp8!oS`B8uy{_QREl1`TF%L&p4vCdOI;%XKe1H zRm+-#3KrSg-fk4H);2W{a{loehf8qLr*qYv9Phwc^XS+(AoAJ>A4E~ZwD_7IIRAXy zcaMIppUR+JIV^DCh;`7xN;y;MJJvD5nK4U5L1qVFnm2S`cY(b=&&tH@Id~6oODDf9 zIaD`Qzhq>~_mSt;&ij88W9Il_qVb!us<}t!j~Q6A~S&`Y5033 zW}SL4+3@<9Mb0$^5{j7ARzKAygtfpVfiSSFkFh!Y!W@)WCw;F@6p)qRC1egL@+F?} zldoNzK+ANU9lQVQxumeXfq~J-Aii(3r-&8xglh?ea3ga+=#hVnOLW(oFvr0qzE~6E zfAiGR)&E=8XOL%?TRF95ns!lwfn{elm8UM_6}$fOJwBkD$})k=``lYiud|#^f+F%f zk}w_2Zw}i0-?H7+pbbjWTJARs?(Z;_drWgRJ;(VmMxQd4YTJ;Jm#In}s`@5Co_qt@ zmU3dQvG&z>z)Jkc`4DV_BtHiRty=;4?Ln()aP}4gdnB_mZyCpwg+|DlHV>inV$IiH z{Y^J@!k3h05EGzsi%@vTY8T%SOZiYPWq~qM7G(DB#L>c{L@T?nri|D?=YabiQ`)Bf z11*7v)OV++zFC8r$W(;&x^glNY4oh9wZusejY0Pnjd=S^=+K_lgfah3qox+fVQ>Zl z3t>;l{DhOecfgK%MwNXE34+$+zf2A(v>n)Fti5BYsR1Id%L8^-k`*=t4q--Y96aKI zhPl7x3&kbv%I)gNO52FnYp0(qtbOjX2GqIw8*K-Q>=oihtTitR+k`=UG8@EU0Mfws z&(UVlP3n=u93xmXDxdlfl{|l&H6bA$Q7d6{uB_$m%s9Z~+}p7~pE94L6QTvE{4zi# z`qpjs~TL& ztiWDQemkdlGciV2H#mc*(*4ACB9pB`==lf@uO8e&(XI3txyJZB0v~&HVw6X zX&Ri4AD%Dp8HSVvv3HHQcQuKey)O5zn{LzWOcNxGf_qy<+r&3(Oiqb4(tmj_e=

  • vBv)8W4&LP%iH;&mvx4yj zbLF2CZ^Jt{sPPwKCt{QvaZBci&%m^Sj5d2Ya5ET2=Lk-lxIW8P4IR9(`|Fk9t*uP* zD#`nQNoW%0Tm#_z&c?wcm$+B)t&=a}f=lN+iU)2BTV#d1?Qd|rlr^kh>w6k@W0&%1 zh=3tJA_c8XIp#EsmR<=*yVdD;y{N?Ox97hp#~;Q7u2U zg6sdI0{=NLOZm)uUEkz$ohW(2@pv~#E*7!0%*YDt`>#kRM8dE9*`stVZ8%%XbwgXt zOJjUZ1umt3LU%-xuNsSeTUm3}rqF@`Nl`KD@kL(2Qed!6lE(Pst1d86yfBi`*I^|= zkp1BN%c+U6>w;;gO&FETgqo}T#crd}9{AZvjO$yXRAS5QcX%&w;-`lL$}}>fO@I`) z%=j6E{|LxcIr*-I+luLP-3_-aEvT&H@AV5f=rw=cNMGVDPslCl3g}lGRBk?**&p(r z&+oo%uRm8P*@%;7MCUJyMD3DyKGj7Tz?Lwn4_*h6rwhOi5S{^tyN-DgY|6i0{2p6o z*ghGc&{GEdp6@n5YiH^T2Tuj|RJj#s!JjE>PiU%ERGe3HV?Z1fc9JA9qXjU*k{!ze zVsOVzq7b&$z5<19B?m3L%ip9MpbdzH`~w2%<3yr? zfhdp{gH9+sGF|nU%69s)o=n-HH03%tLAiWFpp+Ydgy1*#&mL536kAGUgm}_f^{s8Q zp+cA0(`d?Rqb_iV?jD?6EQ-srds<0c#gro6b_XiIlamTWk7DWet<$(B zQ$-rMMZ8k(cXH|e!9K&ZsJC%Wdb801dZWWG=bpNU&qL`24E*p^oc)JOx10D4Y=Vxoe-LmoKE$tSn3mQrP8XBjlH&&ghss@ z#Cvq<5)G!4NJwUDr}w47FRRzn^|$v)Lb>Dzxl}Nxl)gnqlIu^H`ox3X3LmAv<#pQH z*Xq+eZ$|siYkp@Eu)uqjwR`uUtDmgM?LG1=tjk92VsTn!t7wWbF)l^JsGqaZk!w8 z0Lsd}8x@D8b%lxIvdZP26Ru{r=AKEESbGLaPtNyd!G0GfQT)6k=6AjPks?3I`_WP_ zeX5duMMb4g;gb`h(hCJ?Ei>ajQx^$g2SIh!iuYqr-7s*txfwRasq^Db>}&>%jbPk?x(Oc((pj&2N1*Fbl|U%?4#jC$ zHQGlQX)uMPvKS@|EmUQgzBY{a=hMiJ9}&7KBsv&t7G15QMb=BJ->|&X(A=!jq(HB* z;&gA?^GkVQt>5Hpw7J)RROH)(lPmV!l7F`fNgn`(0%*3+4LcShTq_KIS8hJUlXNB6 z=0*Lpy)FxPyMF>q<%hG^*uorAeKJ+`z_L6 zl()PS)KlZAno*V#_|AE-q8K&b$jdMQ*3H~(y9*P;qdF~L6T7;hHIm&s+#`}|3EgrX zFb$z)6Voq?HjNH~J`ILcX)M)%y353R0F=G+1z^=k&1KFgdiXewSHviSgTJPJCTs!I z{lS|jh0Roud#Z6j$vZRvDH2oF_yEqSmnSrW5HnxJrl=-#kt1aX2;PBVuy{o=tohNY z#f8~LGf+2`4)E|n==0@k;kbkwaxpUQTw1IbdsD-m=n1*VlgkgI{TuBPtK8E${)fXK zSwIk1NzE(e@3*(jx0cRdR1o@BD=@wypWYaAP*V%qtOz%6!S7&(@L+9H)QSV;{5z+zRLudk!y` zTd8{pLmB#8`kCeM2j!UvL$usOAI?>#;`qqJ)THV0PF;6bzSerM+1X@CpqG}}kl|)R zmNYU3bi3{=T1$#Zq3fDaWrTRUQX#oNAA`vgJbwa2sv5aEBkb0k>>Hd2_NknIcWFWygFf$XxZ4G z;jkA!s>Mz85gjj%u;KMH z^=-0*$D}4DS#j1?vlkOiw5*y3Il2iCy%Xm~pA5(xxbH~?FQMWQtdu*Xrsdi0%V1XL z2F3VPr%!he_>+e0R`%JfC!G>$LjtLR{WzTIpjM@pf@b`_tck zd5^m`G_Ff2#oy`%(p;!GX}n}a&&~bEL|Kuy4RiP{RJNiy`cJ=`Xi#7&(l_b`K#iUu$hi<}|(|d#bYH+22 zuDB+)-LPQ2>KZw*I~@wE`9A$fng40f@IhHr9e7VPkEH#^aS4CqF;wE@eDjltvx5Ok zUc0=#pPC4Dgv;Ay)Vl%i2izS)%xV>y+k1b=N>Pt@4Lqwljb{pSPFnZfioaTt(1SZT z#t4{*by2U~grvJK?{ocB_C2moJzY25dS6e)J&O+ZTEOLPx_#=p26Jfr?-b?}n#GpRcgYZ1l@ zN|o5?|D6fpxl9NLNbp~M8f@F@My-3T$5vUn89Aa;u8?l*<$l4a zmqJs8a=zE(JxP3v)BWby9{Y3cQ9*}k*k0aT7?3tmg4m+6)**rmgk*>=gu+7fz`mwHFaR>QwgEYgc2EgZUK^DQgZuCOXO2A~> zB2_@DF(A1vW%!n}^qG8cd%&M6-e&Lcm3J7H9eQkN*C!$nZyKG$y#s6360-~p!5kke zdSoc)eGa(2AEYBPX^J|WI)p@k*nAQ$1+?jy>rK?Q!VSkOjgJTw@Tt zK6;4*?4SF^m>Q?U-_=f$t0JgIpU_y0F9_A6Oc%A@CtagH#x$2~Euvni@{s6OBop9V zBuT@DNF49Kl9dY9!wYl`Y=|)=*_+0F^wO)CA-|2q;{F7TG6`Aqe7^X^!BBT%# z2*T1|XS)?yH;8Qcwz!=e!VR^V3pVtOXNpOJU!(2qK*83`q4Mk91Ytwn!EBQfnI^wr z$Elr8yp?BUbgJTfsdF)=C|AmxI8N$Y8H-i4OaC5lw(|yBofP8k>MJeA$H4@0%F$Z2 z{oMnbvt1Hr5F5exYzf7Zn=?4V_fiHZhQ!%+Mn1|oA2Z3Y#32Ztf(!N~`QvJ51rsd( zSeyT>?M)y2RO7{_VW-sjbM^CI`#aGmxpTA62y%N`-$|Kc%W>uM_ec~IEKLJDp6N;ni7(aQEW_xnz+QOKst>#-Yf4utf z>T|v=U9}39-=@lH^@CLgFu0LK7&|~witgdCkYydd6&zpafcRow{(|$l>p!&o{6+H8 zZ(*AGkJ<4YKRal0lAmRlhxv5))H1ZYed|=Xp&? zPQ6^3oTdB=(+R&rN+>Ju`z)BuZPNP;r+)`=`TN$lZ{Og)vpa1M$0>YUiCz5o(t0`* z8bQWYm9ZVOG`GW$UAKLUZ<%VbAl{?X7BwEPtqAe)2jPztsOcL04dE|O&bWv>@7BV8 zZ0nz7ZI970>Yqby|C~N~cN-n82&EzeX7l{u-wAX1)Jog{;LYfO?24bRSzWLclk<)I za5e+#alcKv3*-Kdc1o)cDvrAgls?l0c0{bbd?P#xHF$pY)9Lxyo{qV^NiU=aW^X=p#> z&F?yijSSW4*w`7pFG|)~G68lFJ&M~(pxWj~#NOTarJ0D)SW0B7ktxx-Und}hGwTc7ZA zt}XwhJOcBvl=o>|39qEc;NfU@G>sWZOwGBk;Ev%1xUcQOOl{-8r3owZzy_BuW)Y?j zHI=kBfYiiM5!y7sdij-}38q~->y+k9Ig2E|m9Tu~dsL!)X{9+gQZZ~(aiZ3bAUA*= zSV;K|A>7^RLrxienQi$}n7^&#r*-1;-8mZ~PUCQT^TnE>yl+sNI#&0EE0y3%3X%L%bO?|I{WLY)s2LG=)&NVEaW zGE8Q<3H6r7OQD4KlGZ&uEa0mP9v(;Ym38}(_!3e?qFA9yj$?-ytMZS+l2210}t2<@l&sM$e;Cr_m^jdxuV3}E#W_L zi+Pa03zXXxHXAfvi^f!aD?X@uf1hQ@@5bF<4oEegC*8RNa1Nai6MThop+bW5IcA8uFX#3d$-w_ zRa3G^I~~6TZVi~JlclBaonzmP1yAQR6tBN671ynSJ8co0G1S*)jq&I=?hT&( zk2qOU5Tq*Q9-StP_wB|;bEi*^O*kz-TVx+Dhgp8`q&0vZv~2DiDPx7vpR&5f|Kh>Z zMlYtmw}h`n39dW91-ASPFK#HAq*Fd?w`(qC2u2dIz1BTfZ5Pzew|?%?ZcdrdxG^){ zxU9NaK69}@({@Z%7SB4|Q>_g64fwvK8i$nOrD=2ggbWFbI)A-zmYQ6vJd@Dy-Qz=L zO<%mVw0jRXcgB{l;@>&L`*Sv`3UZ&;hIt7tTlvl6U-7m6-t@p)E@2U2kJr`BO{|AU zr;`Bi1HYC1H)+4RTcv62DXSYLp?BYIK_;{4o*5&bvHT%*>+U!?pE_D@@5r-V>fP%7 zCf<)KGWpG;VQPR+++|e3JNh{BcTo4E#ATH`MJ>dLcAsz1XXd|t+3sW~X|h*_kiNA! zuJN%?|$ zS!=CpZ~Or%tuF#~OjjvYh;-baZI>g6)y;CQS}4kk?wXtS3Hj}yoebW1F5iCzr2%0N zP(H&XvPEpBLdHTTEJG7$0%yM+k~6WDoaameIHg&=m~5q;)SknVWs2S%VS2wSb80<_ zQKrQ`qXr$ilOjw}pA3!xqDFLpzilVl5!~c;$%&})Ke|lsJ;}3&sFQF|Q?hJyjhuwc zcd4)Y?3cfEGOYv&?>t-HXCn=kOD+klW0-@7n|}TAeKtaz-hWaHy&te94mhGtw^ZKI z2$#N$(BQI7*#m&Aj+E#UoCsO49=4Gk;x8E!tLxx*!}z(d3iWvHzx>`18b1Q;r{4RA z9Tqa!*gMx(z4sK>!1cPTdJmgF-_?#khNc`mL)^ce?KC14 z z83>OJok}SDmxu8kQ!*wiXv0R(`&ANEzYj|a#{djVa$^qM6~(C?192hhe!KQ;FUTQM za)tfl>5nlEx92t>W$!wLgP}h_HW5J)e!F-6vnu6_ZcVVs(?ulyVwmnPstycuW=L@x zdq9Z`aOU|Unk<^?aX!Coph}g83iWar=Mn%Tf@4bmgvsgLK~A^Mpl7q{{I8=Q8wUf9 zfmS*!e&`?S==`U;K|Te7MHEPlTes}&3b{~g`>#SFKe+c0oVCfK; zJi5)g{QU84gV>Cfr_KF;ZDf4>?0SHCmJ{XD68s(ZCPFr9Pca~wW}|m0cW|48`ck#c znV(eukc>)LYDv9^(e!-0 z=bBa$ucqJ{B04}h)#&Zv8+*yS<30^*`6IGfI2X&%s3EPb@5G$&T3qxo@ylY&u1P4_ zmYys}ti*HyS;Ebh%wA?@Lns#~w@SHJw^-Jnaqj7^6YCZ6)`UACZ5*aKnz>9Xdn~&)Pq_H)Up>`j^7k6LBkbyukc_bT26bD1zPi*-eU- zi9qn4)%ykgN~cEj@2@Jn5uLlgL%(3|0R zM{i{}vDt<1g^%*jS#jH>s9*Dh#cJ`m3MxQYeUZKCASmlpDj=&nLVp4)*hhgrs?okF zp2?2qcW84~KXW+^dQ4#|mx#pvG8g{7oQNrn7=_mMe=DJMeaqg*UHm4RLZT!uqz|qm zxfSc0T{ZXA=>ANE-u#8BjTnLp`8QKTf*Ws$z?;F(37u=``Uq{m!`k_}vG=EaAD9KR zE5<)YUMX2r)*7P#sK6{zm|-h24wLpbzwRkN=n3Ve)yTJbx5mdkI`u)3L)TBD5TE^W zUvacH@0n4VLL7s>Zr-#{_=55*{4aRy`cPtF6vnmdG;~^@@}eAPjbLl|<};mUEAxH& zWO&$OrC)=^FMs((ggiwu01s#0Acsa&UUiKSNUz$dw75PX&@9k+?ZW5f1;Dy^mK>d^y>50 z63YGF(}>FAQR%AEACG0Fc3*uSkZvnIkSt&`dne?6#0)G8gW!s&mzMGj4V%#ZkR%%4 zUnxzKg1KKEPlBH5H#J9c&jsF>XSmkI{EZ+DHT|-RV3Kh2Z4>pMC%ZdMHDE0Mo=D}y ze#`P}8q4slt#33r+KzY_F^0TMeT{>}EONFfl?4m;TX}y}lC%!?IGo+Nxw>$A@?-+H z6#ZB8omtIe6rCWU14+FB?^@)BIPY->7d%5#RY>={IsmuwhqwCMf7dNCCKe5W1MuTB zOEX8$Z~tUWw7XD~i?e?HK<~(NCJR)@2Wy(L ztYKR~Mu$tE1#axSLFzIQz;*lqP2+x};FdTZT~XxVK5Fp5>hsFS%-Z+yR+b)!aUb8$_s;g;dRw;}Q12I4fbXHX9nC(> z_g0N3z7C?(Kc*K~T3h5gynsV-xv`-2VB-r$*TZLoJwDviO4eo5@dt~L+;x0cx@R13 zzw4hnm;6LxsD!&Krg}Lvr4IZE--_q))(*{BX%=g*G{lFCKTciR3)8n^U^t)r^MERm zR7wb(wh5#iStLJkB}PlvYhPHGa5r5U7_PlXzq%_qTfq4>h43QCag0grrm%@@9Ots& zTh?&aaMHzrZshe2L3jSo7Mc7J*|*!;-v5qn%3xi8lPu;C?e{a%M0+&2vkSzu5Zr^m zik-0>3%Q$Ykgb}j`k(Fg;)4QlLCjaGCHhS}sWa9eXr}{2J{5hP?=DriKGtAzfU3c* zKjswGaKVBt)YH`n_r}vU#4u^*T0D4ez~jqmN^*Z5+e5TF`MPreLb(;Of#Q&fg-+x@` z2Spl74viuFK~WLBnI>khg$lS+wAqz+z4vaM(vRysKG1;hZvtbOfL_eXq?eRabyG6I z*xix^ZXZ1B@5$5hiEXzqG5c)j-00z>h{NR;z=IhB23@W;D~Dd47gSO?$UK=lzjIzr z0|%ci9aFg`06GM5=jdoHu1;h9aFpj!)=WWrb;c#|!`NGj3f@k#lMWHMTH&cqH$-A1 zya7YKQSgi0aku(C=$bnX9IMk_m*rSrpS;Pm`jKPy-TqXT@rr%1YnO&qhXy4MC|EjAc3m<2h2_54{4<;%McX%LOP`@CXira9L9s+@r^0}1JU_JTsdBY#OE7&d_% zio45!b$g*ojccl*bU{-%=6aM2cld+`BYlj07)D54#%|=;Fq_+`+$}XC+jh}(KHnj> z=iZ+pr?lDOwXI^nOyE!sih@>KAUfzNAj9!p#j`P{1*?W{N)sY2BgXXsQx^N75$SFlRQ&jw$<;0JFbOum9%N>+a=yHc30F z!Bw&3|IWWDwnC%xRe@Vx;;h%4Mo(I8%NK8$YSV(uc()0|IjcM*>R59d@5i_6}jU(8Zo`nU|ty zl#C{%*m~2L`;oQ4SCB$fU>juqgi$yl4|#O>)R=pm;BJZjiZv` zd9$PXh8C#rAv;x2O)j7w{6)1OOFJo0u&*F z2_03uhLlkc0@e|tpHEQ@@1SPB(M49@y^s|tb>S5q!&{$AVJD1N2=~m2)rv=Xf(jmE zOoC>FSA!ABHA72f26o|VrMF?dzH*XHL8zHc(=_v_+C7Jp zG3NNEnTk`?f8-<1_Z8`sZD}; zv!)4?b)`}}+s?Bz1YE#?Y5PpToxMbr+Gpyi?je$st<&{Oq+yX*E*X4asmENa7`W0_ zFaBZv{lCLMmw1DXIVar=vyM;xN2iaL?=`Kooho8`aVmoh!60W%%_u;b@3zRY?Z0IP zTvi9Yp-wd|ZMBgN;wIUA4Bi6w%=KPHWppijswt5hiqBkOPHpGeGGGxClBsdSWR79W zWDElI4ww3e>BW4FHDA{B1NNCImPmDk8vE!F>cV+Em0^)pQ4)dGc#M8ca!!c25scLR z>u3mr}DFWtW79&d`&~h|XO*LAaI9F7MbNWefA; zz~}B8co*yEDPkekVO~xD{*>Pnlql_|qqA6UbN$#|VI38}Xm?!Gah@qNhDw7JXlfNa z|M5_FULdTf2#cN=q@Z!)RIZVJBz>o64&zavcQ!ZtV1kdv5U@Y?flF zop_1S3ms_y@}nvMv!Yb09VL@^@NgW=Llq_Rkikigo-7dWN#Pq8$0fP5@`GdWZ~K1! z8xzURrWBKffmb+eK~#W1XP;DJXDqBM3lB8ev6i53eA9nIdCyYS4H zF<#rlCgL;Z0v1~Otm*p)e*$v@@SO@Y`G`NqEn|r=SBI*XTUejUe3ifFRH!@p+{-S&SHz*d|&QEUQC_TLKB*X{W`N8NW7FWfqWE zy`7E|;Jdmwa=yMI7{BBXp-30^{NoU~a3(`l znAo-ndGgn=a|FTQ@H$a487V0kb~7CStqeffT;Uv43%u+N7b~%}?@~ge8cmWb%9Www|eFErV_PjunHolp7{*5kT5dN6IXrD)!7gqR&nN(M24^ zOO6O>7}s96HsI<}BFyVF(8bTDf9_}YVt)6DNy7Ienpi*{X(S@L+acZRncJPj36pBq z5eJ!iM@Mh+S=@837tNn-LOxD}#0zDm4-KtFN{02qiKhRfO9sf77Ym6h(Gh@;p$p<- z9lSD4Rbg$eR7t!*5?I;1E#?u*D>Z%o^CLw4+rZ_NQ5dF- z%6R@2@&GUq9pJ84xbBXp(%SsH9N1QjqPGsEc^l{<)Op@|1^trz8~) zAjsn>X?QJ=4GEH{=^0WQ93F?3b;P8Ip%Z-im33_klbJU4D)qk6{=(~SMk%3P;n!iW z?J;TRKUUIS>i6~j>q;N;@DhGMZvw+i;uPA9F1Zh+7iOn=YCx+t-15@v!CnpbFMM1{ zD{BXMPnD>_^H1lE0U&mYWH*SjvcNs;Y^zec8=K$!Mut52-mvMlnRKr1P1TPgpUMPK8vZbJUL#1OA>y>DKCsu3oX-mS|;R1y_s~kSVz17PsER7G%;Y-a>9;z&GQ~<|8 zxNxuf&2J&T75{8s_Be+NqFXB7)?UI6uvFF&Ztb&cLsOA;nSGsnv~j3VCl1`vNX(B5 z=kHIM-MAi}I>n(ZYF40gzOfu7QdH>Jogq=iSoROzWeS#dIep{P_@RSEz3*bT4JA+x zeVuBQ$QB-}gnLDi@hK@&TSt0N?jU)eny)~^phS~)8ehb8guwZ?&etZ~+TK?;?gu;L zl#7eqxc94Fws%+W-1Rgg(#u=F@lF2m^nwWg$t;bj`ApA>HjJ!W$iW*u)o+nr`HZzI z67gkv)0k{jQF8&qd`Ha3uP*x}6CbpbN6I8;|HToPvw_UGkdhM*`|EF5y&~;^TW3kP z&F00r-(FB1Qx&;-a6+Xg37e#*LcG$|>Whd=!@0cn zxzYVtx*v{Nh;Z+{9=tuAuaNXo_Ze0iXdae8rP;^Z)x`cgk;^Lo*CQ0Rp4?O zT?XY{p0;yW-AODM@#(*tWo~foM*7k+2RmUA(BM8=M94ID)J_RIPAaZ4X%GZz^lxJ* zHgdP(2hIz22mc=abHJ9M^{uahpS?>A4{w*8=v_gh9$IAFd0u}ioxM_n)^j?tI@%6t z2D={FOuUq}|GpA7vr!vl6|nK|-2X4k4_G3}w1R_zY+h0ypsBv>eS>0k#Ml`B_1_I+ z-2FX|wUP4g2f0>He7^9CEK_HIb1#iJkNF7nZdi=o#Lj9*M+e1BIz6vNXU)J*YW(-d z__hrDf4sZy1nZ%;QTQ)~Ve3_A--CekZ)W0~2B2kAO{O!m;4|{$^Wu70PO27(<&+Jz z+~+Fu)QGiLms3n7smXCn7~FBxm;9>eLs;J%)v5w>@nfZDCju9j zBL;we1FWfogI=K;QM{d9zq?~^Mi*1~u?u*Dc}<5I`iKF&9Ah1L|G%r3!kg42f_xsF zxD%jp@P^J9xYPgWW}$@h{ds#*%|6q#sltA|~ zX>UJ)NmmVys?KOkN`zG zdwoAUt2c)hSJ=<*jf<`{AIDNTdb(ONqu{Uigxt7qN)UHbs)DnL3$AdN_G=?f4Q}?% zS)nBkf{~tsvqQq4z%i{PR5uh01?(E{sDAhz_wMl_99g}h#i*~>Eye93Ph9WceLizN z4!XB068Y{x6qQoW_MWT5bMWnl#OSSD=i-R`qjX==#I(iv_7j?e&}^id$N^@$11*Q6 zO2Z6$Cs89ZPE)DlN=}VC80y&AK24(kX^#Y_560Z}WHBOk6SEV$RH=fu=mzmqko`yj z>3~r-Ed>LZ>{nN2p_ZOtODpcIpik?C3|AkB$g9oQS*#eh)FQ5=akOdCRGT_%B9&C322ix;`3HK*q}fgRiulSFVh^y-lZT^YEeGg?=eCpzA@RMh)^0dsW! zyzw}NQs8)Y z=3pna!usj9*WaB%CPevCx-AV0V$7>3Q#o zwcCyaZ>B!+4D6Gn#Vd<jb%+`gyTs&eHeb1}avnJs6^lx7a(J5?HSuddJl!?{ zn4P|}vFr}=8@|ZjQi>zpJF7`*XBWSo*sT;;CiXu%z{{@t5Y%UKsXMV87ZYdw{eA@! z_uM+TpzGL456awkQ>{0Jiv}H28h6PEh3Pa}&J5f>36i=h2a#B)`C0uEPME$dLb!AR zlpgk(Xy#P!zN^VUlfj+a!H=W}X!aiuJ9=Ee=qoa%`0^A`9E#72sWlp%$>>Z|I z+;Q^1V}j&j1FJ?~#H9|3XC>Uz#X6&p$<^VJL8H(<`-^gQOsq8Ro@-@=3$PTU)rWPo zB{zh!u6JhR6Lz>2WutU&m}4asQwA)mw#o~BET8K%C%ZdR0o@;Ip|uS!Tp~>k^dvbR zh6gM3Jz=XhCXEt$k>#w_cHFvU; z4MY&ulN>7$8`16te;-=8EOwyR<^=yK_s>K_PTz6thx^1Yyj4A)TMM`&HZ6B$yqwA2 zzS&I=kI=&!Voot|9RcgTS1QM48iPVR*{Vb4w7R29L2!0W_Q#(ZA<$=beTQHz4UH^y z?o8z@mqoWPqxa=SfHXQEfqFIx)@n_j?K-ZMSh3P{5HjJ#H^_1obV=@4cd2b0RXN~W zL+q|*>&0*C+xv*ViOzcADZYB^btqfYmQB-u8;6}-mAzEy`bA)nO=A3TdYeFaB>-x=G z$|N7sCW{yDKgL?UIBsLr+jJ;B#9A@&)DC+J8h?hf5fR;<2+W&nn}xpH zH`&=a6AUgOKc_U_Os`5(-o%*KCvO>bqE}Phtqc1xSO1jFrccH2vOlwnJ=1^#+TR_R z?fFL~R2;u%sE2w4;Qf}3+5VA2n?()0>9rlTZ%Nt}B4m<9$!el^ z(oOFCnS8GGZRy zB}!AIB;X<2-_8`Jyf2}mVA92I*&^hO>68;6trWTLH=mPmSq}m|BK2+d4IufDpUwk9 zDm{xt>v{HP6U&Y_#W6=o9N3_TtCl%aO&@CBTq|NbVgv;VC52qa!*mR$K2Mx4RG-bK z?N#qh;&L_3zRwqt!l%#Kyvh4OCEHLuzXq2-ozm1oxv0lf${<9i^Bv9WT3&=) zytb**Kf)QQIv{_z%-?e!-}wr`9Wf1XTIyM4)(j>*#6lEz)bWS<#sz`pzuh=}`FC}K zw3rj=V^GbIrP>7vv?fpqF>De+u}80!e$HUqmhhRRHI0qw0GHua4dvOPsuuy33f2SXxbKT09$tvo0!y>SU0TgKJ=x5I_rXE z09}DXjARolAklPj9qx6p$9J;VjZsv)$9nlk4<{&&wA-8Hr-tqVzZYHFVs@wJ*roQ& z4ptuszRij9Z4};wP^3C4|8ICmG`ZI%?C5?TSF{)mFpMV@TgwsZFJibu1Y~}{{OZu- zCB8zySYp^f<-o+-Q0T?m?8mvQN3Js<`iNg};*(CUh<_1uB=NYzb8W-F z{sPR^U$Kh|wd^~r&&D0Ot1nO`1uloE{j$;!$q&X4x3nJVO~4=|!{-S@ce8#s1n!5VDyulK zimA~vgtEW@W#p2atu{W0%H@YYa8Z}3uADb2FX6_TeaQ3+@xjjaI?h}Zcm2l;@O7Q@ zTi={aSv7wsc|2Dg8shFSHoE^(n_+VxM7USz!}Fl$^IE00VDycGa!*I$sJSTHcjri0 zNbs6-BsGxwmN8}zf$KF>gw!q~?mVaja!~`r^ZH=HcED9QXm%>)_V5TI9c)#)n0`Jm zyT}V)Dn>F>-OnU8)gz*CXO#l|3wQrYwP}R8dpni87g;~No#r-th2>i9cK7aOW8e5< zRKy&JE<%#B*A2kUH4OmjyEe%?TDtN#KU*rjwT;cg)?tnQx#+=L^7P4XRZ;P4=Q$mB zY&uMForsyxHQM#o1?2H=r7N-q)yHb3oXQ>>p`K@vRPdnu{j7r69Fuaq(EKGfPm ze58)Fg6)^t-HsT}lR6}g?sQTmfW9@Spa)sabVU#LHx%qz_K7S}gXO$T9 zvHI828xYhj<93SvzdyknW8RepXAM^-9NcnWcwI?}2_Ia@B>+2c&rG7hMruj@)HNPMCuBlgLi8S|BCJV=oxsvA}5Wb;0! zxjSmq)yAM%kM{*3`CmnO#)Yhg!*zqItBuW@&%mX?p{F{sO#7FX*OzU=wCU2k8#D`A zW`!M`LEliFr!?!GB}xU5d)FYvCf)5@G^Ptax6f-m9T?Y;4<_-mh_WA*NdjY7wUs*u~NnWdo72g*RblY(6?} zGqcuePap5hXOK&jM9qK?^m4hHa2dh!cud&a1BJe2u_`{tEmJ8RbJVb3IVfCBMxnr* z8%DsXMi!aYlw{cwD=3(atMvSc{mI+)ukc88GWXo12!{C<>=-Z;i0u*WQI;2cY#FCT zM_&q#O0&)vLq|wZS%}{kt^4pO)^(NVo;N-gHYNuNH9F*m2a`twmfoZX7a+N~H|G7d z5}s37@$B)?pX^;@%L?d8#!qV|};v#FuEjLW4WN?l})1;Swxdu+?x3crd^XPZomtQem z4OvVMK>;RU=XS$hF!xmU+4G6E8^m(JzZd;@*2SEg=e04)Pu}c6^w$gh$=8o|r1k~Z z=#gDW%44M@t8uTYdM#tTv%}etm!0kwMkLMlatbM^*Bl#WWmMtT$nBwfJAdEO;W^vG ztG^#~(Gk_-kJrZ|`p{_;#{Z)OlRM6}*y*p^Hn)N*Xe^Y6zGvJ3MWoKVcaLu~8>TKB zx08z2d8ja|T;#Lh@S_hD68GsY-%w9lq~yT}*@&XTj_8nb3w1YIZa_MrZ;!Ds#3PZv zA?gHC3Q%crS?f83RBUEWlTt){ZR`vrbai!?D)dcA6aP{f?)H}n z;n4gN;jwcr5nSdL68;$JT12so&|k+L%T`r6Y=+6s1PZun#Imv#A#ZstcM}F8v^13 ztMt*@u7}e1*Y9oKI|VQ#>)m4iC1`f<$P6HwI<&^97TEH|&wqr+Ylf4bjSW9IK^&L! zFLvDzCg|0oaV;(K>(@-=IG$KOyv2RBc*Tx0KQ}ep9gyy$ZOhy;=#9`v)?4P&e3jnM zz&k6gNgW19x{Ud`H|*a1fu#dC*(VDG?&g=j(Ja^snKHJCL1PDdEmF!MDiiJ+Vi_S} zD^dO2)5B)zbf#NxBmOjuc69|OyDb~5ysy+ZM>CtR;{my*|L~P^{Dk|Gd+B)@rw<(= z{=}JF*v13mkp6KY%A{aJp-J;C*0VuxX$JHR+T_F79{C2PX54mHgd zL%o*Sg%$7X#GYCGrRsP(1Ql=Ead&>OhAs%WyEi%~-}V-X`cxD0!AHS2`LaGGcUsj$ znm?UjqF+91R`I;P;<&~l4B57_Uz%JlrMWU8K`Tf3b#=N(?kKNr^|mA@6Ql7J6U3<*kHi%H$Ov;;{5T7!=`7a?wK^HQ+s2hz9F50t$)x#Ats<$)2 z1zXVQ$v({|^MRGW_l}XJ=;CcmtrvOo>4g8sqxnap%Pd=xRYYqq-H@AYi5SPThpyb} z@Yp>zWN}rFo2X9BJI3phf;G$g@PxGNp^4YlqmQR~9cJ&VK9MCUKe$-kAxG7jR5}~m ze&++P^;kXmjA+~R3DG2tan%bART97OXGZS=lfI3Ph(7nT*Zv8U+YbHV_oq{*mGl{L z1MTiW6>8atdG*&yY?d6Ze&vAtv; zRpUw;)Y0LhAQ5+GjrVrVed^YxLTLI*be^gQaxPdc2E=|e z9I!&NM7{9q05?v_c)n?Ck$KH=>C>*%c&qb;bEdAXA#Av01;rb;)&G7$jVs-jD>GS6 z?{YiX1Y`?)MdZSKfI*0R`s0oyuDat8QmHHT7 ztanxpit8wITj1=<7N{Yjx#Hyp|7s2{Po*btNV;!os(jvY*D!{^q{dRuZzh`8AJz$BbZ!E^RqbnJG@V% z*yQ~D;RD#_^3H$Ao`y~ps;q1*hpujr?sx;_x*8wVeXF^1DU;uJwOA1y9Opm7?B`k> zd~?<$26Fsg)k^r5)fkPbXKB{O%!#BI&zG68UogJohp4PgIl+t!^tx4>n|7YJbPk?g z?cjRlv`g^L0bielIE->_&!iF7x)Va!O%!$&UW7B8jMYDFJdah(nE~ho-871|B8;{# z#(o+?0Of_}1p&<@V7;KKRfZQoZ_GY*&y?B=$A>ANv_I;*x^Ey7dEep)^zVRdO$=C@ z!I(@;DwJ3F1;-Fe$6){U5Jzpjuh$*hbP{>9pAj1`2?=%Hz|W5T*!K3W@3@=3}wH5};l0)iahtLs%RJE4o6#d4Tr7th<4G3hv zQN4Ly9vz$#XMGSp4lU3U#pPSf5`lT%D3p!LLU9f#t*q;;3-4>0%X^p8ZGWZl=EW?l z2b}pm!r80;qx0CCVH+dEC`{gjF*d4sC#k-Ex|;iQb$EB$suGE zu$Zz4auFs@x&3x}8Oi)5OpV)9W-jyD^iO%k zpkUw8u(6&+ii+t#zIa{oNrXtS)$};~UfYhsGfnTr9j4WTBUP>-GH_kKcY-~ph~gw? z2iMS4$HMjMkVX#IO^3Ed9gxNsCi~&0p}W6*(;Dfr&nl4da;ow91GD1d^@p7c5f*)d zpyXl?9SOE!>NGTNnl@y`R*VOX=HdgF#{G>odnIpyV_-@Ax@(&K;s zyNl}&qoz}7#CQiIWw}Ex{{TmeA~~6`*6sMQPA+22gWRuc5bpGrU$`sOcuSS zF3akD_Hsnfd+j3qEs|i07`UtSjbDZZo1JVl;my>SI(|i-Q%dgT0q-&_uG@5vL-sC@ zevsM8t4pYPm31dhy=n^$}iT zug9DT*94Uv_|o3Pyf84~3ZoL39f?*DAhhyxwExH0%5JiKmvsq4S*p5!)k|V$-3MVw z!z^p-^~u6RciX%Uz0O2}PHeRE@SagWP)09U(HN(|Jm-0BrmkmqBPQ~1Qwo;ytRG`! z+L*%VNMfaT3furlZv2&)RmVg*1$}*i!t04d&Im(n&uYO*QgwQS*AlWaOl3#>%mrpe z$q6Z=SoDH8VD1&c{+*-6c5YRF4L>u)&^mjXeQA*)82%hk zT9g<=feG2nX^JjBP9=##)a%A}ZjmTDDnHhNR+<(b9%X#)*d zO5dKsyLDAUGpZIiIYC!p8t`(r%te0hBX2gCd>h*IjB_rd#>k%7iad0EnauT~R)Jb9 zpfrK_4;$ruXpgrkOa%*U>3gw`kk=Wj%s4sXiYwq9J<5LY9 zQ!7at?!kV65-N#crGy%8LAeaSK4_elw;L#&)ePL@=&!kr{g^m>@!jzSd>e}e_e4+M z0CR{|j`2_^xVTaeFiI4UBqLf28feacAe`Qvjz=W3spL#wk|;hpUY4v|QOSKl^^Sp@ zP5y;jgeI<``G>^&PvgwTx*KNT8=ZGGb3ibU?(E8i>2*+IG{5>i^jNWo2QGVaTqOlZX7`4By zI}FM^0cpUV0OWa`sZ{^qW0Rf`0MT|0oG16u1s$qrwGz%hRk)QtSuO?UQS! z-IJ=6L9>S3>&-rAZ`tmfMdN>a)K`i+&YDnsGMSb>hY!v=^zP84+`5mvZq+84=}U0_ zq}6YpGVZYBzXVA0JVkUX2Vt_GYz4jA|2J|g#^#mU^T0-jsIJ?_Y?6l>Kvz$_zO@98 zInsbO+EB`Sxm$`;r}eYb(&LZ`LHBP3Kg>rar40-TUV zOW&f2{;dbmZ&_f$)egQtHnC- zGz>Hjx*uKrOkj~rycxUPY%WtJu9;-DIpMj19V&+<&FgX-nf#K=w_3o(SMQp03LgkV zqC=4V0^}(C5%o76UXZlGK?lDjiB_`YUNk4#Bz2!GahRyOn754)Y?x(}tAwDdo{^;W zcu0R6wPz`Cw^MilN2XN+`n^c!VS_6SLP3WUNy}#vuBXv$H6nOsAVcf>JGU^NU03Mg z(ZyyY4+WUd+TEK}O47v}<{3V13d_lfRdX%$YyNU>FlvV0{%o8B4-UU?*LMb@^)ASr z*V689bTAQln=?B+^wGMG2>Q(>SNq+*^5W{brSd4ep#{OCa$}ujJUR@H~Pk>q-ZfH_nOU&!* zecO;%jP2dTG%9>Jd6TW#7eToL*A{5zE3=+nNtBE zKe3T^UM!(Q7h2vy6Ciuz1$zmQ;ny2J~A| zQ4^hz^4^orYhyc*OEkTCQ`}sK+{%jyO<|k`m1A)Lc|p9x_P*t~oppoxCn&}0bXSf? zRlrIipH{!fK9hj|eDA;=&W8KMB7wMY$-9@!)B=MOM=Z(N!n+?9=~vfESupm>uI$o( zV)k2HsIr9JqP#2FO=|OWOAWZKX(;Txad2VOS?11fu=q2T^$#^Ht+-|A{gDW1pgmIR z5Qq6IL$Dp1#J*g)v=!@(d`_eZV-TZHqSF)7Cj&bL#?XUG3)&See3HvxEldY(_$sm- zcS2-u<)J<5$c-g?kp55#)A}+z#v3fuXQ@qV$xT#NtA_JDO;0}sU{R!3wt?V9qI;^r z9hQCHbxHm?9Yn?v*jzhC?_F`l9YjLFBIP!FeA^w^NrW)PZb3B$k9KV|INZptCK&=W zHR2eOp+gKNOp?leHc7qzO|(tDS|>fg)wc5Aml2828jdGsH9d@scREf;kocW}NWgBD zkW*f1<;iZ~{-ZPd(S%er;hQEg^pl>QiPp zT;`q7+sl?uo?KB|6Nr7bW1FQLVy+Zk6k@Aq?b@6S5iT%~`e2rIz*Y_~_a9-DxGbV(yfH%O1tnn(NFryc5+g;`6L!;~n-JAT)wyYlHre60LMi z-A^d4b+3V#WdjOsLqr$*wQJygS4|4Bx1u`ugdz^yvT9rCg;N$EFpNl{$QgDZbpJ>! zXu?2D2bL4t7+BhxNyZHm=l5McZ+DvS3GcL8zGJJ%@#!lmc?ZecggyGeX^=+C{1nk9 z1C1Z?j3eopEEx{ZY@6aKBHFH}jHI|*>1CL>1UbT0((XO822cwIWyC3XJ**x$r20rq zaqGtLlUAcDm;47&mrEDwZ#gpl8k8p}`xm^sdBG$$l{F{%C=>U8;^-=#sJCEsj~r@6BQ)#w4=;H|3{7rAClU7#|CjV<@{ zkC%&=Y+=hek7-;~h>jm=B4$9=_@hb}s?@+1H*M`@+ZqB{1A<9q4El1K7GY5|qOEu{ zy_|#6a00!#5$D&EvxbfTN|(wO=>DF-m+TsMR%Fl0x5YE$p?3ctiK$J;klb}4xO=o< zh%-QM=&D zMIsCy=~Do6itZ^2BUoTq7%K$Kic2>j-ZZzZAVpI1rq-NN!xD` zV1Kf|UlaOFcvN9#LU>u%5~8Wvef#JJ-Lv4shYxO~{Bm6ik!{*Y&b+e4QDSc{b4)ba zowoa(2`J9!IhxE_Tw~QIzm=uzdoGls@~T|%&p^Ld?!smfTw?^f^#kzRxKYF0H?<9x zpftId6VrR+)oUTItbeh^oJ<;Q!uN_loaI`lYvkNHaC98o`58a+qk}#51MkV&qkh3X zBGsw9{dJf;-(R8>kR_Vp1H1HV_j2bo^7`GWGD7)JhMk`PVuY{+|BCZ|Glb1;5;E5z zB>oAo{Oqs+;PQ9}r&cO8+`S~LeUPg-y}ucwA@H;Q%e3=fkuCnfo9U*9$3)ZG|B$Xg zYhyKAnrEMGZKe+ITrzyRTOZ=7t}; zqOy~h#^4%i?b?FkqZ-wWCC?`73=qM+K5LgL8zDcZz8sm0dOE!Gwn#ziT%H-ohkOJ1 zOSSJphk?xcF;5ucncqxj+j$pb2Ub6AZNVP7_HZii{+mB?`{fuxH7eeNXp-uE@;9pq zCVL<}7gKj2C>VlKTaxI^Wfbei9Q4MWH{V(7+b0Yw9x~fz`mHCBm^fb4o-QtGORPc`0r3=fuz!0Ub8cxHhkEnYDkYe+1KCEZdDYRg@j5?g@ ze7}$!w7Mcv=ER$lEayQD?kr$Ve-cp=9@S>Na%s$ZTx~OR@sA`C|BnPHAzfk&x@m$} zni-?f&Kg?8K{FxUD*z;<`i(8|jzSXCyhr(8;}$1u?Zhw1 zUT`}JN2xYk=oHMU`@V$)z;IE+ELvALXzH@MfLBOC6VOk%&Y}M#LQ#F^66El+atA_{ zKQxBt7`b!TLNwkbNk;s+0$^%j36ZVPY3r8c8n4Z^E8=*+fy6&5iE)LIz`Ap|FljwC zbPImcodOSq@Y z+WSWlzI7t%t7ZU~+0wsR1)NdMkgltxHMqPulc>H@dki74iLL*X!Ik07)>vJs@ZmH} z_+Jhcr4E#qteTE_3t8eu0v6uywuN$k{P(?Yo6~%UOn+!=`xp}X`}%z zp-$y8jY^YwZP)FKcelcy7)~){u-FKW+ortICzT1l{4z(7Y1E^|b5AqGZ1}t9KP8Y$ z6_2`OaIR+vs%Gzn2J%-Q;`2rsM22`ZsDDh4$%K5b@x(f!efKZWascz0vkDld4S-Hd zbNocN%BmJD-HvhoK)Fliw;}AjNKJO|-HI;c7AznB+YL|>LvTgcsRW_5YxYy1_wP3_ zy*seG+dDp~O8u2nJ?vNH9LfArS|D5EHDLJY>Aq^nbS_U{AG4iQTfG=0N%Ve$kN)-K zOIFvr3V7}PPu=dLe+=}Tj;quaU3f9G!yi6Yr@i0&M}n8&>}oUEUjlbugV%#jVfV(N z+9m0$j&u4#Pm=p@R(K#RL;OgR=w_oX&e0r=Sd-Nw0)6g!L^m=-8tQ9Kk5!&nPz@@7 z6-#x{YDmdm{d+7^ZSX&7>aOqCEG;uI_EER6j%U`Koc}Q&6ab78nj&@I3aC`lj8zVM zy|>Hr5ARV;c3rmh1;%=lbRI{wIh;3GCVzfylBW&D?Oj)$5Mzt{UJGpeBPnl)MX|py z*5ak|BvJ@m>HDd^L`;gwfxEUAG(U}caxo^paYjG5JM`s(_r;3<>a+6~5}jAfzVJ@z z|6euB=J%@OC z?oUNVV9`VTlGa`etbEH&XM$slS7Ow;=W1jn+Bn0t_k&XjzFWCW1SU$f?%0LF69HEI~msHhj!6c>KocPxpQh0Z6i7}T)9pLHN-Xx%fd2lLO$~S&_5DAcc#Xvc-k@G8f^qKyKF@< zpM7IbQX%!l`3`}3dSJ;=fY|{+!g6Q#)>dM@RzME=U^;@*hbM=PC8k1$y48fzdkxtwbbmLEDKjEcbdb`It2IPU+z)NXe#12dxMg}tWPNIW zblgA(n$i7cp)?)#S#cV71_yFOcsb`u9(v(OFAcIX-Szn2d|+eqXYqCE58(Xs%kA{3 zr}Pehmh?=H$FTr{VoHVju@0rRzXav=8d{mg=@va?BZua~Ql^DRB_PzvGx!eyj8lb_ z0>M%iahKSeO9mD8UaK(k8SOQm&VSy_^0oP$wjF1}>!Iq`)iZj(A4rXwuN17*uY@^O z%f7#E=92fq?dseiB}D#ItbwtHS5KU zDz(k*Ksx^YHy)AHNFV2jn&*A;%)ct-&?DhUB;i+9M`SL~N#wA4tO{!t- zVnD#hjBWHpk>-$Ep({Tq_w-25l}zqM0k*7~+bVezEOLT!sCd$)wQR{7TS5|#DN9y; z_3?e8QNqb@_O{G&gMoOq1KEwKiZ8F6+XeQ<3&0(xB$zuphJCxmLq8Su=Nf%^&$sHf zpODfW;inOG3)EArEgJ#TGEkJu1CEPo3RGFd6zCPOtWS@O2XQNytN&KSzm*otsm^5} zamES>^+HR2e>ly>|!86&nE7#vkGH6;A1Bmnoia43U z-lS=U=CCgu`T_792iAQGT}Jsh70hkHpuOV@m!PpDptAsqo(KJQ zolgcR6j;@x2KL8=zxAnJbskAVjV+3GhK7M&aOWJl(Kf}jFrAb^e1Cq(7=_Q4oEqkBW*W`lwjQ3pCj%kc1 zHyyNJ1K%@$ReNU+UF&hziczP-GqJDN(=2Ttb@dFYgg;-Cb0pr&CBuhy$J+Du`0yX} zF8E9PI}PcQktY3oxfgXPmK(Xuk9P#ZJONXMORIG7IZq83s_o)^t#$XlhA8~#rtVu( zD~kllnvM=SY!&g|UxUly^VLXM$3>NvCY%i+VTH?i(;}r)~1!E5Qzr(w8Zehuis~wKhz4n+IUJOG6FJR^hq^*6V z%sa`(VEX^1$14m7W_uppsSesIBf|=Rr`<^T>C?yDp1DpoNJa4KS7c*a9O4BGm6?jH zRJLCqWx@LzfH9NqvNnV|i)^`W{^%nX?0k98j9B78s#s3D(vhC$T*zi_t z@_OShXAONs4q28O<_(1jH0t?HcTSPV$A+~xKhX;WxiG%(*1>s=xB09b!PNba{#;~h zf^FZmf)g(w>&S3(9=vjmF>0NPRR_?$SZJiL{S5w|xbB|fTorQToV{WKZ283tD3uWm z+nT2kuBYNji?+eso1}ms`kBL}29Z*84I+c~{amPT^hBNsusl~EeABBaL5F7n5-%L< zkMVtZX)Q6TDwz=eZ^)h#P}@Q;)XCP(Q8WQwL1F%=)4#PAsKH|B;wSIjqKQ zcejPtQYSl!f|`aahfr6_hk~keTl*C(VFRC}H!iUw%0D^R0mqlFz&(uvff6jkaOZXE zrBvEr-Njeh@ZSr~?xQ?%ZM1utD_vQGns1kjvY%hKZ^bRohR4a$w6vU(UaYqcu7uay z&DRj#gep68UevWE=#q1NDs=Oc?=Z;s+=T*=)>|&qBV`yz&9Fy_sq+__8*>*fCHxuN zWwJyYgzk0Y!A1l+sI5-vW>ucOAf_9pmbL#p3H+Ewt`_11+(gz5UiElkOIJ4Mji&0v zf?M-GYo-BlK5=JQV88*r=8b{(`0ZPznnZ_w-(gbmHxb~zmZwRHuT>;(;=`>_?>_nb zIRF74;W?>^*0sVS#+<&wfzn^%39gJz9emkiICECs9GJfX0zF5QW>2-g``&JCqmL4Z z%P3E4hUrZ-9Sp{0T;(G*Qc>v1vm`lJ;vJ(a31Ft+6PS(g0X?kadY2$PDKtKIcyL2H zY=3&Z;kXJpFrYGh=TGt@3UcF137jers+^z?F_2DwgaA|wpR&FHB)Irog`bTrX*z8U zCebn;xi2_XS#IS>yh#|?$iC|>20Tc9OILGU7Xv3OA(x3rkN4_wT!-A=n{l|9vlTZ{V@q7&_IaDDZP+P{s#B9V>omodXM=a6on6gVl)4~shVa^Mf+Ka#| z%{>_FIP8gE2b3QGBJzYB@!0kBRs?1pXxm*M<&2fz;XHYhr8gtvp5wT^z~>m9>Kn)C zqQ(^`-*~o7pl5nH)lf4(x-OR5nveHXY@0ZHWY^SoVeXzuOLCPqU0QjMiIT zO1r4&lY&1H_G0nF>rXqp*RS8}#yxl>;d_6vPBH5qlaB|<>7Yemz?7L*V61_$T4&$b z#w`lzoRBslb|}LnFiat!p)_%h;zN$N4x^5eKiT=!dxL)ZZzOe#s&wv%b>{*nZ5IS+LFC&;ij2QnWR6e&JZ!t(o?pSZ357fYd_-56A+z%h!i(JBxQb~%$3dD< z;gXiEVh^Idr!%u1XK&QC~n{E%nDS!Mf5JFW4RNEn3;59LtDfxp7d92wvUMi`r= z5$=B%a5(ttTs;v?Q ziNAc|^aW$kpfxZ^y$J8yooX-El;JNnA&}@zwb_~1Ki3O%>ZoOA$iW19p=D zCPxclM-`bMGLDx4*x?`zOo`%@JU8(dh-yYVVhEA-DrYG&q2Lu&Rp+5T^Y6RS@j*yq zY!Czo!IE+TMo^EKSY)k#>5mW+mRW^-yhUzFw z=l5|_DGIQ?w!BBqHnoYD!1z2B2wUlCPYVfrgd zjgf)=RyEpr2ga!&iVhje#~mzY<;~Sgs1xpr<-mG*+eyr|>$~f31m@ypO@Cna(r&-N z*0zhuLgs@CW#EnZmKA1#6K13Ub18Ea?y2q%bbcacE!$eml9XA>U1x9KywCbDagn+g z*hC?1cxjZ%b!GvgHe$cI=`u#Rpy#+g;^Ou8I6?yWaUcL$SH-Ke3@}Wxz_G2-VOZ}#}e z;cN1S$vHPxeQWyZuH^7FT`SFm94f4Sw2K%G%^nLhAciqb{^RR@HeY#D4~ze9ThOms zS)DUn6Q-fC%Xr%l? zoLIX8+@W7pg_}v!JpVQ%Y0Z0seKK^qp@jQQQ|)hq6e?G1XVC#{_u*`DT09Jv*E9$e_msoY1vOI>hX5}mrNGuC|AwLvXq?IjyC z1E3q!k$7I3U{TjE^W14(A21d65(X0bOa{p|?5}y$-w)<8A8*`4>h%fy4Y)c#NCpBg zHNfrZIZ*9EFts`>d(&_!+z*RWFVv+a{7Q^DAkXoy-t~KZoP=`^z#UpjDe@ltg#rtG zs~OK)NT9}URcA7k&+Y)I&qhP%{Fe!H4M}x_Y!+T-*!nOcJD%;XK@47juo9ZXes|YQ z^ppB&pXDX|`Tx7WeI@=0C^_IVz4^+FqyqH1n>_N7osNq4*+<#=s6z)O+ zeT-S2d=#GihP{^2+NOz!QkSqGF!5KKKC3^QTLY{8bdt<6;%1inm z$tPbrO(4>hr%X@J4A$c-+;8W|GHdh~xI9HO>ve`u`~OC7GHgNWw$jgmLkQJ`)+@0E zcrUe^i5?d|y9qO0F#Gm}gz;lX&i5O`UdTGYc2~&yI!0FcyV~@)y(9W2GLphMg-4u{ zakYyzywlI=WRzjkST(j92GGp1x}>+mIk8OPXFHFw|B)09+KhL+@NYWiAB$b#v-G&@ zAsyGCI{&AHWo%~I=OQPLH+yo`9GF;nc17<8$MIdKF#(ev^(?-T9p2ve0vGTDeb{#1g&sgg zkz-Yj-BouXc|drC554ktgfl>GT}vI!+3OmwWS<)=F@(szU0CS4-@|gtDL#ePfa{oC z_5{+Ai%|rI*ulRb0C>ydDL~Nnn^29{#SEWKr{GMkCYdsW%Ho9hFPwT(Uw|lP7ZQGX zi5<)OYP}fHbCN&Q_{P_4gXAMdOu4ZMH(<3=#f*hR-ZfSgrTJEcYkv7==um1UlZ1+iDZYK0tXh@4nm{aiiHfBG96N1{L}KPwY8B=8bf1hz#-D(6;9O z=DyAPwgC>%lJ z){5)~+H^uv-6uB-k6B>!-z`b`8^zq=0=^d(Mo5t@b>)#iXV>&HX8r5g$I)-u*w{=2t=n1MZs4c%WBz>k&G5t`x z1p?hfrZLyVq_=t>a+obu?Pg>xJ#Xyas2StKqf$FAZRPfk>2Pu>21H5`?Bk`P2xk=T zn3o(yV$1GH;4HE-+##HYk4!tDq%NS7R)6$%Hz3I^APG#njDTO4 zBUclUlu#aYZpgA=W03KRCcekL!pu=zyshBCUgh3HfPh)f{|f7=F(sUjxnGC^wSVZxCMO9wuj|e?ZjEEM0db&V?&KYQu;dM z^hk*#oc7sy{9BnAmh>{|J5`7ONR&(jD^}O7On-itX>rCjCqPGl+(xxC*^6nGpJ{SLDd ze6-x1QIQ-f0n(@Qm5ciP&>x1ac)kF*pbG-IVNGQA2@4 zF{y&q6zJ6Rib}O?%WU>g`H~!bb&S}ZNr!CjKwQnBF zq*Pmt1q}vCH@df&eR{jkEh1dU491Z_+r@6uNEY<5E@-Qrx9}fHizhLtVn=&E5SKEI zw1YD%_*X8Xc;+N7)R~_=UiPf@95Wz|+vXWZI9?Z{Qq}~-o$7hbze*mpvJ&_%4TQR; zx;7?DXJE%s+62UF)QMHCuDh(x-Wrivy>VjyA4x`IwWRb)upVNkO&OgnlsHLL?6_Lr zjyS53Rjzi>$4MmFXIdIp9W1&thR+x8TJQZx!-HNn8c z_TLBcOO|24!SEl+eLvqG*kep?#9?OdO!psEvVu*u0oQ^+D>5k+pA-@{_r&B;?o`2% zZ-ki*AIw>bpXYu7@RVG&eYWZvo;KgWXkhO2++EKn%PbuOu|p}NlcR`n$koVy zBtKTdh!2Rra+t6-VV>TO=o-)~?;uN~sJGUs?>Gy6TIVUc?uX^z*~N2}tys zKg7@(qvVrjaxLz&>R9?*No2%sy1m?Zx|i)!GY!T+bR{ryb|T2eU14)yN>O`B0KSA{H7@;9*qV1 zM?^^EWwa}1!4$uTncq{uCzi}D`aujPt21TPT)2&doOPIv-=JXcjM89Jmf57)Rt8%o z2c#IfPH;UBfu6G~hZYZCTVm?^v1dG;_y|%@lk3$-(a*Dzs8IkOQD=VW9(8$w17uqv zm8jJtY6a_6)O&Ew>0lVQLO+^tZ5w zF8Tl+VVu5H?%svIrCdOQ_Vzr&dYX8x49JI8aon{pQt4x8KsDm zMrU5uuN{N{dHC-)vYy=g{qnJ_KQ0xlZuzBkHq!z`#f0G$I!B2Sky(NLuFDxNA7q}` zlac{&;(A;2zH+5wB9{ohczOPT`eR9_4R5Y1M$(JZ@@WW0OHHWp_U2El^M34udD$$z zc(+q20f3 zf&xlw5CZ*`CdSk_wmVaqv7(*=AkxkT=(^!8azGEB^mwDTVchb(*znCr@9__p;ksKWkI6IVguFX4-`D}K6` zg5qajp!bq_r{YFZQ*|q3;WzdJ!YqF{FQyq&laU119!E%CSDhU=u95$)fZW^B-?J@K zs@$2$^l$w__c)UIb1==@mwjB859FlxK1X?NwVhst8J}xk&VgV6m5R~}9+JaQhatw| zN}VjHSoqYcZC^Cj_3h@1@f?6i0fsbYS@1ya`eYwHMcVt{|wy22f4Sf z;*j$w*Lae%oo@Y5a$0mmBrM*Jc_*8r82OG!3IF}_R47`Wt+sv2T9cvbQxZ{XD^~Ai zFPDy?wP)@i{ofA`!%sT}kOEo`jd@fy<7a=?z^hFlDrcz1n$VrS=#7QlOu3>3*|=H9 zFQmP)iMu_yK%H$^H_~`r%!iL9G`A|~$rThfgTze18FThDMU3%qjhH$6Xud-ksTE<; zPiB!j*-yU$7ag$1A=w_`k;tt z(}c{kzvTJc!RhT&M!u=!jPOwx*!*O8PdsN^l3CE_5Vg0QN6W9^ zv)0;QM(JXn?IU(79Q}HD@nU{Frf`$NdRCw0so65Yt41X49NrMnPF4PwZgW-q?<6eZ zY&bvzv~0<+)wVyD8=qL=R#|Ha?zw6>I;#aU*Nq%Z18(3 zw$dA`^M-`QB-}DbIyvgt?H@^ZEHWe4AZj{A|8hKjchnTq9O=^W3^3`nKM`x(tS67s zlkHuMA-;;wtC{=>AyRx3`VGNzHGirU&Ao5Ogpk7;%&+B4_xdxQzlp6bN`}U;%6#fe zE|%?n3;4R~Gz7-)y>$E3B#+$)9`@cgqGlD1m0WkV)5y|l6SF;Eydbi!JIu+H@zUye z>dzFlrQqF5rw99OP5BL$BcbZ|4gdLX+2?q4;{%jHcQnh9!dU#^J>pIFuAoS-c4uQrp0`$E zJdxHEYG_U$$(rpf+;8kwD`u}}K0In(cH~ks1|aaeRk3{GO8%%;K+>V5J+SMOn0XB( zlp+2E1vnG@HyB2_d*DE|ITP&lLH-Y!$$p}B`;$JTZ$*Wr5E$>n14Eb46i47YcEPC0rfjV~f;YnK3p4c%R zT`*0F0-re*_>Be}YmUISe_`?#%kxa~gNLn`@Y1)xx6m-Ep~&(6)xS$Vc=?7>^mn#X zM=<1;FEnLW@%7dFuW;VjnGyS1h}v*-Ei{?$T{aCgy#L*Kl_;jat!gvTfo2hsbOPYk z^I_e5twttJ7JwhO>Ed~nhZ!qxcKIL22Ni6?2Zel2NS+~WsYdx+tzCyp z=U=mP9kx3Zc(0?hv<@9qY)0i>B*>T#Z|O@2@Vs9s`CdBo+wy29{&j`i{Do8bZPJ*t z;YYx8c9YovuPRw;t}O~H@m9`F+d7o?$+y?nk^-bW%8c~lOL@%}r=#Taxg1N2zJF=Z zZ&i6%wJ#VRrNs^&ucC9#Zi$Q|$QDJG9G-_ke`X_vf5o`RnS9?{Sw=o30GZ$|FFZ2O zFJJrCPV+LGGf25Tskd9b_^9Q%%Em)`7RB~Bk|%F%N#po-;uk1!R#@8uVgHh@eEjlB zSAca@W$HPjJ%4SF$8dI%CHOh7Pr|R58|HMY@%Tr;pnYTRy?K=F`Y@wLcf#u=e>!N9 zmJ)~5Z|05QJ@XL*es-}@h{62OT8~P_c&51Q|Na(Ad@H5`tx_P?{6$RQ@rRr0g4x=a zlIo9DAH;v}v(U{^aeR-<1GZo}J`0Dy3jhN)Om+b;mh79${6CbL_~+ZfbgE;2rayO6 zGKuO zI2t*-oWB=Neo{y8N-)jjao_fK4xv_!i;b;DN@N?=x8-^ij~k$HYAtGB5k4xQ4?g0) zqvc;uy(Qzz@p3DXLY(tq%V613&J-nk(Tpo}V=DY}0dFmD)tE`lRA2^0*@LCfs7O{- zeFcx%FQNTQ7XkXvu&>)kHzoroPZotQnhqEJZ=RL9mN^S+5G*v7t*v{qM@_|%vQA1;npzf0u3(h z%j&yGtFM54t||Cn_Re*_cPa7B#pha%_H@q+10&$+x$0AQ-3H_6;r=texTLZ?SDzJi zf?S~9vA!;rwW<>-Nh>o|q0D)CAITo*b!ia#jSEwM0V^4|I@e3N;!tslxjVGNXDdb4 zP+8)LOfm4}8BSgMn`Y7*$&NkS*zl>T^)$_4S-)gn7iG{oW zMlJuYd4p%ZdNWU;9BZUBQ+kuzEqjYIt&+Xe>^NE?6v97US~-aR4uD?FX))7@-nNoS zGD~t+R|>K3rYt^gYzc2M{`%F&uz|d>FC+xx<()5JI-Z*i?JQ;2MhD}gj*+(=?wj16 z`&!R;qi>gQ22dl`+*8UY7^kY&l?fC^$80AIzy(F{`mzc-H2kGy6}Tn(ots6V$^iOR-UV-~s5>F2kKzLi(Mr zS9Q<4;z|P0Q(Z>H?=w0^=%?pC3;z3(hj7h@^*p2d8&B~UCeT)^fZJT^^=-Ydt3FZ2 zQl~L0L0;xbZ`S4(F(_^VaNy6fFU9Ct1kMf%JU3mXy<=>*jG_{lKV78X-DXBX0=5LJ z&!kQ@WJ-@3pF}G)fAAJ{LGn}YQUu44JV>IxvvH!|F#08xk?DoQ*rU3Z$&AYRzu}Ol zw^+Z@PK1cWzcb-@VPeKIt;y3L1Gm~aD0g^TNXt;$|JdbMY>Z-#dtyuo-=F95^1!|- z*qioUA#IS8AGzFG*P!f9(w2=7^ab<}aV=bIZi;bd$GHUhS3~lG_Ag{(h2~}X^4H>* z*9S!6q2#3UQ>SSJ2GBms`7b-c1{v!H{Um{ADoWmg5buq<9`qX=auwT<1tM##!Fo=l zuUuKPI)SG^on+alFlJ7D00K8;dXi>Jp--0(HSTME{<3 zec#ReO0rY0D)U>ct7t=$-(fIB{-x@GhcCx-UeH`9P8A<~r4JPaWaY9lWqw`nTE@?A zH>}j}G^a_V&3_(O7VUZS`c2)3k2X&vXeXnxa1Sw2_2?g6TEaok1w$@S-*Us(mpzl|vrJdFP?(AFt|6o0M9DYA;L^o7tQt@rYxS z*w&tgnB76SYSF?#Xy{ZNg(MS{rWDHWuvO|bbEqF|k!)5<3x4L8Z;EZhd>9&;7V z6~Db9xOQTdJ2d>N-#$pJu`RYTz>*7|YfN|yG4k~2RZVqn8oSKl(ETR1Z-ySrG-lij z(&{nd%#_@S4Y@I*K+bVib@hs%)QH^jPBP^I*kX=!@X9It+4m=*$MH`8O$1VEr6XT6fgD5)D-NsOv zHOCBk?}c`TEuYuJyq^lTUDM>;A%@VK6Q}qNG23I_p|McTW-LIeaPE`@SLuD_I#un) z!Yt2tY0FcVM)^S`)n}V`|6DvMIj}g2M-vbk?(vvo409M4%Ln{8&Tx`(_c|q;wN>#!s2%{JW%*2B zr?iG+1rwP20eBinAyA2lFFMw^GfNHFhHAfJ+d2xq-qCXi}SbDOz z@t2kkFb7N8jPAzopP-#3)+6=iL}S{Xs~Y2xFR)91pY_79C7S+AA}*Rr2X zdP0UqBTp9~w+OE>`!o6~LA+b=`Xw$uednP(e_7j{>i~m8RRZhSeV$)P2D@XU-}biX z+h<>yBfTGh8 zpYP?~#mD!Y_jj<)?Y@UmgkA_;uT(0-`HLG(yj;V|_$~Y0Vxx@EqImUkJ zFH+>Db0`IWlGmF7yZ#I`O5N4)+nN*<%*eE9RT6oT_?-1&w})g>t? zzw?CrLW4Q+OgPsFoCf|wg`fEJC0d6#gp6CqVZT|?z47UJq!UFpW!SlmJ%T)4G$;O< z8ShB-$(-uuJCTyO_aZqb8$mwNXm1a>4Y#ZE)Qi?hy7!6oek5LiM*I_{(HbJ_XIhAl$N zvMp#AXEwJ{pl~AjB$36jt$X?LbPd6~a!&HGQ&#nz^_8c4*aS~_wwm7vgQDeDXbHkD8hvPl@oEb`Q%E(90YpG*oLZsngL5=G* zj3&F6*N765B=x)){trJm!)ikY3Sv={8#4nrR1>1Px95}|5A!Tofp;kWGQ1~`Eu>2U zN4!1-WOgwu@CYxlO#5@*nnm(dK#f%Ewygd6_xN^q!$$jle4k{q?2!9=+ylzepX|*x zC{&g0mC)Mc$(@c?0K@rc^hu}-_&ay=K}l^b9N(psU0WaUZ z!`bAxZD!J&w!(Ne)zKkup5e5ibel^>+LqlzOUkutyci4bwil&h&NrCveNo$+E4bVd zV^DJ43XFGnW9A1(hmJYBQaD44J|&-Dw0>)Y%I%BL3cAym56f()g9;s4e}%6G^Fg8bZUH;Nu*Hoy_$utiYs3 z_de@{7|UKWA2*t_;@Mt~LU}j3R4=ww^F_deAw*4M(R;13{m}`z_a?xMLm9C@huT@p zWc~aTRI|9tFIB?$*F@o;!`t9iM<0FaijISe&}RUXXNm_%TUT=+jlN&N4x!1B zkJR^rpZtWQ=LAzMOL zp-NZ8pO5z1FJILikXLI%ZI3%no@Pt!mX@%}>CBk#5#kqG;9vf(e=nUmw{>X;?|_;? zwXg!}lq*vLd~73A5)B}Dbg6%e=*3;D!A#mhLT@ZDWlN# zg+mtHcak(Qj9l!vhCq)-Z^j?I!;YF@d4Lk~JnPzd2tj83Df$YQ!4nD1s>sk|FcJDM zNq2SCg_Pv3hdSP~2SU=ol&akf^~480LCI{y9c#q3p7-xS9-S#W?hTEPk7|m^hcvxN zhA#G9+X6Re2olfuY&%wG^jxSR5g6W0#8Xsw1NDI~m^Xo6srY^RC-VsxA0Wy4$hwkn z+ld2cd{ktqDvylU3eBP^uN97W>0<9f&k$+rdUHW;LZX|>1JF0Z`2mU!|KtV-E+8c>LE@~b|S*{d?2cRejmxX2ott4}9FA6NfDiYsts;(^~-t?68l zgw2%hA@3b=hDm7svBMP8d&|5EYdIrbZf)Pb&T(qgw?oC%2qcHi7Kp1z6Y2?W)g&%sIbBa3m6F~ENPM}{{L+wkg+k3l) zBgnBSy@eKx1DD0k^lk?yI*N*wPI}D`FCw}AQ)M3ZZ$2mkR)stoSA&g+>rjvnws)?= z?;g0g2o5qj>Mh2+BiAbXg!cGTnpo1du-H3J#7=iy;o{m? z)?^;M3k5ZWcoNa*vuaNwUG$FX<(BoqOw=ZV2j6zNr`Z%|bVn~iLXK}=gnyleMt~XX z&!M0bC;!brAouU6$;_EwmKNTBv#xK#w6m4?#FC8Wj8MS}iT6E_~uZZV8%?SW?;zO=WF5Bqhn*g4$w|X1ebOmG^p2c;EW)xUS}Kc3U<$gr?MpUW#gY}(?%y1JMZKmrt-so6Pq z-6p5AOg|qjGe1x*w~IOIb-oKe_B#&~HtU~X;Mcqig zJJzj)di?3Zni|iIAM&skcc(Y84^6$!an-0b*MlxJd@ie#_-KN_x=tnWrV*?3%G<>8 zn>1eo;uyPmxJ)g+YROI=qy?8|$McjNVApHz5nh>nw4QD-@tUYQ#WSjNDLFeB^=`c#+)~`>b)QB` zKm&n&IG+3(-?(0-(OHaRCpSi>u2Y&+IC^|EVryj!S*+zc>1PkW1r5b+SOzV~2G!*eDF zRpkS)ScCz^c+bRNUk=cizF!q=fh)m*R^6r6h0{|_jq*>9J4&oemda2@i!)9xK zc);FY-spkWe!!sKMON9gjYHYlV}Fq?16XBEDvWEJrC)kDdF@SNV;CCrWe!>Y{B)LguV6g4+<6&Gj$hg;#C0Sn$0{PyYcsYC}1r5Y(AJAe(QJ1(d ze^I7c`twfc_J>q{?7)jX&{Nw7`!=6Eh?GX)@*yUF$Xs=7DaV=Sy@hTxQNr|6^4#<>d(RDviigdVST?2>P>!tB5UzDJyroQ)1pEg(X~ z;7`_p_xZarb?!7ro@jyCqKT?f5w0hUU_q%n{86-eWX}~#$$7XgnSC@Qb+^hK1G4dp z>uGgKC47CyE2PS$3Z+5Smz(*Keb&lgKoDq*i24Jye9{|D(Kwkz75w@L&5>U771HCI z3~Ab-WZULJitTVP&IrP5AG->tx&PIKRdt^IXt9}mRHF~m=qOMqu6#HqftDiKq=HjNnD zyYKkp3*r)55a&R*E~5wD14|z_Pg(8O)t>d|9i?-^E>0GBe$q&rJ+|in#T#)HBDfoE zfh$KEQ1#hX?CBp}y`1eEqTczaFZBzRN)8OAm5suA`-ft8MRrWJBda%RvDp%uk64)@ zFn>_2zf_aQ($fJxd(OWv*iuDzb=3)P9gk|4xJ%d|?A7V@u-&7-K~g1l2;SN;qU3Jn zIKNv%U2j+%{jn-g)CBxO<@qLEMInsh-~-T}=M7tl+y4{4q7-ko z-XTn2u6y?_V8sW98H7W|3)6m&NyqHnYaLPNsrh5`)81WEzY5Tc&_g%;G;Xo)!S3RO zZsNncx)3rgQ;gW6q)XhLUx~f5hV*Z55D#CzsP84eVvs?#`@SG%rl z7`?j-Vg}e@%hI*U2ACSc!&Du|>36LsLZW!FcUy`iyy{j7-m>GN`i6N4 z%|U+uz;hcFVY2MS(J#4deR7)_JFZ^Q0g;pmI7TJX*#X+9cp5*K87jxM_|HD0z9Z{N ztlb$i?C~Ro@ebFfzyZOTfcfgHyQp^tC0Iic+GkL9O;1-{E z>QhA3rueD9h3ARdh$D>wtx9lyteonV9&A$8{ch5mg{CG_((`b>>={=EXRbIY@^25G z`0pL)Y|h4h8EI%sX-MoVV@egCDFQG9_9*ULwiqQoMHWKHd6-{CmRl^E}`ps77k2KV->R1%JL4ulC;Y}Jirl8w6wv+8n zQw6oKVY76<_-5Sd;GcuNciegd=PuEf9^>M3Ulu~-&pgsz1Vy_{4YF;}od@mq=9Sg= z4h^rgRtEsb86xW5@?-ChZZOw5SkUUjiLcnuo&@&iZ%Xd1ZPKfP<*MDw9Hr;K7iM@E zhRhfF$LpyI;Kb@}NgL9#W9K2cP7s zoGz*ngSZnPjiR;nY$#^I|Hsi)I5hQsef%n*AYjp@gect&GYJtoKGp3=&CoGsl@;(nYEq|4O&X* z0rDin7q)c)Z9}cy@{&?sT9tQUUF$fN!6zcJS^;HUJi$Dp{idMj*H~C@t8hCle5OxR zu0B~x5G1u-ZhehD74vL~JCo)CG8?Z35z*qYh` zOKzpEnxu$Mi*O<)WEs**w9-=?!d>ByW`9+- ztto1^iN&2atE=&1@cb*f4uM86nEI(_fh;PR|F7T5{r|^Hc?tPd8kp(zNrjnBsQKf%6Oh$T9Fpww&6Yugu-go%<~fPnRe zJotvi(!2}0X5ne(sdWKe$00q3W^Y^5c^S-w*jnpzmU1=*+r!g2mL zam*^sDk`brbwhhksCEd1=aMM?eABbDt?)dl8s8=qdT*-bIus$VWV-t#6rY%E`f!(n z+OTJ15vJQ};&E1yH~mxhRlsc75R`jaq-*gSg*K{Z;cgR=wkmR){>3NDa?j*f z=^Hmw$!$@|zS>nGn}F((g~Cr%`kdy**irY8iCdC^}3{l5yU zJDT0;)IEEGHr|n?NzE(le^cF;cqKczrLHeRGm3otWW}%dY=oT(8Jd{UT+@Qm_$wR+ zMyLxcT$z*y-ZE@h(ROC|1;PhcuFMiIUyM`JIG4PM`M@r4x^N5lL?DIsyhb4^d$s` z`T^_*Tk}`y08ocQb(i?#@`Zof|1U}Wm8<99%u`OoUXE3h14Vd7Ax$KUL2p}R8!6C> ztc_F*#sa)a7O3`8Mr%vJu`Le)zv4Oyj=p^goc=B|5EK)S+jqbZwMLB$A@^{&x2F=| zB`7p*zZo0Yxc|RSm5HKFOi5H1TT%-P-UiW44|*?1b4C5M^ZuP|k+=K4G@Qo7X0dA| z=XCMdt}Ytnat~5$lEw*fj{bD;<@aXFfZ!ImWRatVae0y56%BSH!Iu~5Lm5XT%z*gl zl^)lix5Uzi*Zto(T-Cewj`MTI(rZ+>9py?C9+-?WU_C2qvK^o&_P$z;Yh*lcbIyU^ zw$E9#ZpW6_Z@@NzcSao;S$u8zeR!Nr=z3ZoRLr*EkOqD8K+P?pGZ}UHT$V%!5J!?%V zWnXSfSLlq6&!R6j7(Du3LZH@#gm+}lJDco{-7>kuZ`(sS_3%IjpiwbF{#Oe32Us%i zvscS3A~Yx9frK-Cy2%~30Fc9knd92MsGAo*21aUn7M^UowdI^xVxo_jEU_<>^|keJ zZ!%I-*-yUlc<={#dmH#A&s1W#ZAkCbx#{C_EffB(99p)BT0E(IcsdaT#BbUw{JCDX z3?i6IG3ysn2ZArTyD}AJwDewnV}1-daD1On|Hd5iBIpS583xIXC7$%cne-LlGiyzR z%*056_EPZePmp=4DW^t%`nz_7AFv4XDUXQ!aTuq!F=qyNJ!LO@8QPSS-@Lywap50i z^7E#0U>#4yE8p_Rh0~Lnekmy)K-qO&oY5UIPrxb{wCLeY+RNZ7Z~3&YF`Ie4u#tZ> zf<*YWvICP0y)9PmYV!1{88#~**g1ZE>?JZ&u@>SK9hnWrXhzncT*W=5WFWWTffs`D zXH)ts^Ky7@PYH-+kf&;fO*cQd$|tyxosZ83Ci)IbbP9?|Ldd>6XcT!S`DcG+={-#T`^HnXalG=~7WDD!^HSKdflKgEN|kFs z_+3Ic#!HARCMxtnp;R#vmF_ySa!vao} zi5R2}K9Q0D^MG;o5Ff9gjvFB>Nnq@?1uNX`36xG~MdNeta-?TlYW+IBh~URx(gqP= z3yJ&^p}Oh`k7&D}*s+DSOj1P|3A_S}4}Dqz7_?;;0jry(H&u`CbD(ut^d*;Ma_!=M zZ>#io4Q9XcRVFV@-FVDA<&&NU_!K^t%~HJUc`|-pLQ7ZLyNwg%w<6|jRkv9u7C}2y zdVDoSi3(HkhOUxAIRUneYHU^dN*(wWeWwYL>~Ar;CN_0Z$RG5)L1$Bi;UkN5NLXxO zz5wbsWv^xn&21M_3|1-VTn*yjZu9h}sa9CCadye)FZpt&n%Z#cY0NxM*5UGZ!p%8H zNQ=%{()K15nCnX8&_knIet8i-IY(YBQ2Ne#AZ@fhcz&`lC#K+*?{~{uai7Yl^*J4p z{D^%q+&A|A6ai%AFbH6Xd$t&rVZFSr2OJ*q6}QC@z91KPMwiijPI0tCJZ6jt(vwgw zFFb~2Uf-vvF2SnL(_H?y%o-1Y^M%az+Wl zm3YC@WNu;#6Mfj~t_Rm|t&4Hzj>ZvFQ@+vHF=J8U+RW#!eiJA%YZkFey(zpm&nni+ z)l1%WK71lvlE@r;{*4(eS>i73h|4ss=}*h|xpUn?$!}N_wStBtOS*UNuUS=)|2m(8 z(|9jan!?ph!k!ISo@ci>qYRv<59Wo#k{B=={LbT=h- z7vr`Uv&aSUZ*b;Q`F%KWUtJ}zROfh7QBNwL%gpwD%+sGj>&66TCeU#ssbYC?hVeL^ z;MHY?cpp3!51_EjILn_aNe^=4B-M?M<7f`5Y=o}xxC^q;q~ujk;^+*F$bd$p<2f)% z2ET{VXbM7MSUv&b-Sm*vLN>o7%}%wLeM6N{Fshm(AlI1j51!TQINfD-YH~)n_aB46(SyOO~=P7SerLV}mo%;d5 zXN#%V2hID?y54JrX|vuKF^{+sp0{fowe}=z(cb9{3+1w(Y5J;S8r1ptf=$r5TX>B- z!u^fujqh$?lV;lz6(7Dvf1f5DXYS_LOQzNEWxP>$mf}{}#$#uCLxW$`c9><8rtFr` zKbirBd8};d%6Isxe%w4#Yc@EX;2YMbkQkPtu=xsg`b9xF$p?AQw=JcnafQiutg~LG zLfi+>eFvTBO^+s>D5LQ#`ECr@oyhxD?co>-?WWZb-y;gS;z+Xj`R;Mf8Xld^;&^_mz4kPKlD(U;#zfw zNsuRfCmF>)Yj3uMUo9IJnf;LZFl*f;W48K|$Kq(~JygvySw%B3w85omllaXD|I~!D z7RtY@^TR-?Ut-t%q+8-ArTp=??b_0P@2_9D$jHiMRsFKbDmjtXSEMs5{;k=-JauH1b&E)%@0Lri>uS3l))FEAbC^0{ zRMKg{p}(JUnN)C65Gfy0aB3$u(7k-$G)pcxznX>9_I;3H(}4yf^-30DpciwaO$E;2 zf}SL};)~~P=boxHiQ7G@K5`hie|2YUipUK{P5qr;k(w!4o{EP3pgeCAB>>nU&SA1r zae%q%t?>DJOLEI;sWGic3=QG3!bEucB9JgKjqVAqZw(}Xd-FxdkGSPZxFt2uJe{EDm_JVlA?wPz1RrvJfYqVgFR0$R}ypo-amxkadU|C zt-WuDiV&VncK_mVIG#6I@uI?pa^@0%m8m>}`6q*Q&-47fqNuU}m!*4;&6UbKff7nW zF4DNjqK?agZH4zo9XYQ@_AoD4iF<2#hbs{SBPA8UH@1!B6k5_2p6^|}Z^X#SQH#eT z)1-qqdcsy=z*`tM=ARNL*n^wu@QOV{CyF}`WmQ1-cahVdHiQ=*Iy(q`nR%7oZY#Dr z90#;vcS%XBN$%b3dOX1~%`nYxKI=(ee~Q)B(~swrtC;Ya;Z55xse|I3m>Jkw&{-%5 z7}8iMDtZGH)CLmt$5Xh>XL}!U<({|kuoj4?teVl?rf$gj1W$5fRVMh+?9HRUPfw)f zrLIm7t-NsBALff?owDlT5iP|?(g?C>@c9NvN9_6N}ee7+et1I6DzNWQjmL^H=; zku-}+UhRWmIo2Qw`HD!5#P8F!XMX0Nrw_o)&=*i=f<*Uwd~@3qW4?ZKpHtJ5I5ss9 zEh2It$D?__Et}#xG8=W&@=Hm~O!!UcgwyDTF8F68t4n9u3z@rqN0qRf zunu*MOOgS$0vITC77^2Xn`sU-hiYkPc)6_8^~i=v$~e^>b`md(IKtG^-_q>;DkjL4 z#I1_x7UR{x$z*e|ELZ!1NmV{V6|7B{ePz;Z)=MZi_f~sWshi7Y@%c|_YMkpY`2d++ zt_9R9Q^cKDEgqp#M7l%rz^0Y+{(<{q8C>yvrZDAW7hzr$#^XtuS#3fmptyIWkd@Vw z_&X_3a#5@hL!Wz0BS@}J%r71M7iww2ULhlBeT|0ZJ-E+n%)!Y zxtjaHP>W`54ajHs7<$wBmUrLv92L5C!Nh+wXBArsAd)Z!f5CG$wyQuSdt9^15t%|J zTd9^%ZeWkoh>2x_Bqo!B_%|lhshTAF2hudJ?zi(rwwykDzisQOc%<#mVfqTmzY-+% zH+Bie2#s2GjzI3sT=IjX0V3)&rlU=ojdFVBlPI%pCZ~w-4bP(OCH(k{Q2{`?*w;hFYrJP4d7ENl*4PdtD^mvV3nam1Y#dcQ zQ~^yyzd!0u9_S3XUn-N&$r**%z4m%eTC9fWUCO7WO->NO6g+c=T~5IVl?_$GiH7DD z+ntNI6+R#z-MNB22~Ce0dbq7~x2*{|2889Vi>rf{_-mWl*+y-w&huG5B?=&ZeZAPr z)xy03qui7`P9~982OoFy3D~e0O-OwS9QLWYvurHzm7!3yl!M_b4a@ThRj8T!{?=Q3 z-IPIdU(WQ0yn4&f;dsz8b1fV$<+e%#kUB2wCqDcbPQWB^`zB0JBQb0)!2x537C6&U`J4SZx6L*-RPM?kf)om$Zk;jwc4LFvF zAO|C{7_v0ipQ5-fqtP2$e$kegn-qgA(*QI6p60K7$OHaQq+?zcY*m<*9l%o8Bh9NV z87$qkKH_Rw{YL{iI5V9C5grXE8-1^xhtkQUtWNBLPWhTZNa>xRf_!t;FV~4R46Jo0 zDd^LGGy*w7(~s7iOA$pFSHOM~Ann6u5|(<4R)?<}EUOK&Yv*(9PU&GXE#6r0zPVwy z^7OWPY8g%XK~$pIualbAMj>NPS4qkEHdHQx}w1^`m$FrjBng-^BircZR*Bm7iov+2N-H2H6zZEV1n-a3eoO ztihaxlxL2|^0y7jsMFKfHJil-D4(906p}1%@>T?SSIq_7A`<#JI%MZ{&TGFqA5~_u zmF$P#IqHKAFSf_DzqGPi>;m8pKZiu(TF8yUDf>=k7byjM@T^P-}+)bc6O;IhzUvWXHFnEb@8~ zn)g(zP}&u@II7VS)8ZJPkl0wY?isrd!|$wuNairYOM?%#e51=`r^YfDQz~~&ly^$l z*nkJmf6z|6Z?HFuCmgx>L#k^B1~~ncyrb~5eQl~Cv}N%nPo-}5H$i37RJ}EAmLrLM zG$)9zFYGoo6Y8#H_fTuH@_6XOZ)i9|y@zho!K*FGC<&VwJitR}&iTo%(@EPQsw*f|zbKoBpV@h|u}i%f~)KO;zi^lIj%`X)4BU|D%zEkJkB< zkM5%aFGw#1PXvAL)#wYIuBJ5BM+x$NMFe+@i`et_r(_4_F}I2)aurr6o6lra4b8Xi zs96(lBU3-Z7w8vUJ~ii@a;jE!XPGUkL6Wq9UE*2$6l#!o8q(6*N&|vU#>Oddc-;vK z9ZaP278^clg?Kqau8!L)Zx2j@%^$?;}7e*<`$2W z4Q?NoTnWW|4E_Aa&!i}Q0PSS^*uL%lu`j1EMR|}$U!wQud^Jz<0@m6%Row&H0e*$6 zXcDi+Ncmt(D@=*^$Ptpe59DL?Z{@~jwhjfAX!9M_^o=m$dQwRAgEw}R=9dL@y zKVA9ECZwq|zkfDmL~zVm?F}dlEf7hkSaYovd`5~_p*MIO%3cts+hzbWD>pVYUUwdQb7kE+>X2aZAkoqaK0?n~mCG+_b-`ty` zkLM%Nx$0LB&(7Ucc=c-QRS6pIhjF^*l#N20-cFs27{iY3kZ%U2!5{a+=dTL*U+fGPy$6so2N(%HY9QSLRG;!ir z+9XYyNy<3LY01syRNP(WX5Gp!^sjh$@&la9xpp?@2{DnF_!1?j)L-td;sIfi8wWWf zX3oFT4Fy3HM}biEFwu;oX6Jy$xEbdtr-)XQrGi?){{>deWV-gI6Rj;8R2egprvm{ zV&P+LchF1?GuFD?YxWyX^OU%9OpWm3G{DhfiNUAtyN}37j1|D1rBE5JOY|V{&a3Xl z5lAJag*QB*4VM8>)e*s{eDY&7ck%JUfB?0&6=d}DZFDVqyej`xQW$k$JSW6_Ls#&U zr-|=nQ_;(pq9XmGMw_JK+1q$9#4p_oEMs2sgBS7`-9u(mG?(dA7EW*Ho$-W;B{Vb~ z;8z@-x??%8^Cj~8?cZ8@aj_8w;9yF*)iilp$-%!qm>n1MB;+p9pm)qYuI}?~8@ExlLf2du z!;hXXC=dEJ6QT3_zj{HtsAkqShQd4XC0D{q930~lzg$6$keC;{ana=h=e4JyHPLsM z_oFc3)QKr*plJhUw52{rTU^$+r|wATxY}8VKv##@qK4&XmCirFp1(D%-h!8MAhbwQ z1p6NNwVVxX7nOSwHL!ENX@y(NG!;K}X`L+jKtl&HCObLi3cr|w`?IEydtYLg%V2q1 zM}a9T&J)6Z{&w~SU9Z}w5VK-Z^D&f|^&aS5JxE~dG4+ir9F54=jsfJ-26{gpZ+guM zSTFpCSr5P=4wle+2^e!FFor~Mzda7I@$2i4YViM~5=2FjJS_Sq082+0`kbx~MvaKFf%;qWwh@YSgJc!Y|8)Y zKNw68kQWG#+C#O_G0wT}o>$usmo`&u#fYE6vq#R-+ckySK6n0@yC*R~Gw)LJn82V$ zmxLsfi-ZV6b0X_cU!pa~)AqEIcPG`zrAx?~*Xa5WR4X0T%Ft^M)cq+*x+@Za&wh*F zaW4e`k!9Yr^Q6|sPoA!-5QmP_tjU`SCdr`53>0sVI2mcdL;{? z%q@B^GpUZF${%iD7dRbx&GG_v+kF@~zEw3b!wHYEa3+WZUNCu%Bs#-CaC`T@*wu4A zITW_QEhy)NnBxF(KC&<#8 zIyP-m(&}o($+Y3{b~ESP^j47YH&SJJ*^`oTH%8xPoGWWx(mN>YA50zlU+@ZI)(<>+ z2)dlaXN9MKmSvL3IFNpG=)AA{h?uWcqA|%tt;5Q20TOI@$tH${>fD%cGbYR>t6$%Nt`@U9} z$E;+)jwgoBKZ#9Uf%2LdUQk`D^ZBrS?b~C#S+8S=ST~58cmNB+YqoZlhiBlfB%uDwo zTt8o!?1#=0l;gRUNA*8OQ*I%S{m0ubP+p}!#WbK>ClK-jVP1yN$CpSk^kSb*L#Ink08~N#qw5lhXB+7=F7Q*H^h8 zM^C>iaGA|;@E6tT^YFYBDH;au@S~^_n{e*>$0{CcrXR}&E_D4z-+*6e1mkXY*M}>S z(kr&>rDwV>t+OfC*R$<-v;KF*<`&+9<7y3mP_2Q`_*mLQvS`kJK#6=LjMG|X4&KXQag%CZ%W>b&Ay?s^7k%MxF) z5UET5W#PbyyE2dU>*M)=BRvLs&5+LHlbgD=obSXI1C_!1N(H|a-FD>az~}au3mi_to-DU%kJAL zF!S41cAYe@JC12GUj~1&!d>uhYS5X27BMg1oy4L7Z|E^2icUq#w+Et9R_UiGCndJ7 zU1o|4Z|zKnWNtm9V-x13-vSqj)811XGX>pCwilttugx|NZ{d)cDv%jYtR`yQ1(!1W z{RW$w@!Be4v`zuWbQ*i2ywey_jtgr!Ga0S(oE`b_r(}@T$=ftZH6cgsi#l3nTUWTH z1}iSn)gu~fsQKOx{QZB#r7u>UpjtHLrqYU6&j5&l6tHSYI4%k*T`0^Xc021qzhB`< zx4$Kk9-;hmD@{M#dynj@n;Ouk^Risit&4O7l$Lu_5+KLi#Rxu5NE>g{B;1(D{FM_- z)>*m9Tlgd~ZZ%ET;@xTPyY|h^hFas*h?bL$lnNU&znvD1q#%1-RA{;6*;bf%*k|Bw zyqT+36(=Q;pvdqGT#gBxko1?0P9L>@4n#jtlDP`yabPw8UmS-mmA47Bv^2CJNr36t z3$k7|+vT@YjGXqFYtloy>cA?IBd{kGCoe1q-a5$IWPob8j*;qD#4>QRsljhB5}BfG zx;CMUr|{Y)iB^O179-#xl87bm(Ccp5vT+FvZ_`Fc)x>UU@XUF zoe`ta;C6;n_7#J;T+>F=RNsyV*au?qX-jJBW~G#!$YQk&av2wvk*;+u3^~Y*e_-qfHEw>B&m{QyA%&FODH{y3iixEaPg|f&Qc^aR85R9fA`y$$+&b1$u71y1dtQ%-a!C8II6pr|?`La$T$mr6*A3?Z>;kr#H{f zNPJVTqF-h|B+sn2zF2dR{Zc;nF}TVS`|KHBsv+L){;}ps0+pGTlqDOH&qNCJY@xe8 zqqm>pmH!NHij6J2U-h0`%8Jp-$mYVs+A7;_4W8` z%a>{uh>p= zg)oEY5bq!7g|@JqG%;A;d(rXvqs*c_kTYHp0QQxm4u5Uy*W65}GUACHLwh3aBb(~Q z8Mj}n@gFsui{1gQwn18&trLl!eK+=upJEDQAVH-*D<=I~X#2D*P&xgYl01LD4MU0^ zq37byqM1$&Pzcx(pmE@q1SNESWoZS*xMK||9R!diFj{08Ce3&${q$Kz?JBw2CByb= zz})ZWxd^HUBqL|v_Ra6a2$f+s7|x`AZ1*Wvs(?~T=G&t(mJx?26%td?tE)^&V&8zV z{@^R%zgY|oIIzgF7%{#$;zHbqsgjm=ed!0#OdpaV#<8ac^IKciM{?QPx>mc|`s&qD zI1yZq8HFwP_}%!lyr$r9liC+%+9@U9HYzBqdomnwXTgyQ2b= zw(Spe{l4G~cbd@k@5J-N>&f$HCNZt4;xkzTW`ZjBlv!U6*T`l`$&r6;I~ohL-3no8 z2N-azV6>Gk^)PVmJ&_Du^Ss} zW`~*|v#1PJ?>LE?B2aTm(N3+V#olcfViT=CPRGOlUf_e+cpv#xKYT`9)S3Q z7XpGczAVgBQ8J=qlIuqBsmUVy@)OGSg04}j(E?CuBeO!GiyKNkEUdo*@;L7%{Q&KK z0La=M&)ZagN^-A&<7RUAt`QT7e^JXmJrNDtjmnyzM4LjtrWn#xO{!uJc=ihbLN)_A z#jO#zSVE}BXC;a?g4OdClC^mTYuCd3yXo`3H%P_u+82s827SE>$ z!3J`54@~{(Y>Ba;)O+0Dnv$OlRJt(kK+D<1moY3p)I`>P;{7)GDc_(YkXdTl;%`p9 z1D*A|>Qgz49owj4A-PF?80!bdo1N{%0yh3bw%im-aEo&n?gP%I*I|Aia2hFUBU)=( z$=kK`@-TOns}%VkPZvd(P%3uSW-u;$AD%x1xKy(}RZ%~w<9VLPLeiBQ?#KD0XlEZG zBWPWB|Kc%Q!|K+-6n_aRQ@bHrlF#6NP`hWw<6c}#aMG;mrc1BfM0viujo3krxP%(z z-VQxpX%*54V}nK$RNG3__%sm)>Bm{bdL`YJl zoT&;;XhS7He5zPnwL5wS>u+2Aoqn7{?6!)f2-^yotkSqm(OiYKlTGw6IR0KgU4U!j z93R^-QLXxqX3@VxKe&3m=Hpx<-=}rQR84T}VL5daAyxltfsfIO_d9jOR+(uyQQeSo z2(ewG=57z=0tj*c!ny_J)yj?XMW3VlGgec#Yj&)K^fPZC(nuKrNB^U#XN0a&uSfx%S5D)&l%$m{+NCJpUa&{d8rM%Fk%z6nM*pbs z_NG`$5`b02Uz@fG@E1Ss;tUN>zrbkh4xI3&}_4FR@^}8(2L3m{Kx|TEzfCL?3;$Yj+H7L8tF z+ag87xMA45+>jf^*;3tNTi0PDZF`(JUw1^(sI!U54wjcxmeWxXw>gj$<~La|Ga1|j ze+Qp*v0eBXwt0u8+iL9*#>wtZFI}g~KN?NcP3Vc~r`q$x>wk-zmO+`CPAP80$aedM zJU*I5`BX}45YOmYtJf)+bo;D_?~~brZ{~vN1Y)_tpa$}aaXq4g|2}2s?82mi)u47e zjB#OOxj+wb*}_1E!zAX_e>I*^{*XgJf2Q$l^gf?fDyzMz(=T{+T~x~7dlH{YDO@B5hG%pIfjg=knpwCv-GmT7t(*< z=D?E+kPZH(r#gL`MGo$K_j24>8%%Ag!>t3bmhH_A*Eth^AmJ|h1+EZg=5WVo4^{?d4tD-p`ubxXm7ah3RfUYfXK!W$l_G;o+4l7&?;!c;kxx2TW^3w_Kd-lL z4Ukdlp}(Y6iY=o=cYEiE&noS*oC5h;4s^a_KmMk5^6*?^K3s=ycw(gZHVQt#koA)) zG!DekTt-ng>s__-_g2nIrp;L~^C=}o!ntyC@(Bm_Up3sC25JgV>(heYR26=PbY#S+ z2EV*SKsu@K&cPCJO?&S=D~Lu#zLz zpbW|Pb%5kc%JIw3^SVN|S(9q{gdm}gKic!>EC-19cg9e6d{R_$9%Dx_4`6_M!6#K` zd5Lq<-ke3_v1** zLu61OxAv>X`i)G`W$1^er`2gs_sB!kRn}2-;vu?rev>jkUQ9y?8P_MW=mGna`Rr$J znVvB8gsUa>_xUDPk29{dHI1Cu3}$q{UKfOZRlXDzBSN7n0GMj0CDMD{%k;&$4yYdm z$KrglVPT6JqHW)Zbp7+;rlzy)7jrbLbiI^L(s@iJU;Hk_5N_nh5?0Q(|LTVXm;a#5 z(BBE$e`-uj1H0y6j-#tizFd^PY8?5M{0{53@Piazv~B8#o6}p9XvgX9S>#I)15&<| zbdjwJY}*@K5mM(}d1>^B*Ys6>6YI%8oWI2$*QFi*-gm>9w-y1n=jKWr9_M5EsG~%O|I-$f`c;yVWdUIiK`PgJU1|MZI2D#p_#7$Zw2*m)}N(mgeX)HJj;*fCscc zX5+~vtuM!O{p%;^tRm@PuPjF`&+Bb!3iy<6CP(;}v$K)H%gu@}4?XoWjULL=cwrg< zs)13yY<7hWHvgKs9T&WgmO84AqB1=H-}Du!RoWukHw&~-&`2{-kz;sofEPbLo`4xp z7U`aJlalK^M zqVt$ljVD!YHfo^ubw66#(v&GbZ{X;%GcNRQFld@=aw@Z4c@m>w-x$TWdCBbe;*Vkc zX&9MfEBs#l=wX08;3cuMTmCshRZ(al)Dbu#T6e%upUvMe=A z-`>VgsQcqfQ3#o5UO6B?a#vA^+);1b?)mtom2RZ{0+7EJ1e{DSb78yhPE}HPA;nwA z0Y0v{7pb(bzv$rVt5clv`pZBq@lq^AhOFpK!LZs`a#$ zbwCVJJnrEAP*!L9_EiG?SA0)!RwQN#*>n;u?$0>Oa*?Dt)ob>1y&fYVpI#YK`%;po z<7eNn2t}CFvU~w_dUq4B+?N1HB5t$+f590IxklELwVhHcO+cV&GPMn zSF~q4mwr+OtxV+|xrWN899f({Ljza|UhTt|=gg^75(Puep5hM8N&Qii(%aq|$_O7A zNj!|~hKr4p2gSDC9Hl@?EfmtB8SCx|(~nu-c=z2(>MImsP)wc~9tK}fxAQNij&hk+ zE;#nAcjPNc`i^p5N6Vb+Dhzbrg3Y)aqtcUcZ!Pg-XrqezmH7hKcVOe>s0Sm}@_kO` zREy74yv`=a=6|hX$AP2Mr0sk2Ch!5T7F8uwP-|>=pO-MQWx6WR>tl8J=Z@p5#Y-fr z3q_Y_WN>|sCpBdS(Gz4antD7Kol{l5%X7GiV%@O4Og!3)6ThV}R+*5rxSAtcKVron zw6%CcKDSYU383$6WA(IO@izUS_rB<@%yBU}s`xM26dcp0u?S7?31nweeO~YTLP?;v zFm9FM0&U#dRsYp`nk{Py)GkUgH_YD;DzQ3h7X*2Ge7+Va>#TO+lD)XDx=E5+53Vtx{(|)RFe^K3AF3RT2L;D*5=M&7~ac8h@*o@^aH_i9S zp+$Tk`wG7lp8di_NEg$r*5wnCX)~bDCs^^{oQLLiV`z_?%u-8uo0~+4+ z$zFiOu1mCgI9$j%gpuxan4>qk=Shm#5;Og`uwD+WGcnH2qZ>z1Sj6X|o3yYL% zO)-o8uIgf{*M66)KXiO>Yc)-ERYUPeEgmrry`gK|^%Q|N`$wY_f2tjS?b8ovyof^q zBhdOdcl1)9%%9{!7nt2pL!}Nc8s=1PADE;aEoDEVEST?&ZJFf56i%c;(373vqVS1t zl*7EtGI)V%U@r-_1a$L+7lR_pe5rQIt$$Z&Cm`SU-!*V+jbNFp5-Go~ zFt*sMEn%ZWfp5ID-!N84^ej{Q&?e%ZrtQp^UARzT`A5s>hsWHbpz7+2z#DUe{bKs* zL5Ul?sskCnTP;4v6B}v7&C(N8#hF94Y;|?a4~d^;cFKITuhZ_WtDcUXY@tsZdoQAu zm*=Y6s9e1l7UGZo6IR40jxc&k<79X|x}7C?Z9Vy=zJ@ z2tUnMT!zjg?|67$(GWXux0t%A9iPs4HMfmmn?C^{rAhwx05%J+DS#Mf{epfDN+JKu zH(h=(tYNU8b1Gbn4wOPo;Kt#>4Ad%(k$LmFCfJrnRnYf37?+S5xnGFrOKqLj1u$TA6a>r z2ygLgr?_v!aoA-FWQEuM8Ol9O(NzLpRWI-S6ZbmaV1Co3L*=Cb&wnnR%bTXpv%5HQn6kQ*bsw`hUJWjw$2sZz}~7V&y}{Ng>}zz+g69bX?uJRQF;V zDo7xRZ9w|UyQ|N?>x>Cw_pZF50Z9oow92(}c_x!H=e5;&{7$E)mmAz!@0EyYou6pR zvG#E2=$4iUCXxIAg-AFIY1=#C*-9tFwRDu z8x|@Sd?V;3^YUt}#EG{7YvVGLqS%-F3HRo~-`5&#)D<*!ye3XSiosMX4sD79}RK|1>k(;&>9*$`J?LktZM_@aG)IdS*i}6O}onK zH>(*(TNA{BGrnC`O`CBG8YlQWn#$ie$*n!j^XeJ?Ah9gL;I5%TEVa=x^YyQP{1F(B zxE%83!JnNr>C3!_D{8GgK$uJcTxXJw`@<*|l96BOG?UWd1ak=fKj>CC#RPUz>d(r2#R*5Th@` zuW07Z<9}LX@(V3sys^+5_~{oOEu34e7FZ_uK`RxOfCf!gCrLg@jleZ$UCe1Nc6~j} zRd<<8H%!0t^f%v-`!~bcE+cuh*!lS}Ue~Ogn-xK6QX^*m#g8%r48>$ayGlwdi+o(r zN*7wDpST5W?vl;u1X_z+zI>8C(XAWWeVcvVN_5umquaYW(e;S-VHJe#ggWR}{ep+z zqy9M_Qy`j8Zs6cWdF#H|P+s1bnJuH@f^XdgNcTo7PgS^O*5k1oyVQFd0Vb)n256KO z(KCxL%bQK32fX_cT3}Eo_xNVH&M%giB}Gny^4hQ1qpSWxEWVnwle_c3*Q+zXQr9gJ z_`H^azkd3`=OmD62K0PNIbRTsM(;m2^t5dp(l>&yT*~pM_*!(<$m@SJ@YR5cex2L| zOH5G=JPTtMG==?{4Fop?Ie244xeE`L9p*0mOz+sU{9(8lDP=otTO31{UVI2X?`Df+&ew@B6xzybM~((9P0TI&$#t!5&A*x zMwv6WuHvJ-U~&#(Z2{a}XRV#=TV-X3$KQ086tU;PH8_GXNaApCb> zb$cS?`>$*#W0lPuj4N|x$M4*bTlQDK?hX(3((FB8cwnu?Qmj&CA#c78YR=afpa`E$ zT={FMQV9O^IznYrW822X^5pVJS=g)WG7GVuF7F^^RzsXd!BqZ(D)&LKav$R6ZIS<>+9i#p1!=jEI+eT9&tGeHa zNne}Yf%OAr^2}ki0YuP5_ejw64Ov?wzQ=jTG!0^p_Qo#kSQ=g~O7-)`C|yime1`CM zxR zD)8wj!He_#8YDA5U^#hrxMfy_=lwpPah9(ukT*740FliPSuN5OBkP=LQdL%q0!OMY zp`10W)$_X@Z|yP^BvX;~eSQ7R>pOiXC66m-wb<81ynux;F^-={2bnMShV2y08vXgD zS@G(vM#54?f;RaY#WX29+-k=ng;$!edN8~p*5%x6?xu!o@sgK7eM_U6xL zBsde^Ui9@jLI@Bt^|2w{ZTUoF$@82@zYuMG;X{{$QmRpDhovhq-yi`$9@%m8N4&YR|gQi6y zb&gLz{&3)+eMm2u(p3Z@6|6YbtlB*FQgGi%*ohkvhlqv!hBwjM4f%k-JDy4~xN22+ zZGjWT(_dPHqV?JS$MVag50QJiz%L4<}KTS_bZK$hI zM_wiW@_Z3ETQ(>Vg9@II4HYe(^E$~l(Y)QOS}up4OSxkZYgPi`!gU6`D>TOsMbh!$VxSp1&bxX1 zABiPspMsOfKwCQ~RQ(W~DmP=ckBz=3LJMF%g7bYfCZcb?;nn_x{|ukPjY|}h!rgrY zt>#=y38!%;o%y-%{`e$|Z49(}$=2deb!LBVi%no4dpEXCk2UG{3ukGMGA}vr_P#UY z4mo4?TWk&eN3&vZ4g0!V=~su=i1JTF&sz8wzTV`L6^=I?38cD-MnGqJ6c}ri<|J{z zg8)H|ipERw_f-H6+P?X9?C6gcy|OniOZFfDeB>_M=&4;niqGaKV5P4X``kiQiO)7x z{7VSCKemmL&pi>X@>8uYt{<)65R&u0K>i+?q;dq=m(y)*Rm>R*L4^%ArUqZl8BRA` z2q-`4^Q0UXChIT5;+)k-{{xb#x7Y)ASu_vVn0Uxp8_McA1-#g-eKdE9@<|2UmFWil z)LS*`!N9e7>XzBMmmQ_tscHW!?W05dLS3dlK7(HhQKj;(;WhnkXJQ^7vhj(>Oy5;2 zOl#j^nTRNN*;;spw!!J@{)yz=HJKfQR~!EaAwk~0vo1bW^!~JU87so&e*V#%5LfTi zkg}P6>Z*>X)~ktj4=st4=}%3ht})N&K#OKyJ|;o)ZR4IQYiK^n4($A@+>c7F0Nc5@ zuI=8O3{uIv%;&N0atWplmzjLm`B!(B-!;&DByGPEbbq?d_LafxP7QMF!QJL}#s~3N zRq$<9z9H#7S)-f*&)uYEd^i691@_aUx&5s4$k`dhdJF@0di?LeuY_zoHDfj6nGwQt z9`*Va{{RKdxYP8{+Sg0C)FWx2O%4VTAo-t`;C>^$d;~R*?frSKngoSqkjdpB!bg*k z2h*i~k(1Jkp-K58;&W*@)tfi1z8YyPptNj$u6g}wCHQ@&pxUt?ZhdQN0DP_d4Z{GR z@TF^vs2xG}{cH3}cv@DsDnC1^jI7_p%vSJDpAv2IR1A0TP!9#z#~v2leQ{e8&!6^= zc@5QS8D+xfuI|;M!%|;m8U23{v{Pm!*TbtDoxm@b-{tL0)BF)*p-Qtw7?wppD?cR< zsIAFv3WXqjLG`M4T<34Ddei#76z-yj_3TWSET-&6(lnDFMn0V?85M$tQ}`Nkw%yCS zsr@RZyQ_VJZatcRhyIV+>Vs!&LdWD zPPE54n{OR6#~G}RBCK^^0lZ;t@Y~~ugnUVP9Ib8P{aV{lmN>`FDn}q@3;xjn;=Q;2 z3NF72{w>FM<6jE=Lpqm;uct}QgC&DQ9C4HZz$-(!Y|V23GVHPIWKy z4u_~cE6m4WAzn6@YaW&d7dkUiM;WQBAZ5dD&&%yuimz__w`U!*%9`w@@XP8`7FBKr zayxx%p4Bvl)23x+FY|DJ&-Jg%@i`rORT}B)et|_z81QKU~JlwOSZTmVQM`(nO#wY7>6t%6TdN$*`> z!=H*e_rnc-Elb1tmFAOpxmCHenW2#s@IZAThDQenn(X?WXNPp?zFAvG+4ngSOSgU?!j#NUhlBlyX#{{U(Dqg>Rr%l`l^VM*_9Ug6_G!lITZYK(Lktj!-$ z{>+_tET^t(=(1XMDAR>JM7gAvuesr7l_6TBrA9od^g1h>PqhpM`=g+&D~o9@t{na4 z#yIU;ORd8_znR2xbO3tPnpT@N6>^_zBa-in@)5nb5R;4W-`K`(w&C~)# zdRM_sR_fd17Lh%YwZ*|Kk*+!xU7(MrKb?8xnmbwp<+ko{eQUq{nl9GIPU#%4(W8LjOCiqvwn#KLT zlQZkHLcU$Bl11`6vVs_ZI;kXJ;F1T@s#$AVUyH9TtZY{0bqHc*n%-}}D`avy00wb^ z?VR#!tMMm;EOieNL3e9oHkqX%Q@LW=rLmUUdJB9fu<2gO`viDz zz&lTUede=v^KSyvIGl1DDYDuJA_UI?rY97Ps~p|Fo`Zaz#f z&IevA&EwUi?^!3MCrZ*KIszpZqH~h~%o8W3`_fgz7pxYvF;S7A75y3q_D(Lm^AIYj}es-g% zNqKd#V=VG+ASeI~`vF)|*k5>p)in)T>8vbdCux4Nf&J0f9`%BQ!!VcoMc%11NXN@) zB3vl-JC8N~YOp@gAnS zwxKN6w$R$aD-tc@AG?Hl4`J`kdHsilVY{2o@b0zt$uLQ@oec5Bj+qf!h^_tN3kh zm7RV~qXXWn{5#d5)wQcT8|#L*hG|kan{IrtNCmO&n)KaY!D~P4`Qh&yd6DS@TGekf z@u6Q#pW0BxZssv|03gW>jIINO!m{HhgI=s<38j9ggY{fR8_qjM*LUx>o4vYhc(vt} znsnbVW#>7o4|65+j=z67n6^vuzn-YnPGP`HVkPt(rsM-xfT6X-ghpdIU@{h>TxaeF+{Ldmer5WR11{%sL@5{*Jb)OSh-)?4UBW!cI zPJfkWf5Js$aIU^{82SQwk9yRRe$fm!D6RK71FD*IUQhOONb4SC$v-DG8ZTVHZn_$B3JawqMeEXV?<{8e8XIBodMKk5vwr4=C|O|uJytrSdJ9zQ;c9%wC4H~ zDr<9VQ1KLNZya{=CKo+96&yO%ox)+R5oTTr?#3&<_(%ITL*iTZO+sc}s9bEu9RC1x zSE2lM(|#TNH~pJ$G~euvzB0bLSTy^C1VhM)erY5Q517ZI?IURi)C{>)n^zoSu6Tup zziSnR!uj7ZQa)aSv0qSyrW>x8aL*a6IF;_7Y$JbeJJ!aD@b+y<*X479mzvg*Z+?(lzn2kHx#rq-41x$<*vTB% zgo|DGjNwBZ;ezxh+NMiWMQ5q6d#ts^%q-hkV_kq_zG-CEu839nkRCsG#(yephJ`i5 zsC8dRDEDt2NTBO}lP=YVDteV|G@#muoj6M`7`$+-Q~#(uTETaYwEqAJ*oos3Id#q)XCJ7p zpTv3>gLE&48jB{MJlfRWU)g8#aIoRB*%{9wtJ=%CKF%i*ZK+9Vb|mu{H*RY~#U3Fy z)^_Z(ZsU?Gm$ZsY^1fL4bF=~LTUu9x+UToIF2!yz4>X-w_bb_?Q;$|@TZY=roSf55 z{EXYTe@s_(;a>u23vj~vJ<+J@#QdY_-~RxuTyMnaEMxI?!fAVw#x+%sJ^1AQKhm>B zM2_21c9b76zEhpV{VK+xsmXP9y`)gs=LVzD{52+|6@S&P>=J9PkHC6g|~BX%}y+oMxbs>5@;HLDXO#weQ+*iaa;quMO&r7ME#xadDP_ou_)(gT$7%hP$r8>bG&DEa83nH6v-Ilb2d*NwW+1}O<4q! zvW)ZtrEF-{HdFCER=!^Asg^ME zKE(u(0WH{i{VR9I-VA>Lc)I&YxOAFrE_b(QPrD&T#z(Qne;UpBe=6IXQ_)4rZau)< zE3NqLDAYbN_=-r`ru#;xJE^O*0ke&OsYF89)s{Y;qJd=AB@oL$UkFb80*g` z^cBPSE5wjk>5yCFh}Ulw=sy6aSifbDj1aQ?sc!=UKJX`};guZyYs@?w;ii_~?J{l) zC(H+W{Rf5AqVFBgiqAcqpw&&2{A_nIFWS;J1F!2%oP`X2Y_C(=tH2owubH$j9Mf)Z zmN^16fVWe{ee9!qZ8T;#khse>W}WYh&wj{EHPvv}dM_{VM&me1H9|;NORL z_QQN_q{qSM%7nuiQ}y>g_4CSj;vG(B^CS$|z&NjJ{jz*w+TX#ChN&ILnQL+3yH-Vv z0SQwSZIu9h)5qj10TecSgvKd)RxA^)!!yKBS9On zI~1R~K*mdC^Q2?Vt7#-b`U0$7)pqbt1Jgg!yDx*#Ex(Vn)@B4pI=Sir!T$gX`1|0G z{1g8G_N?&V#SJG^@#l`T{{RqpUeru(EG>1za&B0m5r$bIj|v=uNFM%>NSvv73Ek#=ljJ8`d8IpbNV!C!jz)@-8ytWcO8_@tmQbhsrY|t#_j}f zx`TtyAkj3Lj0XN9IvV{v{{Vu*e#RdP{{Uq_gMJr>!rl?K(e=$j?nR#UE+l7~Arml1 zQg)JCkl4t^I#=c`@9w86zP0+cSB{P|4y(a5pL6ou%P*D=x3Zf}`5E%dx6BH=hDJ&6 z)|w^WzKXp)O-UkQc*6JVO^j#z+0SE)*Ky{uFbX~n?`no)xMSY}t4ZjzgYHMf2KolvtC=<{h@ZcwCY+{rTt*O#-XdD)f~(~mp^u<(tbjXwDLjg?MtZmc3|7peq3PVwkxW)o6Swz zn~{zWU#&HOEXqq2Zif~3RPxv;pAkI5eEJ+px0F^sbDs6k=pG~yFP6aJM=hGOH-}=o za@z@J8SBs1vafVTvJ!l)x4G|%iBqYEcSlTW!m>KcDVF(0-MbsE4<@_6*|y5sKiUUD zYlYh$={B$To6BIM@g!G|X}6Na3cj>TYXXbAh*^ZW47}ZAIk3iMr(WFtnrT*+RA@+8Oc%Ics297 zd|bGd<7pvp+?~62bD!&7*TXN0H=2)%A+t*xi7q8PZC-;J=hD9!^FL5=KKSsqj@rx% zwr{=b-iqP=7yM4T(QFnQ%XvR{_gtywiaCYKyPu+3-^AH9Jq&5{h~QgU65=vrAG|!{ zgZF{S&#y|)x%joGO=TNNXXVJMRLv6&tbhn{|zUN4B@rwF|3#Q%kfnVnWQ9GXb1=Ab`8Dpcctq zPaxvGBO`+U0D_MA-rrjNk9=tr#pAe3Uotr3+98iF zOpX+>$j{v*vu7DlI^w=?{{Vu!&uxGECH~4cFl|`wd=xMM;NsrPJ-UKV=U=68J-x0Q z%B4@nnm;h{3EKsUR{IeD0MgO<>n@Nr`UAEv9P&sl>@)h4}7IH;y%?kO9g9s1uuh=niS4kg+U}Ysur?G?L zi5pmncNYEMbN7G!b;av;L8aL))WmSI^!xy(hvDsBfBkr7{e53V*IE;W+0otwx`-r0u28*8Dxo z=}Mc#J@0RpjH#;l!sErdqg-Fj=1ijj0NRQ>DvruMDDti5iME}s*c|a#ahO*-n4@+l zwOq76xgWh#yT2TISK@P=r0&nx;*wTJMd6!5l6|5OS(JbqJgs_jTSwym0EaVb7P$Wa zgoDHK3GM(t@%L(Qtbg7^$;a^XUPEhs$sW~|^V}R}y@&QQ(3{5p0JLv}G#MmF?0i9f zG~0 zoa7GbPZ#Nvd=t0u)xU>rf3_D?I)%=*#2Ms3tg8xu1`q}r$vGoDSM-h+)#yf~zS2zo zam^yIxVh6$XZRjNHJr9C7%Koo?QDv)oBF(yD|7&fD;F*RAeC#=mE5>{`psu^(+gmx9tan#2#LlCT8GtA7&3jkHsTu5K zXWYDSJ6DeQCSp8uV8^JrjFIW{ivD`Q(~V4ADbHsm`Jd7FiAorGPCMEDN7b4~gsl7} zZw;-aD{pHWY(yN44_|*%UQ7Ex+S|8_Egm&dCc-5D0BrU(?b_|n`d^r=ySiZX74!c9 z#;4DWRYMad?tY)-*Xw+EqYSzcin8WklRqTj3X`dsPIVpIi@%$?DQU5_#n8JZAbPTap{EUvp8oP!E294&(5y`{38Zt6z(M8~iijE3InZ!}0i+SGUre zRJnplqOtR(5s3;$3$O);3JK=FOTXZ$fADW7gnwY)hZ>iOw7(x~O4=+Ixr0<&Yp5@$ zbykuzc+7Jk$!)ID-~<_8m>T7iHn&sqr$@0{SkO1iB%F+%YuCIz;q}urTYHa}GGLR8 z;=G=9R@KJVR!G|!9qZM!YyJ9UEgpQ#4Z)AfK&z%qk~;l6#M5aOjdKhy3dj4jV0h21 zc@M=u7fs_|5J@D`G>Z!CLQfd)UrYYSzq3BE`*eI?viNV|o5r!8{wKP-y-2+ArCXs@ zdzOoO85A?3;4r~pPXN~^`*wf9IrYETe_6C$OH`Xuvec!LD`vg47I#tv3Vgzw*ixjwRs;q^Tk6=61+5Aak44YZE82DljTIO#&MRj`` z+q;}bn-nhq0o#hB9-lrVX%wt{_4PHLhN$l~ABYl3r%466u!|fYPxJk2m$J57%~5wL zUD)~0YW*hv0D@|N!61GUe%L>-4x#ZI#UBwHL)Q!-*)DIUX$*|}xhJka3SApP zxYXv^ZydLds$HXBya43lns+mM8(t*WlTomSC~_^=lkRG#hV7v98RXg*J$(&*(|i8_ z1OxHzv->r8C*p^Kd|7AX-5bRI7mvjE8f}J`*??KDChhWmh<)!&@{O<3#+TK ztY6&O+Rbrlk$tHlL~$w;LkqK)=(Brc;4ySP5}#HyH!XV;QBud=`3n4hs9hkt8t40!rmt#NGizYj%dntqi+<<$Hf`^HLFJ*zNKdjGfa_8vZO{t4iGw$q=0&ZUQ2XXyB5nYUT7AE#ixlQ ziXWGpfq+k6N{?E*mgh{3u-j#A&Br8vg=OjXt2D~rHr(<%0birv0sjEtiZK4sKe0!{ zKOKL+QTY;opgT_J{H}4I`Q_i;r{^L-CSt*lUYq9Xi+6-q+~3h^R$&D9`()I z_+6*TlWbvnlf_8vbe3Kui&C27%SO&Q!joL()Jt`$u|A~p(EV$!_#dKM>pvAV4HNB= zSjVhtcGePJ+(G8WYO>6TWMs(Psv9I^XVi7Cu0Pv;0-t=2R z1Ylf8c3M!olZR?{Pmm~M>c9)q0M zD|6<}G@1F8PbZP{fq!dni}xN4@#l^s@D7r6o4eb4l#D&jqjZ5pHqo;Jk>s&=keO8DDsQ<4N&NzlyY96#cAPNTnIzHpVr4$b_VTnK-}&N{~fPm$0?5;Iiwh z03b-MoRB>Q8h?pa=6KRU@|NVEO28-4=% z{a9RQALp9KX_HUV6;$nM2^hyFzP|m5zhIvQ{@4Ei2Cg+tXX07#j#Ux5s}IcoIv<^(OGW>9kwbTrJA%R}2PDGORK? z9MtYn=C@j`LRDBs;p%;BTfo=fXx5e)8b$Lnk~!>an>L@kJDV983yf9$I`OormE&Ek zql5nd*PvP*FNm%Ik)sc}w_~5-6@z%|1h{EIUDz0^S9fw;NbJO(dFFziWJz_L^Uru4E(MB-bC|4IQl^itG49>HznygU6Fc zapK#Vqsp$}K*v1>e_m@^a<+z5mdy7*+2$`l_I3EoIofo%Tc2+;{Qebd_7?E>g8Xgq zv%)$Lk1X}8%?DVyO9>NAxiCtyNJ7TMsN7UHP^2DnoC8_^0J9C@m-ct~&=~x&=@760 zb;`~v@9an6+g}s-ntfV5Rvj-`@U6wQ?V8DOkmQD9&GUWiUb(-rf-?WORm;fH}f68JyIUk`6JwXpGEl^;jdW%I5y`6E?qvz#|_xFCbW0zts& zwQ2l6@r&cX#NQfSYhDt(@kF|;cKS#5?7`rbglXo?5Re!K0Y}j7=yT!!0JX=2HLWv5 z(lu`w_^QuV@m8a8AWb^T;U>4XwNzcQAdiFvzFZIq>&eY~l`+jnNq<&2>EP+R9z%2E z2`3D(t_NIJUDfQDEE{*tnvc0)K4xE9Z&A-xrl3$3Q)MepTd_`*K~>Hh$1 zv$~SbSyiKv<9)IfJQWAFf1t0O&FXT|J$$A&uVj9^{?7jZwsxiAAK76e`>E0X*zHG6608xPJk@J>gHq&;zo>}s;76* zk?H|H_4#w)zZ$HXowSh6bsQ^(Ng0@KZkf({@rwIn;t!1H@n`%Je)8ewSs`soYiY`m zaNl%pJ+f3E)2)2X8keaP>?p5!dY@E&(>^`7viJ?~rDELkP2t;S3Pu@!a}XepW1r5x zd%M?5#NK1=C%122d$LDTa1Y_{UP-EIi*#4Z zlV%qzr`OWB+t*WF9;Sqs>oMHhk3BQen{gy^#>lJ=(g_*sS$4O3t`Ez`(0Qp9)m^dj z?dR5{WyWC~e>UB?A20W5(ze+5Mt)wHBN(cWERAuvNAfVqU~~FZqE=-2vZQgGb*Ytv zPC-@1bL&r68Bz{gIV(q;!2R8-!?$XMVrbuRUUQMSa%ye`$oC-oyS<3%O2o6PV73QL zcc@j_(EP)21pE8c>i%ft?(cy{;7*ga*v9NCjNlB^kixUK&Aa9#k)E{#SxK|P8kV(kLabFAn z0KskTWWLjW5yp{{(drtyj(+Nxtv{&!E8IU|U)%G;zqYr6-g{MtOz~!xiZzWCNL{T7 zBrMUK4baJqgVjz{@+-`L;Iy!;egpVrHWzAZcgn<$nYNF=zAJ1rTd0wg`!k~d0D@Y{ zG=H+S#FH#*C7!D-vJi5?0;>j7&2NU>$OTBl(Ix5J&Et6m4`180ZKkkskeMNpv%#D)8fNq6**Xt+z982Lx)b+mw zc#0q0Nn>c*MaBj^!6P*252G&5hqKq@{-qz;t`FVbyc+$>hAKi?WhEYor}v+c@j`1K zEBSs!T&`O<^u9R&L!#z)0_Ya-19n9_X2031pRpi?0 zxNX?Tscsy)=ifbgRW^O(6OOp+M#hkctbRf%3+#6F;~dg&;Er+aO=kJ}_uzZbY=<(C zn}^=-?NRwz>+^HY4?|L{Y{Q?MCmi;sY{Z8nps8y@kqxv6SNh z`OHtz$OGB}e zFP1^;jPsh@_(}Udf5On$zR4VkYh=GY&C?Cb0+ZAa;$zSX=I<;7bBNW3;&692f9YRi ze#yVH%ywT2?DY%luP#kk3#lVU`NE&N4`IjiubSy}dy!2TJ~;i9{uKN=_zU7o*kEaO zYaKpEw$!d}WqD1{NWehiF_t(0FM9bVX~NlBx1k`Pfal@H4M;+<1@3gWN!IU8HxNcn(#BsELIkzm-4^J z_cDA-mL?H>*XDgEW$_D7wvOUR?OCJBe)SiJ-N6It{cF_!0A{Zm*jxNWj%h6!Qb1!Y z%hMp%AA|lBUR>DB_WD}fS?8_>Q2jces1@4$FYvw89xaD%n#0Q_Nh^$TUxuHqA6fWo zUGVk&x~$gQS$WT;6(7UR4om2xxBDA3hXeuF>qSx~$of|E;%|%mIcK9;-)Q#wh4!63 zA~D70Cg$mu83nQju;;m{^uLTgDe%R{s4uj62Z*nlNab?gU{5d)n*%+F$2<-=uT8bp zw5fIf0J7=#5yq|_MVjPs3IJPo$~urb{sWu}b^e9n-A7%9HPo4JrhvQ0YQ_5&{>idL?DIq6FD`3jb!r1>>e^J(AMSP)1hTU9Dw8ide`ff9pu|T52X~T*&OGH ztY)^lXiAaX0OQeui14Qo3A=X6LQh_8v^ViDhwh{2cHP74*ZNn@zAm?#??j#mW8E|-a8cKexF1UUC&b|gCwyZVP`w5|n5z)l z@ViTV$_XU&2EU=HQ&j1yYoYwpn_`|L5h|~%HndL-=z6}FH=Q-*rmYFU8^@M?2;#ag z9B5Knd_K35^6E(*=?|CYHs)YB&2jB}94Q=9M#F25oORllbPdl{V#bj-6!{W5l(u4eZN9f_D}p70>vMQVNTTsyDO`lI3>#ceyn*Y{S6%W-!J+EsatTevOl?ewn`_=(~l?fb~@B#{J+ zOR??kS+~=(+_clkS)1o({_#AV*DI@QG2LAe$yGe_!REfJinxNdG7*E)J-<`scxQ*` zU}WbFW!1i?X=m{!9XCv5RA!UZoU3&GYn{}5Z*izIe)DRdenn;KlB~1I<^Js$5On|x$!6MFYyD${u#H@b zZ9`Jgyx^v3ZSUl@Q-CmAV-3U(2eni9k>JnxSK7F@)bA~?=b9mLx))|3xi~CaoRSGX zx%aG_ABgw%>Y_Gpo8OAY@lK<0uHMOWaWgXz0VMp#9DY>YM&o9{sV=PEVL|gQG6$|} zTftYCmKuXxSpu^ZDZCEHgZbAt74MQaV|LPTTD3IK7Tjs)_iU@iPtvmk>(AJO_Qv?} z`#D_6Z{UxLdas4_IbfDMn<-(BP`8RE8GkA%m6kKcL0k}d9M{YL019(8Zt&l3@}IJYn9amkz6;Ml@G=<+NxT+ ziZ?@FQ2T6)=5pK&iu6x{zBKV?!mk+E_=~~%=B?pfa?5f-sOk3x36WfFVUg4@>JCBU zxE1Dhw+(2+%i0f7o}ku+r;P4lEZ%EwCmE@W7n$#W8vK3Z4;A=ZO4U4Z<85EYQ6#c0 zwXOZbxJnNKh&*9l??A1xFds(D2uRi=yo5pe~fQ6 zpNZPK-)mQ%BbpndZ~dWnaAuNaj|ij_&~d;VV!Y+9m88MsHzJo*5<=}G^Ui-dqXp`N zxj@c+I?~iPH#D6JNzUbm3!VwDqP!FFQ{p#;eg-Yne-b=kG+zgp*GkgHu{y(Hz43@h ze8v|fgkCu~12ywBeki$*2OP4E*y&o@Uxu4kwDY5iMpAa?JPPL`Y+UZ=aU5i3wXM8cs98XfEWu%nvgG)Ys$JMgYSZqwDnpER=DEqA_l>!}@%d@<2cdG#B)Xd% z?qh?FwY{bI8Lv+7m17)}T&y?JK!0@D-R)YMe~hmz8~tYs(;X^xxjecak*s)T^Wdk0 zG@lV@`sCL4_tVJ}TP{_hMFEyoV0ih4Ipk-K_2zoli7z}&s!ex&G?QFhNYcwJj9I02 zUz%J*o{&d&yq<8bPGc0Y-$fp9Z?YvQaW*u$rrHVP1Ybr5Ska`i^dsAcA?t80x z@k-!pPvKb5vnsY10E5ozboy%8!MlRToC>14^}`+;=K68@R5zO3uFLX z%{Ht5018XuT^_(!*lb{Lc&$0S#a=r?e0Xl9S*>$un4Wwg}dkC(ww36s?Asorm zfykz+?%kGs-h#Vl(BNg^al7@bU3bIYLNt-DA+l?a5th`Zxwkc=j)dK|GH}~I?+w

    K*p!XQB9$8AY z){P#*>=sE@|7aviBSTKfYmQ1eJY!XLY`&2$W z)EM|*!1nR(0^dTkjfOvr#DM*J3YsIj(llb*l!6Dne5|`4Qyoy`42{)>(Vjch@CDA& z?Z+gZ^oTPL`&q}~>r+8wWjk4Lz3_U}77<)*mv(nIU^`XBi*OsWhUD{E_i}xRuFKvTvb?P@>M^FVJDHsX;$&P{j1pIQuPt1Zz`zVSLIGQ^{Fndl@xB<^K=W;8qZ10 zWUf1L>?$x?Z1l?aKgyX#g|nFt`zL}AaZ^DS>mbSD|+kS6D(ym7A=z)Fn{uNOt z%v^b6Xkod%d)F)R&eAPY;OC5?yn;KZA49$KBYbRdA#yXHLIDS{8Loxh<)7tX+fI68 z70Y~klg#)b<7{p_v>VZt>Q9jL-GQDX{{RN(=<~E+6|2`zGvl*NWk^(#jID3-KCJk$`*!%(<2S*58^Jyyy0*H}^b4lcA(+O- z^6Gcrx=V?fiIu;2z-Jf)4#K=f?q<8W^EY#jhtjLuP9&YPm&Z&~6}F6jbaUuEtMq(6 z9-SC+QdV9^=J>2dO7r%WWp+yFs~$<(+2@+8E3R0xV~hj*snS2o$MFnh~?%Usr z=vFYWlQOsQe5?A@B~> zIpaKLj$+B8{t{OU{c0)B=-ZYV=qcW2LGtt0){<#9w$r(|?mANDLP)1|YPTVF;iQb@ zXTQ>;yYWogdWj)e+CVnQjx)!#T4ing553J))%5k$2Hdw~8xj7?m0=;fO+b_f#UxSxR(PM_rWsF3(B$jmmW93u8Z{_J; zKXWFbX+GVdqsh-4npp1dk%Pk@kgNUb_#gFm8l`SS>y~MUYU)Jx>u@h_H4RGQON*rIJE6&*q1rU<*XsAb#10CcagK0vbjEoyQNhOhWv zIwD4;p+cm9?9lakWV=E+&Z~j@W{y10O4paj<#;&H?M1+t5BB zPcMXZFA`el?|oyXrt7DcMO3#9fVt=9Vo3QwJ!>Dr-xBWiKMd+uaOuBlhsfJ;D9+m@ zCzFypjxu|i^ihvP&VOr3FSP#v*(=4->UVJ6YgbxSkXnWTQpz%uC*!eE&l-F9G*B~XFs~zYh&+*9D&n}3|HP?weN^@pBw(g-Y1&Q z6au3ls+6#@@tAd`-nJ&k+=`(^m9`(OV6f_;9?Hva%&jvXsU(ylEnCJ3t1 zvRm6iPUNk`t`k?)?LO8rWE=zcd& zUg<*r08d%rXxVo&9><`py;Dwm2Uzjce4q-}j%g#9?K_*O&1LGoIJmUb))_5hn%#f} z@wAUq`P7Fk%%-)PL-R(x!C*fs^UoZ3Ri(d?WQT*#BE8Zd6lwMmMysZyF&Xn4JGyq~ z70&qSTK>@8y_t^I3N2ApFr&9Ps=1kJrvct3;^XxAFnrwyDj%%LE*q5c}!wb3DaHZsTa zV}a^xy9bw0!~XyZHawiuKCOvXlhd(BRn%Kiva_|DbES+pi?#z}Kgd;9vXe}aqHmZq zVV6CD{{ZzGyli}#$`qZ2SJUqw#X*peQV^u4Qc8EjP^6Jb$AGDnFkp=C6i_4t1f)el zav-`{@!z|MKj`Rs}Jz4vudSMZhAYGqC_bt6?-t8F`jggZS=#ImZa@%;L+(>Q2fy*?6xKj zo3b58jp?#w<4W>l#p6ksTe^HJc5bjd3-U8f{_5o?hf%oV9`7c$NYQ6snzmxFaU?v!w0;l;p_K zFj*>DTX5R;AnO%E?yX4IC*uLTcH7yu)u8htDpK8Vsu-%w&G~?uVi?rp@yV=t)wlbH z4r^&ll#Ug|E)U98jR<4Oq$RHFZ(xB7LFGXxK0MJeZ0#-#(3uU$eBMt5=tSFt1Q4Gu z#==j?Prg63F(UE!IC#W#N$_cFv?~5e%tI1Smk^vj$oid5tY~k$))1Sa@4+MzAo8Ai zhvz^AG4g}d2?^9p%2Bi_277{1qkg{}-j*i9AP&B+TkttLB2MghmHCXPvhf9YaR7oq zlDlPD%jz3dduEHv5M%YmsObZ}iP%3jdHZs|6Uet}AN86$X;=}zoF9KF%~XaD{)O)2 zRBlsnPG0$aH^7<*Sh`7F;}qw(;G5~h4opnC62Y^@uC-S;b)4+A#|R(y0JZ*lnA_>k z?s~)Y%oRT`%?~vL&PZRwx(6CS5$F12bsn25zrs!Sj?C`DJQ$H|dgxdQ97R>Prs^B$ zEkRw*8oK)8>CWiP3IweN!oEb}+e`J=QeqX^{4K_h%H*Zi-@X>GoQCJ(c>`Suzj?)N z48C&6I}O6J5hu*lTy#3AuQT81!kt5|(i=;yk`NWC=clqnC1_LU!e z?*O71)XoWXpos)l08t04Fm~L#377;8#V#$#WqiKlv^i-c{%I)e<%5)auj>y&5~~~D zkwzXc=zm)O#wR{F^)EgNT`j$wH=v7$ zmaFx&JfmUhDcjdku~{_x8u;n@+6v(}gL^!K_y3aLJqw%*6rE8d)$qaZm7RL^v2VHg zesV{skNo&;Q7m;#Y}4tu(yK?fX^j<^3Ish^YQ#jzhL}AaE1fpFhIhNC(HF(=496#N zTt0osm!0O3nv`Cqz?GvrFe2C3Ne9D7A|) z$;*S;+_-+ebOs*{-9}ixL#binvGc}X-o;uy+QT|XI&18d<*C7vL%EM%pkwm+uOF79 zsJ|_lH9f=h6h934yQp&s`+EHJmh6AVSf~v>m9RSD)b}bI3&(+V~K1qw1i@i8%_B ztk2swqo0nUdy_u&BhgA!m{`O}x4Pzpuy=>P9RGoe-R3H?_}jrqkmzX*o63JtM_L$8 zTGet5z8+n|cx5?)5-+!z1dzA~b!6Xo_vsxf(EPGs(%Gg*x^E}v*OGYjLj9uKnZ*6` z9`F&$@x7m(lKsM)@%c(?P6wq$b=~a3W9{ZRvK5aX(bkfx(1TViR|@J0T5i=csaONU z*BQUh&e<^e3+$w~EyEKv0GeI?n5)U8^;NQ!FXbs!n;;+FdKH?1GsEg*QZ6cIB+A0l z4140&Sc?5jre&OPA>x=0TKkSwKxQz{Q`RFMgaGUxK(>#ho1!1J`QD@GgpRpZW+yBT zuCL{4U6% zUPUum4^zf|gR*zNwk@x-)nkX>meg;aLOen`hDMD?ugpE4Q#|66OK##S?jJ0CUZ}DQ z`d}f??7J8nX|9*2 zIuJH`vuoD9@Q&EOG{9j|y|uIL10D`-==AZ^5C0Xfu=wb-pG&B>ok`ANZ2ND?OHtkH z9KuVr(XlT?=xSfat&LPmKCe~#bmbX+exQ>yYnQ=Z|NPrCnh2P{)g3!&vzh1-De1QE zazWLG^Rim9w9L&EzuxA51kLT;Y#xs!D&%i|dhLS{GH5&9KIvZAo^B!7TeILoI!7&o z@zSVm{rdn7`)*SCNfwEm{s)V8DHmjtg6qrfx!0XYpcD^6RAj5aJ7v%j9@fn#Nwf?S zG^CZBggL~QNzvwrwk++lM2dC9hb8Z27`)4@*l=-nS)4Kvv^N{tE}dCwTV-F*PtXM% zjW8YGI%Iqr+)Dbgk(P1vsK->t6(04ETccuu`Sp|X=k>5yQ9`s@4|rjdneAfz4-dQY zUG>E4nEG#&Zqe-LsT(mYceDU9hcwG$p&QLRA{kmrv%{bJ^}zXRlg+5r+ZV=Yt% zQPFdWW@0a?F28(vhLbLxxO?EWUhgpyZpmQ!qhkJ`1e1M=JYSX6G1jX z1VL6N%N7pCbn15XO_~0c9PAzvxzdn3pzY*u99&9_3OndM&Gcb(bg9ueo!R0oLmY9><$&uroyH1v#E0JAo z9+5H|WA;xWh0`Iae!14hy?8y!xTZRJ_nj!I(0d6(vp2f#M!)k?Z7l{ePR}J6@^YL0 z`M@QSBW$O}IUs&~F;wGlK#{tk(UxiZd}}vqIYv@Y)PCu)6aVrszXnd;Hl1J2H2rB< zJNs0QchQ%D?^d?M0Rg-jjkeDW#NfTi(_b33g+@wZ+3B&e?ggB~LrdyxH-^coI*kp4 zZMl-zFPW_&h)21mueD&(M_XyN^7N|%K@U3%Ei4xi)qgX|zsFtK^JRQiVb483qtAM7 z=ZL})?((XyS<87j>ksq(*)}MWGbtv?pov`u@)g~?J= zeidCLRd<^$81Pn6a_P=H|Nf%LqStx8GVG4=F)M0URwTRmQez`6^;w@l)*MnZfr1;p zZ6$E$Q%qrvl!I4Md;dvFm6Ro`Mb^R;dXG_2E9f`zbKaNAKb0>cv~oW@v6I;i%3d=~ zHuFy*k$7O*tiU%JWQ`)Xx-AK0E!j^{ojk^XI8=vgnJ9oSQTF@ooZ=KWBT_e6m>VorMLiI=#A;4crgA6pY0#MF;i z66_gDurVOtpcm|GE)Lj2f&{ljPPF^INh=A|*qZq$K-jMV_8YtI-~h$D5&cu6oiy{ojm&>=%4nDzQ0`$K7tNM2d#LRUIVs?F&WfuJ45{+t*X-6!qij2JRwbBduUnlGJRb&AnpGV#9yJ<35V_`1uj`@ z)2aV(wy2KP?J)Hr|2nV*y*_m^{2LQSdoOcpYHy{S=Bv2+Mr}2Qs@?=S#5pG87MuF? zTrsH_Lv!&QW7l~AHI)F-esVRpT=@1AwQkR>syx9NOo)ogK5yjrGlaxlF>7_=W^zHo z4X&d|7ExRQ3r{({eplL7PflLvrwK7|+tBmBu0-r&SCq!Tyt6OpG0B;0F;aRB7?N*x zg8x<*?8klnqSj^y?b?XRPVPmomOINbd#3!Kr;d$>Dh!HcDwr+L9ov}Zdgn&bgG4gp ze+XX@I$H5W6U7_e)!2N4I7I(PFsVHS3_ghw1KAk_l$3xN+4xj%UZ-vMEYqtdLY*Fj z$-U}p1L$ARIKgfndY31wu|FNN+Ie($ZA5c+rF!3EnG%0D@bp*3ScV5kw0;rS6R!&# z<6fwVezD`QmBRHitm;J{a)$n{ZmZGnjbk01qysKl-f}@5@?c2??m;Q#7|Z0u?Nlrz z(`l9AJLLzV*|+3rYH6sgu8MeUSNAh@TH0yq=l9~e9U^JnzFoel|5v*aPhd0G1jIC_ zs-3JlPr%qvp(?Q4lJ;;pTJ*|tE0~y;##z{b1%%}$?baHh>&!#mM&AB`-}F8Ucx!0G zDA4GoM6h|eF*7VRy#|HkA(wuby2=~9_} z4StR8{zg+x3T#tl7mhWkXRkQvuBeF78{k(XN!$t%ga5y6Fdp{9OzcH0Est}8r!usy}IqN3O9FIXCL=iEU5Nm$CPV0PYU?s* ze&7+R(n8qd#PUP~3fN{3q1Q^RFxhIbhIHOty-f{vW;tAl`c&~7TG92J1~|QtDaCo3 zBWnuOJ*`EZ#38ga?;CYFqD?4 z*AW+~9?VPjZi|eZBH+B^OwKOKaKjC*2Eweju2#~G{O;CCD&-3)Xm%**5qaJGkb^%T zhT&4AuUd)qJKxR<)B4+2<-vo<5K9b(LVHr23i@uMTHU(3r926-^92v}l~Uy$z&;G( zMF%nF!QdO$=4kVl}*y9Ji9Slj`477Z!R z%m>I`Pkn2u@L#UmJS!S3F~_xLn;ZON9NEKJ^zEUP5lDEPhE+rfHBy`r1c3+9#+Doul+#x60t!^Pgi*t(;{)Mpz(&2Zn=a zPH_dEG@=UFh3lK^QDu#j%to^m`7CPRSlM@x?wPJ`ZF}m1-5P9GhKmDrXN6p@&wJJu z*gRa4E!((Dzn3pf^ZZZh zni}I&U*E*paZp`$b8O&lVU1%nsX*09F$fl6oxkg!(r$j|FnGP2(@<2`P2 ztHyeGvDSaG?~`fltBvwwjimn9$E^w^1e-xw_6ZvGGq>5}`8HS7z{s$Wq1VcfGOSu& z{kk#xs4-M6&l}lz*rv%{O!Wwsn8uGT^Nq zVad&6xbv+>!Jt#6=V6VnK^9mYQSAp0(Mg~=x@)O=(4|Y0j&0HJ-F;~tABtO%nyA(; z=yEc5YkyvhdQ>ZO9UB_FMIcv-uRAF?GM0LPsBg{FwvsUd_{GPWx$8QDQZnwW4Ho%D zAD_(d&9FDyv(4+VWgIDB-t6f$oUN|5V zBW>A`r1IlGP6pf^&wlTwxB`XT?MOYz#Lqnp_aczy=YQ+kuTl~--dWjrTyKb*Q>2Mk zh`f)>a8-pm9D%rRL6^ZCX`SQ0=Wim8lOkMvB_l)lFPUj7r!xIeH}AFDy*$J5z{zbo<>|@dcJ-!~~rF^Fu>)l@@JW#yvItj58Ft z_=ZeP0Y8kd51>%a?48bbtJMyJdOOa7UQLU4&s ztL*@>97jXb!sMEgfYvRvv%u9&vYAP=mseBst%LW$KYMBCOp$ke3;^e2|E&AIyI7+9 z++xac%br!|K9*OcD*&@(F8Q?8^`uYFzEAY zG~tjJWHKE*Uy` z5$$~EG45@Ap^XjT39F_+X(3aluZw;#`1*TVerOn3=2sDyz zRrSWs(4jIcA7gOpz46+Be||d3&;=3mnw=cqM`+yf?rvb2X%h5=PW5NoqDUf^xg2JCu;P?vb?Nz)06B496#e41u(dA55}X^*D%B-GvaB!;HDl&;p=|g}k^R^5H{el| zg7si$mn_SBTz|u79@xxwS(H<&bvBL0Y4v0XNQvxYK*ig){}E6otxbPN`B*!Kl5Ct( z|2)7N`pL?j0_zm2Za7fH*y6QRbSpX@>*ab5L8*%>{t0*jWc25AJgo3e?<|kM?3)t6 z;yX?c)~9b%n()Pw4PY4>ypcT2h#zRHzzJ64!Ix6FO#~B;Ovh?ktSkbZI1cSw3Mu}R$IS)8HYJ;f69}x-bxjMB!}mlsktn-UBwC?>&Us1b?hUjYzPe;0ICG4}%O(WjFmr{bCm#rnOOX7@CK zp_W4EjxxU(AKfWO1l8HonXU#{+Yp0vs-0<|e)y-^2+{)!uaG7|ML>9>M$;7kmVRB8 z7pThjgIn!ciS6&qKjrY^0MiTlN~gUUXM(drjtme!y-j{>$?@CYVX~^&d-_BrwT>VE zx5dsltsO_FS^jQqsRP?OyUevqkc_3-`Il|0uPiCiOsAo(X^vq#LH3kIxilS7=xOY;+ij4+pgUp>AK7PLdg;_E#^VgAo^=3{K%CPGZPN9$9EX- zK4Iq0oe$6Y_6hAXMGa&{={Ja;s@VVj|=={ZtH5(<%~ls zX_;quqax)?!9EW(i@@XNv&zCpJQwAD%$tVhxun*yYzGgPz{`JSzseLz5g5pGr)Ean zpQs=HWYDwIXHCL`_4hT2(k}3MR^RM?@0ET0v@{=T1DzI#-xS_*B!VHY8LxXQm$F9%7U-Z6d^tc;t-pJeZ9;S{cpuZgQ(l8L5Ar0L_99dL(az zx`Oswo;2cUVt{MP{z^jC;(QSsGHm}5Fei`TbGvbYHy_Zqou|P}p&O^qTjs_CpJ4l* zf2?sF%0M{>`<f@sGx@=o*?4v?68AZG@tLMUqv{LQiv7%IHf# z@JEO9l>f8M*3T#TM|Uq`YRj8c1kQ$mc>!BAVe&TChDJU6du~Z?3;WR5LXp{YHXu

    %b16AZK}X#Pta-?z0f?g` zS|3JSR^3W2HQ;6n+GzZlZ&E;5kBuSKaZadx$&}JcgVNk_Y;`>pJL`99zuas}9o<5a;WIoQ(;>l9FYwAJ@q<9~re0JK&ay*JAS zb=W%SG1OPy*D{8~f{{Nu!Q5PNyeKF3UUWvXg4~1a_c&%ub9HMf+hl^I?~fj}iRo(m z;E~MxM4FcAiFGzARP1=Bluurb(ds}iiD`aF{OrgnaxH_NIjTg+{kVQ~&R=TGHpVlO z1*Gcyv$Pg}uv1jADeG4*u*UZ%@O@=a{;q}>Ff6^CRw3+K@G3-8+(hT`R{s@4;VesN(*qUQ&esN z&ii*su@6AW^lsP;5)~7h7v#kW*DSpxuA7(PZI;;?&6)!){XNJgem2JM0nHRPo8OCA z>9wOJNmh}jgwK`__z}oOofZJ0HBAjQV1?&eSTBZz9?nx@2gOtNUry)pwng~r_AaRz zs~bf|BdtO>TS?Slku)QZOz$nUAgr+UHsdT)`Bj`zoK}_J3sa}=#)rh839C@@080?- z3}^!sJk34Rejys|brJ3Umy91(}Q{|l>nYE+#KO1?1- zouiQGAsy~#aSU2w+Sj2bzFJ896->7vJFX#{K@+PSx;GKsnyW0gvf7vXp+X7NzweQ*K?DjE4S_IKef zq^c#m$eVX|1nOox^x!s@2{DrC!6NdmmuyRig=!)!>Vwq}Y~hXM+jaGAW@|VFOCRlj z1jhG81WvnN#Sonv=n-~oxUnX-A*FuZb;{x`+14}ihT?b|6IZDfajGC2k*ys3cDa)@ znkd>T+ZG#tqq&>)c;cuEdgD`a&S&yIfh+;g@)^*yL~t-7Q=G+$y30}g9lFxYXX>Va$N%bIsGM(K6NKR|M|(pbM@Z9`37YoW35l?zf6ukv zNKit681Im>5jp7T8`mRXg+-@riPHGiKQjJ)ee6F>a?#&HsbXhRW z4BmWUsRNJyP9tAjCAJM{x#qHD*Br^N`$Wb)cPwW1!6fGQLZ0|dq=s|+u zYN^fTm%Ipk-*BpQN`9wF#??%~R3wa3s%}A(q=@bt{YPL0E_6cu3V#JnWa2%Q6xD*U zyxR5Y=Dz-N#nJ&Ow~KrJZ&G5fNtrDx)9!&~xM^{!9q<+>}!A0q;tPs`0y(svP6$#5Gq%(y?|IWnCX}l5&FhYSmaiT@&rVkB8$Cz|gI$*m^hgXjkKEXgBI+&FR*&Pv8F2>z zM(ZahE`9tDd*{5KrpT!ZH*4H_myKE&X|c3Y&MyJyJ|x(Y%rm|to07dx^oxL!5OoDw zEiML7Ixx)WciwNV5`Ig3T7-iB;dvU=+)Hq6JqFKyhEtu!cSRuE(x|4EsvE$&@a|l* zhWEFBRhdK;eFl;?i9%-TOMr~t%E&uHOkfN{ZT@aWH+TANjt4w1H}+x>)b~j4pU#5> zC62PEg~){`k_`e|)x*ihQ252_^UPpcvQA;wzO3lF{!PzPFMJMjVx=3f=jJo62LGJK z&)^**O^O$&?|u7nXA&rAo_0d1as8F2{aIGi*|c8OO_zGG*aO!%%fFg2SqqY#=Bo8A zx-wF-u5QqGVT10-7H(7_Jwy0|i7MC4aoGq&Yaim`caKN@baeEB#Q*t9N-OhE_Y>!;BRhYlF&S}Ltyd+;MJRmF<;nLC*?WiQ3ZY>s$N~wO=Ph_# zxn)s3%LYb!oHt{Gi6PSuEuu6sI2Xv=!Lr@JSvVgE=}!w}2Oq)&HwG7C{nlHxB5^GC zm{Z-fVaDpXFpb+hUZpsmug6i{%{8Iz?(6WoUGBeC{}F`Q2Fh!B_!h2%!gLqrg3DyF zQMZZ2-^uQEa_Nw>+Rd`R^a;6&m8XF@%cOn$4zQ#Utt;ZSSa`nel2iCVQ7a+91<^5I_(&eTF+en9Re|US{}^I|+rdi}uX~KItkXT04dTOIdutBQGYp7{?9x;RM&n;6XlELvMw!zZJ3m&8 z)7AO@aCu>mFp0*iEmWp^l|2 z&eZtJXsh(Yde9KpsFOvio3Nt?BXYyoy_unBdczvCD3!AT(7dHcxudGZ{+qWzb>Ki) zKFVYVk;gN#6$%3|Uc93<=TUsv!RVAVem$;%e5IcS0qb7v)pe&vl2ua7m72jjl=+7y za3s)+>8~!DYbLa0JIb_@6JEw)&wj2d^kA0Zc5}SgD&|jKU_u;L@_2pfIJm5=9a%==! zZpcPB-ARdfeu+0kA$LHy=M0#F`3Yh9=t-|ThKQAc#ONRLn;h!BCNfzYg}TmAePI!1HpqLg3Fr zW~rZ?42!g2LQPi^FKZORIZ$TdRdp<|`B~yw!N!_Lx8#9JBq&()3J--oFB`+#1;f1Wo{^h!VJ?G_ z-&1Lo?E^6ZCO;VQNSxNyg|et8a$f%GSSXb8>vre1ash+;$Z|&)eA45{{u2uzZM|Q- zma_4rZurRU{BOxS^$e0zXnNDQ~>Fet+Wlgm3vdVx4~S1-QB# z_cf5wBc{m@ee`~Ic8~3D;vjnwSEaeGNXQ(}G*)9;ziVHdvw$d1^yu_GA)vq3M-SXl zWnoh{P$r!o7^$_IIM{>K{EUTtx}s9qqAUCCu4sLC09LYioxLTcKv59K7miZ3f>by- zCY~N_hSoFP(d``(W~Z0iH@K&puZWlwD!g+i@VozfYt+on0OSjR!U^np>^D)^QAC4zZ&ohN zRz6ZtNOT&!n@kC|dYkP9Skqw7{J`?P117XDN)`BZAAojB>E4^(xB()8ltO8X!Kx@# z$;8RBYmwgLGn8Q&aCKoMTI+XuF@(WiZi-X)ruSn*(`RICV=eed;rgY#`=6h7J5{~{ z;hPIa8o#wST##lFr^=Nz8C?_V{nSJ=yZ2jhEX9}(mI$pFtv21F%^a`MYarMG$dGu$5K`vHhDPOYVpc{X@WmY5BDc+s;?=KmzXVU}E>rcx;7 z8AqwvtX0^`N#RAjA0b9|7oBT=(<8vGf&8G$#>CCv@%qVkF^Jn-P&;uT&>T|bc^wpL zawWvg0^t?@!*geHj@&VC#eay=Kvr>+C;g-DejBaZClc=OaysGWnAg+Om!tic8UERBZ%38;}jXO5Ndr`Ht7c^JI}& z)!Bur!F(^AKzHOhm<_es2=Gey-HS?7M&i>qe64$@_#FQ~es<1+Aeh>4qf<91dTU{C*5 zz)LOL7Sr3r8RW{n7Q#<~j5G2=aLV~l-!sd$3D_!%M4}(c#)j4S&g5A5drki`03D_> z+irgTNKe{|HF8brk5b?>8|63R1`o&?+7REfG7mA=Y5rxuo36L4fVd{S;tC zQ5CzP+-zg+ipdtZ`?(L5z1-M5(d4w?wsC~V_tMjr+r3=}5bafV5KoVl@3y@tbpS;& zvr=%D?_C7FB>kzneLby!L~@5N+uPQrT9A_eOWWSM{VmAt7?2|J@+#2W_7~L6&ID_h zyMH1k@!1OAZtg<$0fKuxhG6qAwy3bW-&=HgH=0~4r3db_;}il6afrF@+=lFm=-_Wa zw^l;eKhv~@JZ`4A9viR5em^~SUl~?M>Z0DX5{k=ha6C6xwPGcHYB#&d8uc8zL~Km8 z14dC?nyQimHPhc>PoX5g0EZR)uGXyaz30zE7{&Yv$B#Fx=R1rz(Zakekhusk<`S`? zPbg_!H&&a^hMDlwY7X4-OGr)(Gpp7pQsS;T8gY~4*Wg0 zf5$#yPFz{=FR`WxhdnbMmWRwt}&2q4kbUkmELo2=&+ryF(a$V{JEv&X>rVfCIN0e5)i*SM5w(}w6cogdF~1K;fdY5e^Uhj_v~ zxWxn?*?cZl7}hby6es$>z=qp7aKrBJFBqWW6Q1|Cmf}~o|6)gFiTR&pcnWa*kK|}i z%$71^U;6`*I^7S8xWan0P?tf4=}6f5mo?69gW8WPm&2|=Uf4x)&U;gsc>1n0-H)hG z^O8Jw_hb3aeZoDD)FB7 za-Osyu9%^!*vvdG?XdmFtCLreV)Yos%rebqHudA%vG{HXP=65fzm^v@mBEdsi{j}O z$6Mt@C&v)I?i)6Tk%FGeSFi_Rm$f^9Jk!Y_DL481IG&NPc%41lSH>7(`3=4zt)>Ig+2ploY}1F#$gu{D+#N)rK~dRHE&`e`l#JVMId@&!Z%9(c9_qoA8bsCldwQxrZK ztd8?J=y}1TzoU;HS^L&uDn4a9EcN;%0y4N>T_tqSU+xQXTkRyIOQ%&>v021&HIUU_ z3ho4DeBk-u!5C@#EoF;Y2U~I9u$p33*yyRmSQ9DxRHROfAt}w)YCP5`IeqPzXt>4h z!coVUwm2gw+&8JUb4X}_zXo3AAk8Jri({uAN3Fe|eze2H>Ykgxv=#qpnQ(#{Sh2P= zmTR*(fW2VvsQMMx?#W_e)`h9{&^)une#osN8-dcjlp%F8sl=N8?ig(S7)dpwP;|zl zA31T}N}}!mId)0Q^_R*iV7yvD&|mJ;N@MNk+FMsr`gs$7g$aRQb8IDwkoWK4W=419 z6TfQzBZ%|9`$=CkW=f$SKQ7cHMq8;Fk6VmZLGJRddw=UFulRdlVyzHu5u~}-x<)!7 z^nBq$TJu7B@7)4Ubiykc>;daUD1_-h#(wKec*v3P1bL}Xfwk5p{nn&Vhr4Ur_=GqJ zityDIvpa-`?M1&Rc0ymOC52XJC`dDIJeE77@)8k{w^%#NG~|qQVckZ&#Ske?te)m2 ztPCLanQQ^UOo#(OM4Bw}rr5-=xtZ4*EtyKao4l;BWO6By^?P#X@b1|sFMw!&VSE!8E&pgsEM@u?y5Tgb=Z4Gj93FB17y@uxcjTDtBk?W;V z<&|$5FVHU4WZ3k-ixxuHy`AuhJdd3YX6tAo@UxAO*d(@@VDa>y52}BkxKNpUFb7cX z`onX5h&;v%&p)Ur27NY@*GR6tGC{Xg8SD#y)W!oD_wIk;WX)MLb8 zm4K~T0vR4>QYBKI^DZ-git_O@?9n-S-p%{C;R)S`fGTYoTIsv>_zZZ0f|ywu<~36l zu-p??m638DzHq$W`lmR}VQPAJ@Yq37u~O3AuW z2+TH#lN${r+_U7anf3tDsY#$V2ezwvtm?PgXUR66vRcD+Ky$}h>Ftu&UDGuKtZsQ( zU9Jx@tb;{j2@bN>Yn$W(=P%}@Dt*S%!{13>eOqBVL$KX5@pp3=u!1+LO^lWOg*s|3 zcrW7u*6|f-JCmFBk}}|x&<4RAH=6kTI6*nrGP056uL+*9Zo@i(e@nf~+Q$8r@vQt} zr{w+pp1F^;;lRm-TszmlZ8Aiqpc6&}Q#T<})kbyDW~f={TT%O?bO9Jqj^6k8 zxX&*+f^-u|WJbhRkLNlTbZh3GTUe;&&r39CR;k4ONZ0WsoR}dh>?>1g9(Qyfq4;#* z&WBSaxh9JJtp_gD#01rD7)#Z(uyiD4_C@=~DW;Pj z%WZ4z+`bBu+)I#)rnHge6f1?ibpn(OM|;!ZtcnVtJA(MAr~sAVedby7on z0E$4tX=hVTUjB%LIk`6Ip_)(dl<0IYIHcUgWW`rjF z(saM9^UwTVZCc6~0b4)FKjd9Jfv8%{!2ZV51X31Po%?>4N$YZcEPor**rubqRGC^< zN38aFgJ=#uNZ3n=ry8ku0;+mDUzj{fK(_K^_k^%h{PH#iCr_QbXTPhAkY=79Qe8jQ z>EdPecvLoMrZc<2A-y=`>~Ty9t_jOqep_g=V4>!lQlh19OF;WL1I?I`cv-#+w=DmI z_uF^d6$^BUn5#cVvQ*_6%`5jgQ%hg&sU|8!+j7M_ZD=T-Rakv2^TZX@t3~-+PUAs? z%urduN~*5ptfvX9YK2$ALh+pHk9#x;2nb)9Q`aB#cDFM58)As?#tA*l{g!WVk`(br zX61s~A{w<0D1OD$kcr#mgRnS*Aeuejm<^P-*%Mce@0V_P@<;#T8 zLnS-4;vH?B7+8MdYcrfbf+V5?Jgqpkd07r(f45{{cA_0UmQ+0_)*^(OYNi39k|M+nF*Prj*${H-M`ar@JU##Ga@TfH> zQ`>5EbBT+V4_ovmpo-z@!xuS0Df#F>pE%^tHz)X8xE&N3(NyP%O;P3bgY-J>cl^9# zosN&o6J3U9Tvweq(ZQINx*+n|m{Eb|#y2J8`i%2ktYL9wTV^q277cz%Kdy!HzEjsAd--;42Zz-aKnl%`ooAz9LWE#$N3^EazkP z`)_o>+y4?l)wSX8Uzdv{oCH!hL)H|06bSA$1Hl#J9u}mp$|K*_(Cyh*fOAz$1)0)$ zI9>at+j$fm%DO>>X8Vc)jp0sCmty_{w5VuSLgdy+^F0|kK&<3-{^uv=mE{bcY4^J% z^#=a&&(Mu*tLK)g%e}50njtV$2-;9gT3gtjc%J~xt5e_lp+wTrMbtOq7$3j#ydk|T zL?hSCA3I=Yteo9}K@$jlZ+Q6viAs*|;H--ErVKjm>rv|6 z>wOyA74U*66BOmi9PzEl+-xPtw)5{5$hGmJsd0c8MS2_`F)T}&mE`09Aa?U+LkwG4$vB!r9JZHKzbhBe4<+_n~SdBg$FbMHDFyDcg0F zFX70Nzv{&KlCwtOQ;tdqvoli+4`? z?-EV##%d#+G}kOw`GvNI2_eEB#4@^*UNZTE957>SI;>!?_KO6*ZA?zZk!zD!-rLTq zPIxoIwrym*rV8*yk#4%>(foX8f&J*d?{w>99XoeAGqRbFlnjdoRfDtUM6vm-_~Bp| zU@ij4jGZx^beF6|S10GLo1A4TShA?=cs-7Jyk-pNG7h)wC_ikqLO?+#&L!tol*~&ud|g~$<`=NXVL;$=tRV(sf{ymmcZk%=e6L|OJK#PT)gD2;vd$6fy+isu zzDXlzVUOWNgKNTJ!3J7TD%n%hXc7AP{7O~FMHPN*F4P5_^D`Lt*MgIT6-jhw{t4XQ zo3Kuylo4!-^>WKLn?*l43|gnMalaMZ)}oTfc5Jf@Ae?Wfp_wvIMVVOexJILQUVH>4 zzuYoDs>UxUZ^TG-dKr18In6s{&ojR_eY02Do2LF#S}!-O)~nyGZ=ckEiLWP+CF^2; z!!ot$8;i#Bi)o95uTg6c$}kU+av-w};F=mX@$ew8rr92vzpzqHr%WEd6)QtMqvxXd zUMx0S%C{Hx`T8PheL*FixhMIuZ)ea(ctD}`r&L7G0}<`$!h_yl0*mJ`LhsU3v&y=} z&g;*~#1~UK}{}E_JGMATK1fb4l=#m88eQ)}W>C zLJpNlCTgKt6%Xpa7zPJx{8k!vc|CQ?FXWFzdos1s{5bv0wk?Wo>T!0iDs|~W#XW*! z%V}l(aIs{wnIK3(gw;;may3WE*DbPBPXupQ!(&K-^tX>SW~WyLg%=LzvsDr`LWk#b zv`gcN0c}^`K7y}-5=Kz_?P}gI`OcTb4>@?3Azs;>$=1=_S-W6%LQd$*Xg+dIe{<15 zct9!Ov4RWm`_lg1|FIhUrBuQ3lcCYTa`ween-8vp>NaWlBn{z`@2&MYQyroaZlW@o zmkO7a>>~SH_ijGbUTPd0f0(x1le05hyvimS;H2-r1rqG0XiCb>vo8Jx_tk2}g5;Zy zIRA{TYq#grf0$Rks&fy&q^)h2?dRSg>=p7sk-g>WDRYzIW4?_hxcCyZLo>`c~c#13_TOJv#Qdta%e1uxirQ+U@K1kUOYE5Yew`#EzSpts zI&0r2*<|BLP>cCF-zoweqaZ`#X4`(J!C%OM7-V=$-j-qnD%MGnr>p)(MQy9Atm--3 zd^mme)}im^uBj+|i~0kl%=&+S^otx5xkKa8+v9{uwHps4h`No zy8gtu-+iR2_?&e65=8nWT%YP0e2t>l?gZVgeiPIZu_8ON*O(tY z9hSM!TdN}L;^MZ4dyq2#LcDSG2=ol0$KSsSizYbriRX-Lyzb-G>Xq<$GRI$K7S680 zwzt3hx^h#~@XD29z8N?%qc3t0tc%504s0J2eLUtXWmS`Ir&^DW{r8Hn*`Ic3P+lIX z%5c>*vi*}!w9;s+3^D2g&a@HbSOf(0ej z{gdN;va?6p&IJXJ`}FRos=MJaY*}q#^p)L{sLvYtq2?`P8&#WvG$Z3{Z|`F-XC}*Y zHp(7j`*Fhe$>*N#n^q#@NgA}=h|g6Y>V3+4l76~lxg6wbH`6;KE6XXHAmYOj=^Kph z^yf}co{jy~HavV^IxFm?<85JFbR+4r*cc!ZmeqL#+x6UPms=bS6cDtTzmxWoO~?jp zDR7&81Zn?bAC{G*fwPH>WK+ALEZ*c&szHwvBQDxYXreN8Tp&`EswTwwD=H zP^^5roiA=hMBpP={=VoeA{*{I^8Jh!9hnZlvAEdL6Y8aL+_%+Ru-6SZcpc@^BPev;0NwS*G8#MVns=O6iMe$oDR_vF5+M``-Z`K8S>kw}hm5>PU ze;!msi4)ozoMa3Zn;U3XSdi?j)CL{+TxBvLHZpx)B}rT%*D|%B6P*mBt-V^`!wOaS z07!AATJ!x8wFXI^?#~&`oV4Rzq0b)J7uSa{9e+*EXua)@_OJ*Gm^t>Z&*hFA_;;C$ z@8C|$Sx+{4s?+?KI+R>}-ZL`UZYi$O28z0M>4DqR4^jm(ift?h*HRJv=`}&R6={x_ z_Ned5)IGeHr)q*#xI9N3-~*aX@2U)LiF)l-zw-yxPV%1(uU_jgRSTNz;RLH~i@=^T zp0kgB7AJk!xkFm|Nz&vEeH=ezYj2aU6d}9wvih)59812h70tOte|0{k|G`3>#r5hu z6~J@dn<=6E*!oT*bgrTgH>G7&V}0L4yO!3~LqB zAvlFs1v5FqA}rPJ)LhDr4?y*{!m$VFaX;Zq!=kD9EIURN`F#V07U*%-%rBz^m}f5> z{&;XAmNVa3f=B@o`nGQlk(^uoySWJwp1aAX)}BXabWJmdM!W|8OA5?L z$y!**+XuxtdWHc{%9^H^ob_~SH_p=7rYj_;VQuk|$1be7A!jDhO&L!3TA5MYd!=$g zG)sY~YoXg#7voB^s4orrT&R@3v!QeOF`4u>tDYv}?vH3m-77g^3;jBnE5T>@PROk+ zaJ<0O$FuOOV64BBn#R7D=<~${0Vw0yuR>B@$dC}>G4c87z4AF;%Awe^@8wq_Fl23tdLQy2IB+7p1bOH1VfqQDZ&S7I^=19sFQs`Gg&k^Da_qz z-~Fbw=IFV)djf&&$1`PgPAapHZiN9Jn}W_=BTnAurOVINvR^U(3n@QvKGAA?qFv-I z@om)Rwe7uX2VXa10My{`#YrYURb067P0My*QFW?aAplD*p?`W!j1J>0o6&L)kk4}aonIwSmw=B?}NE$UNd4B9ulvECsoGb75uXXI*f^nKId zx>l=VW1eRH+ui<=UP`Zo{A82WGxd1xL5*j8FJb)gh^9d%d9b82jKIkd_QkzJXjZAyl^0O-d>-?F2c^jH2 zvUH93TcyF^+AlxrqksP}d`3j?8H@y2r;7cjZ{C}sd9R2kl{#Pcms>M#7d!5+r2aP| zO#DElHev(*^s zOd7aqGy5=H>`BPW-?~B!1MH&XxnvB9+-U6@n-O(0Wq>+fiFsY&kLH078)FrJm0>^Y zd#N0ix`a~&H4SnL%me3DUfYCfx3w>a>t`6mnNtr+21w>&js}(g_%TqRmRq_u13B%N6r*XtIXhbC0kq}dtF&?{2i_%=ID14uXlja!DU zA*G|g;G2FKYa+4em8;&viuD_aYd9)hu|v5e{!NbNecAQox7kJ}+d-73d9vMIco*z- z07_{CYNoi3>ca3k%!G%EDDQsx^GG`+TE~pt{-BXKLX4Vz=sR=%he(}>{q%Y1ml`^T zh+CK2O7p!iypgcb#hTy2=ZYggtWO3|cx<3V^=tkC$)S00&?k#l)m`+rmBs?!9Y z?q5_~3&cWZI{d_5YM;fc-u9UJV~(n`7Rohki?woFI({_bLP1rw_|*^l|6_A)W=M^+ zE3h&Z#mu_NtGqPZt1iy(o}_oKv%GrFfd5z^s!!lZoXL*2u}^X4e_vetHoWE*3WB*iSP)*rUw^YK z|0QBV^sMgDO}B3fs)A~Ar(1V~b2*t0MWdS_(eUs041ND3ego+qd!SalmvXDB(PL*- z%wmrCtDLhpnSeMMuhctbJ)<2)Eqd7UH(&sc5zw5r6VAQ!J)l5#XW|m$@^IybYl^<; zH&;~4r0D}=5K&Tsp(^{h6l3Z%?TV&vV@43bd^m9$OV;=Ct`6?F&L$%}r zV9yjO(F0n3JJZJl|5GUX%`G|tU67jRKB6~+Du=JhfmrB{{4CH6-IIa|OqlEa*5syM zVse02ak~CXmyHiV5=WX337{PVsR-y|G&1`{gT5#c`2HR!oEJC-VDkw2Oe=&HVuDh{ zgb_}Igo1Erjulf4{EYYk9N7VTsxbanu1--neqaLb%nylX8g&Q~)37bzNDIDs>j*Zx$MfnBZh^FoK+8gX#%8yuhIo@=~9R+CG6oi($3^ zYvqnwofzu573cv6sGW2RjqK;rC2XpU;<0ZqBs`AUsLXHq_mopU3nG!QC(&D{X-+-= zgask50*n&~m;%S=`8jD8BxRXs5r$*lt<+*-K7zy=7(YU}BT)!L+JPRHM;|gwfK3F9 zN0bkgqE0n7U_q*L3mIuGDw8xOT;)74fdTQA;vEEx<6$N4It%g+hM~P-Tvm!&JQ{*s zi4B$AW~av}M_1B2%?fw8Mlf@rUS}-7b<7RlP^O-}Gb7NPd>>>qZy(Atg8dn%hhUs? zjpfWL^N(Qc%l`&zloZ~d059Jx2%941B|x_gP`!^v2F#`f7l?sV->+tbO5nc);izX+ zXjtkHOpl2ZZwpjg2J;0Gpy-f2#vc#|0R z;M`J81{%TZ%SAfy(KdI}khz6MdOGUcgAuIHJOx+twZK5TZv7i zLWzMl3j%CAFFW8_kexFL3?hu*VC1u`#P*u^cpQc_XkH5L`wf8u0}om~m@c;efHMn( z2_PoY#Q^LthWAn>3nIP+X0QVKZKy&n^S<6b^DXRfoUy7<>DrX{rj!LiKu3;jROl^G z8n{lFK(>YQN|fyiQ$QDdCLT|Q2}pWO0^P>U$(+#`=FRDCW)MPe;zr7B5bCdYQ54w! z7^#rldAFq)z+&lV8!7 zpfDuLzZ1ykM~n_UF=eMmRC>W!5XmJ_3;!F!dbHo16To&5Hbe@UcGY;Kf`$XX8w=t9 zx;V*e7OVzMi_!;oY|xoUsTi{(O843Yy3LS^ZibaA^cX0v(#D)ZXF;C-|A$uo#u1MC P|L6r^q<~J9XHEVO_vvFy literal 0 HcmV?d00001 diff --git a/bsp/swm320-lq100/project.uvoptx b/bsp/swm320-lq100/project.uvoptx new file mode 100644 index 0000000000..4796eb8073 --- /dev/null +++ b/bsp/swm320-lq100/project.uvoptx @@ -0,0 +1,1389 @@ + + + + 1.0 + +

    ### uVision Project, (C) Keil Software
    + + + *.c + *.s*; *.src; *.a* + *.obj; *.o + *.lib + *.txt; *.h; *.inc + *.plm + *.cpp + 0 + + + + 0 + 0 + + + + rt-thread + 0x4 + ARM-ADS + + 12000000 + + 1 + 1 + 0 + 1 + 0 + + + 1 + 65535 + 0 + 0 + 0 + + + 79 + 66 + 8 + .\build\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 1 + 0 + 1 + + 255 + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 0 + 0 + 4 + + + + + + + + + + + Segger\JL2CM3.dll + + + + 0 + UL2CM3 + UL2CM3(-S0 -C0 -P0 -FN1 -FC1000 -FD20000000 -FF0SWM320xE -FL080000 -FS00 -FP0($$Device:SWM320xE$Flash\SWM320xE.FLM) + + + 0 + JL2CM3 + -U801000899 -O78 -S2 -ZTIFSpeedSel5000 -A0 -C0 -JU1 -JI127.0.0.1 -JP0 -RST0 -N00("ARM CoreSight SW-DP") -D00(2BA01477) -L00(0) -TO18 -TC10000000 -TP21 -TDS8002 -TDT0 -TDC1F -TIEFFFFFFFF -TIP8 -TB1 -TFE0 -FO15 -FD20000000 -FC4000 -FN1 -FF0SWM320xE -FS00 -FL080000 + + + + + 0 + + + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + + + + + + + + Applications + 0 + 0 + 0 + 0 + + 1 + 1 + 1 + 0 + 0 + 0 + applications\main.c + main.c + 0 + 0 + + + + + Drivers + 1 + 0 + 0 + 0 + + 2 + 2 + 1 + 0 + 0 + 0 + drivers\board.c + board.c + 0 + 0 + + + 2 + 3 + 1 + 0 + 0 + 0 + drivers\drv_gpio.c + drv_gpio.c + 0 + 0 + + + 2 + 4 + 1 + 0 + 0 + 0 + drivers\drv_uart.c + drv_uart.c + 0 + 0 + + + + + Libraries + 0 + 0 + 0 + 0 + + 3 + 5 + 1 + 0 + 0 + 0 + Libraries\CMSIS\DeviceSupport\system_SWM320.c + system_SWM320.c + 0 + 0 + + + 3 + 6 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_adc.c + SWM320_adc.c + 0 + 0 + + + 3 + 7 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_can.c + SWM320_can.c + 0 + 0 + + + 3 + 8 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_crc.c + SWM320_crc.c + 0 + 0 + + + 3 + 9 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_dma.c + SWM320_dma.c + 0 + 0 + + + 3 + 10 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_exti.c + SWM320_exti.c + 0 + 0 + + + 3 + 11 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_flash.c + SWM320_flash.c + 0 + 0 + + + 3 + 12 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_gpio.c + SWM320_gpio.c + 0 + 0 + + + 3 + 13 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_i2c.c + SWM320_i2c.c + 0 + 0 + + + 3 + 14 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_lcd.c + SWM320_lcd.c + 0 + 0 + + + 3 + 15 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_norflash.c + SWM320_norflash.c + 0 + 0 + + + 3 + 16 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_port.c + SWM320_port.c + 0 + 0 + + + 3 + 17 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_pwm.c + SWM320_pwm.c + 0 + 0 + + + 3 + 18 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_rtc.c + SWM320_rtc.c + 0 + 0 + + + 3 + 19 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_sdio.c + SWM320_sdio.c + 0 + 0 + + + 3 + 20 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_sdram.c + SWM320_sdram.c + 0 + 0 + + + 3 + 21 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_spi.c + SWM320_spi.c + 0 + 0 + + + 3 + 22 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_timr.c + SWM320_timr.c + 0 + 0 + + + 3 + 23 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_uart.c + SWM320_uart.c + 0 + 0 + + + 3 + 24 + 1 + 0 + 0 + 0 + Libraries\SWM320_StdPeriph_Driver\SWM320_wdt.c + SWM320_wdt.c + 0 + 0 + + + 3 + 25 + 2 + 0 + 0 + 0 + Libraries\CMSIS\DeviceSupport\startup\arm\startup_SWM320.s + startup_SWM320.s + 0 + 0 + + + + + Kernel + 0 + 0 + 0 + 0 + + 4 + 26 + 1 + 0 + 0 + 0 + ..\..\src\clock.c + clock.c + 0 + 0 + + + 4 + 27 + 1 + 0 + 0 + 0 + ..\..\src\components.c + components.c + 0 + 0 + + + 4 + 28 + 1 + 0 + 0 + 0 + ..\..\src\cpu.c + cpu.c + 0 + 0 + + + 4 + 29 + 1 + 0 + 0 + 0 + ..\..\src\device.c + device.c + 0 + 0 + + + 4 + 30 + 1 + 0 + 0 + 0 + ..\..\src\idle.c + idle.c + 0 + 0 + + + 4 + 31 + 1 + 0 + 0 + 0 + ..\..\src\ipc.c + ipc.c + 0 + 0 + + + 4 + 32 + 1 + 0 + 0 + 0 + ..\..\src\irq.c + irq.c + 0 + 0 + + + 4 + 33 + 1 + 0 + 0 + 0 + ..\..\src\kservice.c + kservice.c + 0 + 0 + + + 4 + 34 + 1 + 0 + 0 + 0 + ..\..\src\mem.c + mem.c + 0 + 0 + + + 4 + 35 + 1 + 0 + 0 + 0 + ..\..\src\memheap.c + memheap.c + 0 + 0 + + + 4 + 36 + 1 + 0 + 0 + 0 + ..\..\src\mempool.c + mempool.c + 0 + 0 + + + 4 + 37 + 1 + 0 + 0 + 0 + ..\..\src\object.c + object.c + 0 + 0 + + + 4 + 38 + 1 + 0 + 0 + 0 + ..\..\src\scheduler.c + scheduler.c + 0 + 0 + + + 4 + 39 + 1 + 0 + 0 + 0 + ..\..\src\signal.c + signal.c + 0 + 0 + + + 4 + 40 + 1 + 0 + 0 + 0 + ..\..\src\thread.c + thread.c + 0 + 0 + + + 4 + 41 + 1 + 0 + 0 + 0 + ..\..\src\timer.c + timer.c + 0 + 0 + + + + + CORTEX-M4 + 0 + 0 + 0 + 0 + + 5 + 42 + 1 + 0 + 0 + 0 + ..\..\libcpu\arm\cortex-m4\cpuport.c + cpuport.c + 0 + 0 + + + 5 + 43 + 2 + 0 + 0 + 0 + ..\..\libcpu\arm\cortex-m4\context_rvds.S + context_rvds.S + 0 + 0 + + + 5 + 44 + 1 + 0 + 0 + 0 + ..\..\libcpu\arm\common\backtrace.c + backtrace.c + 0 + 0 + + + 5 + 45 + 1 + 0 + 0 + 0 + ..\..\libcpu\arm\common\div0.c + div0.c + 0 + 0 + + + 5 + 46 + 1 + 0 + 0 + 0 + ..\..\libcpu\arm\common\showmem.c + showmem.c + 0 + 0 + + + + + Filesystem + 0 + 0 + 0 + 0 + + 6 + 47 + 1 + 0 + 0 + 0 + ..\..\components\dfs\src\dfs.c + dfs.c + 0 + 0 + + + 6 + 48 + 1 + 0 + 0 + 0 + ..\..\components\dfs\src\dfs_file.c + dfs_file.c + 0 + 0 + + + 6 + 49 + 1 + 0 + 0 + 0 + ..\..\components\dfs\src\dfs_fs.c + dfs_fs.c + 0 + 0 + + + 6 + 50 + 1 + 0 + 0 + 0 + ..\..\components\dfs\src\dfs_posix.c + dfs_posix.c + 0 + 0 + + + 6 + 51 + 1 + 0 + 0 + 0 + ..\..\components\dfs\filesystems\devfs\devfs.c + devfs.c + 0 + 0 + + + 6 + 52 + 1 + 0 + 0 + 0 + ..\..\components\dfs\filesystems\elmfat\dfs_elm.c + dfs_elm.c + 0 + 0 + + + 6 + 53 + 1 + 0 + 0 + 0 + ..\..\components\dfs\filesystems\elmfat\ff.c + ff.c + 0 + 0 + + + 6 + 54 + 1 + 0 + 0 + 0 + ..\..\components\dfs\filesystems\elmfat\option\ccsbcs.c + ccsbcs.c + 0 + 0 + + + + + DeviceDrivers + 0 + 0 + 0 + 0 + + 7 + 55 + 1 + 0 + 0 + 0 + ..\..\components\drivers\hwtimer\hwtimer.c + hwtimer.c + 0 + 0 + + + 7 + 56 + 1 + 0 + 0 + 0 + ..\..\components\drivers\i2c\i2c_core.c + i2c_core.c + 0 + 0 + + + 7 + 57 + 1 + 0 + 0 + 0 + ..\..\components\drivers\i2c\i2c_dev.c + i2c_dev.c + 0 + 0 + + + 7 + 58 + 1 + 0 + 0 + 0 + ..\..\components\drivers\i2c\i2c-bit-ops.c + i2c-bit-ops.c + 0 + 0 + + + 7 + 59 + 1 + 0 + 0 + 0 + ..\..\components\drivers\misc\pin.c + pin.c + 0 + 0 + + + 7 + 60 + 1 + 0 + 0 + 0 + ..\..\components\drivers\misc\rt_drv_pwm.c + rt_drv_pwm.c + 0 + 0 + + + 7 + 61 + 1 + 0 + 0 + 0 + ..\..\components\drivers\mtd\mtd_nor.c + mtd_nor.c + 0 + 0 + + + 7 + 62 + 1 + 0 + 0 + 0 + ..\..\components\drivers\rtc\rtc.c + rtc.c + 0 + 0 + + + 7 + 63 + 1 + 0 + 0 + 0 + ..\..\components\drivers\serial\serial.c + serial.c + 0 + 0 + + + 7 + 64 + 1 + 0 + 0 + 0 + ..\..\components\drivers\spi\spi_core.c + spi_core.c + 0 + 0 + + + 7 + 65 + 1 + 0 + 0 + 0 + ..\..\components\drivers\spi\spi_dev.c + spi_dev.c + 0 + 0 + + + 7 + 66 + 1 + 0 + 0 + 0 + ..\..\components\drivers\src\completion.c + completion.c + 0 + 0 + + + 7 + 67 + 1 + 0 + 0 + 0 + ..\..\components\drivers\src\dataqueue.c + dataqueue.c + 0 + 0 + + + 7 + 68 + 1 + 0 + 0 + 0 + ..\..\components\drivers\src\pipe.c + pipe.c + 0 + 0 + + + 7 + 69 + 1 + 0 + 0 + 0 + ..\..\components\drivers\src\ringblk_buf.c + ringblk_buf.c + 0 + 0 + + + 7 + 70 + 1 + 0 + 0 + 0 + ..\..\components\drivers\src\ringbuffer.c + ringbuffer.c + 0 + 0 + + + 7 + 71 + 1 + 0 + 0 + 0 + ..\..\components\drivers\src\waitqueue.c + waitqueue.c + 0 + 0 + + + 7 + 72 + 1 + 0 + 0 + 0 + ..\..\components\drivers\src\workqueue.c + workqueue.c + 0 + 0 + + + 7 + 73 + 1 + 0 + 0 + 0 + ..\..\components\drivers\watchdog\watchdog.c + watchdog.c + 0 + 0 + + + + + finsh + 0 + 0 + 0 + 0 + + 8 + 74 + 1 + 0 + 0 + 0 + ..\..\components\finsh\shell.c + shell.c + 0 + 0 + + + 8 + 75 + 1 + 0 + 0 + 0 + ..\..\components\finsh\symbol.c + symbol.c + 0 + 0 + + + 8 + 76 + 1 + 0 + 0 + 0 + ..\..\components\finsh\cmd.c + cmd.c + 0 + 0 + + + 8 + 77 + 1 + 0 + 0 + 0 + ..\..\components\finsh\msh.c + msh.c + 0 + 0 + + + 8 + 78 + 1 + 0 + 0 + 0 + ..\..\components\finsh\msh_cmd.c + msh_cmd.c + 0 + 0 + + + 8 + 79 + 1 + 0 + 0 + 0 + ..\..\components\finsh\msh_file.c + msh_file.c + 0 + 0 + + + 8 + 80 + 1 + 0 + 0 + 0 + ..\..\components\finsh\finsh_compiler.c + finsh_compiler.c + 0 + 0 + + + 8 + 81 + 1 + 0 + 0 + 0 + ..\..\components\finsh\finsh_error.c + finsh_error.c + 0 + 0 + + + 8 + 82 + 1 + 0 + 0 + 0 + ..\..\components\finsh\finsh_heap.c + finsh_heap.c + 0 + 0 + + + 8 + 83 + 1 + 0 + 0 + 0 + ..\..\components\finsh\finsh_init.c + finsh_init.c + 0 + 0 + + + 8 + 84 + 1 + 0 + 0 + 0 + ..\..\components\finsh\finsh_node.c + finsh_node.c + 0 + 0 + + + 8 + 85 + 1 + 0 + 0 + 0 + ..\..\components\finsh\finsh_ops.c + finsh_ops.c + 0 + 0 + + + 8 + 86 + 1 + 0 + 0 + 0 + ..\..\components\finsh\finsh_parser.c + finsh_parser.c + 0 + 0 + + + 8 + 87 + 1 + 0 + 0 + 0 + ..\..\components\finsh\finsh_var.c + finsh_var.c + 0 + 0 + + + 8 + 88 + 1 + 0 + 0 + 0 + ..\..\components\finsh\finsh_vm.c + finsh_vm.c + 0 + 0 + + + 8 + 89 + 1 + 0 + 0 + 0 + ..\..\components\finsh\finsh_token.c + finsh_token.c + 0 + 0 + + + + + libc + 0 + 0 + 0 + 0 + + 9 + 90 + 1 + 0 + 0 + 0 + ..\..\components\libc\compilers\armlibc\libc.c + libc.c + 0 + 0 + + + 9 + 91 + 1 + 0 + 0 + 0 + ..\..\components\libc\compilers\armlibc\mem_std.c + mem_std.c + 0 + 0 + + + 9 + 92 + 1 + 0 + 0 + 0 + ..\..\components\libc\compilers\armlibc\stdio.c + stdio.c + 0 + 0 + + + 9 + 93 + 1 + 0 + 0 + 0 + ..\..\components\libc\compilers\armlibc\stubs.c + stubs.c + 0 + 0 + + + 9 + 94 + 1 + 0 + 0 + 0 + ..\..\components\libc\compilers\armlibc\time.c + time.c + 0 + 0 + + + 9 + 95 + 1 + 0 + 0 + 0 + ..\..\components\libc\compilers\common\gmtime_r.c + gmtime_r.c + 0 + 0 + + + + diff --git a/bsp/swm320-lq100/project.uvprojx b/bsp/swm320-lq100/project.uvprojx new file mode 100644 index 0000000000..a7f37e5187 --- /dev/null +++ b/bsp/swm320-lq100/project.uvprojx @@ -0,0 +1,980 @@ + + + + 2.1 + +
    ### uVision Project, (C) Keil Software
    + + + + rt-thread + 0x4 + ARM-ADS + 5060750::V5.06 update 6 (build 750)::ARMCC + 0 + + + SWM320xE + Synwit + Synwit.SWM32_DFP.1.6.8 + http://www.synwit.com/pack + IRAM(0x20000000,0x20000) IROM(0x00000000,0x80000) CPUTYPE("Cortex-M4") CLOCK(12000000) ELITTLE + + + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN1 -FF0SWM320xE -FS00 -FL080000 -FP0($$Device:SWM320xE$Flash\SWM320xE.FLM)) + 0 + $$Device:SWM320xE$CSL\SWM320\CMSIS\DeviceSupport\SWM320.h + + + + + + + + + + $$Device:SWM320xE$SVD\SWM320.svd + 0 + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\build\ + rtthread + 1 + 0 + 0 + 1 + 1 + .\build\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 1 + 0 + fromelf --bin !L --output @H.bin + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 1 + + + SARMCM3.DLL + + DCM.DLL + -pCM4 + SARMCM3.DLL + + TCM.DLL + -pCM4 + + + + 1 + 0 + 0 + 0 + 16 + + + + + 1 + 0 + 0 + 1 + 1 + 4096 + + 1 + BIN\UL2CM3.DLL + + + + + + 0 + + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + "Cortex-M4" + + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 8 + 0 + 0 + 0 + 0 + 3 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0x20000 + + + 1 + 0x0 + 0x80000 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x80000 + + + 1 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0x20000 + + + 0 + 0x0 + 0x0 + + + + + + 1 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + RT_USING_ARM_LIBC + + applications;.;drivers;Libraries\CMSIS\CoreSupport;Libraries\CMSIS\DeviceSupport;Libraries\SWM320_StdPeriph_Driver;..\..\include;..\..\libcpu\arm\cortex-m4;..\..\libcpu\arm\common;..\..\components\dfs\include;..\..\components\dfs\filesystems\devfs;..\..\components\dfs\filesystems\elmfat;..\..\components\drivers\include;..\..\components\drivers\include;..\..\components\drivers\include;..\..\components\drivers\include;..\..\components\drivers\include;..\..\components\drivers\include;..\..\components\drivers\spi;..\..\components\drivers\include;..\..\components\drivers\include;..\..\components\drivers\include;..\..\components\finsh;..\..\components\libc\compilers\armlibc;..\..\components\libc\compilers\common + + + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + + + + + + 0 + 0 + 0 + 0 + 1 + 0 + 0x00000000 + 0x20000000 + + .\drivers\linker_scripts\link.sct + + + --keep *.o(.rti_fn.*) --keep *.o(FSymTab) --keep *.o(VSymTab) + + + + + + + + Applications + + + main.c + 1 + applications\main.c + + + + + Drivers + + + board.c + 1 + drivers\board.c + + + drv_gpio.c + 1 + drivers\drv_gpio.c + + + drv_uart.c + 1 + drivers\drv_uart.c + + + + + Libraries + + + system_SWM320.c + 1 + Libraries\CMSIS\DeviceSupport\system_SWM320.c + + + SWM320_adc.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_adc.c + + + SWM320_can.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_can.c + + + SWM320_crc.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_crc.c + + + SWM320_dma.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_dma.c + + + SWM320_exti.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_exti.c + + + SWM320_flash.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_flash.c + + + SWM320_gpio.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_gpio.c + + + SWM320_i2c.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_i2c.c + + + SWM320_lcd.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_lcd.c + + + SWM320_norflash.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_norflash.c + + + SWM320_port.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_port.c + + + SWM320_pwm.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_pwm.c + + + SWM320_rtc.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_rtc.c + + + SWM320_sdio.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_sdio.c + + + SWM320_sdram.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_sdram.c + + + SWM320_spi.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_spi.c + + + SWM320_timr.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_timr.c + + + SWM320_uart.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_uart.c + + + SWM320_wdt.c + 1 + Libraries\SWM320_StdPeriph_Driver\SWM320_wdt.c + + + startup_SWM320.s + 2 + Libraries\CMSIS\DeviceSupport\startup\arm\startup_SWM320.s + + + + + Kernel + + + clock.c + 1 + ..\..\src\clock.c + + + components.c + 1 + ..\..\src\components.c + + + cpu.c + 1 + ..\..\src\cpu.c + + + device.c + 1 + ..\..\src\device.c + + + idle.c + 1 + ..\..\src\idle.c + + + ipc.c + 1 + ..\..\src\ipc.c + + + irq.c + 1 + ..\..\src\irq.c + + + kservice.c + 1 + ..\..\src\kservice.c + + + mem.c + 1 + ..\..\src\mem.c + + + memheap.c + 1 + ..\..\src\memheap.c + + + mempool.c + 1 + ..\..\src\mempool.c + + + object.c + 1 + ..\..\src\object.c + + + scheduler.c + 1 + ..\..\src\scheduler.c + + + signal.c + 1 + ..\..\src\signal.c + + + thread.c + 1 + ..\..\src\thread.c + + + timer.c + 1 + ..\..\src\timer.c + + + + + CORTEX-M4 + + + cpuport.c + 1 + ..\..\libcpu\arm\cortex-m4\cpuport.c + + + context_rvds.S + 2 + ..\..\libcpu\arm\cortex-m4\context_rvds.S + + + backtrace.c + 1 + ..\..\libcpu\arm\common\backtrace.c + + + div0.c + 1 + ..\..\libcpu\arm\common\div0.c + + + showmem.c + 1 + ..\..\libcpu\arm\common\showmem.c + + + + + Filesystem + + + dfs.c + 1 + ..\..\components\dfs\src\dfs.c + + + dfs_file.c + 1 + ..\..\components\dfs\src\dfs_file.c + + + dfs_fs.c + 1 + ..\..\components\dfs\src\dfs_fs.c + + + dfs_posix.c + 1 + ..\..\components\dfs\src\dfs_posix.c + + + devfs.c + 1 + ..\..\components\dfs\filesystems\devfs\devfs.c + + + dfs_elm.c + 1 + ..\..\components\dfs\filesystems\elmfat\dfs_elm.c + + + ff.c + 1 + ..\..\components\dfs\filesystems\elmfat\ff.c + + + ccsbcs.c + 1 + ..\..\components\dfs\filesystems\elmfat\option\ccsbcs.c + + + + + DeviceDrivers + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 0 + + + + 2 + 0 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 0 + 2 + 2 + 2 + 2 + 2 + 0 + 0 + 2 + 2 + 2 + 2 + 2 + + + + + + + + + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + + + + + + + + + + + + hwtimer.c + 1 + ..\..\components\drivers\hwtimer\hwtimer.c + + + i2c_core.c + 1 + ..\..\components\drivers\i2c\i2c_core.c + + + i2c_dev.c + 1 + ..\..\components\drivers\i2c\i2c_dev.c + + + i2c-bit-ops.c + 1 + ..\..\components\drivers\i2c\i2c-bit-ops.c + + + pin.c + 1 + ..\..\components\drivers\misc\pin.c + + + rt_drv_pwm.c + 1 + ..\..\components\drivers\misc\rt_drv_pwm.c + + + mtd_nor.c + 1 + ..\..\components\drivers\mtd\mtd_nor.c + + + rtc.c + 1 + ..\..\components\drivers\rtc\rtc.c + + + serial.c + 1 + ..\..\components\drivers\serial\serial.c + + + spi_core.c + 1 + ..\..\components\drivers\spi\spi_core.c + + + spi_dev.c + 1 + ..\..\components\drivers\spi\spi_dev.c + + + completion.c + 1 + ..\..\components\drivers\src\completion.c + + + dataqueue.c + 1 + ..\..\components\drivers\src\dataqueue.c + + + pipe.c + 1 + ..\..\components\drivers\src\pipe.c + + + ringblk_buf.c + 1 + ..\..\components\drivers\src\ringblk_buf.c + + + ringbuffer.c + 1 + ..\..\components\drivers\src\ringbuffer.c + + + waitqueue.c + 1 + ..\..\components\drivers\src\waitqueue.c + + + workqueue.c + 1 + ..\..\components\drivers\src\workqueue.c + + + watchdog.c + 1 + ..\..\components\drivers\watchdog\watchdog.c + + + + + finsh + + + shell.c + 1 + ..\..\components\finsh\shell.c + + + symbol.c + 1 + ..\..\components\finsh\symbol.c + + + cmd.c + 1 + ..\..\components\finsh\cmd.c + + + msh.c + 1 + ..\..\components\finsh\msh.c + + + msh_cmd.c + 1 + ..\..\components\finsh\msh_cmd.c + + + msh_file.c + 1 + ..\..\components\finsh\msh_file.c + + + finsh_compiler.c + 1 + ..\..\components\finsh\finsh_compiler.c + + + finsh_error.c + 1 + ..\..\components\finsh\finsh_error.c + + + finsh_heap.c + 1 + ..\..\components\finsh\finsh_heap.c + + + finsh_init.c + 1 + ..\..\components\finsh\finsh_init.c + + + finsh_node.c + 1 + ..\..\components\finsh\finsh_node.c + + + finsh_ops.c + 1 + ..\..\components\finsh\finsh_ops.c + + + finsh_parser.c + 1 + ..\..\components\finsh\finsh_parser.c + + + finsh_var.c + 1 + ..\..\components\finsh\finsh_var.c + + + finsh_vm.c + 1 + ..\..\components\finsh\finsh_vm.c + + + finsh_token.c + 1 + ..\..\components\finsh\finsh_token.c + + + + + libc + + + libc.c + 1 + ..\..\components\libc\compilers\armlibc\libc.c + + + mem_std.c + 1 + ..\..\components\libc\compilers\armlibc\mem_std.c + + + stdio.c + 1 + ..\..\components\libc\compilers\armlibc\stdio.c + + + stubs.c + 1 + ..\..\components\libc\compilers\armlibc\stubs.c + + + time.c + 1 + ..\..\components\libc\compilers\armlibc\time.c + + + gmtime_r.c + 1 + ..\..\components\libc\compilers\common\gmtime_r.c + + + + + + + + + + + + + +
    diff --git a/bsp/swm320-lq100/rtconfig.h b/bsp/swm320-lq100/rtconfig.h new file mode 100644 index 0000000000..cd9c43e33e --- /dev/null +++ b/bsp/swm320-lq100/rtconfig.h @@ -0,0 +1,212 @@ +#ifndef RT_CONFIG_H__ +#define RT_CONFIG_H__ + +/* Automatically generated file; DO NOT EDIT. */ +/* RT-Thread Configuration */ + +/* RT-Thread Kernel */ + +#define RT_NAME_MAX 8 +#define RT_ALIGN_SIZE 4 +#define RT_THREAD_PRIORITY_32 +#define RT_THREAD_PRIORITY_MAX 32 +#define RT_TICK_PER_SECOND 100 +#define RT_USING_OVERFLOW_CHECK +#define RT_USING_HOOK +#define RT_USING_IDLE_HOOK +#define RT_IDEL_HOOK_LIST_SIZE 4 +#define IDLE_THREAD_STACK_SIZE 256 +#define RT_DEBUG + +/* Inter-Thread communication */ + +#define RT_USING_SEMAPHORE +#define RT_USING_MUTEX +#define RT_USING_EVENT +#define RT_USING_MAILBOX +#define RT_USING_MESSAGEQUEUE + +/* Memory Management */ + +#define RT_USING_MEMPOOL +#define RT_USING_MEMHEAP +#define RT_USING_SMALL_MEM +#define RT_USING_HEAP + +/* Kernel Device Object */ + +#define RT_USING_DEVICE +#define RT_USING_CONSOLE +#define RT_CONSOLEBUF_SIZE 128 +#define RT_CONSOLE_DEVICE_NAME "uart0" +#define RT_VER_NUM 0x40000 + +/* RT-Thread Components */ + +#define RT_USING_COMPONENTS_INIT +#define RT_USING_USER_MAIN +#define RT_MAIN_THREAD_STACK_SIZE 2048 +#define RT_MAIN_THREAD_PRIORITY 10 + +/* C++ features */ + + +/* Command shell */ + +#define RT_USING_FINSH +#define FINSH_THREAD_NAME "tshell" +#define FINSH_USING_HISTORY +#define FINSH_HISTORY_LINES 5 +#define FINSH_USING_SYMTAB +#define FINSH_USING_DESCRIPTION +#define FINSH_THREAD_PRIORITY 20 +#define FINSH_THREAD_STACK_SIZE 4096 +#define FINSH_CMD_SIZE 80 +#define FINSH_USING_MSH +#define FINSH_USING_MSH_DEFAULT +#define FINSH_ARG_MAX 10 + +/* Device virtual file system */ + +#define RT_USING_DFS +#define DFS_USING_WORKDIR +#define DFS_FILESYSTEMS_MAX 8 +#define DFS_FILESYSTEM_TYPES_MAX 8 +#define DFS_FD_MAX 8 +#define RT_USING_DFS_ELMFAT + +/* elm-chan's FatFs, Generic FAT Filesystem Module */ + +#define RT_DFS_ELM_CODE_PAGE 437 +#define RT_DFS_ELM_WORD_ACCESS +#define RT_DFS_ELM_USE_LFN_3 +#define RT_DFS_ELM_USE_LFN 3 +#define RT_DFS_ELM_MAX_LFN 255 +#define RT_DFS_ELM_DRIVES 2 +#define RT_DFS_ELM_MAX_SECTOR_SIZE 4096 +#define RT_DFS_ELM_REENTRANT +#define RT_USING_DFS_DEVFS + +/* Device Drivers */ + +#define RT_USING_DEVICE_IPC +#define RT_PIPE_BUFSZ 512 +#define RT_USING_SERIAL +#define RT_SERIAL_USING_DMA +#define RT_USING_HWTIMER +#define RT_USING_I2C +#define RT_USING_I2C_BITOPS +#define RT_USING_PIN +#define RT_USING_PWM +#define RT_USING_MTD_NOR +#define RT_USING_RTC +#define RT_USING_SPI +#define RT_USING_WDT + +/* Using WiFi */ + + +/* Using USB */ + + +/* POSIX layer and C standard library */ + +#define RT_USING_LIBC + +/* Network */ + +/* Socket abstraction layer */ + + +/* light weight TCP/IP stack */ + + +/* Modbus master and slave stack */ + + +/* AT commands */ + + +/* VBUS(Virtual Software BUS) */ + + +/* Utilities */ + + +/* RT-Thread online packages */ + +/* IoT - internet of things */ + + +/* Wi-Fi */ + +/* Marvell WiFi */ + + +/* Wiced WiFi */ + + +/* IoT Cloud */ + + +/* security packages */ + + +/* language packages */ + + +/* multimedia packages */ + + +/* tools packages */ + + +/* system packages */ + + +/* peripheral libraries and drivers */ + + +/* miscellaneous packages */ + + +/* sample package */ + +/* samples: kernel and components samples */ + + +/* example package: hello */ + +#define SOC_SWM320VET7 + +/* Hardware Drivers Config */ + +/* On-chip Peripheral Drivers */ + +#define BSP_USING_GPIO + +/* UART Drivers */ + +#define BSP_USING_UART0 + +/* SPI Drivers */ + + +/* I2C Drivers */ + + +/* PWM module */ + + +/* RTC module */ + +/* RTC SET */ + + +/* Onboard Peripheral Drivers */ + + +/* Offboard Peripheral Drivers */ + + +#endif diff --git a/bsp/swm320-lq100/rtconfig.py b/bsp/swm320-lq100/rtconfig.py new file mode 100644 index 0000000000..d60feb85dc --- /dev/null +++ b/bsp/swm320-lq100/rtconfig.py @@ -0,0 +1,92 @@ +# BSP Note: For TI EK-TM4C1294XL Tiva C Series Connected LancuhPad (REV D) + +import os +import sys +# toolchains options +CROSS_TOOL = 'gcc' + +if os.getenv('RTT_CC'): + CROSS_TOOL = os.getenv('RTT_CC') +# device options +ARCH = 'arm' +CPU = 'cortex-m4' +FPU = 'fpv4-sp-d16' +FLOAT_ABI = 'softfp' + +# cross_tool provides the cross compiler +# EXEC_PATH is the compiler execute path, for example, CodeSourcery, Keil MDK, IAR +if CROSS_TOOL == 'gcc': + PLATFORM = 'gcc' + EXEC_PATH = '/Users/zhangyihong/.env/gcc-arm-none-eabi-5_4-2016q3/bin' +elif CROSS_TOOL == 'keil': + PLATFORM = 'armcc' + EXEC_PATH = 'C:/Keil_v5' +elif CROSS_TOOL == 'iar': + print("Not support gcc now\n") + exit(0) + +if os.getenv('RTT_EXEC_PATH'): + EXEC_PATH = os.getenv('RTT_EXEC_PATH') + +BUILD = 'debug' +#BUILD = 'release' + +if PLATFORM == 'gcc': + PREFIX = 'arm-none-eabi-' + CC = PREFIX + 'gcc' + AS = PREFIX + 'gcc' + AR = PREFIX + 'ar' + LINK = PREFIX + 'gcc' + TARGET_EXT = 'elf' + SIZE = PREFIX + 'size' + OBJDUMP = PREFIX + 'objdump' + OBJCPY = PREFIX + 'objcopy' + + DEVICE = ' -mcpu=' + CPU + ' -mthumb -mfpu=' + FPU + ' -mfloat-abi=' + \ + FLOAT_ABI + ' -ffunction-sections -fdata-sections' + CFLAGS = DEVICE + ' -std=c99' + AFLAGS = ' -c' + DEVICE + ' -x assembler-with-cpp -Wa,-mimplicit-it=thumb ' + LFLAGS = DEVICE + ' -Wl,--gc-sections,-Map=rtthread.map,-cref,-u,Reset_Handler -T link.lds' + + CPATH = '' + LPATH = '' + + if BUILD == 'debug': + CFLAGS += ' -O0 -gdwarf-2 -g' + AFLAGS += ' -gdwarf-2' + else: + CFLAGS += ' -O2' + + POST_ACTION = OBJCPY + ' -O binary $TARGET rtthread.bin\n' + SIZE + ' $TARGET \n' +elif PLATFORM == 'armcc': + # toolchains + CC = 'armcc' + AS = 'armasm' + AR = 'armar' + LINK = 'armlink' + TARGET_EXT = 'axf' + + DEVICE = ' --cpu ' + CPU + '.fp ' + CFLAGS = '-c ' + DEVICE + ' --apcs=interwork --c99' + AFLAGS = DEVICE + ' --apcs=interwork ' + LFLAGS = DEVICE + ' --scatter "link.sct" --info sizes --info totals --info unused --info veneers --list rtthread.map --strict' + + CFLAGS += ' -I' + EXEC_PATH + '/ARM/ARMCC/INC' + LFLAGS += ' --libpath ' + EXEC_PATH + '/ARM/ARMCC/LIB' + + CFLAGS += ' -D__MICROLIB ' + AFLAGS += ' --pd "__MICROLIB SETA 1" ' + LFLAGS += ' --library_type=microlib ' + EXEC_PATH += '/arm/armcc/bin/' + + if BUILD == 'debug': + CFLAGS += ' -g -O0' + AFLAGS += ' -g' + else: + CFLAGS += ' -O2' + + POST_ACTION = 'fromelf --bin $TARGET --output rtthread.bin \nfromelf -z $TARGET' + +elif PLATFORM == 'iar': + print('Not Support iar now\n') + exit(0) diff --git a/bsp/swm320-lq100/template.uvoptx b/bsp/swm320-lq100/template.uvoptx new file mode 100644 index 0000000000..f15d96c406 --- /dev/null +++ b/bsp/swm320-lq100/template.uvoptx @@ -0,0 +1,177 @@ + + + + 1.0 + +
    ### uVision Project, (C) Keil Software
    + + + *.c + *.s*; *.src; *.a* + *.obj; *.o + *.lib + *.txt; *.h; *.inc + *.plm + *.cpp + 0 + + + + 0 + 0 + + + + rt-thread + 0x4 + ARM-ADS + + 12000000 + + 1 + 1 + 0 + 1 + 0 + + + 1 + 65535 + 0 + 0 + 0 + + + 79 + 66 + 8 + .\build\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 0 + 0 + 1 + + 255 + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 0 + 0 + 4 + + + + + + + + + + + Segger\JL2CM3.dll + + + + 0 + UL2CM3 + UL2CM3(-S0 -C0 -P0 -FN1 -FC1000 -FD20000000 -FF0SWM320xE -FL080000 -FS00 -FP0($$Device:SWM320xE$Flash\SWM320xE.FLM) + + + 0 + JL2CM3 + -U801000899 -O78 -S2 -ZTIFSpeedSel5000 -A0 -C0 -JU1 -JI127.0.0.1 -JP0 -RST0 -N00("ARM CoreSight SW-DP") -D00(2BA01477) -L00(0) -TO18 -TC10000000 -TP21 -TDS8002 -TDT0 -TDC1F -TIEFFFFFFFF -TIP8 -TB1 -TFE0 -FO15 -FD20000000 -FC4000 -FN1 -FF0SWM320xE -FS00 -FL080000 + + + + + 0 + + + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + + + + + + +
    diff --git a/bsp/swm320-lq100/template.uvprojx b/bsp/swm320-lq100/template.uvprojx new file mode 100644 index 0000000000..2a630de8b0 --- /dev/null +++ b/bsp/swm320-lq100/template.uvprojx @@ -0,0 +1,389 @@ + + + + 2.1 + +
    ### uVision Project, (C) Keil Software
    + + + + rt-thread + 0x4 + ARM-ADS + 5060528::V5.06 update 5 (build 528)::ARMCC + 0 + + + SWM320xE + Synwit + Synwit.SWM32_DFP.1.6.8 + http://www.synwit.com/pack + IRAM(0x20000000,0x20000) IROM(0x00000000,0x80000) CPUTYPE("Cortex-M4") CLOCK(12000000) ELITTLE + + + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN1 -FF0SWM320xE -FS00 -FL080000 -FP0($$Device:SWM320xE$Flash\SWM320xE.FLM)) + 0 + $$Device:SWM320xE$CSL\SWM320\CMSIS\DeviceSupport\SWM320.h + + + + + + + + + + $$Device:SWM320xE$SVD\SWM320.svd + 0 + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\build\ + rtthread + 1 + 0 + 0 + 1 + 1 + .\build\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 1 + 0 + fromelf --bin !L --output @H.bin + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 1 + + + SARMCM3.DLL + + DCM.DLL + -pCM4 + SARMCM3.DLL + + TCM.DLL + -pCM4 + + + + 1 + 0 + 0 + 0 + 16 + + + + + 1 + 0 + 0 + 1 + 1 + 4096 + + 1 + BIN\UL2CM3.DLL + + + + + + 0 + + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + "Cortex-M4" + + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 8 + 0 + 0 + 0 + 0 + 3 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0x20000 + + + 1 + 0x0 + 0x80000 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x80000 + + + 1 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0x20000 + + + 0 + 0x0 + 0x0 + + + + + + 1 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + + + + + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + + + + + + 0 + 0 + 0 + 0 + 1 + 0 + 0x00000000 + 0x20000000 + + .\drivers\linker_scripts\link.sct + + + + + + + + + + + + + + + + + +
    -- GitLab