diff --git a/MAINTAINERS b/MAINTAINERS index 94e7da48b408ec03d6f71b09d64e27458afbe0f2..f895e9ae68ef66a32acbe1498eb06593501b6b5c 100644 --- a/MAINTAINERS +++ b/MAINTAINERS @@ -74,7 +74,7 @@ Joe D'Abbraccio MPC837xERDB MPC837x -Kári Davíðsson +Kári Davíðsson FLAGADM MPC823 @@ -601,7 +601,7 @@ Rishi Bhattacharya omap5912osk ARM926EJS -Andreas Bießmann +Andreas Bießmann at91rm9200ek at91rm9200 grasshopper avr32 @@ -664,7 +664,7 @@ Simon Guinot netspace_v2 ARM926EJS (Kirkwood SoC) netspace_max_v2 ARM926EJS (Kirkwood SoC) -Marius Gröger +Marius Gröger impa7 ARM720T (EP7211) ep7312 ARM720T (EP7312) @@ -752,7 +752,7 @@ Nishanth Menon omap3_sdp3430 ARM ARMV7 (OMAP3xx SoC) omap3_zoom1 ARM ARMV7 (OMAP3xx SoC) -David Müller +David Müller smdk2410 ARM920T VCMA9 ARM920T @@ -910,7 +910,7 @@ Richard Woodruff omap2420h4 ARM1136EJS -Alex Züpke +Alex Züpke lart SA1100 dnp1110 SA1110 diff --git a/arch/arm/cpu/arm1136/start.S b/arch/arm/cpu/arm1136/start.S index f5a1134e1c2758da7b016a65c8d0b8dd7bd902db..c0db96cb15455e172f58821ebb7bb2ba64ebca31 100644 --- a/arch/arm/cpu/arm1136/start.S +++ b/arch/arm/cpu/arm1136/start.S @@ -3,8 +3,8 @@ * * Copyright (c) 2004 Texas Instruments * - * Copyright (c) 2001 Marius Gröger - * Copyright (c) 2002 Alex Züpke + * Copyright (c) 2001 Marius Gröger + * Copyright (c) 2002 Alex Züpke * Copyright (c) 2002 Gary Jennejohn * Copyright (c) 2003 Richard Woodruff * Copyright (c) 2003 Kshitij diff --git a/arch/arm/cpu/arm720t/start.S b/arch/arm/cpu/arm720t/start.S index ecb92ef216063dd6c70a39fbc16ed007984b683f..e9a094fcbd3578b302857b4366c86a2a545d9d54 100644 --- a/arch/arm/cpu/arm720t/start.S +++ b/arch/arm/cpu/arm720t/start.S @@ -1,8 +1,8 @@ /* * armboot - Startup Code for ARM720 CPU-core * - * Copyright (c) 2001 Marius Gröger - * Copyright (c) 2002 Alex Züpke + * Copyright (c) 2001 Marius Gröger + * Copyright (c) 2002 Alex Züpke * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/arm/cpu/arm920t/start.S b/arch/arm/cpu/arm920t/start.S index 0090f894d942e12afd176265da91bbf35046dc45..c3084208e1cf2821389151bb8b3535404186bbd3 100644 --- a/arch/arm/cpu/arm920t/start.S +++ b/arch/arm/cpu/arm920t/start.S @@ -1,8 +1,8 @@ /* * armboot - Startup Code for ARM920 CPU-core * - * Copyright (c) 2001 Marius Gröger - * Copyright (c) 2002 Alex Züpke + * Copyright (c) 2001 Marius Gröger + * Copyright (c) 2002 Alex Züpke * Copyright (c) 2002 Gary Jennejohn * * See file CREDITS for list of people who contributed to this diff --git a/arch/arm/cpu/arm925t/start.S b/arch/arm/cpu/arm925t/start.S index 23ccf31b76e8dbd774a1d6c9d5cc549f074a54d7..17cf5dcae6e01979f1594aa90f63c6bc13577e63 100644 --- a/arch/arm/cpu/arm925t/start.S +++ b/arch/arm/cpu/arm925t/start.S @@ -5,8 +5,8 @@ * * ----- Adapted for OMAP1510 from ARM920 code ------ * - * Copyright (c) 2001 Marius Gröger - * Copyright (c) 2002 Alex Züpke + * Copyright (c) 2001 Marius Gröger + * Copyright (c) 2002 Alex Züpke * Copyright (c) 2002 Gary Jennejohn * Copyright (c) 2003 Richard Woodruff * Copyright (c) 2003 Kshitij diff --git a/arch/arm/cpu/arm926ejs/omap/reset.S b/arch/arm/cpu/arm926ejs/omap/reset.S index 4b20756d1b69f1f47305b3a6290aa291ccf013eb..8321072f41659a235dae672301cef82d0aa6d65a 100644 --- a/arch/arm/cpu/arm926ejs/omap/reset.S +++ b/arch/arm/cpu/arm926ejs/omap/reset.S @@ -5,8 +5,8 @@ * * ----- Adapted for OMAP1610 OMAP730 from ARM925t code ------ * - * Copyright (c) 2001 Marius Gröger - * Copyright (c) 2002 Alex Züpke + * Copyright (c) 2001 Marius Gröger + * Copyright (c) 2002 Alex Züpke * Copyright (c) 2002 Gary Jennejohn * Copyright (c) 2003 Richard Woodruff * Copyright (c) 2003 Kshitij diff --git a/arch/arm/cpu/arm926ejs/start.S b/arch/arm/cpu/arm926ejs/start.S index 636be7557cb0ab1839e8ab893faf04523e084429..16e35c288c1a9257021b8e579f86b3f14e6949fe 100644 --- a/arch/arm/cpu/arm926ejs/start.S +++ b/arch/arm/cpu/arm926ejs/start.S @@ -5,8 +5,8 @@ * * ----- Adapted for OMAP1610 OMAP730 from ARM925t code ------ * - * Copyright (c) 2001 Marius Gröger - * Copyright (c) 2002 Alex Züpke + * Copyright (c) 2001 Marius Gröger + * Copyright (c) 2002 Alex Züpke * Copyright (c) 2002 Gary Jennejohn * Copyright (c) 2003 Richard Woodruff * Copyright (c) 2003 Kshitij diff --git a/arch/arm/cpu/arm926ejs/versatile/reset.S b/arch/arm/cpu/arm926ejs/versatile/reset.S index 4b20756d1b69f1f47305b3a6290aa291ccf013eb..8321072f41659a235dae672301cef82d0aa6d65a 100644 --- a/arch/arm/cpu/arm926ejs/versatile/reset.S +++ b/arch/arm/cpu/arm926ejs/versatile/reset.S @@ -5,8 +5,8 @@ * * ----- Adapted for OMAP1610 OMAP730 from ARM925t code ------ * - * Copyright (c) 2001 Marius Gröger - * Copyright (c) 2002 Alex Züpke + * Copyright (c) 2001 Marius Gröger + * Copyright (c) 2002 Alex Züpke * Copyright (c) 2002 Gary Jennejohn * Copyright (c) 2003 Richard Woodruff * Copyright (c) 2003 Kshitij diff --git a/arch/arm/cpu/arm946es/start.S b/arch/arm/cpu/arm946es/start.S index 09374d51baae55924369ea7ac5451414f4603a39..89ba5587e1380fa90ba94782da9ce390dd7e58da 100644 --- a/arch/arm/cpu/arm946es/start.S +++ b/arch/arm/cpu/arm946es/start.S @@ -5,8 +5,8 @@ * * ----- Adapted for OMAP1610 OMAP730 from ARM925t code ------ * - * Copyright (c) 2001 Marius Gröger - * Copyright (c) 2002 Alex Züpke + * Copyright (c) 2001 Marius Gröger + * Copyright (c) 2002 Alex Züpke * Copyright (c) 2002 Gary Jennejohn * Copyright (c) 2003 Richard Woodruff * Copyright (c) 2003 Kshitij diff --git a/arch/arm/cpu/arm_intcm/start.S b/arch/arm/cpu/arm_intcm/start.S index 1a93277aa0a04d77660c5d2546e85d14b11df231..ba96208c634e3b5a7ee99b3b44267feedffa13b1 100644 --- a/arch/arm/cpu/arm_intcm/start.S +++ b/arch/arm/cpu/arm_intcm/start.S @@ -5,8 +5,8 @@ * * ----- Adapted for OMAP1610 OMAP730 from ARM925t code ------ * - * Copyright (c) 2001 Marius Gröger - * Copyright (c) 2002 Alex Züpke + * Copyright (c) 2001 Marius Gröger + * Copyright (c) 2002 Alex Züpke * Copyright (c) 2002 Gary Jennejohn * Copyright (c) 2003 Richard Woodruff * Copyright (c) 2003 Kshitij diff --git a/arch/arm/cpu/ixp/npe/include/IxAtmdAccCtrl.h b/arch/arm/cpu/ixp/npe/include/IxAtmdAccCtrl.h index e2230493f2fa9196f1dc55ec3bd5d9ddb0f48c94..50ef582f73dc24a7b2fcf816f893601ada230ed3 100644 --- a/arch/arm/cpu/ixp/npe/include/IxAtmdAccCtrl.h +++ b/arch/arm/cpu/ixp/npe/include/IxAtmdAccCtrl.h @@ -975,7 +975,7 @@ typedef struct * @li 1 - Generate HEC. * @li 0 - Disable HEC generation. */ - unsigned int txCOSET:1; /**< [24] If enabled the HEC is Exclusive-ORÆed with the value 0x55 before + unsigned int txCOSET:1; /**< [24] If enabled the HEC is Exclusive-OR'ed with the value 0x55 before * being presented on the Utopia bus. * @li 1 - Enable HEC ExOR with value 0x55 * @li 0 - Use generated HEC value. @@ -1302,7 +1302,7 @@ typedef struct @li 1 - HEC checking enabled @li 0 - HEC checking disabled */ - unsigned int rxCOSET:1; /**< [25] If enabled the HEC is Exclusive-ORÆed with the value 0x55 + unsigned int rxCOSET:1; /**< [25] If enabled the HEC is Exclusive-OR'ed with the value 0x55 before being tested with the received HEC. @li 1 - Enable HEC ExOR with value 0x55. @li 0 - Use generated HEC value.*/ @@ -1320,9 +1320,9 @@ typedef struct unsigned int rxHashEnbGFC:1; /**< [15] Specifies if the VPI field [11:8]/GFC field should be included in the Hash data input or if the bits should be padded - with 1Æb0. + with 1'b0. @li 1 - VPI [11:8]/GFC field valid and used in Hash residue calculation. - @li 0 - VPI [11:8]/GFC field padded with 1Æb0 */ + @li 0 - VPI [11:8]/GFC field padded with 1'b0 */ unsigned int rxPreHash:1; /**< [14] Enable Pre-hash value generation. Specifies if the incoming cell data should be pre-hashed to allow VPI/VCI header look-up @@ -1489,10 +1489,10 @@ typedef struct unsigned int invalidSizeOvrInt:1; /**< [9] Enable CBI Receive Status Condition if the InvalidSizeCount register overflows. @li 1 - CBI Receive Status Condition asserted. - @li¸0 - No CBI Receive Status asserted */ + @li 0 - No CBI Receive Status asserted */ unsigned int rxIdleOvrInt:1; /**< [8] Enable CBI Receive Status Condition if the RxIdleCount overflows. - @li 1 - CBI Receive Condition asserted. + @li 1 - CBI Receive Condition asserted. @li 0 - No CBI Receive Condition asserted */ unsigned int reserved_2:3; /**< [7:5] These bits are always 0 */ @@ -1679,7 +1679,7 @@ typedef struct unsigned int txReset:1; /**< [25] Resets the Utopia Coprocessor transmit module to a known state. * @li Note: All transmit configuration and status registers will be reset * to their reset values. - * @li 0 - Normal operating mode¸ + * @li 0 - Normal operating mode * @li 1 - Reset transmit modules */ diff --git a/arch/arm/cpu/ixp/npe/include/IxOsal.h b/arch/arm/cpu/ixp/npe/include/IxOsal.h index b2a93a5dba1833c79dcf22ff2db108ddcce99367..4ca2e45f607ba46e5941a4a107d586770b350411 100644 --- a/arch/arm/cpu/ixp/npe/include/IxOsal.h +++ b/arch/arm/cpu/ixp/npe/include/IxOsal.h @@ -250,7 +250,7 @@ PUBLIC void ixOsalIrqUnlock (UINT32 irqEnable); * * @brief Selectively disables interrupts * - * @param irqLevel ­ new interrupt level + * @param irqLevel - new interrupt level * * Disables the interrupts below the specified interrupt level * @@ -269,7 +269,7 @@ PUBLIC UINT32 ixOsalIrqLevelSet (UINT32 irqLevel); * * @brief Enables an interrupt level * - * @param irqLevel ­ interrupt level to enable + * @param irqLevel - interrupt level to enable * * Enables the specified interrupt level * @@ -285,7 +285,7 @@ PUBLIC void ixOsalIrqEnable (UINT32 irqLevel); * * @brief Disables an interrupt level * - * @param irqLevel ­ interrupt level to disable + * @param irqLevel - interrupt level to disable * * Disables the specified interrupt level * diff --git a/arch/arm/cpu/lh7a40x/start.S b/arch/arm/cpu/lh7a40x/start.S index 14e985457dd46b1ff806a057deab8a357c6ebca4..62de8b8fc70d8354fd6c294c61545f2db7d1dcb7 100644 --- a/arch/arm/cpu/lh7a40x/start.S +++ b/arch/arm/cpu/lh7a40x/start.S @@ -1,8 +1,8 @@ /* * armboot - Startup Code for ARM920 CPU-core * - * Copyright (c) 2001 Marius Gröger - * Copyright (c) 2002 Alex Züpke + * Copyright (c) 2001 Marius Gröger + * Copyright (c) 2002 Alex Züpke * Copyright (c) 2002 Gary Jennejohn * * See file CREDITS for list of people who contributed to this diff --git a/arch/arm/cpu/sa1100/start.S b/arch/arm/cpu/sa1100/start.S index 7223c471b65fdb5efada8c3f41437b5107ce28c8..92546d8ba751ffae79c334e862ecb3ff0b0b29f6 100644 --- a/arch/arm/cpu/sa1100/start.S +++ b/arch/arm/cpu/sa1100/start.S @@ -4,7 +4,7 @@ * Copyright (C) 1998 Dan Malek * Copyright (C) 1999 Magnus Damm * Copyright (C) 2000 Wolfgang Denk - * Copyright (c) 2001 Alex Züpke + * Copyright (c) 2001 Alex Züpke * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/arm/include/asm/arch-s3c24x0/s3c2400.h b/arch/arm/include/asm/arch-s3c24x0/s3c2400.h index 2678be15489864efe5871faaf6c6820cec9fe999..5b905f51c0bd29003fb9d4a2d8d40025c67bd5f1 100644 --- a/arch/arm/include/asm/arch-s3c24x0/s3c2400.h +++ b/arch/arm/include/asm/arch-s3c24x0/s3c2400.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2003 - * David Müller ELSOFT AG Switzerland. d.mueller@elsoft.ch + * David Müller ELSOFT AG Switzerland. d.mueller@elsoft.ch * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/arm/include/asm/arch-s3c24x0/s3c2410.h b/arch/arm/include/asm/arch-s3c24x0/s3c2410.h index 0543fe1565ebd340d109ba02695548cd4b8e0426..4fbdf20b6ff17849e790f18e6fe920138c80bea1 100644 --- a/arch/arm/include/asm/arch-s3c24x0/s3c2410.h +++ b/arch/arm/include/asm/arch-s3c24x0/s3c2410.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2003 - * David Müller ELSOFT AG Switzerland. d.mueller@elsoft.ch + * David Müller ELSOFT AG Switzerland. d.mueller@elsoft.ch * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/arm/include/asm/arch-s3c24x0/s3c24x0.h b/arch/arm/include/asm/arch-s3c24x0/s3c24x0.h index f634d112964354b957c6d2a0bd5f19f00908135d..ca978c9bbcce95b91fe43ede0b267f84ff58f7da 100644 --- a/arch/arm/include/asm/arch-s3c24x0/s3c24x0.h +++ b/arch/arm/include/asm/arch-s3c24x0/s3c24x0.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2003 - * David Müller ELSOFT AG Switzerland. d.mueller@elsoft.ch + * David Müller ELSOFT AG Switzerland. d.mueller@elsoft.ch * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/powerpc/cpu/mpc8260/cpu_init.c b/arch/powerpc/cpu/mpc8260/cpu_init.c index 1d527734456d7c878825a4877ef9ad455039f5ec..acd48a9f553def27b6ab70bd3948c4dca0dffc1d 100644 --- a/arch/powerpc/cpu/mpc8260/cpu_init.c +++ b/arch/powerpc/cpu/mpc8260/cpu_init.c @@ -182,7 +182,7 @@ void cpu_init_f (volatile immap_t * immr) #endif /* now restrict to preliminary range */ - /* the PS came from the HRCW, don´t change it */ + /* the PS came from the HRCW, don't change it */ memctl->memc_br0 = SET_VAL_MASK(memctl->memc_br0 , CONFIG_SYS_BR0_PRELIM, BRx_PS_MSK); memctl->memc_or0 = CONFIG_SYS_OR0_PRELIM; diff --git a/arch/sparc/cpu/leon3/usb_uhci.c b/arch/sparc/cpu/leon3/usb_uhci.c index b1269d26002a10fd22638dc98fd4d93d6c14520a..358e52afc2ded388c350a5555de90f1c3466fe58 100644 --- a/arch/sparc/cpu/leon3/usb_uhci.c +++ b/arch/sparc/cpu/leon3/usb_uhci.c @@ -20,7 +20,7 @@ * * Adapted for U-Boot: * (C) Copyright 2001 Denis Peter, MPL AG Switzerland - * (C) Copyright 2008, Daniel Hellström, daniel@gaisler.com + * (C) Copyright 2008, Daniel Hellström, daniel@gaisler.com * Added AMBA Plug&Play detection of GRUSB, modified interrupt handler. * Added cache flushes where needed. * diff --git a/arch/x86/cpu/Makefile b/arch/x86/cpu/Makefile index ddde83c91de61111e3f039db1512d6ce4255694b..7f1fc188cb796b1c5a13b87f098e49b9edea21b8 100644 --- a/arch/x86/cpu/Makefile +++ b/arch/x86/cpu/Makefile @@ -3,7 +3,7 @@ # Wolfgang Denk, DENX Software Engineering, wd@denx.de. # # (C) Copyright 2002 -# Daniel Engström, Omicron Ceti AB, daniel@omicron.se. +# Daniel Engström, Omicron Ceti AB, daniel@omicron.se. # # See file CREDITS for list of people who contributed to this # project. diff --git a/arch/x86/cpu/config.mk b/arch/x86/cpu/config.mk index d1b528a43797122908c730ef5a0a0309241158f9..c00f867639293a5ce96b1611e646a93b9407e46b 100644 --- a/arch/x86/cpu/config.mk +++ b/arch/x86/cpu/config.mk @@ -1,6 +1,6 @@ # # (C) Copyright 2002 -# Daniel Engström, Omicron Ceti AB, daniel@omicron.se. +# Daniel Engström, Omicron Ceti AB, daniel@omicron.se. # # See file CREDITS for list of people who contributed to this # project. diff --git a/arch/x86/cpu/cpu.c b/arch/x86/cpu/cpu.c index 0c5d7c3d53a437a3a8f7ead925fe9862ff52658b..cac12c088c519fb5121dca9a15a7292ec99b661f 100644 --- a/arch/x86/cpu/cpu.c +++ b/arch/x86/cpu/cpu.c @@ -3,7 +3,7 @@ * Graeme Russ, * * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * (C) Copyright 2002 * Sysgo Real-Time Solutions, GmbH diff --git a/arch/x86/cpu/interrupts.c b/arch/x86/cpu/interrupts.c index 62bcadc486a5671edc1fc99d41c4cea476de8c2f..c6e72eaa630959e3527514bfe9411ba809fe8961 100644 --- a/arch/x86/cpu/interrupts.c +++ b/arch/x86/cpu/interrupts.c @@ -3,7 +3,7 @@ * Graeme Russ, * * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * Portions of this file are derived from the Linux kernel source * Copyright (C) 1991, 1992 Linus Torvalds @@ -246,7 +246,7 @@ void irq_llsr(struct irq_regs *regs) { /* * For detailed description of each exception, refer to: - * Intel® 64 and IA-32 Architectures Software Developer's Manual + * Intel® 64 and IA-32 Architectures Software Developer's Manual * Volume 1: Basic Architecture * Order Number: 253665-029US, November 2008 * Table 6-1. Exceptions and Interrupts diff --git a/arch/x86/cpu/resetvec.S b/arch/x86/cpu/resetvec.S index c690d2516e7b1a2857643898577b06a29e149017..44aee5f6234d4521482d8ad3fc29e6952b61abe7 100644 --- a/arch/x86/cpu/resetvec.S +++ b/arch/x86/cpu/resetvec.S @@ -2,7 +2,7 @@ * U-boot - x86 Startup Code * * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/cpu/sc520/Makefile b/arch/x86/cpu/sc520/Makefile index 694b61ee423e3f18c188f0d7c6e52174fa61f9a6..f462264b8db65fca92ef6a5b1886f58bfa4a8681 100644 --- a/arch/x86/cpu/sc520/Makefile +++ b/arch/x86/cpu/sc520/Makefile @@ -6,7 +6,7 @@ # Wolfgang Denk, DENX Software Engineering, wd@denx.de. # # (C) Copyright 2002 -# Daniel Engström, Omicron Ceti AB, daniel@omicron.se. +# Daniel Engström, Omicron Ceti AB, daniel@omicron.se. # # See file CREDITS for list of people who contributed to this # project. diff --git a/arch/x86/cpu/sc520/sc520.c b/arch/x86/cpu/sc520/sc520.c index 726a32535829806b25e94ba3583c9592c91d528c..e37c4037dd0571205a7a925713d6b646de049978 100644 --- a/arch/x86/cpu/sc520/sc520.c +++ b/arch/x86/cpu/sc520/sc520.c @@ -3,7 +3,7 @@ * Graeme Russ, * * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/cpu/sc520/sc520_pci.c b/arch/x86/cpu/sc520/sc520_pci.c index 8cd7ffecdb692634bf923e6595a6342ff493e982..32d4802ebad3b2571b8f0c1596b3f927fa380a9b 100644 --- a/arch/x86/cpu/sc520/sc520_pci.c +++ b/arch/x86/cpu/sc520/sc520_pci.c @@ -3,7 +3,7 @@ * Graeme Russ, * * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/cpu/sc520/sc520_reset.c b/arch/x86/cpu/sc520/sc520_reset.c index 79ef976838c067da3c364899519ca1e8b4e0cade..18890c3a537c3d41ccc80eb2d8f71cfdb2cd9aca 100644 --- a/arch/x86/cpu/sc520/sc520_reset.c +++ b/arch/x86/cpu/sc520/sc520_reset.c @@ -3,7 +3,7 @@ * Graeme Russ, * * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/cpu/sc520/sc520_ssi.c b/arch/x86/cpu/sc520/sc520_ssi.c index ac58d259705e24e96983376fd32b593397754422..47aa80bfce7bbbacdda576fa5a6a753e194ac982 100644 --- a/arch/x86/cpu/sc520/sc520_ssi.c +++ b/arch/x86/cpu/sc520/sc520_ssi.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/cpu/sc520/sc520_timer.c b/arch/x86/cpu/sc520/sc520_timer.c index 1bcfe67c947e28c70d5188e5ab251f4b2365689f..5cccda1f2870b29dd70fe2177a24b78c1973df27 100644 --- a/arch/x86/cpu/sc520/sc520_timer.c +++ b/arch/x86/cpu/sc520/sc520_timer.c @@ -3,7 +3,7 @@ * Graeme Russ, * * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/cpu/start.S b/arch/x86/cpu/start.S index 6c84c43f06aa917c466f50c58b40020c8fcc3284..306fb496170a68c6a0ba01717472615d5135f4f2 100644 --- a/arch/x86/cpu/start.S +++ b/arch/x86/cpu/start.S @@ -5,7 +5,7 @@ * Graeme Russ, * * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/cpu/start16.S b/arch/x86/cpu/start16.S index f1b9d0a0c9719f0c9946bc4243c43802a529323d..3d3017a0eb963c32ba29d164fcd1188cb247b994 100644 --- a/arch/x86/cpu/start16.S +++ b/arch/x86/cpu/start16.S @@ -5,7 +5,7 @@ * Graeme Russ, * * (C) Copyright 2002,2003 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/cpu/u-boot.lds b/arch/x86/cpu/u-boot.lds index 55974228b50b257817ef4863fc5d75f8abad2c22..fe28030d849a8e143ec75f9a7994a3391c49c5c7 100644 --- a/arch/x86/cpu/u-boot.lds +++ b/arch/x86/cpu/u-boot.lds @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, daniel@omicron.se. + * Daniel Engström, Omicron Ceti AB, daniel@omicron.se. * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/include/asm/i8254.h b/arch/x86/include/asm/i8254.h index aafdfb8060d9d9c7344400cce63c862c15e77432..c9e9e7d7d87944939d076308beea07acde1fe432 100644 --- a/arch/x86/include/asm/i8254.h +++ b/arch/x86/include/asm/i8254.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, daniel@omicron.se. + * Daniel Engström, Omicron Ceti AB, daniel@omicron.se. * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/include/asm/i8259.h b/arch/x86/include/asm/i8259.h index 774d7a31e790f84aa3fd1b874dbfaad8c3a8d130..c494ff00f4f638b077952a5e30548a23b4594df1 100644 --- a/arch/x86/include/asm/i8259.h +++ b/arch/x86/include/asm/i8259.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, daniel@omicron.se. + * Daniel Engström, Omicron Ceti AB, daniel@omicron.se. * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/include/asm/ibmpc.h b/arch/x86/include/asm/ibmpc.h index e35cbd887ad611891abe2b00806faaa5238c1dbd..cd3995e80cd91da35e0e13fb078035abfdb616bd 100644 --- a/arch/x86/include/asm/ibmpc.h +++ b/arch/x86/include/asm/ibmpc.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, daniel@omicron.se + * Daniel Engström, Omicron Ceti AB, daniel@omicron.se * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/include/asm/ic/pci.h b/arch/x86/include/asm/ic/pci.h index 2e4376b9b0383ea66bc7bf706b6a260ec07748ee..12ba656ff054a8f0c36f87bb5ed164b4947a96eb 100644 --- a/arch/x86/include/asm/ic/pci.h +++ b/arch/x86/include/asm/ic/pci.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB . + * Daniel Engström, Omicron Ceti AB . * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/include/asm/ic/sc520.h b/arch/x86/include/asm/ic/sc520.h index 956c1c26360682747a17062ee8b6cf87e112f0da..5ac9bb81d41936778676df9d1a1e5b9b05f3fb7d 100644 --- a/arch/x86/include/asm/ic/sc520.h +++ b/arch/x86/include/asm/ic/sc520.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB . + * Daniel Engström, Omicron Ceti AB . * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/include/asm/interrupt.h b/arch/x86/include/asm/interrupt.h index f33f8ed44a02fb19e0a371bcb0e2e853613ad667..5320bfd9c17ab2ecedd9ddce5cf0d09f21b7a9b0 100644 --- a/arch/x86/include/asm/interrupt.h +++ b/arch/x86/include/asm/interrupt.h @@ -3,7 +3,7 @@ * Graeme Russ, graeme.russ@gmail.com * * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, daniel@omicron.se + * Daniel Engström, Omicron Ceti AB, daniel@omicron.se * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/include/asm/pci.h b/arch/x86/include/asm/pci.h index 050a2bb8683ed0df47dd358ebb3563691963bbef..85f60d77f028db8023d9f737a6a2c4de28f41124 100644 --- a/arch/x86/include/asm/pci.h +++ b/arch/x86/include/asm/pci.h @@ -2,7 +2,7 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, daniel@omicron.se + * Daniel Engström, Omicron Ceti AB, daniel@omicron.se * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/include/asm/processor.h b/arch/x86/include/asm/processor.h index 22a129813cd396dac842aa75c3ce0ceef9b0c548..1e5dccd342c9a649ab6d86c13dc6d56c701d7244 100644 --- a/arch/x86/include/asm/processor.h +++ b/arch/x86/include/asm/processor.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, daniel@omicron.se + * Daniel Engström, Omicron Ceti AB, daniel@omicron.se * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/include/asm/realmode.h b/arch/x86/include/asm/realmode.h index 9177e4ec0d4cc609e2f5bac182f2cb85ac927b1c..c62310e3cd4ec23e9abaecd52a0c0a1ca8448cdf 100644 --- a/arch/x86/include/asm/realmode.h +++ b/arch/x86/include/asm/realmode.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, daniel@omicron.se + * Daniel Engström, Omicron Ceti AB, daniel@omicron.se * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/include/asm/u-boot-x86.h b/arch/x86/include/asm/u-boot-x86.h index 4f0d2c7e7e316e2907331a274d8a8e7e9c2df69c..accc8fa4c7a85d41c85912a197c83da3544acd04 100644 --- a/arch/x86/include/asm/u-boot-x86.h +++ b/arch/x86/include/asm/u-boot-x86.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, daniel@omicron.se. + * Daniel Engström, Omicron Ceti AB, daniel@omicron.se. * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/include/asm/zimage.h b/arch/x86/include/asm/zimage.h index b6266e456a594dc2cca9db0fbd93c970138cbee2..a02637ff61920594ed459336175a2a7354350f19 100644 --- a/arch/x86/include/asm/zimage.h +++ b/arch/x86/include/asm/zimage.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, daniel@omicron.se + * Daniel Engström, Omicron Ceti AB, daniel@omicron.se * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/lib/bios.S b/arch/x86/lib/bios.S index 660a24439474463e08a2ac47c6e2ae6800cfadfe..ce8deb5377fc923be0b8eeeb223dcbecb354f712 100644 --- a/arch/x86/lib/bios.S +++ b/arch/x86/lib/bios.S @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/lib/bios.h b/arch/x86/lib/bios.h index 3c8d61a6f4511cbad0eba6645b7262b2499ee7bf..4a9cdb591b7deb88f8a441765502ad2c2962ba07 100644 --- a/arch/x86/lib/bios.h +++ b/arch/x86/lib/bios.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/lib/bios_pci.S b/arch/x86/lib/bios_pci.S index 9e412e5e4c460a419aa50ef6de7872bac77f03ae..53d2ea047afd1c8549553229f4db06bacd5eb241 100644 --- a/arch/x86/lib/bios_pci.S +++ b/arch/x86/lib/bios_pci.S @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, daniel@omicron.se + * Daniel Engström, Omicron Ceti AB, daniel@omicron.se * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/lib/bios_setup.c b/arch/x86/lib/bios_setup.c index 6949b35069b9c8b2b5677903bff1d83473ede4e7..9bf7e5872f15e3031faedec8388825c520f2e3ad 100644 --- a/arch/x86/lib/bios_setup.c +++ b/arch/x86/lib/bios_setup.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/lib/board.c b/arch/x86/lib/board.c index af375ddb1e2c0f19aa8716950888dcd6638c5a57..b1b8680ec772d5319a7e109e9856471e78c6d2da 100644 --- a/arch/x86/lib/board.c +++ b/arch/x86/lib/board.c @@ -3,7 +3,7 @@ * Graeme Russ, * * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * (C) Copyright 2002 * Wolfgang Denk, DENX Software Engineering, diff --git a/arch/x86/lib/interrupts.c b/arch/x86/lib/interrupts.c index a2c598f9a4f7d129257874abdf7973f546c833df..04a9c79f9f5ad2acb21149e20c25e2bff46a3019 100644 --- a/arch/x86/lib/interrupts.c +++ b/arch/x86/lib/interrupts.c @@ -12,7 +12,7 @@ * Wolfgang Denk, DENX Software Engineering, * * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * (C) Copyright 2001 * Josh Huber, Mission Critical Linux, Inc, @@ -43,7 +43,7 @@ * Daniel Hellstrom, Detlev Zundel, Wolfgang Denk and Josh Huber are * credited for the corresponding work on those ports. The original * interrupt handling routines for the x86 port were written by - * Daniel Engström + * Daniel Engström */ #include diff --git a/arch/x86/lib/pcat_interrupts.c b/arch/x86/lib/pcat_interrupts.c index 364c4358378cc3153777f4b2910f3a033f32bdad..2caae208ebd6e8dc209720010b67a1dff7e9db14 100644 --- a/arch/x86/lib/pcat_interrupts.c +++ b/arch/x86/lib/pcat_interrupts.c @@ -3,7 +3,7 @@ * Graeme Russ, * * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/lib/pcat_timer.c b/arch/x86/lib/pcat_timer.c index 1911c6c19d24365393420b271d3a51e0b71adef3..f2a54223afd84aa05fd6b4ede4547378522d1cd2 100644 --- a/arch/x86/lib/pcat_timer.c +++ b/arch/x86/lib/pcat_timer.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/lib/pci.c b/arch/x86/lib/pci.c index e791e88bd421107adbbd28112a5bb09c96f3d5f8..593a7db75f8ed1d6fd0d7fff899d747594a55d9b 100644 --- a/arch/x86/lib/pci.c +++ b/arch/x86/lib/pci.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/lib/pci_type1.c b/arch/x86/lib/pci_type1.c index 8ce5b33e3d235c115291634ea014a979445b8140..da1d3566ad525882b526b78223c5725b1aa12d60 100644 --- a/arch/x86/lib/pci_type1.c +++ b/arch/x86/lib/pci_type1.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/lib/realmode.c b/arch/x86/lib/realmode.c index 5be827c66b124d1d0520a747599a9b19b89d32c9..c1133934155b389ad41f42d6955ae6c611267ce2 100644 --- a/arch/x86/lib/realmode.c +++ b/arch/x86/lib/realmode.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/lib/realmode_switch.S b/arch/x86/lib/realmode_switch.S index fce4eccab01e71671efc980a07f4b594fab1cf4d..7ee709a8b45fc8610d03d925f1229fad0b4caad5 100644 --- a/arch/x86/lib/realmode_switch.S +++ b/arch/x86/lib/realmode_switch.S @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, daniel@omicron.se + * Daniel Engström, Omicron Ceti AB, daniel@omicron.se * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/lib/timer.c b/arch/x86/lib/timer.c index a822d66af5e942d12cc5451b9a99e4b27508d22d..8b1bde708cafe3a92f7416dee616db66dba5a00f 100644 --- a/arch/x86/lib/timer.c +++ b/arch/x86/lib/timer.c @@ -3,7 +3,7 @@ * Graeme Russ, * * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/lib/video.c b/arch/x86/lib/video.c index b29075c490a48ce14e3c3c485fdb21bbcc198172..0efcf3f4530b9d58caae839cdfef8fa3c17d921c 100644 --- a/arch/x86/lib/video.c +++ b/arch/x86/lib/video.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/lib/video_bios.c b/arch/x86/lib/video_bios.c index 6bc4335743572f0bb11a1a5abb6fdcab59a2cd6a..7574f771fddd843611875a61be9af843aa285d8c 100644 --- a/arch/x86/lib/video_bios.c +++ b/arch/x86/lib/video_bios.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/arch/x86/lib/zimage.c b/arch/x86/lib/zimage.c index cc4b40e64c9d2f836e8208336ec9a7fdd6a1ec9e..6682e0de580517a93d5d4b24ab6e7d89ff49ad81 100644 --- a/arch/x86/lib/zimage.c +++ b/arch/x86/lib/zimage.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/board/LEOX/elpt860/README.LEOX b/board/LEOX/elpt860/README.LEOX index e8ab86717d48e62a8bb6d362e77d417dc7b6740a..fe3c0f1528b31dd0971744b42c7b1af31e4e6163 100644 --- a/board/LEOX/elpt860/README.LEOX +++ b/board/LEOX/elpt860/README.LEOX @@ -386,7 +386,7 @@ TCP: Hash tables configured (established 1024 bind 1024) IP-Config: Incomplete network configuration information. NET4: Unix domain sockets 1.0/SMP for Linux NET4.0. VFS: Mounted root (ext2 filesystem). -Freeing unused kernel memory: 44k iné +Freeing unused kernel memory: 44k init init started: BusyBox v0.60.2 (2002.07.01-12:06+0000) multi-call Configuring hostname Configuring lo... Configuring eth0... diff --git a/board/Marvell/common/memory.c b/board/Marvell/common/memory.c index 45353af41e78bcfe5f6664a4cf6e1f690f4f72e6..7fd635523641f76bd6e27c8c0dce81bbeef68c04 100644 --- a/board/Marvell/common/memory.c +++ b/board/Marvell/common/memory.c @@ -35,7 +35,7 @@ /******************************************************************************* * memoryGetBankBaseAddress - Returns the base address of a memory bank. * DESCRIPTION: -* This function returns the base address of one of the SDRAM’s memory +* This function returns the base address of one of the SDRAM's memory * banks. There are 4 memory banks and each one represents one DIMM side. * INPUT: * MEMORY_BANK bank - Selects one of the four banks as defined in Memory.h. @@ -366,8 +366,8 @@ unsigned int memoryGetDeviceWidth (DEVICE device) * This function sets new base and size for one the memory banks * (CS0 - CS3). It is the programmer`s responsibility to make sure that * there are no conflicts with other memory spaces. When two memory spaces -* overlap, the MV’s behavior is not defined .If a bank needs to be closed, -* set the ’bankLength’ parameter size to 0x0. +* overlap, the MV's behavior is not defined .If a bank needs to be closed, +* set the 'bankLength' parameter size to 0x0. * * INPUT: * bank - One of the memory banks (CS0-CS3) as defined in gtMemory.h. @@ -375,7 +375,7 @@ unsigned int memoryGetDeviceWidth (DEVICE device) * bankLength - The memory bank size. This function will decrement the * 'bankLength' parameter by one and then check if the size is * valid. A valid size must be programed from LSB to MSB as -* sequence of ‘1’s followed by sequence of ‘0’s. +* sequence of '1's followed by sequence of '0's. * To close a memory window simply set the size to 0. * NOTE!!! * The size must be in 64Kbyte granularity. @@ -487,7 +487,7 @@ bool memoryMapBank (MEMORY_BANK bank, unsigned int bankBase, * This function sets new base and size for one the device windows * (DEV_CS0 - DEV_CS3). It is the programmer`s responsibility to make sure * that there are no conflicts with other memory spaces. When two memory -* spaces overlap, the MV’s behavior is not defined .If a device window +* spaces overlap, the MV's behavior is not defined .If a device window * needs to be closed, set the 'deviceLength' parameter size to 0x0. * * INPUT: @@ -497,8 +497,8 @@ bool memoryMapBank (MEMORY_BANK bank, unsigned int bankBase, * deviceLength - The device window size. This function will decrement * the 'deviceLength' parameter by one and then * check if the size is valid. A valid size must be -* programed from LSB to MSB as sequence of ‘1’s -* followed by sequence of ‘0’s. +* programed from LSB to MSB as sequence of '1's +* followed by sequence of '0's. * To close a memory window simply set the size to 0. * * NOTE!!! @@ -604,7 +604,7 @@ bool memoryMapDeviceSpace (DEVICE device, unsigned int deviceBase, * This function sets new base and size for one the PCI windows * (PCI memory0/1/2..). It is the programmer`s responsibility to make sure * that there are no conflicts with other memory spaces. When two memory -* spaces overlap, the MV’s behavior is not defined .If a PCI window +* spaces overlap, the MV's behavior is not defined. If a PCI window * needs to be closed, set the 'pciWindowSize' parameter size to 0x0. * * INPUT: @@ -613,7 +613,7 @@ bool memoryMapDeviceSpace (DEVICE device, unsigned int deviceBase, * pciWindowSize - The PCI window size. This function will decrement the * 'pciWindowSize' parameter by one and then check if the * size is valid. A valid size must be programed from LSB -* to MSB as sequence of ‘1’s followed by sequence of ‘0’s. +* to MSB as sequence of '1's followed by sequence of '0's. * To close a memory window simply set the size to 0. * * NOTE!!! @@ -718,14 +718,14 @@ bool memorySetPciWindow (PCI_MEM_WINDOW pciWindow, unsigned int pciWindowBase, * registers memory space. * * DESCRIPTION: -* This function set new base address for the internal register’s memory +* This function set new base address for the internal registers memory * space (the size is fixed and cannot be modified). The function does not * handle overlapping with other memory spaces, it is the programer's * responsibility to ensure that overlapping does not occur. -* When two memory spaces overlap, the MV’s behavior is not defined. +* When two memory spaces overlap, the MV's behavior is not defined. * * INPUT: -* internalRegBase - new base address for the internal register’s memory +* internalRegBase - new base address for the internal registers memory * space. * * OUTPUT: @@ -762,7 +762,7 @@ bool memoryMapInternalRegistersSpace (unsigned int internalRegBase) * address. * * DESCRIPTION: -* This function returns the base address of the internal register’s +* This function returns the base address of the internal registers * memory space . * * INPUT: @@ -772,7 +772,7 @@ bool memoryMapInternalRegistersSpace (unsigned int internalRegBase) * None. * * RETURN: -* 32 bit base address of the internal register’s memory space. +* 32 bit base address of the internal registers memory space. * *******************************************************************************/ unsigned int memoryGetInternalRegistersSpace (void) @@ -874,7 +874,7 @@ void gtMemorySetInternalSramBaseAddr (unsigned int sramBaseAddress) * regionSize - The region's size. This function will decrement the * 'regionSize' parameter by one and then check if the size * is valid. A valid size must be programed from LSB to MSB -* as sequence of ‘1’s followed by sequence of ‘0’s. +* as sequence of '1's followed by sequence of '0's. * To close a memory window simply set the size to 0. * * NOTE!!! diff --git a/board/RPXClassic/RPXClassic.c b/board/RPXClassic/RPXClassic.c index c3d5385f42ee4129fdb64b48e57398379c7db80f..641cc44ca2dd678ed13b8af16849d5de4d3d6bb2 100644 --- a/board/RPXClassic/RPXClassic.c +++ b/board/RPXClassic/RPXClassic.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2001 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * U-Boot port on RPXClassic LF (CLLF_BW31) board * diff --git a/board/RPXClassic/eccx.c b/board/RPXClassic/eccx.c index e1f3f9d07bd1533557df4b9cd18aa3eb3d2ae88a..c6115eb4ecea790560b4d218208ae47b53e4746e 100644 --- a/board/RPXClassic/eccx.c +++ b/board/RPXClassic/eccx.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * See file CREDITS for list of people who contributed to this diff --git a/board/RPXClassic/flash.c b/board/RPXClassic/flash.c index f07d96052aa5c66f32c5adfd678b678eb6053a4c..da7b42cc32f1a89e1f030b527d820635d78bf1b8 100644 --- a/board/RPXClassic/flash.c +++ b/board/RPXClassic/flash.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2001 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * U-Boot port on RPXClassic LF (CLLF_BW31) board * diff --git a/board/eNET/Makefile b/board/eNET/Makefile index 498078702e2f59b8bfe02780bd79e0ffb76fd49c..3703ae50ea21186a86611a25e2d16a8baa58e28b 100644 --- a/board/eNET/Makefile +++ b/board/eNET/Makefile @@ -6,7 +6,7 @@ # Wolfgang Denk, DENX Software Engineering, wd@denx.de. # # (C) Copyright 2002 -# Daniel Engström, Omicron Ceti AB, daniel@omicron.se. +# Daniel Engström, Omicron Ceti AB, daniel@omicron.se. # # See file CREDITS for list of people who contributed to this # project. diff --git a/board/eNET/eNET_pci.c b/board/eNET/eNET_pci.c index a03090e54061ad15748cb4a0072fbbad5b5a933f..d97387ecd7f334100d701797842dbd641e34e529 100644 --- a/board/eNET/eNET_pci.c +++ b/board/eNET/eNET_pci.c @@ -3,7 +3,7 @@ * Graeme Russ, * * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB, + * Daniel Engström, Omicron Ceti AB, * * See file CREDITS for list of people who contributed to this * project. diff --git a/board/hymod/hymod.c b/board/hymod/hymod.c index 2af3049896ef0fa7e8281fa5a9c029a778fcb4e6..4aa37cd163ced4de31046ac409bb082868c2f591 100644 --- a/board/hymod/hymod.c +++ b/board/hymod/hymod.c @@ -378,7 +378,7 @@ initdram (int board_type) * * "At system reset, initialization software must set up the * programmable parameters in the memory controller banks registers - * (ORx, BRx, P/LSDMR). After all memory parameters are conÞgured, + * (ORx, BRx, P/LSDMR). After all memory parameters are configured, * system software should execute the following initialization sequence * for each SDRAM device. * diff --git a/board/lwmon/README.keybd b/board/lwmon/README.keybd index 54f0aeb81fbd98fb658897bef1db9b9e9152e30a..5e5144e56974aed3a7f442da8a897e2da691e48d 100644 --- a/board/lwmon/README.keybd +++ b/board/lwmon/README.keybd @@ -5,44 +5,44 @@ Die Implementierung / Decodierung beruht auf den Angaben aus dem Do- kument "PIC LWE-Tastatur" in der Fassung vom 9. 3. 2001, insbesonde- re Tabelle 3 im Kapitel 4.3 Tastencodes. In U-Boot werden die vom Keyboard-Controller gelesenen Daten hexadezimal codiert in der auto- -matisch angelegten Environment-Variablen "keybd" übergeben. Ist kei- -ne Taste gedrückt worden, steht dort: +matisch angelegten Environment-Variablen "keybd" übergeben. Ist kei- +ne Taste gedrückt worden, steht dort: keybd=000000000000000000 Der decodierte Tastencode ("keybd") kann mit den "bootargs" an den -Linux-Kernel übergeben und dort z. B. in einem Device-Treiber oder +Linux-Kernel übergeben und dort z. B. in einem Device-Treiber oder einer Applikation ausgewertet werden. Sonderfunktionen beim Booten: Es lassen sich eine oder mehrere (beliebig viele) Tasten oder Tasten- -kombinationen definieren, die Sonderfunktionen auslösen, wenn diese -Tasten beim Booten (Reset) gedrückt sind. +kombinationen definieren, die Sonderfunktionen auslösen, wenn diese +Tasten beim Booten (Reset) gedrückt sind. Wird eine eingestellte Taste bzw. Tastenkombination erkannt, so wird in U-Boot noch vor dem Start des "Countdown" und somit vor jedem an- deren Kommando der Inhalt einer dieser Taste bzw. Tastenkombination -zugeordneten Environment-Variablen ausführen. +zugeordneten Environment-Variablen ausführen. Die Environment-Variable "magic_keys" wird als Liste von Zeichen ver- -standen, die als Suffix an den Namen "key_magic" angefügt werden und +standen, die als Suffix an den Namen "key_magic" angefügt werden und so die Namen der Environment-Variablen definieren, mit denen die Tasten (-kombinationen) festgelegt werden: Ist "magic_keys" NICHT definiert, so wird nur die in der Environment- -Variablen "key_magic" codierte Tasten (-kombination) geprüft, und -ggf. der Inhalt der Environment-Variablen "key_cmd" ausgeführt (ge- +Variablen "key_magic" codierte Tasten (-kombination) geprüft, und +ggf. der Inhalt der Environment-Variablen "key_cmd" ausgeführt (ge- nauer: der Inhalt von "key_cmd" wird der Variablen "preboot" zugewie- -sen, die ausgeführt wird, unmittelbar bevor die interaktive Kommando- +sen, die ausgeführt wird, unmittelbar bevor die interaktive Kommando- interpretation beginnt). -Enthält "magic_keys" z. B. die Zeichenkette "0123CB*", so werden -nacheinander folgende Aktionen ausgeführt: +Enthält "magic_keys" z. B. die Zeichenkette "0123CB*", so werden +nacheinander folgende Aktionen ausgeführt: - prüfe Tastencode ggf. führe aus Kommando + prüfe Tastencode ggf. führe aus Kommando in Variable in Variable ----------------------------------- key_magic0 ==> key_cmd0 @@ -55,43 +55,43 @@ nacheinander folgende Aktionen ausgef key_magic* ==> key_cmd* Hinweis: sobald ein aktivierter Tastencode erkannt wurde, wird die -Bearbeitung abgebrochen; es wird daher höchstens eines der definier- -ten Kommandos ausgeführt, wobei die Priorität durch die Suchreihen- +Bearbeitung abgebrochen; es wird daher höchstens eines der definier- +ten Kommandos ausgeführt, wobei die Priorität durch die Suchreihen- folge festgelegt wird, also durch die Reihenfolge der Zeichen in der Varuiablen "magic_keys". -Die Codierung der Tasten, die beim Booten gedrückt werden müssen, um -eine Funktion auszulösen, erfolgt nach der Tastaturtabelle. +Die Codierung der Tasten, die beim Booten gedrückt werden müssen, um +eine Funktion auszulösen, erfolgt nach der Tastaturtabelle. Die Definitionen => setenv key_magic0 3a+3b => setenv key_cmd0 setenv bootdelay 30 -bedeuten dementsprechend, daß die Tasten mit den Codes 0x3A (Taste -"F1") und 0x3B (Taste "F2") gleichzeitig gedrückt werden müssen. Sie -können dort eine beliebige Tastenkombination eintragen (jeweils 2 -Zeichen für die Hex-Codes der Tasten, und '+' als Trennzeichen). +bedeuten dementsprechend, daß die Tasten mit den Codes 0x3A (Taste +"F1") und 0x3B (Taste "F2") gleichzeitig gedrückt werden müssen. Sie +können dort eine beliebige Tastenkombination eintragen (jeweils 2 +Zeichen für die Hex-Codes der Tasten, und '+' als Trennzeichen). Wird die eingestellte Tastenkombination erkannt, so wird in U-Boot noch vor dem Start des "Countdown" und somit vor jedem anderen Kom- -mando das angebene Kommando ausgeführt und somit ein langes Boot- +mando das angebene Kommando ausgeführt und somit ein langes Boot- Delay eingetragen. -Praktisch könnten Sie also in U-Boot "bootdelay" auf 0 setzen und -somit stets ohne jede User-Interaktion automatisch booten, außer, -wenn die beiden Tasten "F1" und "F2" beim Booten gedrückt werden: -dann würde ein Boot-Delay von 30 Sekunden eingefügt. +Praktisch könnten Sie also in U-Boot "bootdelay" auf 0 setzen und +somit stets ohne jede User-Interaktion automatisch booten, außer, +wenn die beiden Tasten "F1" und "F2" beim Booten gedrückt werden: +dann würde ein Boot-Delay von 30 Sekunden eingefügt. Hinweis: dem Zeichen '#' kommt innerhalb von "magic_keys" eine beson- dere Bedeutung zu: die dadurch definierte Key-Sequenz schaltet den -Monitor in den "Debug-Modus" - das bedeutet zunächst, daß alle weite- -ren Meldungen von U-Boot über das LCD-Display ausgegeben werden; -außerdem kann man durch das mit dieser Tastenkombination verknüpfte +Monitor in den "Debug-Modus" - das bedeutet zunächst, daß alle weite- +ren Meldungen von U-Boot über das LCD-Display ausgegeben werden; +außerdem kann man durch das mit dieser Tastenkombination verknüpfte Kommando z. B. die Linux-Bootmeldungen ebenfalls auf das LCD-Display -legen, so daß der Boot-Vorgang direkt und ohne weitere Hilfsmittel +legen, so daß der Boot-Vorgang direkt und ohne weitere Hilfsmittel analysiert werden kann. Beispiel: @@ -107,20 +107,20 @@ chert: (6) => setenv bootcmd bootp\;run nfsargs\;run addip\;run addfb\;bootm Hierbei wird die Linux Commandline (in der Variablen "bootargs") im -Boot-Kommando "bootcmd" (6) schrittweise zusammengesetzt: zunächst -werden die für Root-Filesystem über NFS erforderlichen Optionen ge- +Boot-Kommando "bootcmd" (6) schrittweise zusammengesetzt: zunächst +werden die für Root-Filesystem über NFS erforderlichen Optionen ge- setzt ("run nfsargs", vgl. (3)), dann die Netzwerkkonfiguration an- -gefügt ("run addip", vgl. (4)), und schließlich die Systemconsole +gefügt ("run addip", vgl. (4)), und schließlich die Systemconsole definiert ("run addfb"). Dabei wird im Normalfall die Definition (5) verwendt; wurde aller- -dings beim Reset die entsprechende Taste gedrückt gehalten, so wird -diese Definition bei der Ausführung des in (2) definierten Kommandos -überschrieben, so daß Linux die Bootmeldungen auch über das Frame- +dings beim Reset die entsprechende Taste gedrückt gehalten, so wird +diese Definition bei der Ausführung des in (2) definierten Kommandos +überschrieben, so daß Linux die Bootmeldungen auch über das Frame- buffer-Device (=LCD-Display) ausgibt. Beachten Sie die Verdoppelung der '\'-Escapes in der Definition von "key_cmd#" - diese ist erforderlich, weil der String _zweimal_ inter- pretiert wird: das erste Mal bei der Eingabe von "key_cmd#", das -zweite Mal, wenn der String (als Inhalt von "preboot") ausgeführt +zweite Mal, wenn der String (als Inhalt von "preboot") ausgeführt wird. diff --git a/board/lwmon/lwmon.c b/board/lwmon/lwmon.c index 9d6c21f73aecdc7268e831c2e99257461ab5302c..b5e524bb2f4e8459cd91888a648fb2193eba0ebd 100644 --- a/board/lwmon/lwmon.c +++ b/board/lwmon/lwmon.c @@ -365,7 +365,7 @@ int board_early_init_f (void) * * This is just a preliminary fix, intended to turn off TENA * as soon as possible to avoid noise on the network. Once - * I²C is running we will make sure the interface is + * I2C is running we will make sure the interface is * correctly initialized. */ immr->im_cpm.cp_pbpar &= ~PB_ENET_TENA; diff --git a/board/mpl/common/pci_parts.h b/board/mpl/common/pci_parts.h index 7bca961c5c4440f6f10283f6d891e2a3cccffcb4..65d18a654b68dedaae3fb21d6034150292c2f0a7 100644 --- a/board/mpl/common/pci_parts.h +++ b/board/mpl/common/pci_parts.h @@ -63,7 +63,7 @@ /* Mapping: * +-------------+------------+------------+--------------------------------+ - * ¦ PCI MemAddr | PCI IOAddr | Local Addr | Device / Function | + * | PCI MemAddr | PCI IOAddr | Local Addr | Device / Function | * +-------------+------------+------------+--------------------------------+ * | 0x00000000 | | 0xA0000000 | ISA Memory (hard wired) | * | 0x00FFFFFF | | 0xA0FFFFFF | | diff --git a/common/cmd_fdos.c b/common/cmd_fdos.c index d714af8db9f970a5a9c298f6eb4dae48226fdf71..04a5e01947d2d72dd8a6e97b7c1b03a9274bce1a 100644 --- a/common/cmd_fdos.c +++ b/common/cmd_fdos.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * See file CREDITS for list of people who contributed to this diff --git a/doc/README.RPXClassic b/doc/README.RPXClassic index 5344cc64c50ddd12b7d58ea3b4c34f6c7f4c2bfc..e03f670d1c18e549955c3a1b233ebfa1c1d706ce 100644 --- a/doc/README.RPXClassic +++ b/doc/README.RPXClassic @@ -1,7 +1,7 @@ # Porting U-Boot onto RPXClassic LF_BW31 board # Written by Pierre AUBERT # E-Mail p.aubert@staubli.com -# Stäubli Faverges - +# Stäubli Faverges - # # Sept. 20 2001 # diff --git a/doc/README.m5373evb b/doc/README.m5373evb index e90a320ee57d66cf4b088f7a8e74602e5babefd7..62166712dc308ca942cadef54dde160beac0dcd3 100644 --- a/doc/README.m5373evb +++ b/doc/README.m5373evb @@ -279,7 +279,7 @@ usbcore: registered new device driver usb NET: Registered protocol family 2 IP route cache hash table entries: 1024 (order: 0, 4096 bytes) TCP established hash table entries: 1024 (order: 1, 8192 bytes) TCP bind hash table entries: 1024 (order: 0, 4096 bytes) TCP: Hash tables configured (established 1024 bind 1024) TCP reno registered -JFFS2 version 2.2. (NAND) © 2001-2006 Red Hat, Inc. +JFFS2 version 2.2. (NAND) © 2001-2006 Red Hat, Inc. io scheduler noop registered io scheduler cfq registered (default) ColdFire internal UART serial driver version 1.00 ttyS0 at 0xfc060000 (irq = 90) is a builtin ColdFire UART diff --git a/doc/README.mpc7448hpc2 b/doc/README.mpc7448hpc2 index 8659e83673b1852388d6b2ec84d3d88d5969d7f2..cbb043e1d009a98bd5392116ca50e88126d04f84 100644 --- a/doc/README.mpc7448hpc2 +++ b/doc/README.mpc7448hpc2 @@ -8,7 +8,7 @@ design, which is optimized for high speed throughput between the processor and the memory, disk drive and Ethernet port subsystems. MPC7448hpc2(Taiga) is designed to the micro-ATX chassis, allowing it to be -used in 1U or 2U rack-mount chassis¡¯, as well as in standard ATX/Micro-ATX +used in 1U or 2U rack-mount chassis¡¯, as well as in standard ATX/Micro-ATX chassis. Building U-Boot diff --git a/drivers/block/sata_sil3114.c b/drivers/block/sata_sil3114.c index 62cc99d3953b932352ceafd9ec9142380e4f99da..d43064e0f166b3aa9463b53bcedd629b2514a938 100644 --- a/drivers/block/sata_sil3114.c +++ b/drivers/block/sata_sil3114.c @@ -1,5 +1,5 @@ /* - * Copyright (C) Excito Elektronik i Skåne AB, All rights reserved. + * Copyright (C) Excito Elektronik i SkÃ¥ne AB, All rights reserved. * Author: Tor Krill * * This program is free software; you can redistribute it and/or diff --git a/drivers/block/sata_sil3114.h b/drivers/block/sata_sil3114.h index 8f2301ac95a31886741e9cada09439120759dd9c..f77423a1dbc8588cd558b3551c0bc1cd75237818 100644 --- a/drivers/block/sata_sil3114.h +++ b/drivers/block/sata_sil3114.h @@ -1,5 +1,5 @@ /* - * Copyright (C) Excito Elektronik i Skåne AB, All rights reserved. + * Copyright (C) Excito Elektronik i SkÃ¥ne AB, All rights reserved. * Author: Tor Krill * * This program is free software; you can redistribute it and/or diff --git a/drivers/misc/ali512x.c b/drivers/misc/ali512x.c index cda3b0d8b37cc6b4cacc8ee36aaab4227a16b461..39a2a6f8cb9bd1cd405f740c1d0ae0538aadb7b4 100644 --- a/drivers/misc/ali512x.c +++ b/drivers/misc/ali512x.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB . + * Daniel Engström, Omicron Ceti AB . * * See file CREDITS for list of people who contributed to this * project. diff --git a/drivers/pcmcia/ti_pci1410a.c b/drivers/pcmcia/ti_pci1410a.c index 4ac2e0fd092aa6cd61a7839acb6d0c7a56d91b5a..cdb0fe3d49c284e98fb47629b8447d7ba0f525c1 100644 --- a/drivers/pcmcia/ti_pci1410a.c +++ b/drivers/pcmcia/ti_pci1410a.c @@ -2,7 +2,7 @@ * (C) Copyright 2000-2002 * Wolfgang Denk, DENX Software Engineering, wd@denx.de. * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB + * Daniel Engström, Omicron Ceti AB * * See file CREDITS for list of people who contributed to this * project. diff --git a/drivers/rtc/s3c24x0_rtc.c b/drivers/rtc/s3c24x0_rtc.c index 7f02f0585ff3fb8890fa52512bbb5b6c4affbf1a..9667939db2db7adfdcb1f5e387870e66029d513b 100644 --- a/drivers/rtc/s3c24x0_rtc.c +++ b/drivers/rtc/s3c24x0_rtc.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2003 - * David Müller ELSOFT AG Switzerland. d.mueller@elsoft.ch + * David Müller ELSOFT AG Switzerland. d.mueller@elsoft.ch * * See file CREDITS for list of people who contributed to this * project. diff --git a/drivers/video/ct69000.c b/drivers/video/ct69000.c index ae219ccf83d43f8ae8b9072b16cf2d1cdfb01f4f..3db614d9f9de6b97749fcad70bebe65a05d99773 100644 --- a/drivers/video/ct69000.c +++ b/drivers/video/ct69000.c @@ -1,5 +1,5 @@ /* ported from ctfb.c (linux kernel): - * Created in Jan - July 2000 by Thomas Höhenleitner + * Created in Jan - July 2000 by Thomas Höhenleitner * * Ported to U-Boot: * (C) Copyright 2002 Denis Peter, MPL AG Switzerland @@ -626,25 +626,25 @@ FindBestPQFittingMN (unsigned int p, unsigned int q, unsigned int mnmin, /* that is the hardware < 69000 we have to manage +---------+ +-------------------+ +----------------------+ +--+ - | REFCLK |__|NTSC Divisor Select|__|FVCO Reference Divisor|__|÷N|__ - | 14.3MHz | |(NTSCDS) (÷1, ÷5) | |Select (RDS) (÷1, ÷4) | | | | + | REFCLK |__|NTSC Divisor Select|__|FVCO Reference Divisor|__|÷N|__ + | 14.3MHz | |(NTSCDS) (÷1, ÷5) | |Select (RDS) (÷1, ÷4) | | | | +---------+ +-------------------+ +----------------------+ +--+ | ___________________________________________________________________| | | fvco fout | +--------+ +------------+ +-----+ +-------------------+ +----+ +-| Phase |__|Charge Pump |__| VCO |_____|Post Divisor (PD) |___|CLK |---> - +-| Detect | |& Filter VCO| | | | |÷1, 2, 4, 8, 16, 32| | | + +-| Detect | |& Filter VCO| | | | |÷1, 2, 4, 8, 16, 32| | | | +--------+ +------------+ +-----+ | +-------------------+ +----+ | | | +--+ +---------------+ | - |____|÷M|___|VCO Loop Divide|__________| - | | |(VLD)(÷4, ÷16) | + |____|÷M|___|VCO Loop Divide|__________| + | | |(VLD)(÷4, ÷16) | +--+ +---------------+ **************************************************************************** that is the hardware >= 69000 we have to manage +---------+ +--+ - | REFCLK |__|÷N|__ + | REFCLK |__|÷N|__ | 14.3MHz | | | | +---------+ +--+ | __________________| @@ -652,12 +652,12 @@ FindBestPQFittingMN (unsigned int p, unsigned int q, unsigned int mnmin, | fvco fout | +--------+ +------------+ +-----+ +-------------------+ +----+ +-| Phase |__|Charge Pump |__| VCO |_____|Post Divisor (PD) |___|CLK |---> - +-| Detect | |& Filter VCO| | | | |÷1, 2, 4, 8, 16, 32| | | + +-| Detect | |& Filter VCO| | | | |÷1, 2, 4, 8, 16, 32| | | | +--------+ +------------+ +-----+ | +-------------------+ +----+ | | | +--+ +---------------+ | - |____|÷M|___|VCO Loop Divide|__________| - | | |(VLD)(÷1, ÷4) | + |____|÷M|___|VCO Loop Divide|__________| + | | |(VLD)(÷1, ÷4) | +--+ +---------------+ diff --git a/drivers/video/sed13806.c b/drivers/video/sed13806.c index 9cd19b5c62fc3045e3ae42e94aa1d0193fdab630..0bf9ba69ed5781274758472cfeadc81084c2a185 100644 --- a/drivers/video/sed13806.c +++ b/drivers/video/sed13806.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * See file CREDITS for list of people who contributed to this diff --git a/drivers/video/sm501.c b/drivers/video/sm501.c index 6a1e0109b3832bd4c662fb95b1cfaa43b6cde97c..42ac6805670771c02a66605cd3cd575b854cee4f 100644 --- a/drivers/video/sm501.c +++ b/drivers/video/sm501.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * (C) Copyright 2005 diff --git a/fs/fdos/Makefile b/fs/fdos/Makefile index 9cd4d91742a08f52b3b5eae4e532fb1f8e63806f..503aa529dc2e4b2754b0b48b6cece733e52be2ab 100644 --- a/fs/fdos/Makefile +++ b/fs/fdos/Makefile @@ -3,7 +3,7 @@ # Wolfgang Denk, DENX Software Engineering, wd@denx.de. # # (C) Copyright 2002 -# Stäubli Faverges - +# Stäubli Faverges - # Pierre AUBERT p.aubert@staubli.com # # diff --git a/fs/fdos/dev.c b/fs/fdos/dev.c index b55b6ed2d63e8dc14f845372d1e4afff70c46ce8..f21c7a93d37af3b9aa5c998e5fb0801dc93b9866 100644 --- a/fs/fdos/dev.c +++ b/fs/fdos/dev.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * See file CREDITS for list of people who contributed to this diff --git a/fs/fdos/dos.h b/fs/fdos/dos.h index 7b27b01e02fe89f2590e3ffffd8a5cd24f6f3951..be1565bfba74caa3983899c4cbd1df4e36b7f7b5 100644 --- a/fs/fdos/dos.h +++ b/fs/fdos/dos.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * See file CREDITS for list of people who contributed to this diff --git a/fs/fdos/fat.c b/fs/fdos/fat.c index 5707c1952cbd90dc5cd96edbac231ebcaf3544ab..0521d675c36802e6b774f77d7c0d7171d2e63cac 100644 --- a/fs/fdos/fat.c +++ b/fs/fdos/fat.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * See file CREDITS for list of people who contributed to this diff --git a/fs/fdos/fdos.c b/fs/fdos/fdos.c index db585623c6616cd76668f32ff45e7e0d40ab3f3c..4c556df57a1568e581c98aff96c99ccabc56af2c 100644 --- a/fs/fdos/fdos.c +++ b/fs/fdos/fdos.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * See file CREDITS for list of people who contributed to this diff --git a/fs/fdos/fdos.h b/fs/fdos/fdos.h index e28c22f8494d86198a864e8e9dfedf7b434026f1..0d2351a6a10e2d0d6e69230cf8d92abd21c0645a 100644 --- a/fs/fdos/fdos.h +++ b/fs/fdos/fdos.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * See file CREDITS for list of people who contributed to this diff --git a/fs/fdos/fs.c b/fs/fdos/fs.c index 5acf1232c72384bd735bd92e34a47276c24e460c..ac10314432143cb799f77a478d3b7e57978513d9 100644 --- a/fs/fdos/fs.c +++ b/fs/fdos/fs.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * See file CREDITS for list of people who contributed to this diff --git a/fs/fdos/subdir.c b/fs/fdos/subdir.c index 5e96b0a65dd71ddfe76bcb221b182986902b0cdf..831437a5af3feedbd6d3d9f255b024700e3be801 100644 --- a/fs/fdos/subdir.c +++ b/fs/fdos/subdir.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * See file CREDITS for list of people who contributed to this diff --git a/fs/fdos/vfat.c b/fs/fdos/vfat.c index 9f357a62122ddab3138f26988540cb93cc7ba7d2..d428268f209077d2b5cbaedd60436524c1b8189f 100644 --- a/fs/fdos/vfat.c +++ b/fs/fdos/vfat.c @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * See file CREDITS for list of people who contributed to this diff --git a/include/ali512x.h b/include/ali512x.h index 5bc1bd79077da9c8da0b9797b9d7355dfabd6b24..ff11426d364aba013127423c4dba730953ceac47 100644 --- a/include/ali512x.h +++ b/include/ali512x.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Daniel Engström, Omicron Ceti AB . + * Daniel Engström, Omicron Ceti AB . * * See file CREDITS for list of people who contributed to this * project. diff --git a/include/configs/sc3.h b/include/configs/sc3.h index a254edabdf718926eaf79b6e0fc119a59f025008..852e80752bf16526884864e7c7b0414b93547fd6 100644 --- a/include/configs/sc3.h +++ b/include/configs/sc3.h @@ -532,9 +532,9 @@ #define CONFIG_SYS_ISA_MEM_BASE_ADDRESS 0x78000000 /* - Die Grafik-Treiber greifen über die Adresse in diesem Macro auf den Chip zu. + Die Grafik-Treiber greifen über die Adresse in diesem Macro auf den Chip zu. Das funktioniert bei deren Karten, weil sie eine PCI-Bridge benutzen, die - das gleiche Mapping durchführen kann, wie der SC520 (also Aufteilen von IO-Zugriffen + das gleiche Mapping durchführen kann, wie der SC520 (also Aufteilen von IO-Zugriffen auf ISA- und PCI-Zyklen) */ #define CONFIG_SYS_ISA_IO_BASE_ADDRESS 0xE8000000 diff --git a/include/fdc.h b/include/fdc.h index b66f20212b1db08e271257f8a9d4d6ca3deb6d3c..3c4038c2bf61642cb2712e715c801a2c5c53088a 100644 --- a/include/fdc.h +++ b/include/fdc.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * See file CREDITS for list of people who contributed to this diff --git a/include/linux/mtd/mtd.h b/include/linux/mtd/mtd.h index 3b18d7d68865c5605e817aec135de9060e6471cb..d36d58440abed6c85143d4ad39421a58aa850b54 100644 --- a/include/linux/mtd/mtd.h +++ b/include/linux/mtd/mtd.h @@ -115,7 +115,7 @@ struct mtd_info { u_int32_t flags; uint64_t size; /* Total size of the MTD */ - /* "Major" erase size for the device. Naïve users may take this + /* "Major" erase size for the device. Naïve users may take this * to be the only erase size available, or may use the more detailed * information below if they desire */ diff --git a/include/sed13806.h b/include/sed13806.h index 07f45760c3d264ac31a8af1fb6d219d434b778d0..5239a1d41084a0a30309d998be9605bd139d6401 100644 --- a/include/sed13806.h +++ b/include/sed13806.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * See file CREDITS for list of people who contributed to this diff --git a/include/sm501.h b/include/sm501.h index 3e71dbb92f04487a32425e054d6e4d153490fff9..4e220a6107e53e4d795d4ae7f3186cccecbcf30c 100644 --- a/include/sm501.h +++ b/include/sm501.h @@ -1,6 +1,6 @@ /* * (C) Copyright 2002 - * Stäubli Faverges - + * Stäubli Faverges - * Pierre AUBERT p.aubert@staubli.com * * (C) Copyright 2005 diff --git a/lib/lzma/history.txt b/lib/lzma/history.txt index 624fb1d4b1db6bd9f37443b8d851e51307918204..aadf8255edb395d58b1cd7beab31569110ef7b3c 100644 --- a/lib/lzma/history.txt +++ b/lib/lzma/history.txt @@ -41,7 +41,7 @@ HISTORY of the LZMA SDK 4.57 2007-12-12 ------------------------- -- Speed optimizations in Ñ++ LZMA Decoder. +- Speed optimizations in Ñ++ LZMA Decoder. - Small changes for more compatibility with some C/C++ compilers. diff --git a/nand_spl/board/amcc/bamboo/sdram.c b/nand_spl/board/amcc/bamboo/sdram.c index 504a02b9e8c4d89937855468015e44d71d495b53..0254ed8866bb30c1f1816c6936e3b79c3746aa44 100644 --- a/nand_spl/board/amcc/bamboo/sdram.c +++ b/nand_spl/board/amcc/bamboo/sdram.c @@ -79,7 +79,7 @@ phys_size_t initdram(int board_type) mtsdram(SDRAM0_B0CR, 0x00082001); mtsdram(SDRAM0_TR0, 0x41094012); mtsdram(SDRAM0_TR1, 0x8080083d); /* SS=T2 SL=STAGE 3 CD=1 CT=0x00*/ - mtsdram(SDRAM0_RTR, 0x04100000); /* Interval 7.8µs @ 133MHz PLB */ + mtsdram(SDRAM0_RTR, 0x04100000); /* Interval 7.8µs @ 133MHz PLB */ mtsdram(SDRAM0_CFG1, 0x00000000); /* Self-refresh exit, disable PM*/ /*