diff --git a/docs/schemas/network.rng b/docs/schemas/network.rng index 1a18e64b24f99548f43ef8d01a85c99463d92cae..9d8c803ff5945793b4bffdfe5adb6bcd91028e8b 100644 --- a/docs/schemas/network.rng +++ b/docs/schemas/network.rng @@ -283,13 +283,21 @@ + + + + + + + + diff --git a/tests/virschematest.c b/tests/virschematest.c index b31a5bca916b7b8e3e3869458fcc719ed55d3804..faf66d6427dad234f5799fd8c210e341b689fda7 100644 --- a/tests/virschematest.c +++ b/tests/virschematest.c @@ -208,7 +208,7 @@ mymain(void) "domainsnapshotxml2xmlout"); DO_TEST("interface.rng", "interfaceschemadata"); DO_TEST("network.rng", "../src/network", "networkxml2xmlin", - "networkxml2xmlout"); + "networkxml2xmlout", "networkxml2confdata"); DO_TEST("nodedev.rng", "nodedevschemadata"); DO_TEST("nwfilter.rng", "nwfilterxml2xmlout"); DO_TEST("secret.rng", "secretxml2xmlin");