diff --git a/kernel/signal.c b/kernel/signal.c index 5ccaac505e8dabd792eab331cf4e80bfa9a5f4cf..b14f895027c3491cbb0283b2dd7a9d055d35b570 100644 --- a/kernel/signal.c +++ b/kernel/signal.c @@ -868,7 +868,6 @@ __group_complete_signal(int sig, struct task_struct *p) if (t == NULL) /* restart balancing at this thread */ t = p->signal->curr_target = p; - BUG_ON(t->tgid != p->tgid); while (!wants_signal(sig, t)) { t = next_thread(t);