mv643xx_eth.c 98.0 KB
Newer Older
L
Linus Torvalds 已提交
1
/*
2
 * Driver for Marvell Discovery (MV643XX) and Marvell Orion ethernet ports
L
Linus Torvalds 已提交
3 4 5
 * Copyright (C) 2002 Matthew Dharm <mdharm@momenco.com>
 *
 * Based on the 64360 driver from:
6 7
 * Copyright (C) 2002 Rabeeh Khoury <rabeeh@galileo.co.il>
 *		      Rabeeh Khoury <rabeeh@marvell.com>
L
Linus Torvalds 已提交
8 9
 *
 * Copyright (C) 2003 PMC-Sierra, Inc.,
10
 *	written by Manish Lachwani
L
Linus Torvalds 已提交
11 12 13
 *
 * Copyright (C) 2003 Ralf Baechle <ralf@linux-mips.org>
 *
14
 * Copyright (C) 2004-2006 MontaVista Software, Inc.
L
Linus Torvalds 已提交
15 16 17 18 19
 *			   Dale Farnsworth <dale@farnsworth.org>
 *
 * Copyright (C) 2004 Steven J. Hill <sjhill1@rockwellcollins.com>
 *				     <sjhill@realitydiluted.com>
 *
20 21 22
 * Copyright (C) 2007-2008 Marvell Semiconductor
 *			   Lennert Buytenhek <buytenh@marvell.com>
 *
L
Linus Torvalds 已提交
23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38
 * This program is free software; you can redistribute it and/or
 * modify it under the terms of the GNU General Public License
 * as published by the Free Software Foundation; either version 2
 * of the License, or (at your option) any later version.
 *
 * This program is distributed in the hope that it will be useful,
 * but WITHOUT ANY WARRANTY; without even the implied warranty of
 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
 * GNU General Public License for more details.
 *
 * You should have received a copy of the GNU General Public License
 * along with this program; if not, write to the Free Software
 * Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA  02111-1307, USA.
 */
#include <linux/init.h>
#include <linux/dma-mapping.h>
39 40
#include <linux/in.h>
#include <linux/ip.h>
L
Linus Torvalds 已提交
41 42 43 44 45 46 47
#include <linux/tcp.h>
#include <linux/udp.h>
#include <linux/etherdevice.h>

#include <linux/bitops.h>
#include <linux/delay.h>
#include <linux/ethtool.h>
48 49
#include <linux/platform_device.h>

50 51 52 53 54 55 56 57
#include <linux/module.h>
#include <linux/kernel.h>
#include <linux/spinlock.h>
#include <linux/workqueue.h>
#include <linux/mii.h>

#include <linux/mv643xx_eth.h>

L
Linus Torvalds 已提交
58 59 60 61 62
#include <asm/io.h>
#include <asm/types.h>
#include <asm/pgtable.h>
#include <asm/system.h>
#include <asm/delay.h>
63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93
#include <asm/dma-mapping.h>

#define MV643XX_CHECKSUM_OFFLOAD_TX
#define MV643XX_NAPI
#define MV643XX_TX_FAST_REFILL
#undef	MV643XX_COAL

#define MV643XX_TX_COAL 100
#ifdef MV643XX_COAL
#define MV643XX_RX_COAL 100
#endif

#ifdef MV643XX_CHECKSUM_OFFLOAD_TX
#define MAX_DESCS_PER_SKB	(MAX_SKB_FRAGS + 1)
#else
#define MAX_DESCS_PER_SKB	1
#endif

#define ETH_VLAN_HLEN		4
#define ETH_FCS_LEN		4
#define ETH_HW_IP_ALIGN		2		/* hw aligns IP header */
#define ETH_WRAPPER_LEN		(ETH_HW_IP_ALIGN + ETH_HLEN + \
					ETH_VLAN_HLEN + ETH_FCS_LEN)
#define ETH_RX_SKB_SIZE		(dev->mtu + ETH_WRAPPER_LEN + \
					dma_get_cache_alignment())

/*
 * Registers shared between all ports.
 */
#define PHY_ADDR_REG				0x0000
#define SMI_REG					0x0004
94 95 96 97 98
#define WINDOW_BASE(i)				(0x0200 + ((i) << 3))
#define WINDOW_SIZE(i)				(0x0204 + ((i) << 3))
#define WINDOW_REMAP_HIGH(i)			(0x0280 + ((i) << 2))
#define WINDOW_BAR_ENABLE			0x0290
#define WINDOW_PROTECT(i)			(0x0294 + ((i) << 4))
99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359 360 361 362 363 364 365 366 367 368 369 370 371 372 373 374 375 376 377 378 379 380 381 382 383 384 385 386 387 388 389 390 391 392 393 394 395 396 397 398 399 400 401 402 403 404 405 406 407 408 409 410 411 412 413 414 415 416 417 418 419 420 421 422 423 424 425 426 427 428 429 430 431 432 433 434 435 436 437 438 439 440 441 442 443 444 445 446 447 448 449 450 451 452 453 454 455 456 457 458 459 460 461 462 463 464 465 466 467 468 469 470 471 472 473 474 475 476 477 478 479 480 481 482 483 484 485 486 487 488 489 490 491 492 493 494 495 496 497 498 499 500 501 502 503 504 505 506 507 508 509 510 511 512 513 514

/*
 * Per-port registers.
 */
#define PORT_CONFIG_REG(p)				(0x0400 + ((p) << 10))
#define PORT_CONFIG_EXTEND_REG(p)			(0x0404 + ((p) << 10))
#define MAC_ADDR_LOW(p)					(0x0414 + ((p) << 10))
#define MAC_ADDR_HIGH(p)				(0x0418 + ((p) << 10))
#define SDMA_CONFIG_REG(p)				(0x041c + ((p) << 10))
#define PORT_SERIAL_CONTROL_REG(p)			(0x043c + ((p) << 10))
#define PORT_STATUS_REG(p)				(0x0444 + ((p) << 10))
#define TRANSMIT_QUEUE_COMMAND_REG(p)			(0x0448 + ((p) << 10))
#define MAXIMUM_TRANSMIT_UNIT(p)			(0x0458 + ((p) << 10))
#define INTERRUPT_CAUSE_REG(p)				(0x0460 + ((p) << 10))
#define INTERRUPT_CAUSE_EXTEND_REG(p)			(0x0464 + ((p) << 10))
#define INTERRUPT_MASK_REG(p)				(0x0468 + ((p) << 10))
#define INTERRUPT_EXTEND_MASK_REG(p)			(0x046c + ((p) << 10))
#define TX_FIFO_URGENT_THRESHOLD_REG(p)			(0x0474 + ((p) << 10))
#define RX_CURRENT_QUEUE_DESC_PTR_0(p)			(0x060c + ((p) << 10))
#define RECEIVE_QUEUE_COMMAND_REG(p)			(0x0680 + ((p) << 10))
#define TX_CURRENT_QUEUE_DESC_PTR_0(p)			(0x06c0 + ((p) << 10))
#define MIB_COUNTERS_BASE(p)				(0x1000 + ((p) << 7))
#define DA_FILTER_SPECIAL_MULTICAST_TABLE_BASE(p)	(0x1400 + ((p) << 10))
#define DA_FILTER_OTHER_MULTICAST_TABLE_BASE(p)		(0x1500 + ((p) << 10))
#define DA_FILTER_UNICAST_TABLE_BASE(p)			(0x1600 + ((p) << 10))

/* These macros describe Ethernet Port configuration reg (Px_cR) bits */
#define UNICAST_NORMAL_MODE		(0 << 0)
#define UNICAST_PROMISCUOUS_MODE	(1 << 0)
#define DEFAULT_RX_QUEUE(queue)		((queue) << 1)
#define DEFAULT_RX_ARP_QUEUE(queue)	((queue) << 4)
#define RECEIVE_BC_IF_NOT_IP_OR_ARP	(0 << 7)
#define REJECT_BC_IF_NOT_IP_OR_ARP	(1 << 7)
#define RECEIVE_BC_IF_IP		(0 << 8)
#define REJECT_BC_IF_IP			(1 << 8)
#define RECEIVE_BC_IF_ARP		(0 << 9)
#define REJECT_BC_IF_ARP		(1 << 9)
#define TX_AM_NO_UPDATE_ERROR_SUMMARY	(1 << 12)
#define CAPTURE_TCP_FRAMES_DIS		(0 << 14)
#define CAPTURE_TCP_FRAMES_EN		(1 << 14)
#define CAPTURE_UDP_FRAMES_DIS		(0 << 15)
#define CAPTURE_UDP_FRAMES_EN		(1 << 15)
#define DEFAULT_RX_TCP_QUEUE(queue)	((queue) << 16)
#define DEFAULT_RX_UDP_QUEUE(queue)	((queue) << 19)
#define DEFAULT_RX_BPDU_QUEUE(queue)	((queue) << 22)

#define PORT_CONFIG_DEFAULT_VALUE			\
		UNICAST_NORMAL_MODE		|	\
		DEFAULT_RX_QUEUE(0)		|	\
		DEFAULT_RX_ARP_QUEUE(0)		|	\
		RECEIVE_BC_IF_NOT_IP_OR_ARP	|	\
		RECEIVE_BC_IF_IP		|	\
		RECEIVE_BC_IF_ARP		|	\
		CAPTURE_TCP_FRAMES_DIS		|	\
		CAPTURE_UDP_FRAMES_DIS		|	\
		DEFAULT_RX_TCP_QUEUE(0)		|	\
		DEFAULT_RX_UDP_QUEUE(0)		|	\
		DEFAULT_RX_BPDU_QUEUE(0)

/* These macros describe Ethernet Port configuration extend reg (Px_cXR) bits*/
#define CLASSIFY_EN				(1 << 0)
#define SPAN_BPDU_PACKETS_AS_NORMAL		(0 << 1)
#define SPAN_BPDU_PACKETS_TO_RX_QUEUE_7		(1 << 1)
#define PARTITION_DISABLE			(0 << 2)
#define PARTITION_ENABLE			(1 << 2)

#define PORT_CONFIG_EXTEND_DEFAULT_VALUE		\
		SPAN_BPDU_PACKETS_AS_NORMAL	|	\
		PARTITION_DISABLE

/* These macros describe Ethernet Port Sdma configuration reg (SDCR) bits */
#define RIFB				(1 << 0)
#define RX_BURST_SIZE_1_64BIT		(0 << 1)
#define RX_BURST_SIZE_2_64BIT		(1 << 1)
#define RX_BURST_SIZE_4_64BIT		(2 << 1)
#define RX_BURST_SIZE_8_64BIT		(3 << 1)
#define RX_BURST_SIZE_16_64BIT		(4 << 1)
#define BLM_RX_NO_SWAP			(1 << 4)
#define BLM_RX_BYTE_SWAP		(0 << 4)
#define BLM_TX_NO_SWAP			(1 << 5)
#define BLM_TX_BYTE_SWAP		(0 << 5)
#define DESCRIPTORS_BYTE_SWAP		(1 << 6)
#define DESCRIPTORS_NO_SWAP		(0 << 6)
#define IPG_INT_RX(value)		(((value) & 0x3fff) << 8)
#define TX_BURST_SIZE_1_64BIT		(0 << 22)
#define TX_BURST_SIZE_2_64BIT		(1 << 22)
#define TX_BURST_SIZE_4_64BIT		(2 << 22)
#define TX_BURST_SIZE_8_64BIT		(3 << 22)
#define TX_BURST_SIZE_16_64BIT		(4 << 22)

#if defined(__BIG_ENDIAN)
#define PORT_SDMA_CONFIG_DEFAULT_VALUE		\
		RX_BURST_SIZE_4_64BIT	|	\
		IPG_INT_RX(0)		|	\
		TX_BURST_SIZE_4_64BIT
#elif defined(__LITTLE_ENDIAN)
#define PORT_SDMA_CONFIG_DEFAULT_VALUE		\
		RX_BURST_SIZE_4_64BIT	|	\
		BLM_RX_NO_SWAP		|	\
		BLM_TX_NO_SWAP		|	\
		IPG_INT_RX(0)		|	\
		TX_BURST_SIZE_4_64BIT
#else
#error One of __BIG_ENDIAN or __LITTLE_ENDIAN must be defined
#endif

/* These macros describe Ethernet Port serial control reg (PSCR) bits */
#define SERIAL_PORT_DISABLE			(0 << 0)
#define SERIAL_PORT_ENABLE			(1 << 0)
#define DO_NOT_FORCE_LINK_PASS			(0 << 1)
#define FORCE_LINK_PASS				(1 << 1)
#define ENABLE_AUTO_NEG_FOR_DUPLX		(0 << 2)
#define DISABLE_AUTO_NEG_FOR_DUPLX		(1 << 2)
#define ENABLE_AUTO_NEG_FOR_FLOW_CTRL		(0 << 3)
#define DISABLE_AUTO_NEG_FOR_FLOW_CTRL		(1 << 3)
#define ADV_NO_FLOW_CTRL			(0 << 4)
#define ADV_SYMMETRIC_FLOW_CTRL			(1 << 4)
#define FORCE_FC_MODE_NO_PAUSE_DIS_TX		(0 << 5)
#define FORCE_FC_MODE_TX_PAUSE_DIS		(1 << 5)
#define FORCE_BP_MODE_NO_JAM			(0 << 7)
#define FORCE_BP_MODE_JAM_TX			(1 << 7)
#define FORCE_BP_MODE_JAM_TX_ON_RX_ERR		(2 << 7)
#define SERIAL_PORT_CONTROL_RESERVED		(1 << 9)
#define FORCE_LINK_FAIL				(0 << 10)
#define DO_NOT_FORCE_LINK_FAIL			(1 << 10)
#define RETRANSMIT_16_ATTEMPTS			(0 << 11)
#define RETRANSMIT_FOREVER			(1 << 11)
#define ENABLE_AUTO_NEG_SPEED_GMII		(0 << 13)
#define DISABLE_AUTO_NEG_SPEED_GMII		(1 << 13)
#define DTE_ADV_0				(0 << 14)
#define DTE_ADV_1				(1 << 14)
#define DISABLE_AUTO_NEG_BYPASS			(0 << 15)
#define ENABLE_AUTO_NEG_BYPASS			(1 << 15)
#define AUTO_NEG_NO_CHANGE			(0 << 16)
#define RESTART_AUTO_NEG			(1 << 16)
#define MAX_RX_PACKET_1518BYTE			(0 << 17)
#define MAX_RX_PACKET_1522BYTE			(1 << 17)
#define MAX_RX_PACKET_1552BYTE			(2 << 17)
#define MAX_RX_PACKET_9022BYTE			(3 << 17)
#define MAX_RX_PACKET_9192BYTE			(4 << 17)
#define MAX_RX_PACKET_9700BYTE			(5 << 17)
#define MAX_RX_PACKET_MASK			(7 << 17)
#define CLR_EXT_LOOPBACK			(0 << 20)
#define SET_EXT_LOOPBACK			(1 << 20)
#define SET_HALF_DUPLEX_MODE			(0 << 21)
#define SET_FULL_DUPLEX_MODE			(1 << 21)
#define DISABLE_FLOW_CTRL_TX_RX_IN_FULL_DUPLEX	(0 << 22)
#define ENABLE_FLOW_CTRL_TX_RX_IN_FULL_DUPLEX	(1 << 22)
#define SET_GMII_SPEED_TO_10_100		(0 << 23)
#define SET_GMII_SPEED_TO_1000			(1 << 23)
#define SET_MII_SPEED_TO_10			(0 << 24)
#define SET_MII_SPEED_TO_100			(1 << 24)

#define PORT_SERIAL_CONTROL_DEFAULT_VALUE		\
		DO_NOT_FORCE_LINK_PASS		|	\
		ENABLE_AUTO_NEG_FOR_DUPLX	|	\
		DISABLE_AUTO_NEG_FOR_FLOW_CTRL	|	\
		ADV_SYMMETRIC_FLOW_CTRL		|	\
		FORCE_FC_MODE_NO_PAUSE_DIS_TX	|	\
		FORCE_BP_MODE_NO_JAM		|	\
		(1 << 9) /* reserved */		|	\
		DO_NOT_FORCE_LINK_FAIL		|	\
		RETRANSMIT_16_ATTEMPTS		|	\
		ENABLE_AUTO_NEG_SPEED_GMII	|	\
		DTE_ADV_0			|	\
		DISABLE_AUTO_NEG_BYPASS		|	\
		AUTO_NEG_NO_CHANGE		|	\
		MAX_RX_PACKET_9700BYTE		|	\
		CLR_EXT_LOOPBACK		|	\
		SET_FULL_DUPLEX_MODE		|	\
		ENABLE_FLOW_CTRL_TX_RX_IN_FULL_DUPLEX

/* These macros describe Ethernet Serial Status reg (PSR) bits */
#define PORT_STATUS_MODE_10_BIT		(1 << 0)
#define PORT_STATUS_LINK_UP		(1 << 1)
#define PORT_STATUS_FULL_DUPLEX		(1 << 2)
#define PORT_STATUS_FLOW_CONTROL	(1 << 3)
#define PORT_STATUS_GMII_1000		(1 << 4)
#define PORT_STATUS_MII_100		(1 << 5)
/* PSR bit 6 is undocumented */
#define PORT_STATUS_TX_IN_PROGRESS	(1 << 7)
#define PORT_STATUS_AUTONEG_BYPASSED	(1 << 8)
#define PORT_STATUS_PARTITION		(1 << 9)
#define PORT_STATUS_TX_FIFO_EMPTY	(1 << 10)
/* PSR bits 11-31 are reserved */

#define PORT_DEFAULT_TRANSMIT_QUEUE_SIZE	800
#define PORT_DEFAULT_RECEIVE_QUEUE_SIZE		400

#define DESC_SIZE				64

#define ETH_RX_QUEUES_ENABLED	(1 << 0)	/* use only Q0 for receive */
#define ETH_TX_QUEUES_ENABLED	(1 << 0)	/* use only Q0 for transmit */

#define ETH_INT_CAUSE_RX_DONE	(ETH_RX_QUEUES_ENABLED << 2)
#define ETH_INT_CAUSE_RX_ERROR	(ETH_RX_QUEUES_ENABLED << 9)
#define ETH_INT_CAUSE_RX	(ETH_INT_CAUSE_RX_DONE | ETH_INT_CAUSE_RX_ERROR)
#define ETH_INT_CAUSE_EXT	0x00000002
#define ETH_INT_UNMASK_ALL	(ETH_INT_CAUSE_RX | ETH_INT_CAUSE_EXT)

#define ETH_INT_CAUSE_TX_DONE	(ETH_TX_QUEUES_ENABLED << 0)
#define ETH_INT_CAUSE_TX_ERROR	(ETH_TX_QUEUES_ENABLED << 8)
#define ETH_INT_CAUSE_TX	(ETH_INT_CAUSE_TX_DONE | ETH_INT_CAUSE_TX_ERROR)
#define ETH_INT_CAUSE_PHY	0x00010000
#define ETH_INT_CAUSE_STATE	0x00100000
#define ETH_INT_UNMASK_ALL_EXT	(ETH_INT_CAUSE_TX | ETH_INT_CAUSE_PHY | \
					ETH_INT_CAUSE_STATE)

#define ETH_INT_MASK_ALL	0x00000000
#define ETH_INT_MASK_ALL_EXT	0x00000000

#define PHY_WAIT_ITERATIONS	1000	/* 1000 iterations * 10uS = 10mS max */
#define PHY_WAIT_MICRO_SECONDS	10

/* Buffer offset from buffer pointer */
#define RX_BUF_OFFSET				0x2

/* Gigabit Ethernet Unit Global Registers */

/* MIB Counters register definitions */
#define ETH_MIB_GOOD_OCTETS_RECEIVED_LOW	0x0
#define ETH_MIB_GOOD_OCTETS_RECEIVED_HIGH	0x4
#define ETH_MIB_BAD_OCTETS_RECEIVED		0x8
#define ETH_MIB_INTERNAL_MAC_TRANSMIT_ERR	0xc
#define ETH_MIB_GOOD_FRAMES_RECEIVED		0x10
#define ETH_MIB_BAD_FRAMES_RECEIVED		0x14
#define ETH_MIB_BROADCAST_FRAMES_RECEIVED	0x18
#define ETH_MIB_MULTICAST_FRAMES_RECEIVED	0x1c
#define ETH_MIB_FRAMES_64_OCTETS		0x20
#define ETH_MIB_FRAMES_65_TO_127_OCTETS		0x24
#define ETH_MIB_FRAMES_128_TO_255_OCTETS	0x28
#define ETH_MIB_FRAMES_256_TO_511_OCTETS	0x2c
#define ETH_MIB_FRAMES_512_TO_1023_OCTETS	0x30
#define ETH_MIB_FRAMES_1024_TO_MAX_OCTETS	0x34
#define ETH_MIB_GOOD_OCTETS_SENT_LOW		0x38
#define ETH_MIB_GOOD_OCTETS_SENT_HIGH		0x3c
#define ETH_MIB_GOOD_FRAMES_SENT		0x40
#define ETH_MIB_EXCESSIVE_COLLISION		0x44
#define ETH_MIB_MULTICAST_FRAMES_SENT		0x48
#define ETH_MIB_BROADCAST_FRAMES_SENT		0x4c
#define ETH_MIB_UNREC_MAC_CONTROL_RECEIVED	0x50
#define ETH_MIB_FC_SENT				0x54
#define ETH_MIB_GOOD_FC_RECEIVED		0x58
#define ETH_MIB_BAD_FC_RECEIVED			0x5c
#define ETH_MIB_UNDERSIZE_RECEIVED		0x60
#define ETH_MIB_FRAGMENTS_RECEIVED		0x64
#define ETH_MIB_OVERSIZE_RECEIVED		0x68
#define ETH_MIB_JABBER_RECEIVED			0x6c
#define ETH_MIB_MAC_RECEIVE_ERROR		0x70
#define ETH_MIB_BAD_CRC_EVENT			0x74
#define ETH_MIB_COLLISION			0x78
#define ETH_MIB_LATE_COLLISION			0x7c

/* Port serial status reg (PSR) */
#define ETH_INTERFACE_PCM			0x00000001
#define ETH_LINK_IS_UP				0x00000002
#define ETH_PORT_AT_FULL_DUPLEX			0x00000004
#define ETH_RX_FLOW_CTRL_ENABLED		0x00000008
#define ETH_GMII_SPEED_1000			0x00000010
#define ETH_MII_SPEED_100			0x00000020
#define ETH_TX_IN_PROGRESS			0x00000080
#define ETH_BYPASS_ACTIVE			0x00000100
#define ETH_PORT_AT_PARTITION_STATE		0x00000200
#define ETH_PORT_TX_FIFO_EMPTY			0x00000400

/* SMI reg */
#define ETH_SMI_BUSY		0x10000000	/* 0 - Write, 1 - Read	*/
#define ETH_SMI_READ_VALID	0x08000000	/* 0 - Write, 1 - Read	*/
#define ETH_SMI_OPCODE_WRITE	0		/* Completion of Read	*/
#define ETH_SMI_OPCODE_READ	0x04000000	/* Operation is in progress */

/* Interrupt Cause Register Bit Definitions */

/* SDMA command status fields macros */

/* Tx & Rx descriptors status */
#define ETH_ERROR_SUMMARY			0x00000001

/* Tx & Rx descriptors command */
#define ETH_BUFFER_OWNED_BY_DMA			0x80000000

/* Tx descriptors status */
#define ETH_LC_ERROR				0
#define ETH_UR_ERROR				0x00000002
#define ETH_RL_ERROR				0x00000004
#define ETH_LLC_SNAP_FORMAT			0x00000200

/* Rx descriptors status */
#define ETH_OVERRUN_ERROR			0x00000002
#define ETH_MAX_FRAME_LENGTH_ERROR		0x00000004
#define ETH_RESOURCE_ERROR			0x00000006
#define ETH_VLAN_TAGGED				0x00080000
#define ETH_BPDU_FRAME				0x00100000
#define ETH_UDP_FRAME_OVER_IP_V_4		0x00200000
#define ETH_OTHER_FRAME_TYPE			0x00400000
#define ETH_LAYER_2_IS_ETH_V_2			0x00800000
#define ETH_FRAME_TYPE_IP_V_4			0x01000000
#define ETH_FRAME_HEADER_OK			0x02000000
#define ETH_RX_LAST_DESC			0x04000000
#define ETH_RX_FIRST_DESC			0x08000000
#define ETH_UNKNOWN_DESTINATION_ADDR		0x10000000
#define ETH_RX_ENABLE_INTERRUPT			0x20000000
#define ETH_LAYER_4_CHECKSUM_OK			0x40000000

/* Rx descriptors byte count */
#define ETH_FRAME_FRAGMENTED			0x00000004

/* Tx descriptors command */
#define ETH_LAYER_4_CHECKSUM_FIRST_DESC		0x00000400
#define ETH_FRAME_SET_TO_VLAN			0x00008000
#define ETH_UDP_FRAME				0x00010000
#define ETH_GEN_TCP_UDP_CHECKSUM		0x00020000
#define ETH_GEN_IP_V_4_CHECKSUM			0x00040000
#define ETH_ZERO_PADDING			0x00080000
#define ETH_TX_LAST_DESC			0x00100000
#define ETH_TX_FIRST_DESC			0x00200000
#define ETH_GEN_CRC				0x00400000
#define ETH_TX_ENABLE_INTERRUPT			0x00800000
#define ETH_AUTO_MODE				0x40000000

#define ETH_TX_IHL_SHIFT			11

/* typedefs */

typedef enum _eth_func_ret_status {
	ETH_OK,			/* Returned as expected.		*/
	ETH_ERROR,		/* Fundamental error.			*/
	ETH_RETRY,		/* Could not process request. Try later.*/
	ETH_END_OF_JOB,		/* Ring has nothing to process.		*/
	ETH_QUEUE_FULL,		/* Ring resource error.			*/
	ETH_QUEUE_LAST_RESOURCE	/* Ring resources about to exhaust.	*/
} ETH_FUNC_RET_STATUS;

/* These are for big-endian machines.  Little endian needs different
 * definitions.
 */
#if defined(__BIG_ENDIAN)
struct eth_rx_desc {
	u16 byte_cnt;		/* Descriptor buffer byte count		*/
	u16 buf_size;		/* Buffer size				*/
	u32 cmd_sts;		/* Descriptor command status		*/
	u32 next_desc_ptr;	/* Next descriptor pointer		*/
	u32 buf_ptr;		/* Descriptor buffer pointer		*/
};

struct eth_tx_desc {
	u16 byte_cnt;		/* buffer byte count			*/
	u16 l4i_chk;		/* CPU provided TCP checksum		*/
	u32 cmd_sts;		/* Command/status field			*/
	u32 next_desc_ptr;	/* Pointer to next descriptor		*/
	u32 buf_ptr;		/* pointer to buffer for this descriptor*/
};
#elif defined(__LITTLE_ENDIAN)
struct eth_rx_desc {
	u32 cmd_sts;		/* Descriptor command status		*/
	u16 buf_size;		/* Buffer size				*/
	u16 byte_cnt;		/* Descriptor buffer byte count		*/
	u32 buf_ptr;		/* Descriptor buffer pointer		*/
	u32 next_desc_ptr;	/* Next descriptor pointer		*/
};

struct eth_tx_desc {
	u32 cmd_sts;		/* Command/status field			*/
	u16 l4i_chk;		/* CPU provided TCP checksum		*/
	u16 byte_cnt;		/* buffer byte count			*/
	u32 buf_ptr;		/* pointer to buffer for this descriptor*/
	u32 next_desc_ptr;	/* Pointer to next descriptor		*/
};
#else
#error One of __BIG_ENDIAN or __LITTLE_ENDIAN must be defined
#endif

/* Unified struct for Rx and Tx operations. The user is not required to	*/
/* be familier with neither Tx nor Rx descriptors.			*/
struct pkt_info {
	unsigned short byte_cnt;	/* Descriptor buffer byte count	*/
	unsigned short l4i_chk;		/* Tx CPU provided TCP Checksum	*/
	unsigned int cmd_sts;		/* Descriptor command status	*/
	dma_addr_t buf_ptr;		/* Descriptor buffer pointer	*/
	struct sk_buff *return_info;	/* User resource return information */
};

/* Ethernet port specific information */
struct mv643xx_mib_counters {
	u64 good_octets_received;
	u32 bad_octets_received;
	u32 internal_mac_transmit_err;
	u32 good_frames_received;
	u32 bad_frames_received;
	u32 broadcast_frames_received;
	u32 multicast_frames_received;
	u32 frames_64_octets;
	u32 frames_65_to_127_octets;
	u32 frames_128_to_255_octets;
	u32 frames_256_to_511_octets;
	u32 frames_512_to_1023_octets;
	u32 frames_1024_to_max_octets;
	u64 good_octets_sent;
	u32 good_frames_sent;
	u32 excessive_collision;
	u32 multicast_frames_sent;
	u32 broadcast_frames_sent;
	u32 unrec_mac_control_received;
	u32 fc_sent;
	u32 good_fc_received;
	u32 bad_fc_received;
	u32 undersize_received;
	u32 fragments_received;
	u32 oversize_received;
	u32 jabber_received;
	u32 mac_receive_error;
	u32 bad_crc_event;
	u32 collision;
	u32 late_collision;
};

515 516 517 518 519
struct mv643xx_shared_private {
	void __iomem *eth_base;

	/* used to protect SMI_REG, which is shared across ports */
	spinlock_t phy_lock;
520 521

	u32 win_protect;
522 523

	unsigned int t_clk;
524 525
};

526
struct mv643xx_private {
527
	struct mv643xx_shared_private *shared;
528 529
	int port_num;			/* User Ethernet port number	*/

530 531
	struct mv643xx_shared_private *shared_smi;

532 533 534 535 536 537 538 539 540 541 542 543 544 545 546 547 548 549 550 551 552 553 554 555 556 557 558 559 560 561 562 563 564 565 566 567 568 569 570 571 572 573 574 575 576 577 578 579 580 581 582 583 584 585 586
	u32 rx_sram_addr;		/* Base address of rx sram area */
	u32 rx_sram_size;		/* Size of rx sram area		*/
	u32 tx_sram_addr;		/* Base address of tx sram area */
	u32 tx_sram_size;		/* Size of tx sram area		*/

	int rx_resource_err;		/* Rx ring resource error flag */

	/* Tx/Rx rings managment indexes fields. For driver use */

	/* Next available and first returning Rx resource */
	int rx_curr_desc_q, rx_used_desc_q;

	/* Next available and first returning Tx resource */
	int tx_curr_desc_q, tx_used_desc_q;

#ifdef MV643XX_TX_FAST_REFILL
	u32 tx_clean_threshold;
#endif

	struct eth_rx_desc *p_rx_desc_area;
	dma_addr_t rx_desc_dma;
	int rx_desc_area_size;
	struct sk_buff **rx_skb;

	struct eth_tx_desc *p_tx_desc_area;
	dma_addr_t tx_desc_dma;
	int tx_desc_area_size;
	struct sk_buff **tx_skb;

	struct work_struct tx_timeout_task;

	struct net_device *dev;
	struct napi_struct napi;
	struct net_device_stats stats;
	struct mv643xx_mib_counters mib_counters;
	spinlock_t lock;
	/* Size of Tx Ring per queue */
	int tx_ring_size;
	/* Number of tx descriptors in use */
	int tx_desc_count;
	/* Size of Rx Ring per queue */
	int rx_ring_size;
	/* Number of rx descriptors in use */
	int rx_desc_count;

	/*
	 * Used in case RX Ring is empty, which can be caused when
	 * system does not have resources (skb's)
	 */
	struct timer_list timeout;

	u32 rx_int_coal;
	u32 tx_int_coal;
	struct mii_if_info mii;
};
L
Linus Torvalds 已提交
587 588

/* Static function declarations */
589
static void eth_port_init(struct mv643xx_private *mp);
590
static void eth_port_reset(struct mv643xx_private *mp);
591 592
static void eth_port_start(struct net_device *dev);

593
static void ethernet_phy_reset(struct mv643xx_private *mp);
594

595
static void eth_port_write_smi_reg(struct mv643xx_private *mp,
596 597
				   unsigned int phy_reg, unsigned int value);

598
static void eth_port_read_smi_reg(struct mv643xx_private *mp,
599 600
				  unsigned int phy_reg, unsigned int *value);

601
static void eth_clear_mib_counters(struct mv643xx_private *mp);
602 603 604 605 606 607

static ETH_FUNC_RET_STATUS eth_port_receive(struct mv643xx_private *mp,
					    struct pkt_info *p_pkt_info);
static ETH_FUNC_RET_STATUS eth_rx_return_buff(struct mv643xx_private *mp,
					      struct pkt_info *p_pkt_info);

608 609 610 611
static void eth_port_uc_addr_get(struct mv643xx_private *mp,
				 unsigned char *p_addr);
static void eth_port_uc_addr_set(struct mv643xx_private *mp,
				 unsigned char *p_addr);
612
static void eth_port_set_multicast_list(struct net_device *);
613
static void mv643xx_eth_port_enable_tx(struct mv643xx_private *mp,
614
						unsigned int queues);
615
static void mv643xx_eth_port_enable_rx(struct mv643xx_private *mp,
616
						unsigned int queues);
617 618
static unsigned int mv643xx_eth_port_disable_tx(struct mv643xx_private *mp);
static unsigned int mv643xx_eth_port_disable_rx(struct mv643xx_private *mp);
619 620
static int mv643xx_eth_open(struct net_device *);
static int mv643xx_eth_stop(struct net_device *);
621
static void eth_port_init_mac_tables(struct mv643xx_private *mp);
L
Linus Torvalds 已提交
622
#ifdef MV643XX_NAPI
623
static int mv643xx_poll(struct napi_struct *napi, int budget);
L
Linus Torvalds 已提交
624
#endif
625 626 627
static int ethernet_phy_get(struct mv643xx_private *mp);
static void ethernet_phy_set(struct mv643xx_private *mp, int phy_addr);
static int ethernet_phy_detect(struct mv643xx_private *mp);
628 629
static int mv643xx_mdio_read(struct net_device *dev, int phy_id, int location);
static void mv643xx_mdio_write(struct net_device *dev, int phy_id, int location, int val);
630
static int mv643xx_eth_do_ioctl(struct net_device *dev, struct ifreq *ifr, int cmd);
631
static const struct ethtool_ops mv643xx_ethtool_ops;
L
Linus Torvalds 已提交
632 633 634 635

static char mv643xx_driver_name[] = "mv643xx_eth";
static char mv643xx_driver_version[] = "1.0";

636
static inline u32 rdl(struct mv643xx_private *mp, int offset)
L
Linus Torvalds 已提交
637
{
638
	return readl(mp->shared->eth_base + offset);
L
Linus Torvalds 已提交
639 640
}

641
static inline void wrl(struct mv643xx_private *mp, int offset, u32 data)
L
Linus Torvalds 已提交
642
{
643
	writel(data, mp->shared->eth_base + offset);
L
Linus Torvalds 已提交
644 645 646 647 648 649 650 651 652 653 654
}

/*
 * Changes MTU (maximum transfer unit) of the gigabit ethenret port
 *
 * Input :	pointer to ethernet interface network device structure
 *		new mtu size
 * Output :	0 upon success, -EINVAL upon failure
 */
static int mv643xx_eth_change_mtu(struct net_device *dev, int new_mtu)
{
655
	if ((new_mtu > 9500) || (new_mtu < 64))
L
Linus Torvalds 已提交
656 657 658
		return -EINVAL;

	dev->mtu = new_mtu;
659 660 661
	if (!netif_running(dev))
		return 0;

L
Linus Torvalds 已提交
662
	/*
663 664 665 666
	 * Stop and then re-open the interface. This will allocate RX
	 * skbs of the new MTU.
	 * There is a possible danger that the open will not succeed,
	 * due to memory being full, which might fail the open function.
L
Linus Torvalds 已提交
667
	 */
668 669 670 671
	mv643xx_eth_stop(dev);
	if (mv643xx_eth_open(dev)) {
		printk(KERN_ERR "%s: Fatal error on opening device\n",
			dev->name);
L
Linus Torvalds 已提交
672 673 674 675 676 677
	}

	return 0;
}

/*
678
 * mv643xx_eth_rx_refill_descs
L
Linus Torvalds 已提交
679 680 681 682 683 684
 *
 * Fills / refills RX queue on a certain gigabit ethernet port
 *
 * Input :	pointer to ethernet interface network device structure
 * Output :	N/A
 */
685
static void mv643xx_eth_rx_refill_descs(struct net_device *dev)
L
Linus Torvalds 已提交
686 687 688 689
{
	struct mv643xx_private *mp = netdev_priv(dev);
	struct pkt_info pkt_info;
	struct sk_buff *skb;
690
	int unaligned;
L
Linus Torvalds 已提交
691

692
	while (mp->rx_desc_count < mp->rx_ring_size) {
R
Ralf Baechle 已提交
693
		skb = dev_alloc_skb(ETH_RX_SKB_SIZE + dma_get_cache_alignment());
L
Linus Torvalds 已提交
694 695
		if (!skb)
			break;
696
		mp->rx_desc_count++;
R
Ralf Baechle 已提交
697
		unaligned = (u32)skb->data & (dma_get_cache_alignment() - 1);
698
		if (unaligned)
R
Ralf Baechle 已提交
699
			skb_reserve(skb, dma_get_cache_alignment() - unaligned);
L
Linus Torvalds 已提交
700
		pkt_info.cmd_sts = ETH_RX_ENABLE_INTERRUPT;
701 702 703
		pkt_info.byte_cnt = ETH_RX_SKB_SIZE;
		pkt_info.buf_ptr = dma_map_single(NULL, skb->data,
					ETH_RX_SKB_SIZE, DMA_FROM_DEVICE);
L
Linus Torvalds 已提交
704 705 706 707 708 709
		pkt_info.return_info = skb;
		if (eth_rx_return_buff(mp, &pkt_info) != ETH_OK) {
			printk(KERN_ERR
				"%s: Error allocating RX Ring\n", dev->name);
			break;
		}
710
		skb_reserve(skb, ETH_HW_IP_ALIGN);
L
Linus Torvalds 已提交
711 712 713
	}
	/*
	 * If RX ring is empty of SKB, set a timer to try allocating
714
	 * again at a later time.
L
Linus Torvalds 已提交
715
	 */
716
	if (mp->rx_desc_count == 0) {
L
Linus Torvalds 已提交
717
		printk(KERN_INFO "%s: Rx ring is empty\n", dev->name);
718
		mp->timeout.expires = jiffies + (HZ / 10);	/* 100 mSec */
L
Linus Torvalds 已提交
719 720 721 722 723
		add_timer(&mp->timeout);
	}
}

/*
724
 * mv643xx_eth_rx_refill_descs_timer_wrapper
L
Linus Torvalds 已提交
725 726 727 728 729 730 731 732
 *
 * Timer routine to wake up RX queue filling task. This function is
 * used only in case the RX queue is empty, and all alloc_skb has
 * failed (due to out of memory event).
 *
 * Input :	pointer to ethernet interface network device structure
 * Output :	N/A
 */
733
static inline void mv643xx_eth_rx_refill_descs_timer_wrapper(unsigned long data)
L
Linus Torvalds 已提交
734
{
735
	mv643xx_eth_rx_refill_descs((struct net_device *)data);
L
Linus Torvalds 已提交
736 737 738 739 740 741 742 743 744 745 746 747 748 749
}

/*
 * mv643xx_eth_update_mac_address
 *
 * Update the MAC address of the port in the address table
 *
 * Input :	pointer to ethernet interface network device structure
 * Output :	N/A
 */
static void mv643xx_eth_update_mac_address(struct net_device *dev)
{
	struct mv643xx_private *mp = netdev_priv(dev);

750 751
	eth_port_init_mac_tables(mp);
	eth_port_uc_addr_set(mp, dev->dev_addr);
L
Linus Torvalds 已提交
752 753 754 755 756 757 758 759 760 761 762 763 764
}

/*
 * mv643xx_eth_set_rx_mode
 *
 * Change from promiscuos to regular rx mode
 *
 * Input :	pointer to ethernet interface network device structure
 * Output :	N/A
 */
static void mv643xx_eth_set_rx_mode(struct net_device *dev)
{
	struct mv643xx_private *mp = netdev_priv(dev);
765
	u32 config_reg;
L
Linus Torvalds 已提交
766

767
	config_reg = rdl(mp, PORT_CONFIG_REG(mp->port_num));
L
Linus Torvalds 已提交
768
	if (dev->flags & IFF_PROMISC)
769
		config_reg |= (u32) UNICAST_PROMISCUOUS_MODE;
L
Linus Torvalds 已提交
770
	else
771
		config_reg &= ~(u32) UNICAST_PROMISCUOUS_MODE;
772
	wrl(mp, PORT_CONFIG_REG(mp->port_num), config_reg);
773 774

	eth_port_set_multicast_list(dev);
L
Linus Torvalds 已提交
775 776 777 778 779 780 781 782 783 784 785 786 787 788 789 790 791 792 793 794 795 796 797 798 799 800 801 802 803 804 805 806 807 808 809 810 811 812 813 814 815 816 817 818 819 820 821
}

/*
 * mv643xx_eth_set_mac_address
 *
 * Change the interface's mac address.
 * No special hardware thing should be done because interface is always
 * put in promiscuous mode.
 *
 * Input :	pointer to ethernet interface network device structure and
 *		a pointer to the designated entry to be added to the cache.
 * Output :	zero upon success, negative upon failure
 */
static int mv643xx_eth_set_mac_address(struct net_device *dev, void *addr)
{
	int i;

	for (i = 0; i < 6; i++)
		/* +2 is for the offset of the HW addr type */
		dev->dev_addr[i] = ((unsigned char *)addr)[i + 2];
	mv643xx_eth_update_mac_address(dev);
	return 0;
}

/*
 * mv643xx_eth_tx_timeout
 *
 * Called upon a timeout on transmitting a packet
 *
 * Input :	pointer to ethernet interface network device structure.
 * Output :	N/A
 */
static void mv643xx_eth_tx_timeout(struct net_device *dev)
{
	struct mv643xx_private *mp = netdev_priv(dev);

	printk(KERN_INFO "%s: TX timeout  ", dev->name);

	/* Do the reset outside of interrupt context */
	schedule_work(&mp->tx_timeout_task);
}

/*
 * mv643xx_eth_tx_timeout_task
 *
 * Actual routine to reset the adapter when a timeout on Tx has occurred
 */
822
static void mv643xx_eth_tx_timeout_task(struct work_struct *ugly)
L
Linus Torvalds 已提交
823
{
824 825
	struct mv643xx_private *mp = container_of(ugly, struct mv643xx_private,
						  tx_timeout_task);
826
	struct net_device *dev = mp->dev;
L
Linus Torvalds 已提交
827

828 829 830 831 832
	if (!netif_running(dev))
		return;

	netif_stop_queue(dev);

833
	eth_port_reset(mp);
834
	eth_port_start(dev);
835 836 837

	if (mp->tx_ring_size - mp->tx_desc_count >= MAX_DESCS_PER_SKB)
		netif_wake_queue(dev);
L
Linus Torvalds 已提交
838 839
}

840 841
/**
 * mv643xx_eth_free_tx_descs - Free the tx desc data for completed descriptors
L
Linus Torvalds 已提交
842
 *
843
 * If force is non-zero, frees uncompleted descriptors as well
L
Linus Torvalds 已提交
844
 */
845
static int mv643xx_eth_free_tx_descs(struct net_device *dev, int force)
L
Linus Torvalds 已提交
846 847
{
	struct mv643xx_private *mp = netdev_priv(dev);
848 849 850 851 852 853 854 855
	struct eth_tx_desc *desc;
	u32 cmd_sts;
	struct sk_buff *skb;
	unsigned long flags;
	int tx_index;
	dma_addr_t addr;
	int count;
	int released = 0;
L
Linus Torvalds 已提交
856

857 858
	while (mp->tx_desc_count > 0) {
		spin_lock_irqsave(&mp->lock, flags);
859 860 861 862 863 864 865

		/* tx_desc_count might have changed before acquiring the lock */
		if (mp->tx_desc_count <= 0) {
			spin_unlock_irqrestore(&mp->lock, flags);
			return released;
		}

866 867 868 869 870 871 872 873 874 875 876 877 878 879 880 881 882 883
		tx_index = mp->tx_used_desc_q;
		desc = &mp->p_tx_desc_area[tx_index];
		cmd_sts = desc->cmd_sts;

		if (!force && (cmd_sts & ETH_BUFFER_OWNED_BY_DMA)) {
			spin_unlock_irqrestore(&mp->lock, flags);
			return released;
		}

		mp->tx_used_desc_q = (tx_index + 1) % mp->tx_ring_size;
		mp->tx_desc_count--;

		addr = desc->buf_ptr;
		count = desc->byte_cnt;
		skb = mp->tx_skb[tx_index];
		if (skb)
			mp->tx_skb[tx_index] = NULL;

884
		if (cmd_sts & ETH_ERROR_SUMMARY) {
L
Linus Torvalds 已提交
885
			printk("%s: Error in TX\n", dev->name);
886
			dev->stats.tx_errors++;
L
Linus Torvalds 已提交
887 888
		}

889 890
		spin_unlock_irqrestore(&mp->lock, flags);

891 892
		if (cmd_sts & ETH_TX_FIRST_DESC)
			dma_unmap_single(NULL, addr, count, DMA_TO_DEVICE);
893
		else
894
			dma_unmap_page(NULL, addr, count, DMA_TO_DEVICE);
L
Linus Torvalds 已提交
895

896 897 898 899
		if (skb)
			dev_kfree_skb_irq(skb);

		released = 1;
L
Linus Torvalds 已提交
900 901 902 903 904
	}

	return released;
}

905 906 907 908 909 910 911 912 913 914 915 916 917 918
static void mv643xx_eth_free_completed_tx_descs(struct net_device *dev)
{
	struct mv643xx_private *mp = netdev_priv(dev);

	if (mv643xx_eth_free_tx_descs(dev, 0) &&
	    mp->tx_ring_size - mp->tx_desc_count >= MAX_DESCS_PER_SKB)
		netif_wake_queue(dev);
}

static void mv643xx_eth_free_all_tx_descs(struct net_device *dev)
{
	mv643xx_eth_free_tx_descs(dev, 1);
}

L
Linus Torvalds 已提交
919 920 921 922 923 924 925 926 927 928 929 930 931 932
/*
 * mv643xx_eth_receive
 *
 * This function is forward packets that are received from the port's
 * queues toward kernel core or FastRoute them to another interface.
 *
 * Input :	dev - a pointer to the required interface
 *		max - maximum number to receive (0 means unlimted)
 *
 * Output :	number of served packets
 */
static int mv643xx_eth_receive_queue(struct net_device *dev, int budget)
{
	struct mv643xx_private *mp = netdev_priv(dev);
933
	struct net_device_stats *stats = &dev->stats;
L
Linus Torvalds 已提交
934 935 936 937
	unsigned int received_packets = 0;
	struct sk_buff *skb;
	struct pkt_info pkt_info;

938
	while (budget-- > 0 && eth_port_receive(mp, &pkt_info) == ETH_OK) {
939
		dma_unmap_single(NULL, pkt_info.buf_ptr, ETH_RX_SKB_SIZE,
940
							DMA_FROM_DEVICE);
941
		mp->rx_desc_count--;
L
Linus Torvalds 已提交
942
		received_packets++;
943

944 945 946 947
		/*
		 * Update statistics.
		 * Note byte count includes 4 byte CRC count
		 */
L
Linus Torvalds 已提交
948 949 950 951 952 953 954 955 956 957 958 959 960 961 962 963 964 965 966 967 968 969 970 971 972 973 974 975 976 977 978 979 980 981 982 983 984 985 986 987 988 989 990 991
		stats->rx_packets++;
		stats->rx_bytes += pkt_info.byte_cnt;
		skb = pkt_info.return_info;
		/*
		 * In case received a packet without first / last bits on OR
		 * the error summary bit is on, the packets needs to be dropeed.
		 */
		if (((pkt_info.cmd_sts
				& (ETH_RX_FIRST_DESC | ETH_RX_LAST_DESC)) !=
					(ETH_RX_FIRST_DESC | ETH_RX_LAST_DESC))
				|| (pkt_info.cmd_sts & ETH_ERROR_SUMMARY)) {
			stats->rx_dropped++;
			if ((pkt_info.cmd_sts & (ETH_RX_FIRST_DESC |
							ETH_RX_LAST_DESC)) !=
				(ETH_RX_FIRST_DESC | ETH_RX_LAST_DESC)) {
				if (net_ratelimit())
					printk(KERN_ERR
						"%s: Received packet spread "
						"on multiple descriptors\n",
						dev->name);
			}
			if (pkt_info.cmd_sts & ETH_ERROR_SUMMARY)
				stats->rx_errors++;

			dev_kfree_skb_irq(skb);
		} else {
			/*
			 * The -4 is for the CRC in the trailer of the
			 * received packet
			 */
			skb_put(skb, pkt_info.byte_cnt - 4);

			if (pkt_info.cmd_sts & ETH_LAYER_4_CHECKSUM_OK) {
				skb->ip_summed = CHECKSUM_UNNECESSARY;
				skb->csum = htons(
					(pkt_info.cmd_sts & 0x0007fff8) >> 3);
			}
			skb->protocol = eth_type_trans(skb, dev);
#ifdef MV643XX_NAPI
			netif_receive_skb(skb);
#else
			netif_rx(skb);
#endif
		}
992
		dev->last_rx = jiffies;
L
Linus Torvalds 已提交
993
	}
994
	mv643xx_eth_rx_refill_descs(dev);	/* Fill RX ring with skb's */
L
Linus Torvalds 已提交
995 996 997 998

	return received_packets;
}

999 1000 1001 1002 1003 1004 1005
/* Set the mv643xx port configuration register for the speed/duplex mode. */
static void mv643xx_eth_update_pscr(struct net_device *dev,
				    struct ethtool_cmd *ecmd)
{
	struct mv643xx_private *mp = netdev_priv(dev);
	int port_num = mp->port_num;
	u32 o_pscr, n_pscr;
1006
	unsigned int queues;
1007

1008
	o_pscr = rdl(mp, PORT_SERIAL_CONTROL_REG(port_num));
1009 1010 1011
	n_pscr = o_pscr;

	/* clear speed, duplex and rx buffer size fields */
1012 1013 1014 1015
	n_pscr &= ~(SET_MII_SPEED_TO_100  |
		   SET_GMII_SPEED_TO_1000 |
		   SET_FULL_DUPLEX_MODE   |
		   MAX_RX_PACKET_MASK);
1016 1017

	if (ecmd->duplex == DUPLEX_FULL)
1018
		n_pscr |= SET_FULL_DUPLEX_MODE;
1019 1020

	if (ecmd->speed == SPEED_1000)
1021 1022
		n_pscr |= SET_GMII_SPEED_TO_1000 |
			  MAX_RX_PACKET_9700BYTE;
1023 1024
	else {
		if (ecmd->speed == SPEED_100)
1025 1026
			n_pscr |= SET_MII_SPEED_TO_100;
		n_pscr |= MAX_RX_PACKET_1522BYTE;
1027 1028 1029
	}

	if (n_pscr != o_pscr) {
1030
		if ((o_pscr & SERIAL_PORT_ENABLE) == 0)
1031
			wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), n_pscr);
1032
		else {
1033
			queues = mv643xx_eth_port_disable_tx(mp);
1034

1035
			o_pscr &= ~SERIAL_PORT_ENABLE;
1036 1037 1038
			wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), o_pscr);
			wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), n_pscr);
			wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), n_pscr);
1039
			if (queues)
1040
				mv643xx_eth_port_enable_tx(mp, queues);
1041 1042 1043 1044
		}
	}
}

L
Linus Torvalds 已提交
1045 1046 1047 1048 1049 1050 1051 1052 1053 1054 1055
/*
 * mv643xx_eth_int_handler
 *
 * Main interrupt handler for the gigbit ethernet ports
 *
 * Input :	irq	- irq number (not used)
 *		dev_id	- a pointer to the required interface's data structure
 *		regs	- not used
 * Output :	N/A
 */

1056
static irqreturn_t mv643xx_eth_int_handler(int irq, void *dev_id)
L
Linus Torvalds 已提交
1057 1058 1059 1060 1061 1062 1063
{
	struct net_device *dev = (struct net_device *)dev_id;
	struct mv643xx_private *mp = netdev_priv(dev);
	u32 eth_int_cause, eth_int_cause_ext = 0;
	unsigned int port_num = mp->port_num;

	/* Read interrupt cause registers */
1064
	eth_int_cause = rdl(mp, INTERRUPT_CAUSE_REG(port_num)) &
1065
						ETH_INT_UNMASK_ALL;
1066
	if (eth_int_cause & ETH_INT_CAUSE_EXT) {
1067
		eth_int_cause_ext = rdl(mp,
1068
			INTERRUPT_CAUSE_EXTEND_REG(port_num)) &
1069
						ETH_INT_UNMASK_ALL_EXT;
1070
		wrl(mp, INTERRUPT_CAUSE_EXTEND_REG(port_num),
1071
							~eth_int_cause_ext);
L
Linus Torvalds 已提交
1072
	}
1073

L
Linus Torvalds 已提交
1074
	/* PHY status changed */
1075
	if (eth_int_cause_ext & (ETH_INT_CAUSE_PHY | ETH_INT_CAUSE_STATE)) {
1076 1077
		struct ethtool_cmd cmd;

1078
		if (mii_link_ok(&mp->mii)) {
1079 1080
			mii_ethtool_gset(&mp->mii, &cmd);
			mv643xx_eth_update_pscr(dev, &cmd);
1081
			mv643xx_eth_port_enable_tx(mp, ETH_TX_QUEUES_ENABLED);
1082 1083
			if (!netif_carrier_ok(dev)) {
				netif_carrier_on(dev);
1084 1085
				if (mp->tx_ring_size - mp->tx_desc_count >=
							MAX_DESCS_PER_SKB)
1086
					netif_wake_queue(dev);
1087 1088
			}
		} else if (netif_carrier_ok(dev)) {
L
Linus Torvalds 已提交
1089
			netif_stop_queue(dev);
1090
			netif_carrier_off(dev);
L
Linus Torvalds 已提交
1091 1092 1093
		}
	}

1094 1095 1096
#ifdef MV643XX_NAPI
	if (eth_int_cause & ETH_INT_CAUSE_RX) {
		/* schedule the NAPI poll routine to maintain port */
1097
		wrl(mp, INTERRUPT_MASK_REG(port_num), ETH_INT_MASK_ALL);
1098

1099
		/* wait for previous write to complete */
1100
		rdl(mp, INTERRUPT_MASK_REG(port_num));
1101

1102
		netif_rx_schedule(dev, &mp->napi);
1103 1104 1105 1106
	}
#else
	if (eth_int_cause & ETH_INT_CAUSE_RX)
		mv643xx_eth_receive_queue(dev, INT_MAX);
1107
#endif
1108 1109 1110
	if (eth_int_cause_ext & ETH_INT_CAUSE_TX)
		mv643xx_eth_free_completed_tx_descs(dev);

L
Linus Torvalds 已提交
1111 1112 1113 1114 1115 1116 1117 1118 1119 1120 1121 1122 1123 1124 1125 1126 1127 1128 1129 1130 1131 1132 1133 1134
	/*
	 * If no real interrupt occured, exit.
	 * This can happen when using gigE interrupt coalescing mechanism.
	 */
	if ((eth_int_cause == 0x0) && (eth_int_cause_ext == 0x0))
		return IRQ_NONE;

	return IRQ_HANDLED;
}

#ifdef MV643XX_COAL

/*
 * eth_port_set_rx_coal - Sets coalescing interrupt mechanism on RX path
 *
 * DESCRIPTION:
 *	This routine sets the RX coalescing interrupt mechanism parameter.
 *	This parameter is a timeout counter, that counts in 64 t_clk
 *	chunks ; that when timeout event occurs a maskable interrupt
 *	occurs.
 *	The parameter is calculated using the tClk of the MV-643xx chip
 *	, and the required delay of the interrupt in usec.
 *
 * INPUT:
1135
 *	struct mv643xx_private *mp	Ethernet port
L
Linus Torvalds 已提交
1136 1137 1138 1139 1140 1141 1142 1143 1144
 *	unsigned int delay		Delay in usec
 *
 * OUTPUT:
 *	Interrupt coalescing mechanism value is set in MV-643xx chip.
 *
 * RETURN:
 *	The interrupt coalescing value set in the gigE port.
 *
 */
1145
static unsigned int eth_port_set_rx_coal(struct mv643xx_private *mp,
1146
					unsigned int delay)
L
Linus Torvalds 已提交
1147
{
1148
	unsigned int port_num = mp->port_num;
1149
	unsigned int coal = ((mp->shared->t_clk / 1000000) * delay) / 64;
L
Linus Torvalds 已提交
1150 1151

	/* Set RX Coalescing mechanism */
1152
	wrl(mp, SDMA_CONFIG_REG(port_num),
L
Linus Torvalds 已提交
1153
		((coal & 0x3fff) << 8) |
1154
		(rdl(mp, SDMA_CONFIG_REG(port_num))
L
Linus Torvalds 已提交
1155 1156 1157 1158 1159 1160 1161 1162 1163 1164 1165 1166 1167 1168 1169 1170 1171 1172
			& 0xffc000ff));

	return coal;
}
#endif

/*
 * eth_port_set_tx_coal - Sets coalescing interrupt mechanism on TX path
 *
 * DESCRIPTION:
 *	This routine sets the TX coalescing interrupt mechanism parameter.
 *	This parameter is a timeout counter, that counts in 64 t_clk
 *	chunks ; that when timeout event occurs a maskable interrupt
 *	occurs.
 *	The parameter is calculated using the t_cLK frequency of the
 *	MV-643xx chip and the required delay in the interrupt in uSec
 *
 * INPUT:
1173
 *	struct mv643xx_private *mp	Ethernet port
L
Linus Torvalds 已提交
1174 1175 1176 1177 1178 1179 1180 1181 1182
 *	unsigned int delay		Delay in uSeconds
 *
 * OUTPUT:
 *	Interrupt coalescing mechanism value is set in MV-643xx chip.
 *
 * RETURN:
 *	The interrupt coalescing value set in the gigE port.
 *
 */
1183
static unsigned int eth_port_set_tx_coal(struct mv643xx_private *mp,
1184
					unsigned int delay)
L
Linus Torvalds 已提交
1185
{
1186
	unsigned int coal = ((mp->shared->t_clk / 1000000) * delay) / 64;
1187

L
Linus Torvalds 已提交
1188
	/* Set TX Coalescing mechanism */
1189
	wrl(mp, TX_FIFO_URGENT_THRESHOLD_REG(mp->port_num), coal << 4);
1190

L
Linus Torvalds 已提交
1191 1192 1193 1194 1195 1196 1197 1198 1199 1200 1201 1202 1203 1204 1205 1206 1207 1208 1209 1210 1211 1212 1213 1214 1215 1216 1217 1218 1219 1220 1221 1222 1223 1224 1225 1226 1227 1228 1229 1230 1231 1232 1233 1234 1235 1236 1237 1238 1239 1240 1241 1242 1243 1244 1245 1246 1247 1248 1249 1250 1251 1252 1253 1254 1255 1256 1257 1258 1259 1260 1261 1262 1263 1264 1265 1266 1267 1268 1269 1270 1271 1272 1273 1274 1275 1276
	return coal;
}

/*
 * ether_init_rx_desc_ring - Curve a Rx chain desc list and buffer in memory.
 *
 * DESCRIPTION:
 *	This function prepares a Rx chained list of descriptors and packet
 *	buffers in a form of a ring. The routine must be called after port
 *	initialization routine and before port start routine.
 *	The Ethernet SDMA engine uses CPU bus addresses to access the various
 *	devices in the system (i.e. DRAM). This function uses the ethernet
 *	struct 'virtual to physical' routine (set by the user) to set the ring
 *	with physical addresses.
 *
 * INPUT:
 *	struct mv643xx_private *mp	Ethernet Port Control srtuct.
 *
 * OUTPUT:
 *	The routine updates the Ethernet port control struct with information
 *	regarding the Rx descriptors and buffers.
 *
 * RETURN:
 *	None.
 */
static void ether_init_rx_desc_ring(struct mv643xx_private *mp)
{
	volatile struct eth_rx_desc *p_rx_desc;
	int rx_desc_num = mp->rx_ring_size;
	int i;

	/* initialize the next_desc_ptr links in the Rx descriptors ring */
	p_rx_desc = (struct eth_rx_desc *)mp->p_rx_desc_area;
	for (i = 0; i < rx_desc_num; i++) {
		p_rx_desc[i].next_desc_ptr = mp->rx_desc_dma +
			((i + 1) % rx_desc_num) * sizeof(struct eth_rx_desc);
	}

	/* Save Rx desc pointer to driver struct. */
	mp->rx_curr_desc_q = 0;
	mp->rx_used_desc_q = 0;

	mp->rx_desc_area_size = rx_desc_num * sizeof(struct eth_rx_desc);
}

/*
 * ether_init_tx_desc_ring - Curve a Tx chain desc list and buffer in memory.
 *
 * DESCRIPTION:
 *	This function prepares a Tx chained list of descriptors and packet
 *	buffers in a form of a ring. The routine must be called after port
 *	initialization routine and before port start routine.
 *	The Ethernet SDMA engine uses CPU bus addresses to access the various
 *	devices in the system (i.e. DRAM). This function uses the ethernet
 *	struct 'virtual to physical' routine (set by the user) to set the ring
 *	with physical addresses.
 *
 * INPUT:
 *	struct mv643xx_private *mp	Ethernet Port Control srtuct.
 *
 * OUTPUT:
 *	The routine updates the Ethernet port control struct with information
 *	regarding the Tx descriptors and buffers.
 *
 * RETURN:
 *	None.
 */
static void ether_init_tx_desc_ring(struct mv643xx_private *mp)
{
	int tx_desc_num = mp->tx_ring_size;
	struct eth_tx_desc *p_tx_desc;
	int i;

	/* Initialize the next_desc_ptr links in the Tx descriptors ring */
	p_tx_desc = (struct eth_tx_desc *)mp->p_tx_desc_area;
	for (i = 0; i < tx_desc_num; i++) {
		p_tx_desc[i].next_desc_ptr = mp->tx_desc_dma +
			((i + 1) % tx_desc_num) * sizeof(struct eth_tx_desc);
	}

	mp->tx_curr_desc_q = 0;
	mp->tx_used_desc_q = 0;

	mp->tx_desc_area_size = tx_desc_num * sizeof(struct eth_tx_desc);
}

1277 1278 1279 1280 1281 1282 1283 1284 1285 1286 1287 1288 1289 1290 1291 1292 1293 1294 1295 1296 1297 1298 1299 1300 1301 1302 1303 1304
static int mv643xx_set_settings(struct net_device *dev, struct ethtool_cmd *cmd)
{
	struct mv643xx_private *mp = netdev_priv(dev);
	int err;

	spin_lock_irq(&mp->lock);
	err = mii_ethtool_sset(&mp->mii, cmd);
	spin_unlock_irq(&mp->lock);

	return err;
}

static int mv643xx_get_settings(struct net_device *dev, struct ethtool_cmd *cmd)
{
	struct mv643xx_private *mp = netdev_priv(dev);
	int err;

	spin_lock_irq(&mp->lock);
	err = mii_ethtool_gset(&mp->mii, cmd);
	spin_unlock_irq(&mp->lock);

	/* The PHY may support 1000baseT_Half, but the mv643xx does not */
	cmd->supported &= ~SUPPORTED_1000baseT_Half;
	cmd->advertising &= ~ADVERTISED_1000baseT_Half;

	return err;
}

1305 1306 1307 1308 1309 1310 1311 1312 1313 1314 1315 1316 1317 1318
/*
 * mv643xx_eth_open
 *
 * This function is called when openning the network device. The function
 * should initialize all the hardware, initialize cyclic Rx/Tx
 * descriptors chain and buffers and allocate an IRQ to the network
 * device.
 *
 * Input :	a pointer to the network device structure
 *
 * Output :	zero of success , nonzero if fails.
 */

static int mv643xx_eth_open(struct net_device *dev)
L
Linus Torvalds 已提交
1319 1320 1321 1322
{
	struct mv643xx_private *mp = netdev_priv(dev);
	unsigned int port_num = mp->port_num;
	unsigned int size;
1323 1324
	int err;

1325
	/* Clear any pending ethernet port interrupts */
1326 1327
	wrl(mp, INTERRUPT_CAUSE_REG(port_num), 0);
	wrl(mp, INTERRUPT_CAUSE_EXTEND_REG(port_num), 0);
1328
	/* wait for previous write to complete */
1329
	rdl(mp, INTERRUPT_CAUSE_EXTEND_REG(port_num));
1330

1331
	err = request_irq(dev->irq, mv643xx_eth_int_handler,
1332
			IRQF_SHARED | IRQF_SAMPLE_RANDOM, dev->name, dev);
1333
	if (err) {
1334
		printk(KERN_ERR "%s: Can not assign IRQ\n", dev->name);
1335 1336
		return -EAGAIN;
	}
L
Linus Torvalds 已提交
1337 1338 1339 1340

	eth_port_init(mp);

	memset(&mp->timeout, 0, sizeof(struct timer_list));
1341
	mp->timeout.function = mv643xx_eth_rx_refill_descs_timer_wrapper;
L
Linus Torvalds 已提交
1342 1343 1344 1345 1346 1347 1348
	mp->timeout.data = (unsigned long)dev;

	/* Allocate RX and TX skb rings */
	mp->rx_skb = kmalloc(sizeof(*mp->rx_skb) * mp->rx_ring_size,
								GFP_KERNEL);
	if (!mp->rx_skb) {
		printk(KERN_ERR "%s: Cannot allocate Rx skb ring\n", dev->name);
1349 1350
		err = -ENOMEM;
		goto out_free_irq;
L
Linus Torvalds 已提交
1351 1352 1353 1354 1355
	}
	mp->tx_skb = kmalloc(sizeof(*mp->tx_skb) * mp->tx_ring_size,
								GFP_KERNEL);
	if (!mp->tx_skb) {
		printk(KERN_ERR "%s: Cannot allocate Tx skb ring\n", dev->name);
1356 1357
		err = -ENOMEM;
		goto out_free_rx_skb;
L
Linus Torvalds 已提交
1358 1359 1360
	}

	/* Allocate TX ring */
1361
	mp->tx_desc_count = 0;
L
Linus Torvalds 已提交
1362 1363 1364 1365 1366 1367 1368 1369 1370 1371 1372 1373 1374 1375 1376
	size = mp->tx_ring_size * sizeof(struct eth_tx_desc);
	mp->tx_desc_area_size = size;

	if (mp->tx_sram_size) {
		mp->p_tx_desc_area = ioremap(mp->tx_sram_addr,
							mp->tx_sram_size);
		mp->tx_desc_dma = mp->tx_sram_addr;
	} else
		mp->p_tx_desc_area = dma_alloc_coherent(NULL, size,
							&mp->tx_desc_dma,
							GFP_KERNEL);

	if (!mp->p_tx_desc_area) {
		printk(KERN_ERR "%s: Cannot allocate Tx Ring (size %d bytes)\n",
							dev->name, size);
1377 1378
		err = -ENOMEM;
		goto out_free_tx_skb;
L
Linus Torvalds 已提交
1379 1380 1381 1382 1383 1384 1385
	}
	BUG_ON((u32) mp->p_tx_desc_area & 0xf);	/* check 16-byte alignment */
	memset((void *)mp->p_tx_desc_area, 0, mp->tx_desc_area_size);

	ether_init_tx_desc_ring(mp);

	/* Allocate RX ring */
1386
	mp->rx_desc_count = 0;
L
Linus Torvalds 已提交
1387 1388 1389 1390 1391 1392 1393 1394 1395 1396 1397 1398 1399 1400 1401 1402 1403 1404
	size = mp->rx_ring_size * sizeof(struct eth_rx_desc);
	mp->rx_desc_area_size = size;

	if (mp->rx_sram_size) {
		mp->p_rx_desc_area = ioremap(mp->rx_sram_addr,
							mp->rx_sram_size);
		mp->rx_desc_dma = mp->rx_sram_addr;
	} else
		mp->p_rx_desc_area = dma_alloc_coherent(NULL, size,
							&mp->rx_desc_dma,
							GFP_KERNEL);

	if (!mp->p_rx_desc_area) {
		printk(KERN_ERR "%s: Cannot allocate Rx ring (size %d bytes)\n",
							dev->name, size);
		printk(KERN_ERR "%s: Freeing previously allocated TX queues...",
							dev->name);
		if (mp->rx_sram_size)
1405
			iounmap(mp->p_tx_desc_area);
L
Linus Torvalds 已提交
1406 1407 1408
		else
			dma_free_coherent(NULL, mp->tx_desc_area_size,
					mp->p_tx_desc_area, mp->tx_desc_dma);
1409 1410
		err = -ENOMEM;
		goto out_free_tx_skb;
L
Linus Torvalds 已提交
1411 1412 1413 1414 1415
	}
	memset((void *)mp->p_rx_desc_area, 0, size);

	ether_init_rx_desc_ring(mp);

1416
	mv643xx_eth_rx_refill_descs(dev);	/* Fill RX ring with skb's */
L
Linus Torvalds 已提交
1417

1418 1419 1420 1421
#ifdef MV643XX_NAPI
	napi_enable(&mp->napi);
#endif

1422
	eth_port_start(dev);
L
Linus Torvalds 已提交
1423 1424 1425 1426 1427

	/* Interrupt Coalescing */

#ifdef MV643XX_COAL
	mp->rx_int_coal =
1428
		eth_port_set_rx_coal(mp, MV643XX_RX_COAL);
L
Linus Torvalds 已提交
1429 1430 1431
#endif

	mp->tx_int_coal =
1432
		eth_port_set_tx_coal(mp, MV643XX_TX_COAL);
L
Linus Torvalds 已提交
1433

1434
	/* Unmask phy and link status changes interrupts */
1435
	wrl(mp, INTERRUPT_EXTEND_MASK_REG(port_num), ETH_INT_UNMASK_ALL_EXT);
L
Linus Torvalds 已提交
1436

1437
	/* Unmask RX buffer and TX end interrupt */
1438
	wrl(mp, INTERRUPT_MASK_REG(port_num), ETH_INT_UNMASK_ALL);
1439

L
Linus Torvalds 已提交
1440
	return 0;
1441 1442 1443 1444 1445 1446 1447 1448 1449

out_free_tx_skb:
	kfree(mp->tx_skb);
out_free_rx_skb:
	kfree(mp->rx_skb);
out_free_irq:
	free_irq(dev->irq, dev);

	return err;
L
Linus Torvalds 已提交
1450 1451 1452 1453 1454 1455 1456
}

static void mv643xx_eth_free_tx_rings(struct net_device *dev)
{
	struct mv643xx_private *mp = netdev_priv(dev);

	/* Stop Tx Queues */
1457
	mv643xx_eth_port_disable_tx(mp);
L
Linus Torvalds 已提交
1458

1459 1460 1461 1462
	/* Free outstanding skb's on TX ring */
	mv643xx_eth_free_all_tx_descs(dev);

	BUG_ON(mp->tx_used_desc_q != mp->tx_curr_desc_q);
L
Linus Torvalds 已提交
1463 1464 1465 1466 1467 1468 1469 1470 1471 1472 1473 1474 1475 1476 1477

	/* Free TX ring */
	if (mp->tx_sram_size)
		iounmap(mp->p_tx_desc_area);
	else
		dma_free_coherent(NULL, mp->tx_desc_area_size,
				mp->p_tx_desc_area, mp->tx_desc_dma);
}

static void mv643xx_eth_free_rx_rings(struct net_device *dev)
{
	struct mv643xx_private *mp = netdev_priv(dev);
	int curr;

	/* Stop RX Queues */
1478
	mv643xx_eth_port_disable_rx(mp);
L
Linus Torvalds 已提交
1479 1480

	/* Free preallocated skb's on RX rings */
1481
	for (curr = 0; mp->rx_desc_count && curr < mp->rx_ring_size; curr++) {
L
Linus Torvalds 已提交
1482 1483
		if (mp->rx_skb[curr]) {
			dev_kfree_skb(mp->rx_skb[curr]);
1484
			mp->rx_desc_count--;
L
Linus Torvalds 已提交
1485 1486 1487
		}
	}

1488
	if (mp->rx_desc_count)
L
Linus Torvalds 已提交
1489 1490 1491
		printk(KERN_ERR
			"%s: Error in freeing Rx Ring. %d skb's still"
			" stuck in RX Ring - ignoring them\n", dev->name,
1492
			mp->rx_desc_count);
L
Linus Torvalds 已提交
1493 1494 1495 1496 1497 1498 1499 1500 1501 1502 1503 1504 1505 1506 1507 1508 1509 1510
	/* Free RX ring */
	if (mp->rx_sram_size)
		iounmap(mp->p_rx_desc_area);
	else
		dma_free_coherent(NULL, mp->rx_desc_area_size,
				mp->p_rx_desc_area, mp->rx_desc_dma);
}

/*
 * mv643xx_eth_stop
 *
 * This function is used when closing the network device.
 * It updates the hardware,
 * release all memory that holds buffers and descriptors and release the IRQ.
 * Input :	a pointer to the device structure
 * Output :	zero if success , nonzero if fails
 */

1511
static int mv643xx_eth_stop(struct net_device *dev)
L
Linus Torvalds 已提交
1512 1513 1514 1515
{
	struct mv643xx_private *mp = netdev_priv(dev);
	unsigned int port_num = mp->port_num;

1516
	/* Mask all interrupts on ethernet port */
1517
	wrl(mp, INTERRUPT_MASK_REG(port_num), ETH_INT_MASK_ALL);
1518
	/* wait for previous write to complete */
1519
	rdl(mp, INTERRUPT_MASK_REG(port_num));
1520 1521

#ifdef MV643XX_NAPI
1522
	napi_disable(&mp->napi);
1523
#endif
L
Linus Torvalds 已提交
1524 1525 1526
	netif_carrier_off(dev);
	netif_stop_queue(dev);

1527
	eth_port_reset(mp);
L
Linus Torvalds 已提交
1528

1529 1530
	mv643xx_eth_free_tx_rings(dev);
	mv643xx_eth_free_rx_rings(dev);
L
Linus Torvalds 已提交
1531 1532 1533 1534 1535 1536 1537 1538 1539 1540 1541 1542

	free_irq(dev->irq, dev);

	return 0;
}

#ifdef MV643XX_NAPI
/*
 * mv643xx_poll
 *
 * This function is used in case of NAPI
 */
1543
static int mv643xx_poll(struct napi_struct *napi, int budget)
L
Linus Torvalds 已提交
1544
{
1545 1546
	struct mv643xx_private *mp = container_of(napi, struct mv643xx_private, napi);
	struct net_device *dev = mp->dev;
L
Linus Torvalds 已提交
1547
	unsigned int port_num = mp->port_num;
1548
	int work_done;
L
Linus Torvalds 已提交
1549 1550 1551

#ifdef MV643XX_TX_FAST_REFILL
	if (++mp->tx_clean_threshold > 5) {
1552
		mv643xx_eth_free_completed_tx_descs(dev);
L
Linus Torvalds 已提交
1553 1554 1555 1556
		mp->tx_clean_threshold = 0;
	}
#endif

1557
	work_done = 0;
1558
	if ((rdl(mp, RX_CURRENT_QUEUE_DESC_PTR_0(port_num)))
1559 1560
	    != (u32) mp->rx_used_desc_q)
		work_done = mv643xx_eth_receive_queue(dev, budget);
L
Linus Torvalds 已提交
1561

1562 1563
	if (work_done < budget) {
		netif_rx_complete(dev, napi);
1564 1565 1566
		wrl(mp, INTERRUPT_CAUSE_REG(port_num), 0);
		wrl(mp, INTERRUPT_CAUSE_EXTEND_REG(port_num), 0);
		wrl(mp, INTERRUPT_MASK_REG(port_num), ETH_INT_UNMASK_ALL);
L
Linus Torvalds 已提交
1567 1568
	}

1569
	return work_done;
L
Linus Torvalds 已提交
1570 1571 1572
}
#endif

1573 1574 1575 1576
/**
 * has_tiny_unaligned_frags - check if skb has any small, unaligned fragments
 *
 * Hardware can't handle unaligned fragments smaller than 9 bytes.
1577 1578 1579 1580 1581
 * This helper function detects that case.
 */

static inline unsigned int has_tiny_unaligned_frags(struct sk_buff *skb)
{
1582 1583
	unsigned int frag;
	skb_frag_t *fragp;
1584

1585 1586 1587 1588 1589 1590
	for (frag = 0; frag < skb_shinfo(skb)->nr_frags; frag++) {
		fragp = &skb_shinfo(skb)->frags[frag];
		if (fragp->size <= 8 && fragp->page_offset & 0x7)
			return 1;
	}
	return 0;
1591 1592
}

1593 1594 1595 1596 1597 1598 1599 1600 1601
/**
 * eth_alloc_tx_desc_index - return the index of the next available tx desc
 */
static int eth_alloc_tx_desc_index(struct mv643xx_private *mp)
{
	int tx_desc_curr;

	BUG_ON(mp->tx_desc_count >= mp->tx_ring_size);

1602
	tx_desc_curr = mp->tx_curr_desc_q;
1603 1604 1605 1606 1607 1608 1609 1610 1611
	mp->tx_curr_desc_q = (tx_desc_curr + 1) % mp->tx_ring_size;

	BUG_ON(mp->tx_curr_desc_q == mp->tx_used_desc_q);

	return tx_desc_curr;
}

/**
 * eth_tx_fill_frag_descs - fill tx hw descriptors for an skb's fragments.
L
Linus Torvalds 已提交
1612
 *
1613 1614
 * Ensure the data for each fragment to be transmitted is mapped properly,
 * then fill in descriptors in the tx hw queue.
L
Linus Torvalds 已提交
1615
 */
1616 1617
static void eth_tx_fill_frag_descs(struct mv643xx_private *mp,
				   struct sk_buff *skb)
L
Linus Torvalds 已提交
1618
{
1619 1620 1621
	int frag;
	int tx_index;
	struct eth_tx_desc *desc;
L
Linus Torvalds 已提交
1622

1623 1624 1625 1626 1627 1628 1629 1630 1631 1632 1633 1634 1635 1636
	for (frag = 0; frag < skb_shinfo(skb)->nr_frags; frag++) {
		skb_frag_t *this_frag = &skb_shinfo(skb)->frags[frag];

		tx_index = eth_alloc_tx_desc_index(mp);
		desc = &mp->p_tx_desc_area[tx_index];

		desc->cmd_sts = ETH_BUFFER_OWNED_BY_DMA;
		/* Last Frag enables interrupt and frees the skb */
		if (frag == (skb_shinfo(skb)->nr_frags - 1)) {
			desc->cmd_sts |= ETH_ZERO_PADDING |
					 ETH_TX_LAST_DESC |
					 ETH_TX_ENABLE_INTERRUPT;
			mp->tx_skb[tx_index] = skb;
		} else
A
Al Viro 已提交
1637
			mp->tx_skb[tx_index] = NULL;
1638 1639 1640 1641 1642 1643 1644 1645

		desc = &mp->p_tx_desc_area[tx_index];
		desc->l4i_chk = 0;
		desc->byte_cnt = this_frag->size;
		desc->buf_ptr = dma_map_page(NULL, this_frag->page,
						this_frag->page_offset,
						this_frag->size,
						DMA_TO_DEVICE);
L
Linus Torvalds 已提交
1646
	}
1647
}
L
Linus Torvalds 已提交
1648

1649 1650 1651 1652 1653
static inline __be16 sum16_as_be(__sum16 sum)
{
	return (__force __be16)sum;
}

1654 1655 1656 1657 1658 1659
/**
 * eth_tx_submit_descs_for_skb - submit data from an skb to the tx hw
 *
 * Ensure the data for an skb to be transmitted is mapped properly,
 * then fill in descriptors in the tx hw queue and start the hardware.
 */
1660 1661
static void eth_tx_submit_descs_for_skb(struct mv643xx_private *mp,
					struct sk_buff *skb)
1662 1663 1664 1665 1666
{
	int tx_index;
	struct eth_tx_desc *desc;
	u32 cmd_sts;
	int length;
1667
	int nr_frags = skb_shinfo(skb)->nr_frags;
L
Linus Torvalds 已提交
1668

1669
	cmd_sts = ETH_TX_FIRST_DESC | ETH_GEN_CRC | ETH_BUFFER_OWNED_BY_DMA;
L
Linus Torvalds 已提交
1670

1671 1672 1673
	tx_index = eth_alloc_tx_desc_index(mp);
	desc = &mp->p_tx_desc_area[tx_index];

1674
	if (nr_frags) {
1675 1676 1677
		eth_tx_fill_frag_descs(mp, skb);

		length = skb_headlen(skb);
A
Al Viro 已提交
1678
		mp->tx_skb[tx_index] = NULL;
1679 1680 1681 1682 1683 1684
	} else {
		cmd_sts |= ETH_ZERO_PADDING |
			   ETH_TX_LAST_DESC |
			   ETH_TX_ENABLE_INTERRUPT;
		length = skb->len;
		mp->tx_skb[tx_index] = skb;
1685 1686
	}

1687 1688
	desc->byte_cnt = length;
	desc->buf_ptr = dma_map_single(NULL, skb->data, length, DMA_TO_DEVICE);
L
Linus Torvalds 已提交
1689

1690
	if (skb->ip_summed == CHECKSUM_PARTIAL) {
1691
		BUG_ON(skb->protocol != htons(ETH_P_IP));
1692 1693 1694

		cmd_sts |= ETH_GEN_TCP_UDP_CHECKSUM |
			   ETH_GEN_IP_V_4_CHECKSUM  |
1695
			   ip_hdr(skb)->ihl << ETH_TX_IHL_SHIFT;
1696

1697
		switch (ip_hdr(skb)->protocol) {
1698 1699
		case IPPROTO_UDP:
			cmd_sts |= ETH_UDP_FRAME;
1700
			desc->l4i_chk = ntohs(sum16_as_be(udp_hdr(skb)->check));
1701 1702
			break;
		case IPPROTO_TCP:
1703
			desc->l4i_chk = ntohs(sum16_as_be(tcp_hdr(skb)->check));
1704 1705 1706
			break;
		default:
			BUG();
L
Linus Torvalds 已提交
1707 1708
		}
	} else {
1709 1710 1711 1712
		/* Errata BTS #50, IHL must be 5 if no HW checksum */
		cmd_sts |= 5 << ETH_TX_IHL_SHIFT;
		desc->l4i_chk = 0;
	}
L
Linus Torvalds 已提交
1713

1714 1715 1716
	/* ensure all other descriptors are written before first cmd_sts */
	wmb();
	desc->cmd_sts = cmd_sts;
L
Linus Torvalds 已提交
1717

1718 1719
	/* ensure all descriptors are written before poking hardware */
	wmb();
1720
	mv643xx_eth_port_enable_tx(mp, ETH_TX_QUEUES_ENABLED);
L
Linus Torvalds 已提交
1721

1722
	mp->tx_desc_count += nr_frags + 1;
1723
}
L
Linus Torvalds 已提交
1724

1725 1726 1727 1728 1729 1730 1731
/**
 * mv643xx_eth_start_xmit - queue an skb to the hardware for transmission
 *
 */
static int mv643xx_eth_start_xmit(struct sk_buff *skb, struct net_device *dev)
{
	struct mv643xx_private *mp = netdev_priv(dev);
1732
	struct net_device_stats *stats = &dev->stats;
1733
	unsigned long flags;
L
Linus Torvalds 已提交
1734

1735
	BUG_ON(netif_queue_stopped(dev));
1736

1737 1738 1739 1740
	if (has_tiny_unaligned_frags(skb) && __skb_linearize(skb)) {
		stats->tx_dropped++;
		printk(KERN_DEBUG "%s: failed to linearize tiny "
				"unaligned fragment\n", dev->name);
1741
		return NETDEV_TX_BUSY;
1742 1743 1744 1745
	}

	spin_lock_irqsave(&mp->lock, flags);

1746 1747 1748
	if (mp->tx_ring_size - mp->tx_desc_count < MAX_DESCS_PER_SKB) {
		printk(KERN_ERR "%s: transmit with queue full\n", dev->name);
		netif_stop_queue(dev);
1749
		spin_unlock_irqrestore(&mp->lock, flags);
1750
		return NETDEV_TX_BUSY;
1751
	}
L
Linus Torvalds 已提交
1752

1753
	eth_tx_submit_descs_for_skb(mp, skb);
1754
	stats->tx_bytes += skb->len;
L
Linus Torvalds 已提交
1755 1756 1757
	stats->tx_packets++;
	dev->trans_start = jiffies;

1758 1759 1760
	if (mp->tx_ring_size - mp->tx_desc_count < MAX_DESCS_PER_SKB)
		netif_stop_queue(dev);

L
Linus Torvalds 已提交
1761 1762
	spin_unlock_irqrestore(&mp->lock, flags);

1763
	return NETDEV_TX_OK;
L
Linus Torvalds 已提交
1764 1765
}

1766 1767 1768 1769
#ifdef CONFIG_NET_POLL_CONTROLLER
static void mv643xx_netpoll(struct net_device *netdev)
{
	struct mv643xx_private *mp = netdev_priv(netdev);
1770 1771
	int port_num = mp->port_num;

1772
	wrl(mp, INTERRUPT_MASK_REG(port_num), ETH_INT_MASK_ALL);
1773
	/* wait for previous write to complete */
1774
	rdl(mp, INTERRUPT_MASK_REG(port_num));
1775

A
Al Viro 已提交
1776
	mv643xx_eth_int_handler(netdev->irq, netdev);
1777

1778
	wrl(mp, INTERRUPT_MASK_REG(port_num), ETH_INT_UNMASK_ALL);
1779 1780 1781
}
#endif

1782 1783 1784 1785 1786 1787 1788 1789 1790 1791 1792 1793 1794 1795 1796 1797 1798 1799 1800 1801 1802 1803 1804 1805 1806 1807 1808 1809 1810
static void mv643xx_init_ethtool_cmd(struct net_device *dev, int phy_address,
				     int speed, int duplex,
				     struct ethtool_cmd *cmd)
{
	struct mv643xx_private *mp = netdev_priv(dev);

	memset(cmd, 0, sizeof(*cmd));

	cmd->port = PORT_MII;
	cmd->transceiver = XCVR_INTERNAL;
	cmd->phy_address = phy_address;

	if (speed == 0) {
		cmd->autoneg = AUTONEG_ENABLE;
		/* mii lib checks, but doesn't use speed on AUTONEG_ENABLE */
		cmd->speed = SPEED_100;
		cmd->advertising = ADVERTISED_10baseT_Half  |
				   ADVERTISED_10baseT_Full  |
				   ADVERTISED_100baseT_Half |
				   ADVERTISED_100baseT_Full;
		if (mp->mii.supports_gmii)
			cmd->advertising |= ADVERTISED_1000baseT_Full;
	} else {
		cmd->autoneg = AUTONEG_DISABLE;
		cmd->speed = speed;
		cmd->duplex = duplex;
	}
}

L
Linus Torvalds 已提交
1811 1812 1813 1814 1815 1816 1817 1818 1819 1820 1821
/*/
 * mv643xx_eth_probe
 *
 * First function called after registering the network device.
 * It's purpose is to initialize the device as an ethernet device,
 * fill the ethernet device structure with pointers * to functions,
 * and set the MAC address of the interface
 *
 * Input :	struct device *
 * Output :	-ENOMEM if failed , 0 if success
 */
1822
static int mv643xx_eth_probe(struct platform_device *pdev)
L
Linus Torvalds 已提交
1823 1824
{
	struct mv643xx_eth_platform_data *pd;
1825
	int port_num;
L
Linus Torvalds 已提交
1826 1827 1828 1829 1830
	struct mv643xx_private *mp;
	struct net_device *dev;
	u8 *p;
	struct resource *res;
	int err;
1831
	struct ethtool_cmd cmd;
1832 1833
	int duplex = DUPLEX_HALF;
	int speed = 0;			/* default to auto-negotiation */
A
Al Viro 已提交
1834
	DECLARE_MAC_BUF(mac);
L
Linus Torvalds 已提交
1835

1836 1837 1838 1839 1840 1841
	pd = pdev->dev.platform_data;
	if (pd == NULL) {
		printk(KERN_ERR "No mv643xx_eth_platform_data\n");
		return -ENODEV;
	}

1842 1843 1844 1845 1846
	if (pd->shared == NULL) {
		printk(KERN_ERR "No mv643xx_eth_platform_data->shared\n");
		return -ENODEV;
	}

L
Linus Torvalds 已提交
1847 1848 1849 1850
	dev = alloc_etherdev(sizeof(struct mv643xx_private));
	if (!dev)
		return -ENOMEM;

1851
	platform_set_drvdata(pdev, dev);
L
Linus Torvalds 已提交
1852 1853

	mp = netdev_priv(dev);
1854 1855 1856 1857
	mp->dev = dev;
#ifdef MV643XX_NAPI
	netif_napi_add(dev, &mp->napi, mv643xx_poll, 64);
#endif
L
Linus Torvalds 已提交
1858 1859 1860 1861 1862 1863 1864 1865 1866 1867 1868 1869 1870 1871

	res = platform_get_resource(pdev, IORESOURCE_IRQ, 0);
	BUG_ON(!res);
	dev->irq = res->start;

	dev->open = mv643xx_eth_open;
	dev->stop = mv643xx_eth_stop;
	dev->hard_start_xmit = mv643xx_eth_start_xmit;
	dev->set_mac_address = mv643xx_eth_set_mac_address;
	dev->set_multicast_list = mv643xx_eth_set_rx_mode;

	/* No need to Tx Timeout */
	dev->tx_timeout = mv643xx_eth_tx_timeout;

1872 1873 1874 1875
#ifdef CONFIG_NET_POLL_CONTROLLER
	dev->poll_controller = mv643xx_netpoll;
#endif

L
Linus Torvalds 已提交
1876 1877 1878
	dev->watchdog_timeo = 2 * HZ;
	dev->base_addr = 0;
	dev->change_mtu = mv643xx_eth_change_mtu;
1879
	dev->do_ioctl = mv643xx_eth_do_ioctl;
L
Linus Torvalds 已提交
1880 1881 1882 1883 1884 1885 1886 1887
	SET_ETHTOOL_OPS(dev, &mv643xx_ethtool_ops);

#ifdef MV643XX_CHECKSUM_OFFLOAD_TX
#ifdef MAX_SKB_FRAGS
	/*
	 * Zero copy can only work if we use Discovery II memory. Else, we will
	 * have to map the buffers to ISA memory which is only 16 MB
	 */
1888
	dev->features = NETIF_F_SG | NETIF_F_IP_CSUM;
L
Linus Torvalds 已提交
1889 1890 1891 1892
#endif
#endif

	/* Configure the timeout task */
1893
	INIT_WORK(&mp->tx_timeout_task, mv643xx_eth_tx_timeout_task);
L
Linus Torvalds 已提交
1894 1895 1896

	spin_lock_init(&mp->lock);

1897
	mp->shared = platform_get_drvdata(pd->shared);
1898
	port_num = mp->port_num = pd->port_number;
1899

1900 1901 1902
	if (mp->shared->win_protect)
		wrl(mp, WINDOW_PROTECT(port_num), mp->shared->win_protect);

1903 1904 1905 1906
	mp->shared_smi = mp->shared;
	if (pd->shared_smi != NULL)
		mp->shared_smi = platform_get_drvdata(pd->shared_smi);

L
Linus Torvalds 已提交
1907
	/* set default config values */
1908
	eth_port_uc_addr_get(mp, dev->dev_addr);
1909 1910
	mp->rx_ring_size = PORT_DEFAULT_RECEIVE_QUEUE_SIZE;
	mp->tx_ring_size = PORT_DEFAULT_TRANSMIT_QUEUE_SIZE;
L
Linus Torvalds 已提交
1911

1912 1913
	if (is_valid_ether_addr(pd->mac_addr))
		memcpy(dev->dev_addr, pd->mac_addr, 6);
L
Linus Torvalds 已提交
1914

1915
	if (pd->phy_addr || pd->force_phy_addr)
1916
		ethernet_phy_set(mp, pd->phy_addr);
L
Linus Torvalds 已提交
1917

1918 1919
	if (pd->rx_queue_size)
		mp->rx_ring_size = pd->rx_queue_size;
L
Linus Torvalds 已提交
1920

1921 1922
	if (pd->tx_queue_size)
		mp->tx_ring_size = pd->tx_queue_size;
L
Linus Torvalds 已提交
1923

1924 1925 1926 1927
	if (pd->tx_sram_size) {
		mp->tx_sram_size = pd->tx_sram_size;
		mp->tx_sram_addr = pd->tx_sram_addr;
	}
1928

1929 1930 1931
	if (pd->rx_sram_size) {
		mp->rx_sram_size = pd->rx_sram_size;
		mp->rx_sram_addr = pd->rx_sram_addr;
L
Linus Torvalds 已提交
1932 1933
	}

1934 1935 1936
	duplex = pd->duplex;
	speed = pd->speed;

1937 1938 1939 1940
	/* Hook up MII support for ethtool */
	mp->mii.dev = dev;
	mp->mii.mdio_read = mv643xx_mdio_read;
	mp->mii.mdio_write = mv643xx_mdio_write;
1941
	mp->mii.phy_id = ethernet_phy_get(mp);
1942 1943 1944
	mp->mii.phy_id_mask = 0x3f;
	mp->mii.reg_num_mask = 0x1f;

1945
	err = ethernet_phy_detect(mp);
L
Linus Torvalds 已提交
1946
	if (err) {
1947 1948
		pr_debug("%s: No PHY detected at addr %d\n",
				dev->name, ethernet_phy_get(mp));
1949
		goto out;
L
Linus Torvalds 已提交
1950 1951
	}

1952
	ethernet_phy_reset(mp);
1953
	mp->mii.supports_gmii = mii_check_gmii_support(&mp->mii);
1954 1955 1956
	mv643xx_init_ethtool_cmd(dev, mp->mii.phy_id, speed, duplex, &cmd);
	mv643xx_eth_update_pscr(dev, &cmd);
	mv643xx_set_settings(dev, &cmd);
1957

1958
	SET_NETDEV_DEV(dev, &pdev->dev);
L
Linus Torvalds 已提交
1959 1960 1961 1962 1963 1964
	err = register_netdev(dev);
	if (err)
		goto out;

	p = dev->dev_addr;
	printk(KERN_NOTICE
1965 1966
		"%s: port %d with MAC address %s\n",
		dev->name, port_num, print_mac(mac, p));
L
Linus Torvalds 已提交
1967 1968 1969 1970 1971 1972 1973 1974 1975 1976 1977 1978 1979 1980 1981 1982 1983 1984 1985 1986 1987

	if (dev->features & NETIF_F_SG)
		printk(KERN_NOTICE "%s: Scatter Gather Enabled\n", dev->name);

	if (dev->features & NETIF_F_IP_CSUM)
		printk(KERN_NOTICE "%s: TX TCP/IP Checksumming Supported\n",
								dev->name);

#ifdef MV643XX_CHECKSUM_OFFLOAD_TX
	printk(KERN_NOTICE "%s: RX TCP/UDP Checksum Offload ON \n", dev->name);
#endif

#ifdef MV643XX_COAL
	printk(KERN_NOTICE "%s: TX and RX Interrupt Coalescing ON \n",
								dev->name);
#endif

#ifdef MV643XX_NAPI
	printk(KERN_NOTICE "%s: RX NAPI Enabled \n", dev->name);
#endif

1988 1989 1990
	if (mp->tx_sram_size > 0)
		printk(KERN_NOTICE "%s: Using SRAM\n", dev->name);

L
Linus Torvalds 已提交
1991 1992 1993 1994 1995 1996 1997 1998
	return 0;

out:
	free_netdev(dev);

	return err;
}

1999
static int mv643xx_eth_remove(struct platform_device *pdev)
L
Linus Torvalds 已提交
2000
{
2001
	struct net_device *dev = platform_get_drvdata(pdev);
L
Linus Torvalds 已提交
2002 2003 2004 2005 2006

	unregister_netdev(dev);
	flush_scheduled_work();

	free_netdev(dev);
2007
	platform_set_drvdata(pdev, NULL);
L
Linus Torvalds 已提交
2008 2009 2010
	return 0;
}

2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023 2024 2025 2026 2027 2028 2029 2030 2031 2032 2033 2034 2035 2036 2037 2038 2039 2040 2041 2042 2043 2044
static void mv643xx_eth_conf_mbus_windows(struct mv643xx_shared_private *msp,
					  struct mbus_dram_target_info *dram)
{
	void __iomem *base = msp->eth_base;
	u32 win_enable;
	u32 win_protect;
	int i;

	for (i = 0; i < 6; i++) {
		writel(0, base + WINDOW_BASE(i));
		writel(0, base + WINDOW_SIZE(i));
		if (i < 4)
			writel(0, base + WINDOW_REMAP_HIGH(i));
	}

	win_enable = 0x3f;
	win_protect = 0;

	for (i = 0; i < dram->num_cs; i++) {
		struct mbus_dram_window *cs = dram->cs + i;

		writel((cs->base & 0xffff0000) |
			(cs->mbus_attr << 8) |
			dram->mbus_dram_target_id, base + WINDOW_BASE(i));
		writel((cs->size - 1) & 0xffff0000, base + WINDOW_SIZE(i));

		win_enable &= ~(1 << i);
		win_protect |= 3 << (2 * i);
	}

	writel(win_enable, base + WINDOW_BAR_ENABLE);
	msp->win_protect = win_protect;
}

2045
static int mv643xx_eth_shared_probe(struct platform_device *pdev)
L
Linus Torvalds 已提交
2046
{
2047
	static int mv643xx_version_printed = 0;
2048
	struct mv643xx_eth_shared_platform_data *pd = pdev->dev.platform_data;
2049
	struct mv643xx_shared_private *msp;
L
Linus Torvalds 已提交
2050
	struct resource *res;
2051
	int ret;
L
Linus Torvalds 已提交
2052

2053 2054
	if (!mv643xx_version_printed++)
		printk(KERN_NOTICE "MV-643xx 10/100/1000 Ethernet Driver\n");
L
Linus Torvalds 已提交
2055

2056
	ret = -EINVAL;
L
Linus Torvalds 已提交
2057 2058
	res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
	if (res == NULL)
2059
		goto out;
L
Linus Torvalds 已提交
2060

2061 2062 2063 2064 2065 2066 2067 2068 2069 2070 2071
	ret = -ENOMEM;
	msp = kmalloc(sizeof(*msp), GFP_KERNEL);
	if (msp == NULL)
		goto out;
	memset(msp, 0, sizeof(*msp));

	msp->eth_base = ioremap(res->start, res->end - res->start + 1);
	if (msp->eth_base == NULL)
		goto out_free;

	spin_lock_init(&msp->phy_lock);
2072
	msp->t_clk = (pd != NULL && pd->t_clk != 0) ? pd->t_clk : 133000000;
2073 2074

	platform_set_drvdata(pdev, msp);
L
Linus Torvalds 已提交
2075

2076 2077 2078 2079 2080 2081
	/*
	 * (Re-)program MBUS remapping windows if we are asked to.
	 */
	if (pd != NULL && pd->dram != NULL)
		mv643xx_eth_conf_mbus_windows(msp, pd->dram);

L
Linus Torvalds 已提交
2082 2083
	return 0;

2084 2085 2086 2087
out_free:
	kfree(msp);
out:
	return ret;
L
Linus Torvalds 已提交
2088 2089
}

2090
static int mv643xx_eth_shared_remove(struct platform_device *pdev)
L
Linus Torvalds 已提交
2091
{
2092 2093 2094 2095
	struct mv643xx_shared_private *msp = platform_get_drvdata(pdev);

	iounmap(msp->eth_base);
	kfree(msp);
L
Linus Torvalds 已提交
2096 2097 2098 2099

	return 0;
}

2100 2101 2102 2103 2104 2105 2106
static void mv643xx_eth_shutdown(struct platform_device *pdev)
{
	struct net_device *dev = platform_get_drvdata(pdev);
	struct mv643xx_private *mp = netdev_priv(dev);
	unsigned int port_num = mp->port_num;

	/* Mask all interrupts on ethernet port */
2107 2108
	wrl(mp, INTERRUPT_MASK_REG(port_num), 0);
	rdl(mp, INTERRUPT_MASK_REG(port_num));
2109

2110
	eth_port_reset(mp);
2111 2112
}

2113
static struct platform_driver mv643xx_eth_driver = {
L
Linus Torvalds 已提交
2114 2115
	.probe = mv643xx_eth_probe,
	.remove = mv643xx_eth_remove,
2116
	.shutdown = mv643xx_eth_shutdown,
2117 2118
	.driver = {
		.name = MV643XX_ETH_NAME,
2119
		.owner	= THIS_MODULE,
2120
	},
L
Linus Torvalds 已提交
2121 2122
};

2123
static struct platform_driver mv643xx_eth_shared_driver = {
L
Linus Torvalds 已提交
2124 2125
	.probe = mv643xx_eth_shared_probe,
	.remove = mv643xx_eth_shared_remove,
2126 2127
	.driver = {
		.name = MV643XX_ETH_SHARED_NAME,
2128
		.owner	= THIS_MODULE,
2129
	},
L
Linus Torvalds 已提交
2130 2131 2132 2133 2134 2135 2136 2137 2138 2139 2140 2141 2142 2143 2144
};

/*
 * mv643xx_init_module
 *
 * Registers the network drivers into the Linux kernel
 *
 * Input :	N/A
 *
 * Output :	N/A
 */
static int __init mv643xx_init_module(void)
{
	int rc;

2145
	rc = platform_driver_register(&mv643xx_eth_shared_driver);
L
Linus Torvalds 已提交
2146
	if (!rc) {
2147
		rc = platform_driver_register(&mv643xx_eth_driver);
L
Linus Torvalds 已提交
2148
		if (rc)
2149
			platform_driver_unregister(&mv643xx_eth_shared_driver);
L
Linus Torvalds 已提交
2150 2151 2152 2153 2154 2155 2156 2157 2158 2159 2160 2161 2162 2163 2164
	}
	return rc;
}

/*
 * mv643xx_cleanup_module
 *
 * Registers the network drivers into the Linux kernel
 *
 * Input :	N/A
 *
 * Output :	N/A
 */
static void __exit mv643xx_cleanup_module(void)
{
2165 2166
	platform_driver_unregister(&mv643xx_eth_driver);
	platform_driver_unregister(&mv643xx_eth_shared_driver);
L
Linus Torvalds 已提交
2167 2168 2169 2170 2171 2172 2173 2174 2175
}

module_init(mv643xx_init_module);
module_exit(mv643xx_cleanup_module);

MODULE_LICENSE("GPL");
MODULE_AUTHOR(	"Rabeeh Khoury, Assaf Hoffman, Matthew Dharm, Manish Lachwani"
		" and Dale Farnsworth");
MODULE_DESCRIPTION("Ethernet driver for Marvell MV643XX");
2176 2177
MODULE_ALIAS("platform:" MV643XX_ETH_NAME);
MODULE_ALIAS("platform:" MV643XX_ETH_SHARED_NAME);
L
Linus Torvalds 已提交
2178 2179 2180 2181 2182 2183 2184 2185 2186 2187 2188 2189 2190 2191 2192 2193 2194 2195 2196 2197 2198 2199 2200 2201 2202 2203 2204 2205 2206 2207 2208 2209 2210 2211 2212 2213 2214 2215 2216 2217 2218 2219 2220 2221 2222 2223 2224 2225 2226 2227 2228 2229 2230 2231 2232 2233 2234 2235 2236 2237 2238 2239 2240 2241 2242 2243 2244 2245 2246 2247 2248 2249 2250 2251 2252 2253 2254 2255 2256 2257 2258 2259 2260 2261 2262 2263 2264 2265 2266 2267 2268 2269 2270 2271 2272 2273 2274 2275 2276 2277 2278 2279 2280 2281 2282 2283 2284 2285 2286 2287 2288 2289 2290 2291 2292 2293 2294 2295 2296 2297 2298 2299 2300 2301 2302

/*
 * The second part is the low level driver of the gigE ethernet ports.
 */

/*
 * Marvell's Gigabit Ethernet controller low level driver
 *
 * DESCRIPTION:
 *	This file introduce low level API to Marvell's Gigabit Ethernet
 *		controller. This Gigabit Ethernet Controller driver API controls
 *		1) Operations (i.e. port init, start, reset etc').
 *		2) Data flow (i.e. port send, receive etc').
 *		Each Gigabit Ethernet port is controlled via
 *		struct mv643xx_private.
 *		This struct includes user configuration information as well as
 *		driver internal data needed for its operations.
 *
 *		Supported Features:
 *		- This low level driver is OS independent. Allocating memory for
 *		  the descriptor rings and buffers are not within the scope of
 *		  this driver.
 *		- The user is free from Rx/Tx queue managing.
 *		- This low level driver introduce functionality API that enable
 *		  the to operate Marvell's Gigabit Ethernet Controller in a
 *		  convenient way.
 *		- Simple Gigabit Ethernet port operation API.
 *		- Simple Gigabit Ethernet port data flow API.
 *		- Data flow and operation API support per queue functionality.
 *		- Support cached descriptors for better performance.
 *		- Enable access to all four DRAM banks and internal SRAM memory
 *		  spaces.
 *		- PHY access and control API.
 *		- Port control register configuration API.
 *		- Full control over Unicast and Multicast MAC configurations.
 *
 *		Operation flow:
 *
 *		Initialization phase
 *		This phase complete the initialization of the the
 *		mv643xx_private struct.
 *		User information regarding port configuration has to be set
 *		prior to calling the port initialization routine.
 *
 *		In this phase any port Tx/Rx activity is halted, MIB counters
 *		are cleared, PHY address is set according to user parameter and
 *		access to DRAM and internal SRAM memory spaces.
 *
 *		Driver ring initialization
 *		Allocating memory for the descriptor rings and buffers is not
 *		within the scope of this driver. Thus, the user is required to
 *		allocate memory for the descriptors ring and buffers. Those
 *		memory parameters are used by the Rx and Tx ring initialization
 *		routines in order to curve the descriptor linked list in a form
 *		of a ring.
 *		Note: Pay special attention to alignment issues when using
 *		cached descriptors/buffers. In this phase the driver store
 *		information in the mv643xx_private struct regarding each queue
 *		ring.
 *
 *		Driver start
 *		This phase prepares the Ethernet port for Rx and Tx activity.
 *		It uses the information stored in the mv643xx_private struct to
 *		initialize the various port registers.
 *
 *		Data flow:
 *		All packet references to/from the driver are done using
 *		struct pkt_info.
 *		This struct is a unified struct used with Rx and Tx operations.
 *		This way the user is not required to be familiar with neither
 *		Tx nor Rx descriptors structures.
 *		The driver's descriptors rings are management by indexes.
 *		Those indexes controls the ring resources and used to indicate
 *		a SW resource error:
 *		'current'
 *		This index points to the current available resource for use. For
 *		example in Rx process this index will point to the descriptor
 *		that will be passed to the user upon calling the receive
 *		routine.  In Tx process, this index will point to the descriptor
 *		that will be assigned with the user packet info and transmitted.
 *		'used'
 *		This index points to the descriptor that need to restore its
 *		resources. For example in Rx process, using the Rx buffer return
 *		API will attach the buffer returned in packet info to the
 *		descriptor pointed by 'used'. In Tx process, using the Tx
 *		descriptor return will merely return the user packet info with
 *		the command status of the transmitted buffer pointed by the
 *		'used' index. Nevertheless, it is essential to use this routine
 *		to update the 'used' index.
 *		'first'
 *		This index supports Tx Scatter-Gather. It points to the first
 *		descriptor of a packet assembled of multiple buffers. For
 *		example when in middle of Such packet we have a Tx resource
 *		error the 'curr' index get the value of 'first' to indicate
 *		that the ring returned to its state before trying to transmit
 *		this packet.
 *
 *		Receive operation:
 *		The eth_port_receive API set the packet information struct,
 *		passed by the caller, with received information from the
 *		'current' SDMA descriptor.
 *		It is the user responsibility to return this resource back
 *		to the Rx descriptor ring to enable the reuse of this source.
 *		Return Rx resource is done using the eth_rx_return_buff API.
 *
 *	Prior to calling the initialization routine eth_port_init() the user
 *	must set the following fields under mv643xx_private struct:
 *	port_num		User Ethernet port number.
 *	port_config		User port configuration value.
 *	port_config_extend	User port config extend value.
 *	port_sdma_config	User port SDMA config value.
 *	port_serial_control	User port serial control value.
 *
 *		This driver data flow is done using the struct pkt_info which
 *		is a unified struct for Rx and Tx operations:
 *
 *		byte_cnt	Tx/Rx descriptor buffer byte count.
 *		l4i_chk		CPU provided TCP Checksum. For Tx operation
 *				only.
 *		cmd_sts		Tx/Rx descriptor command status.
 *		buf_ptr		Tx/Rx descriptor buffer pointer.
 *		return_info	Tx/Rx user resource return information.
 */

/* Ethernet Port routines */
2303 2304
static void eth_port_set_filter_table_entry(struct mv643xx_private *mp,
					    int table, unsigned char entry);
L
Linus Torvalds 已提交
2305 2306 2307 2308 2309 2310 2311 2312 2313 2314 2315 2316 2317 2318 2319 2320 2321 2322 2323 2324 2325 2326 2327 2328 2329 2330 2331 2332 2333

/*
 * eth_port_init - Initialize the Ethernet port driver
 *
 * DESCRIPTION:
 *	This function prepares the ethernet port to start its activity:
 *	1) Completes the ethernet port driver struct initialization toward port
 *		start routine.
 *	2) Resets the device to a quiescent state in case of warm reboot.
 *	3) Enable SDMA access to all four DRAM banks as well as internal SRAM.
 *	4) Clean MAC tables. The reset status of those tables is unknown.
 *	5) Set PHY address.
 *	Note: Call this routine prior to eth_port_start routine and after
 *	setting user values in the user fields of Ethernet port control
 *	struct.
 *
 * INPUT:
 *	struct mv643xx_private *mp	Ethernet port control struct
 *
 * OUTPUT:
 *	See description.
 *
 * RETURN:
 *	None.
 */
static void eth_port_init(struct mv643xx_private *mp)
{
	mp->rx_resource_err = 0;

2334
	eth_port_reset(mp);
L
Linus Torvalds 已提交
2335

2336
	eth_port_init_mac_tables(mp);
L
Linus Torvalds 已提交
2337 2338 2339 2340 2341 2342 2343 2344 2345 2346 2347 2348 2349 2350 2351 2352 2353 2354 2355 2356 2357
}

/*
 * eth_port_start - Start the Ethernet port activity.
 *
 * DESCRIPTION:
 *	This routine prepares the Ethernet port for Rx and Tx activity:
 *	 1. Initialize Tx and Rx Current Descriptor Pointer for each queue that
 *	    has been initialized a descriptor's ring (using
 *	    ether_init_tx_desc_ring for Tx and ether_init_rx_desc_ring for Rx)
 *	 2. Initialize and enable the Ethernet configuration port by writing to
 *	    the port's configuration and command registers.
 *	 3. Initialize and enable the SDMA by writing to the SDMA's
 *	    configuration and command registers.  After completing these steps,
 *	    the ethernet port SDMA can starts to perform Rx and Tx activities.
 *
 *	Note: Each Rx and Tx queue descriptor's list must be initialized prior
 *	to calling this function (use ether_init_tx_desc_ring for Tx queues
 *	and ether_init_rx_desc_ring for Rx queues).
 *
 * INPUT:
2358
 *	dev - a pointer to the required interface
L
Linus Torvalds 已提交
2359 2360 2361 2362 2363 2364 2365
 *
 * OUTPUT:
 *	Ethernet port is ready to receive and transmit.
 *
 * RETURN:
 *	None.
 */
2366
static void eth_port_start(struct net_device *dev)
L
Linus Torvalds 已提交
2367
{
2368
	struct mv643xx_private *mp = netdev_priv(dev);
L
Linus Torvalds 已提交
2369 2370
	unsigned int port_num = mp->port_num;
	int tx_curr_desc, rx_curr_desc;
2371 2372
	u32 pscr;
	struct ethtool_cmd ethtool_cmd;
L
Linus Torvalds 已提交
2373 2374 2375

	/* Assignment of Tx CTRP of given queue */
	tx_curr_desc = mp->tx_curr_desc_q;
2376
	wrl(mp, TX_CURRENT_QUEUE_DESC_PTR_0(port_num),
L
Linus Torvalds 已提交
2377 2378 2379 2380
		(u32)((struct eth_tx_desc *)mp->tx_desc_dma + tx_curr_desc));

	/* Assignment of Rx CRDP of given queue */
	rx_curr_desc = mp->rx_curr_desc_q;
2381
	wrl(mp, RX_CURRENT_QUEUE_DESC_PTR_0(port_num),
L
Linus Torvalds 已提交
2382 2383 2384
		(u32)((struct eth_rx_desc *)mp->rx_desc_dma + rx_curr_desc));

	/* Add the assigned Ethernet address to the port's address table */
2385
	eth_port_uc_addr_set(mp, dev->dev_addr);
L
Linus Torvalds 已提交
2386 2387

	/* Assign port configuration and command. */
2388
	wrl(mp, PORT_CONFIG_REG(port_num),
2389
			  PORT_CONFIG_DEFAULT_VALUE);
2390

2391
	wrl(mp, PORT_CONFIG_EXTEND_REG(port_num),
2392
			  PORT_CONFIG_EXTEND_DEFAULT_VALUE);
L
Linus Torvalds 已提交
2393

2394
	pscr = rdl(mp, PORT_SERIAL_CONTROL_REG(port_num));
2395

2396
	pscr &= ~(SERIAL_PORT_ENABLE | FORCE_LINK_PASS);
2397
	wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), pscr);
L
Linus Torvalds 已提交
2398

2399 2400 2401 2402 2403
	pscr |= DISABLE_AUTO_NEG_FOR_FLOW_CTRL |
		DISABLE_AUTO_NEG_SPEED_GMII    |
		DISABLE_AUTO_NEG_FOR_DUPLX     |
		DO_NOT_FORCE_LINK_FAIL	   |
		SERIAL_PORT_CONTROL_RESERVED;
L
Linus Torvalds 已提交
2404

2405
	wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), pscr);
L
Linus Torvalds 已提交
2406

2407
	pscr |= SERIAL_PORT_ENABLE;
2408
	wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), pscr);
L
Linus Torvalds 已提交
2409 2410

	/* Assign port SDMA configuration */
2411
	wrl(mp, SDMA_CONFIG_REG(port_num),
2412
			  PORT_SDMA_CONFIG_DEFAULT_VALUE);
L
Linus Torvalds 已提交
2413 2414

	/* Enable port Rx. */
2415
	mv643xx_eth_port_enable_rx(mp, ETH_RX_QUEUES_ENABLED);
2416 2417

	/* Disable port bandwidth limits by clearing MTU register */
2418
	wrl(mp, MAXIMUM_TRANSMIT_UNIT(port_num), 0);
2419 2420 2421

	/* save phy settings across reset */
	mv643xx_get_settings(dev, &ethtool_cmd);
2422
	ethernet_phy_reset(mp);
2423
	mv643xx_set_settings(dev, &ethtool_cmd);
L
Linus Torvalds 已提交
2424 2425 2426
}

/*
2427
 * eth_port_uc_addr_set - Write a MAC address into the port's hw registers
L
Linus Torvalds 已提交
2428
 */
2429 2430
static void eth_port_uc_addr_set(struct mv643xx_private *mp,
				 unsigned char *p_addr)
L
Linus Torvalds 已提交
2431
{
2432
	unsigned int port_num = mp->port_num;
L
Linus Torvalds 已提交
2433 2434
	unsigned int mac_h;
	unsigned int mac_l;
2435
	int table;
L
Linus Torvalds 已提交
2436 2437 2438 2439 2440

	mac_l = (p_addr[4] << 8) | (p_addr[5]);
	mac_h = (p_addr[0] << 24) | (p_addr[1] << 16) | (p_addr[2] << 8) |
							(p_addr[3] << 0);

2441 2442
	wrl(mp, MAC_ADDR_LOW(port_num), mac_l);
	wrl(mp, MAC_ADDR_HIGH(port_num), mac_h);
L
Linus Torvalds 已提交
2443

2444
	/* Accept frames with this address */
2445
	table = DA_FILTER_UNICAST_TABLE_BASE(port_num);
2446
	eth_port_set_filter_table_entry(mp, table, p_addr[5] & 0x0f);
L
Linus Torvalds 已提交
2447 2448 2449
}

/*
2450
 * eth_port_uc_addr_get - Read the MAC address from the port's hw registers
L
Linus Torvalds 已提交
2451
 */
2452 2453
static void eth_port_uc_addr_get(struct mv643xx_private *mp,
				 unsigned char *p_addr)
L
Linus Torvalds 已提交
2454
{
2455
	unsigned int port_num = mp->port_num;
L
Linus Torvalds 已提交
2456 2457 2458
	unsigned int mac_h;
	unsigned int mac_l;

2459 2460
	mac_h = rdl(mp, MAC_ADDR_HIGH(port_num));
	mac_l = rdl(mp, MAC_ADDR_LOW(port_num));
L
Linus Torvalds 已提交
2461 2462 2463 2464 2465 2466 2467 2468 2469

	p_addr[0] = (mac_h >> 24) & 0xff;
	p_addr[1] = (mac_h >> 16) & 0xff;
	p_addr[2] = (mac_h >> 8) & 0xff;
	p_addr[3] = mac_h & 0xff;
	p_addr[4] = (mac_l >> 8) & 0xff;
	p_addr[5] = mac_l & 0xff;
}

2470 2471 2472 2473 2474 2475 2476 2477 2478
/*
 * The entries in each table are indexed by a hash of a packet's MAC
 * address.  One bit in each entry determines whether the packet is
 * accepted.  There are 4 entries (each 8 bits wide) in each register
 * of the table.  The bits in each entry are defined as follows:
 *	0	Accept=1, Drop=0
 *	3-1	Queue			(ETH_Q0=0)
 *	7-4	Reserved = 0;
 */
2479 2480
static void eth_port_set_filter_table_entry(struct mv643xx_private *mp,
					    int table, unsigned char entry)
2481 2482 2483 2484 2485 2486 2487 2488 2489
{
	unsigned int table_reg;
	unsigned int tbl_offset;
	unsigned int reg_offset;

	tbl_offset = (entry / 4) * 4;	/* Register offset of DA table entry */
	reg_offset = entry % 4;		/* Entry offset within the register */

	/* Set "accepts frame bit" at specified table entry */
2490
	table_reg = rdl(mp, table + tbl_offset);
2491
	table_reg |= 0x01 << (8 * reg_offset);
2492
	wrl(mp, table + tbl_offset, table_reg);
2493 2494 2495 2496 2497 2498 2499 2500 2501 2502 2503 2504 2505 2506 2507 2508
}

/*
 * eth_port_mc_addr - Multicast address settings.
 *
 * The MV device supports multicast using two tables:
 * 1) Special Multicast Table for MAC addresses of the form
 *    0x01-00-5E-00-00-XX (where XX is between 0x00 and 0x_FF).
 *    The MAC DA[7:0] bits are used as a pointer to the Special Multicast
 *    Table entries in the DA-Filter table.
 * 2) Other Multicast Table for multicast of another type. A CRC-8bit
 *    is used as an index to the Other Multicast Table entries in the
 *    DA-Filter table.  This function calculates the CRC-8bit value.
 * In either case, eth_port_set_filter_table_entry() is then called
 * to set to set the actual table entry.
 */
2509
static void eth_port_mc_addr(struct mv643xx_private *mp, unsigned char *p_addr)
2510
{
2511
	unsigned int port_num = mp->port_num;
2512 2513 2514 2515 2516 2517 2518 2519 2520 2521
	unsigned int mac_h;
	unsigned int mac_l;
	unsigned char crc_result = 0;
	int table;
	int mac_array[48];
	int crc[8];
	int i;

	if ((p_addr[0] == 0x01) && (p_addr[1] == 0x00) &&
	    (p_addr[2] == 0x5E) && (p_addr[3] == 0x00) && (p_addr[4] == 0x00)) {
2522 2523
		table = DA_FILTER_SPECIAL_MULTICAST_TABLE_BASE(port_num);
		eth_port_set_filter_table_entry(mp, table, p_addr[5]);
2524 2525 2526 2527 2528 2529 2530 2531 2532 2533 2534 2535 2536 2537 2538 2539 2540 2541 2542 2543 2544 2545 2546 2547 2548 2549 2550 2551 2552 2553 2554 2555 2556 2557 2558 2559 2560 2561 2562 2563 2564 2565 2566 2567 2568 2569 2570 2571 2572 2573 2574 2575 2576 2577 2578 2579 2580 2581 2582 2583 2584 2585 2586 2587 2588 2589 2590 2591 2592 2593 2594
		return;
	}

	/* Calculate CRC-8 out of the given address */
	mac_h = (p_addr[0] << 8) | (p_addr[1]);
	mac_l = (p_addr[2] << 24) | (p_addr[3] << 16) |
			(p_addr[4] << 8) | (p_addr[5] << 0);

	for (i = 0; i < 32; i++)
		mac_array[i] = (mac_l >> i) & 0x1;
	for (i = 32; i < 48; i++)
		mac_array[i] = (mac_h >> (i - 32)) & 0x1;

	crc[0] = mac_array[45] ^ mac_array[43] ^ mac_array[40] ^ mac_array[39] ^
		 mac_array[35] ^ mac_array[34] ^ mac_array[31] ^ mac_array[30] ^
		 mac_array[28] ^ mac_array[23] ^ mac_array[21] ^ mac_array[19] ^
		 mac_array[18] ^ mac_array[16] ^ mac_array[14] ^ mac_array[12] ^
		 mac_array[8]  ^ mac_array[7]  ^ mac_array[6]  ^ mac_array[0];

	crc[1] = mac_array[46] ^ mac_array[45] ^ mac_array[44] ^ mac_array[43] ^
		 mac_array[41] ^ mac_array[39] ^ mac_array[36] ^ mac_array[34] ^
		 mac_array[32] ^ mac_array[30] ^ mac_array[29] ^ mac_array[28] ^
		 mac_array[24] ^ mac_array[23] ^ mac_array[22] ^ mac_array[21] ^
		 mac_array[20] ^ mac_array[18] ^ mac_array[17] ^ mac_array[16] ^
		 mac_array[15] ^ mac_array[14] ^ mac_array[13] ^ mac_array[12] ^
		 mac_array[9]  ^ mac_array[6]  ^ mac_array[1]  ^ mac_array[0];

	crc[2] = mac_array[47] ^ mac_array[46] ^ mac_array[44] ^ mac_array[43] ^
		 mac_array[42] ^ mac_array[39] ^ mac_array[37] ^ mac_array[34] ^
		 mac_array[33] ^ mac_array[29] ^ mac_array[28] ^ mac_array[25] ^
		 mac_array[24] ^ mac_array[22] ^ mac_array[17] ^ mac_array[15] ^
		 mac_array[13] ^ mac_array[12] ^ mac_array[10] ^ mac_array[8]  ^
		 mac_array[6]  ^ mac_array[2]  ^ mac_array[1]  ^ mac_array[0];

	crc[3] = mac_array[47] ^ mac_array[45] ^ mac_array[44] ^ mac_array[43] ^
		 mac_array[40] ^ mac_array[38] ^ mac_array[35] ^ mac_array[34] ^
		 mac_array[30] ^ mac_array[29] ^ mac_array[26] ^ mac_array[25] ^
		 mac_array[23] ^ mac_array[18] ^ mac_array[16] ^ mac_array[14] ^
		 mac_array[13] ^ mac_array[11] ^ mac_array[9]  ^ mac_array[7]  ^
		 mac_array[3]  ^ mac_array[2]  ^ mac_array[1];

	crc[4] = mac_array[46] ^ mac_array[45] ^ mac_array[44] ^ mac_array[41] ^
		 mac_array[39] ^ mac_array[36] ^ mac_array[35] ^ mac_array[31] ^
		 mac_array[30] ^ mac_array[27] ^ mac_array[26] ^ mac_array[24] ^
		 mac_array[19] ^ mac_array[17] ^ mac_array[15] ^ mac_array[14] ^
		 mac_array[12] ^ mac_array[10] ^ mac_array[8]  ^ mac_array[4]  ^
		 mac_array[3]  ^ mac_array[2];

	crc[5] = mac_array[47] ^ mac_array[46] ^ mac_array[45] ^ mac_array[42] ^
		 mac_array[40] ^ mac_array[37] ^ mac_array[36] ^ mac_array[32] ^
		 mac_array[31] ^ mac_array[28] ^ mac_array[27] ^ mac_array[25] ^
		 mac_array[20] ^ mac_array[18] ^ mac_array[16] ^ mac_array[15] ^
		 mac_array[13] ^ mac_array[11] ^ mac_array[9]  ^ mac_array[5]  ^
		 mac_array[4]  ^ mac_array[3];

	crc[6] = mac_array[47] ^ mac_array[46] ^ mac_array[43] ^ mac_array[41] ^
		 mac_array[38] ^ mac_array[37] ^ mac_array[33] ^ mac_array[32] ^
		 mac_array[29] ^ mac_array[28] ^ mac_array[26] ^ mac_array[21] ^
		 mac_array[19] ^ mac_array[17] ^ mac_array[16] ^ mac_array[14] ^
		 mac_array[12] ^ mac_array[10] ^ mac_array[6]  ^ mac_array[5]  ^
		 mac_array[4];

	crc[7] = mac_array[47] ^ mac_array[44] ^ mac_array[42] ^ mac_array[39] ^
		 mac_array[38] ^ mac_array[34] ^ mac_array[33] ^ mac_array[30] ^
		 mac_array[29] ^ mac_array[27] ^ mac_array[22] ^ mac_array[20] ^
		 mac_array[18] ^ mac_array[17] ^ mac_array[15] ^ mac_array[13] ^
		 mac_array[11] ^ mac_array[7]  ^ mac_array[6]  ^ mac_array[5];

	for (i = 0; i < 8; i++)
		crc_result = crc_result | (crc[i] << i);

2595 2596
	table = DA_FILTER_OTHER_MULTICAST_TABLE_BASE(port_num);
	eth_port_set_filter_table_entry(mp, table, crc_result);
2597 2598 2599 2600 2601 2602 2603 2604 2605 2606 2607 2608 2609 2610 2611 2612 2613 2614 2615 2616
}

/*
 * Set the entire multicast list based on dev->mc_list.
 */
static void eth_port_set_multicast_list(struct net_device *dev)
{

	struct dev_mc_list	*mc_list;
	int			i;
	int			table_index;
	struct mv643xx_private	*mp = netdev_priv(dev);
	unsigned int		eth_port_num = mp->port_num;

	/* If the device is in promiscuous mode or in all multicast mode,
	 * we will fully populate both multicast tables with accept.
	 * This is guaranteed to yield a match on all multicast addresses...
	 */
	if ((dev->flags & IFF_PROMISC) || (dev->flags & IFF_ALLMULTI)) {
		for (table_index = 0; table_index <= 0xFC; table_index += 4) {
2617 2618 2619 2620 2621 2622 2623 2624
			/* Set all entries in DA filter special multicast
			 * table (Ex_dFSMT)
			 * Set for ETH_Q0 for now
			 * Bits
			 * 0	  Accept=1, Drop=0
			 * 3-1  Queue	 ETH_Q0=0
			 * 7-4  Reserved = 0;
			 */
2625
			wrl(mp, DA_FILTER_SPECIAL_MULTICAST_TABLE_BASE(eth_port_num) + table_index, 0x01010101);
2626 2627 2628 2629 2630 2631 2632 2633 2634

			/* Set all entries in DA filter other multicast
			 * table (Ex_dFOMT)
			 * Set for ETH_Q0 for now
			 * Bits
			 * 0	  Accept=1, Drop=0
			 * 3-1  Queue	 ETH_Q0=0
			 * 7-4  Reserved = 0;
			 */
2635
			wrl(mp, DA_FILTER_OTHER_MULTICAST_TABLE_BASE(eth_port_num) + table_index, 0x01010101);
2636
		}
2637 2638 2639 2640 2641 2642 2643 2644
		return;
	}

	/* We will clear out multicast tables every time we get the list.
	 * Then add the entire new list...
	 */
	for (table_index = 0; table_index <= 0xFC; table_index += 4) {
		/* Clear DA filter special multicast table (Ex_dFSMT) */
2645
		wrl(mp, DA_FILTER_SPECIAL_MULTICAST_TABLE_BASE
2646 2647 2648
				(eth_port_num) + table_index, 0);

		/* Clear DA filter other multicast table (Ex_dFOMT) */
2649
		wrl(mp, DA_FILTER_OTHER_MULTICAST_TABLE_BASE
2650 2651 2652 2653 2654 2655 2656 2657
				(eth_port_num) + table_index, 0);
	}

	/* Get pointer to net_device multicast list and add each one... */
	for (i = 0, mc_list = dev->mc_list;
			(i < 256) && (mc_list != NULL) && (i < dev->mc_count);
			i++, mc_list = mc_list->next)
		if (mc_list->dmi_addrlen == 6)
2658
			eth_port_mc_addr(mp, mc_list->dmi_addr);
2659 2660
}

L
Linus Torvalds 已提交
2661 2662 2663 2664 2665 2666 2667 2668
/*
 * eth_port_init_mac_tables - Clear all entrance in the UC, SMC and OMC tables
 *
 * DESCRIPTION:
 *	Go through all the DA filter tables (Unicast, Special Multicast &
 *	Other Multicast) and set each entry to 0.
 *
 * INPUT:
2669
 *	struct mv643xx_private *mp	Ethernet Port.
L
Linus Torvalds 已提交
2670 2671 2672 2673 2674 2675 2676
 *
 * OUTPUT:
 *	Multicast and Unicast packets are rejected.
 *
 * RETURN:
 *	None.
 */
2677
static void eth_port_init_mac_tables(struct mv643xx_private *mp)
L
Linus Torvalds 已提交
2678
{
2679
	unsigned int port_num = mp->port_num;
L
Linus Torvalds 已提交
2680 2681 2682 2683
	int table_index;

	/* Clear DA filter unicast table (Ex_dFUT) */
	for (table_index = 0; table_index <= 0xC; table_index += 4)
2684
		wrl(mp, DA_FILTER_UNICAST_TABLE_BASE(port_num) +
2685
					table_index, 0);
L
Linus Torvalds 已提交
2686 2687 2688

	for (table_index = 0; table_index <= 0xFC; table_index += 4) {
		/* Clear DA filter special multicast table (Ex_dFSMT) */
2689
		wrl(mp, DA_FILTER_SPECIAL_MULTICAST_TABLE_BASE(port_num) +
2690
					table_index, 0);
L
Linus Torvalds 已提交
2691
		/* Clear DA filter other multicast table (Ex_dFOMT) */
2692
		wrl(mp, DA_FILTER_OTHER_MULTICAST_TABLE_BASE(port_num) +
2693
					table_index, 0);
L
Linus Torvalds 已提交
2694 2695 2696 2697 2698 2699 2700 2701 2702 2703 2704
	}
}

/*
 * eth_clear_mib_counters - Clear all MIB counters
 *
 * DESCRIPTION:
 *	This function clears all MIB counters of a specific ethernet port.
 *	A read from the MIB counter will reset the counter.
 *
 * INPUT:
2705
 *	struct mv643xx_private *mp	Ethernet Port.
L
Linus Torvalds 已提交
2706 2707 2708 2709 2710 2711 2712 2713
 *
 * OUTPUT:
 *	After reading all MIB counters, the counters resets.
 *
 * RETURN:
 *	MIB counter value.
 *
 */
2714
static void eth_clear_mib_counters(struct mv643xx_private *mp)
L
Linus Torvalds 已提交
2715
{
2716
	unsigned int port_num = mp->port_num;
L
Linus Torvalds 已提交
2717 2718 2719 2720 2721
	int i;

	/* Perform dummy reads from MIB counters */
	for (i = ETH_MIB_GOOD_OCTETS_RECEIVED_LOW; i < ETH_MIB_LATE_COLLISION;
									i += 4)
2722
		rdl(mp, MIB_COUNTERS_BASE(port_num) + i);
L
Linus Torvalds 已提交
2723 2724 2725 2726
}

static inline u32 read_mib(struct mv643xx_private *mp, int offset)
{
2727
	return rdl(mp, MIB_COUNTERS_BASE(mp->port_num) + offset);
L
Linus Torvalds 已提交
2728 2729 2730 2731 2732 2733 2734 2735 2736 2737 2738 2739 2740 2741 2742
}

static void eth_update_mib_counters(struct mv643xx_private *mp)
{
	struct mv643xx_mib_counters *p = &mp->mib_counters;
	int offset;

	p->good_octets_received +=
		read_mib(mp, ETH_MIB_GOOD_OCTETS_RECEIVED_LOW);
	p->good_octets_received +=
		(u64)read_mib(mp, ETH_MIB_GOOD_OCTETS_RECEIVED_HIGH) << 32;

	for (offset = ETH_MIB_BAD_OCTETS_RECEIVED;
			offset <= ETH_MIB_FRAMES_1024_TO_MAX_OCTETS;
			offset += 4)
2743
		*(u32 *)((char *)p + offset) += read_mib(mp, offset);
L
Linus Torvalds 已提交
2744 2745 2746 2747 2748 2749 2750 2751

	p->good_octets_sent += read_mib(mp, ETH_MIB_GOOD_OCTETS_SENT_LOW);
	p->good_octets_sent +=
		(u64)read_mib(mp, ETH_MIB_GOOD_OCTETS_SENT_HIGH) << 32;

	for (offset = ETH_MIB_GOOD_FRAMES_SENT;
			offset <= ETH_MIB_LATE_COLLISION;
			offset += 4)
2752
		*(u32 *)((char *)p + offset) += read_mib(mp, offset);
L
Linus Torvalds 已提交
2753 2754 2755 2756 2757 2758 2759 2760 2761 2762
}

/*
 * ethernet_phy_detect - Detect whether a phy is present
 *
 * DESCRIPTION:
 *	This function tests whether there is a PHY present on
 *	the specified port.
 *
 * INPUT:
2763
 *	struct mv643xx_private *mp	Ethernet Port.
L
Linus Torvalds 已提交
2764 2765 2766 2767 2768 2769 2770 2771 2772
 *
 * OUTPUT:
 *	None
 *
 * RETURN:
 *	0 on success
 *	-ENODEV on failure
 *
 */
2773
static int ethernet_phy_detect(struct mv643xx_private *mp)
L
Linus Torvalds 已提交
2774 2775 2776 2777
{
	unsigned int phy_reg_data0;
	int auto_neg;

2778
	eth_port_read_smi_reg(mp, 0, &phy_reg_data0);
L
Linus Torvalds 已提交
2779 2780
	auto_neg = phy_reg_data0 & 0x1000;
	phy_reg_data0 ^= 0x1000;	/* invert auto_neg */
2781
	eth_port_write_smi_reg(mp, 0, phy_reg_data0);
L
Linus Torvalds 已提交
2782

2783
	eth_port_read_smi_reg(mp, 0, &phy_reg_data0);
L
Linus Torvalds 已提交
2784 2785 2786 2787
	if ((phy_reg_data0 & 0x1000) == auto_neg)
		return -ENODEV;				/* change didn't take */

	phy_reg_data0 ^= 0x1000;
2788
	eth_port_write_smi_reg(mp, 0, phy_reg_data0);
L
Linus Torvalds 已提交
2789 2790 2791 2792 2793 2794 2795 2796 2797 2798
	return 0;
}

/*
 * ethernet_phy_get - Get the ethernet port PHY address.
 *
 * DESCRIPTION:
 *	This routine returns the given ethernet port PHY address.
 *
 * INPUT:
2799
 *	struct mv643xx_private *mp	Ethernet Port.
L
Linus Torvalds 已提交
2800 2801 2802 2803 2804 2805 2806 2807
 *
 * OUTPUT:
 *	None.
 *
 * RETURN:
 *	PHY address.
 *
 */
2808
static int ethernet_phy_get(struct mv643xx_private *mp)
L
Linus Torvalds 已提交
2809 2810 2811
{
	unsigned int reg_data;

2812
	reg_data = rdl(mp, PHY_ADDR_REG);
L
Linus Torvalds 已提交
2813

2814
	return ((reg_data >> (5 * mp->port_num)) & 0x1f);
L
Linus Torvalds 已提交
2815 2816 2817 2818 2819 2820 2821 2822 2823
}

/*
 * ethernet_phy_set - Set the ethernet port PHY address.
 *
 * DESCRIPTION:
 *	This routine sets the given ethernet port PHY address.
 *
 * INPUT:
2824
 *	struct mv643xx_private *mp	Ethernet Port.
L
Linus Torvalds 已提交
2825 2826 2827 2828 2829 2830 2831 2832 2833
 *	int		phy_addr	PHY address.
 *
 * OUTPUT:
 *	None.
 *
 * RETURN:
 *	None.
 *
 */
2834
static void ethernet_phy_set(struct mv643xx_private *mp, int phy_addr)
L
Linus Torvalds 已提交
2835 2836
{
	u32 reg_data;
2837
	int addr_shift = 5 * mp->port_num;
L
Linus Torvalds 已提交
2838

2839
	reg_data = rdl(mp, PHY_ADDR_REG);
L
Linus Torvalds 已提交
2840 2841
	reg_data &= ~(0x1f << addr_shift);
	reg_data |= (phy_addr & 0x1f) << addr_shift;
2842
	wrl(mp, PHY_ADDR_REG, reg_data);
L
Linus Torvalds 已提交
2843 2844 2845 2846 2847 2848 2849 2850 2851
}

/*
 * ethernet_phy_reset - Reset Ethernet port PHY.
 *
 * DESCRIPTION:
 *	This routine utilizes the SMI interface to reset the ethernet port PHY.
 *
 * INPUT:
2852
 *	struct mv643xx_private *mp	Ethernet Port.
L
Linus Torvalds 已提交
2853 2854 2855 2856 2857 2858 2859 2860
 *
 * OUTPUT:
 *	The PHY is reset.
 *
 * RETURN:
 *	None.
 *
 */
2861
static void ethernet_phy_reset(struct mv643xx_private *mp)
L
Linus Torvalds 已提交
2862 2863 2864 2865
{
	unsigned int phy_reg_data;

	/* Reset the PHY */
2866
	eth_port_read_smi_reg(mp, 0, &phy_reg_data);
L
Linus Torvalds 已提交
2867
	phy_reg_data |= 0x8000;	/* Set bit 15 to reset the PHY */
2868
	eth_port_write_smi_reg(mp, 0, phy_reg_data);
2869 2870 2871 2872

	/* wait for PHY to come out of reset */
	do {
		udelay(1);
2873
		eth_port_read_smi_reg(mp, 0, &phy_reg_data);
2874
	} while (phy_reg_data & 0x8000);
L
Linus Torvalds 已提交
2875 2876
}

2877
static void mv643xx_eth_port_enable_tx(struct mv643xx_private *mp,
2878
					unsigned int queues)
2879
{
2880
	wrl(mp, TRANSMIT_QUEUE_COMMAND_REG(mp->port_num), queues);
2881 2882
}

2883
static void mv643xx_eth_port_enable_rx(struct mv643xx_private *mp,
2884
					unsigned int queues)
2885
{
2886
	wrl(mp, RECEIVE_QUEUE_COMMAND_REG(mp->port_num), queues);
2887 2888
}

2889
static unsigned int mv643xx_eth_port_disable_tx(struct mv643xx_private *mp)
2890
{
2891
	unsigned int port_num = mp->port_num;
2892
	u32 queues;
2893 2894

	/* Stop Tx port activity. Check port Tx activity. */
2895
	queues = rdl(mp, TRANSMIT_QUEUE_COMMAND_REG(port_num)) & 0xFF;
2896 2897
	if (queues) {
		/* Issue stop command for active queues only */
2898
		wrl(mp, TRANSMIT_QUEUE_COMMAND_REG(port_num), (queues << 8));
2899 2900 2901

		/* Wait for all Tx activity to terminate. */
		/* Check port cause register that all Tx queues are stopped */
2902
		while (rdl(mp, TRANSMIT_QUEUE_COMMAND_REG(port_num)) & 0xFF)
2903 2904 2905
			udelay(PHY_WAIT_MICRO_SECONDS);

		/* Wait for Tx FIFO to empty */
2906
		while (rdl(mp, PORT_STATUS_REG(port_num)) &
2907 2908 2909 2910
							ETH_PORT_TX_FIFO_EMPTY)
			udelay(PHY_WAIT_MICRO_SECONDS);
	}

2911
	return queues;
2912 2913
}

2914
static unsigned int mv643xx_eth_port_disable_rx(struct mv643xx_private *mp)
2915
{
2916
	unsigned int port_num = mp->port_num;
2917
	u32 queues;
2918 2919

	/* Stop Rx port activity. Check port Rx activity. */
2920
	queues = rdl(mp, RECEIVE_QUEUE_COMMAND_REG(port_num)) & 0xFF;
2921 2922
	if (queues) {
		/* Issue stop command for active queues only */
2923
		wrl(mp, RECEIVE_QUEUE_COMMAND_REG(port_num), (queues << 8));
2924 2925 2926

		/* Wait for all Rx activity to terminate. */
		/* Check port cause register that all Rx queues are stopped */
2927
		while (rdl(mp, RECEIVE_QUEUE_COMMAND_REG(port_num)) & 0xFF)
2928 2929 2930
			udelay(PHY_WAIT_MICRO_SECONDS);
	}

2931
	return queues;
2932 2933
}

L
Linus Torvalds 已提交
2934 2935 2936 2937 2938 2939 2940 2941 2942
/*
 * eth_port_reset - Reset Ethernet port
 *
 * DESCRIPTION:
 * 	This routine resets the chip by aborting any SDMA engine activity and
 *	clearing the MIB counters. The Receiver and the Transmit unit are in
 *	idle state after this command is performed and the port is disabled.
 *
 * INPUT:
2943
 *	struct mv643xx_private *mp	Ethernet Port.
L
Linus Torvalds 已提交
2944 2945 2946 2947 2948 2949 2950 2951
 *
 * OUTPUT:
 *	Channel activity is halted.
 *
 * RETURN:
 *	None.
 *
 */
2952
static void eth_port_reset(struct mv643xx_private *mp)
L
Linus Torvalds 已提交
2953
{
2954
	unsigned int port_num = mp->port_num;
L
Linus Torvalds 已提交
2955 2956
	unsigned int reg_data;

2957 2958
	mv643xx_eth_port_disable_tx(mp);
	mv643xx_eth_port_disable_rx(mp);
L
Linus Torvalds 已提交
2959 2960

	/* Clear all MIB counters */
2961
	eth_clear_mib_counters(mp);
L
Linus Torvalds 已提交
2962 2963

	/* Reset the Enable bit in the Configuration Register */
2964
	reg_data = rdl(mp, PORT_SERIAL_CONTROL_REG(port_num));
2965 2966 2967
	reg_data &= ~(SERIAL_PORT_ENABLE		|
			DO_NOT_FORCE_LINK_FAIL	|
			FORCE_LINK_PASS);
2968
	wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), reg_data);
L
Linus Torvalds 已提交
2969 2970 2971 2972 2973 2974 2975 2976 2977 2978 2979
}


/*
 * eth_port_read_smi_reg - Read PHY registers
 *
 * DESCRIPTION:
 *	This routine utilize the SMI interface to interact with the PHY in
 *	order to perform PHY register read.
 *
 * INPUT:
2980
 *	struct mv643xx_private *mp	Ethernet Port.
L
Linus Torvalds 已提交
2981 2982 2983 2984 2985 2986 2987 2988 2989 2990 2991
 *	unsigned int	phy_reg		PHY register address offset.
 *	unsigned int	*value		Register value buffer.
 *
 * OUTPUT:
 *	Write the value of a specified PHY register into given buffer.
 *
 * RETURN:
 *	false if the PHY is busy or read data is not in valid state.
 *	true otherwise.
 *
 */
2992
static void eth_port_read_smi_reg(struct mv643xx_private *mp,
L
Linus Torvalds 已提交
2993 2994
				unsigned int phy_reg, unsigned int *value)
{
2995
	void __iomem *smi_reg = mp->shared_smi->eth_base + SMI_REG;
2996
	int phy_addr = ethernet_phy_get(mp);
L
Linus Torvalds 已提交
2997 2998 2999 3000
	unsigned long flags;
	int i;

	/* the SMI register is a shared resource */
3001
	spin_lock_irqsave(&mp->shared_smi->phy_lock, flags);
L
Linus Torvalds 已提交
3002 3003

	/* wait for the SMI register to become available */
3004
	for (i = 0; readl(smi_reg) & ETH_SMI_BUSY; i++) {
L
Linus Torvalds 已提交
3005
		if (i == PHY_WAIT_ITERATIONS) {
3006
			printk("%s: PHY busy timeout\n", mp->dev->name);
L
Linus Torvalds 已提交
3007 3008 3009 3010 3011
			goto out;
		}
		udelay(PHY_WAIT_MICRO_SECONDS);
	}

3012 3013
	writel((phy_addr << 16) | (phy_reg << 21) | ETH_SMI_OPCODE_READ,
		smi_reg);
L
Linus Torvalds 已提交
3014 3015

	/* now wait for the data to be valid */
3016
	for (i = 0; !(readl(smi_reg) & ETH_SMI_READ_VALID); i++) {
L
Linus Torvalds 已提交
3017
		if (i == PHY_WAIT_ITERATIONS) {
3018
			printk("%s: PHY read timeout\n", mp->dev->name);
L
Linus Torvalds 已提交
3019 3020 3021 3022 3023
			goto out;
		}
		udelay(PHY_WAIT_MICRO_SECONDS);
	}

3024
	*value = readl(smi_reg) & 0xffff;
L
Linus Torvalds 已提交
3025
out:
3026
	spin_unlock_irqrestore(&mp->shared_smi->phy_lock, flags);
L
Linus Torvalds 已提交
3027 3028 3029 3030 3031 3032 3033 3034 3035 3036
}

/*
 * eth_port_write_smi_reg - Write to PHY registers
 *
 * DESCRIPTION:
 *	This routine utilize the SMI interface to interact with the PHY in
 *	order to perform writes to PHY registers.
 *
 * INPUT:
3037
 *	struct mv643xx_private *mp	Ethernet Port.
L
Linus Torvalds 已提交
3038 3039 3040 3041 3042 3043 3044 3045 3046 3047 3048
 *	unsigned int	phy_reg		PHY register address offset.
 *	unsigned int	value		Register value.
 *
 * OUTPUT:
 *	Write the given value to the specified PHY register.
 *
 * RETURN:
 *	false if the PHY is busy.
 *	true otherwise.
 *
 */
3049
static void eth_port_write_smi_reg(struct mv643xx_private *mp,
L
Linus Torvalds 已提交
3050 3051
				   unsigned int phy_reg, unsigned int value)
{
3052 3053
	void __iomem *smi_reg = mp->shared_smi->eth_base + SMI_REG;
	int phy_addr = ethernet_phy_get(mp);
L
Linus Torvalds 已提交
3054
	unsigned long flags;
3055
	int i;
L
Linus Torvalds 已提交
3056 3057

	/* the SMI register is a shared resource */
3058
	spin_lock_irqsave(&mp->shared_smi->phy_lock, flags);
L
Linus Torvalds 已提交
3059 3060

	/* wait for the SMI register to become available */
3061
	for (i = 0; readl(smi_reg) & ETH_SMI_BUSY; i++) {
L
Linus Torvalds 已提交
3062
		if (i == PHY_WAIT_ITERATIONS) {
3063
			printk("%s: PHY busy timeout\n", mp->dev->name);
L
Linus Torvalds 已提交
3064 3065 3066 3067 3068
			goto out;
		}
		udelay(PHY_WAIT_MICRO_SECONDS);
	}

3069 3070
	writel((phy_addr << 16) | (phy_reg << 21) |
		ETH_SMI_OPCODE_WRITE | (value & 0xffff), smi_reg);
L
Linus Torvalds 已提交
3071
out:
3072
	spin_unlock_irqrestore(&mp->shared_smi->phy_lock, flags);
L
Linus Torvalds 已提交
3073 3074
}

3075 3076 3077 3078 3079 3080
/*
 * Wrappers for MII support library.
 */
static int mv643xx_mdio_read(struct net_device *dev, int phy_id, int location)
{
	struct mv643xx_private *mp = netdev_priv(dev);
3081
	int val;
3082

3083
	eth_port_read_smi_reg(mp, location, &val);
3084 3085 3086 3087 3088 3089
	return val;
}

static void mv643xx_mdio_write(struct net_device *dev, int phy_id, int location, int val)
{
	struct mv643xx_private *mp = netdev_priv(dev);
3090
	eth_port_write_smi_reg(mp, location, val);
3091 3092
}

L
Linus Torvalds 已提交
3093 3094 3095 3096 3097 3098 3099 3100 3101 3102 3103 3104 3105 3106 3107 3108 3109 3110 3111 3112 3113 3114 3115 3116 3117 3118 3119 3120 3121
/*
 * eth_port_receive - Get received information from Rx ring.
 *
 * DESCRIPTION:
 * 	This routine returns the received data to the caller. There is no
 *	data copying during routine operation. All information is returned
 *	using pointer to packet information struct passed from the caller.
 *	If the routine exhausts Rx ring resources then the resource error flag
 *	is set.
 *
 * INPUT:
 *	struct mv643xx_private	*mp		Ethernet Port Control srtuct.
 *	struct pkt_info		*p_pkt_info	User packet buffer.
 *
 * OUTPUT:
 *	Rx ring current and used indexes are updated.
 *
 * RETURN:
 *	ETH_ERROR in case the routine can not access Rx desc ring.
 *	ETH_QUEUE_FULL if Rx ring resources are exhausted.
 *	ETH_END_OF_JOB if there is no received data.
 *	ETH_OK otherwise.
 */
static ETH_FUNC_RET_STATUS eth_port_receive(struct mv643xx_private *mp,
						struct pkt_info *p_pkt_info)
{
	int rx_next_curr_desc, rx_curr_desc, rx_used_desc;
	volatile struct eth_rx_desc *p_rx_desc;
	unsigned int command_status;
3122
	unsigned long flags;
L
Linus Torvalds 已提交
3123 3124 3125 3126 3127

	/* Do not process Rx ring in case of Rx ring resource error */
	if (mp->rx_resource_err)
		return ETH_QUEUE_FULL;

3128 3129
	spin_lock_irqsave(&mp->lock, flags);

L
Linus Torvalds 已提交
3130 3131 3132 3133 3134 3135 3136 3137 3138 3139 3140
	/* Get the Rx Desc ring 'curr and 'used' indexes */
	rx_curr_desc = mp->rx_curr_desc_q;
	rx_used_desc = mp->rx_used_desc_q;

	p_rx_desc = &mp->p_rx_desc_area[rx_curr_desc];

	/* The following parameters are used to save readings from memory */
	command_status = p_rx_desc->cmd_sts;
	rmb();

	/* Nothing to receive... */
3141 3142
	if (command_status & (ETH_BUFFER_OWNED_BY_DMA)) {
		spin_unlock_irqrestore(&mp->lock, flags);
L
Linus Torvalds 已提交
3143
		return ETH_END_OF_JOB;
3144
	}
L
Linus Torvalds 已提交
3145 3146 3147 3148 3149 3150 3151

	p_pkt_info->byte_cnt = (p_rx_desc->byte_cnt) - RX_BUF_OFFSET;
	p_pkt_info->cmd_sts = command_status;
	p_pkt_info->buf_ptr = (p_rx_desc->buf_ptr) + RX_BUF_OFFSET;
	p_pkt_info->return_info = mp->rx_skb[rx_curr_desc];
	p_pkt_info->l4i_chk = p_rx_desc->buf_size;

3152 3153 3154 3155
	/*
	 * Clean the return info field to indicate that the
	 * packet has been moved to the upper layers
	 */
L
Linus Torvalds 已提交
3156 3157 3158 3159 3160 3161 3162 3163 3164 3165
	mp->rx_skb[rx_curr_desc] = NULL;

	/* Update current index in data structure */
	rx_next_curr_desc = (rx_curr_desc + 1) % mp->rx_ring_size;
	mp->rx_curr_desc_q = rx_next_curr_desc;

	/* Rx descriptors exhausted. Set the Rx ring resource error flag */
	if (rx_next_curr_desc == rx_used_desc)
		mp->rx_resource_err = 1;

3166 3167
	spin_unlock_irqrestore(&mp->lock, flags);

L
Linus Torvalds 已提交
3168 3169 3170 3171 3172 3173 3174 3175 3176 3177 3178 3179 3180 3181 3182 3183 3184 3185 3186 3187 3188 3189 3190 3191 3192 3193 3194 3195
	return ETH_OK;
}

/*
 * eth_rx_return_buff - Returns a Rx buffer back to the Rx ring.
 *
 * DESCRIPTION:
 *	This routine returns a Rx buffer back to the Rx ring. It retrieves the
 *	next 'used' descriptor and attached the returned buffer to it.
 *	In case the Rx ring was in "resource error" condition, where there are
 *	no available Rx resources, the function resets the resource error flag.
 *
 * INPUT:
 *	struct mv643xx_private	*mp		Ethernet Port Control srtuct.
 *	struct pkt_info		*p_pkt_info	Information on returned buffer.
 *
 * OUTPUT:
 *	New available Rx resource in Rx descriptor ring.
 *
 * RETURN:
 *	ETH_ERROR in case the routine can not access Rx desc ring.
 *	ETH_OK otherwise.
 */
static ETH_FUNC_RET_STATUS eth_rx_return_buff(struct mv643xx_private *mp,
						struct pkt_info *p_pkt_info)
{
	int used_rx_desc;	/* Where to return Rx resource */
	volatile struct eth_rx_desc *p_used_rx_desc;
3196 3197 3198
	unsigned long flags;

	spin_lock_irqsave(&mp->lock, flags);
L
Linus Torvalds 已提交
3199 3200 3201 3202 3203 3204 3205 3206 3207 3208 3209 3210 3211 3212 3213 3214 3215 3216 3217 3218 3219 3220 3221

	/* Get 'used' Rx descriptor */
	used_rx_desc = mp->rx_used_desc_q;
	p_used_rx_desc = &mp->p_rx_desc_area[used_rx_desc];

	p_used_rx_desc->buf_ptr = p_pkt_info->buf_ptr;
	p_used_rx_desc->buf_size = p_pkt_info->byte_cnt;
	mp->rx_skb[used_rx_desc] = p_pkt_info->return_info;

	/* Flush the write pipe */

	/* Return the descriptor to DMA ownership */
	wmb();
	p_used_rx_desc->cmd_sts =
			ETH_BUFFER_OWNED_BY_DMA | ETH_RX_ENABLE_INTERRUPT;
	wmb();

	/* Move the used descriptor pointer to the next descriptor */
	mp->rx_used_desc_q = (used_rx_desc + 1) % mp->rx_ring_size;

	/* Any Rx return cancels the Rx resource error status */
	mp->rx_resource_err = 0;

3222 3223
	spin_unlock_irqrestore(&mp->lock, flags);

L
Linus Torvalds 已提交
3224 3225 3226 3227 3228 3229 3230 3231 3232 3233 3234
	return ETH_OK;
}

/************* Begin ethtool support *************************/

struct mv643xx_stats {
	char stat_string[ETH_GSTRING_LEN];
	int sizeof_stat;
	int stat_offset;
};

3235
#define MV643XX_STAT(m) FIELD_SIZEOF(struct mv643xx_private, m), \
3236
					offsetof(struct mv643xx_private, m)
L
Linus Torvalds 已提交
3237 3238 3239 3240 3241 3242 3243 3244 3245 3246 3247 3248 3249 3250 3251 3252 3253 3254 3255 3256 3257 3258 3259 3260 3261 3262 3263 3264 3265 3266 3267 3268 3269 3270 3271 3272 3273 3274 3275 3276 3277 3278

static const struct mv643xx_stats mv643xx_gstrings_stats[] = {
	{ "rx_packets", MV643XX_STAT(stats.rx_packets) },
	{ "tx_packets", MV643XX_STAT(stats.tx_packets) },
	{ "rx_bytes", MV643XX_STAT(stats.rx_bytes) },
	{ "tx_bytes", MV643XX_STAT(stats.tx_bytes) },
	{ "rx_errors", MV643XX_STAT(stats.rx_errors) },
	{ "tx_errors", MV643XX_STAT(stats.tx_errors) },
	{ "rx_dropped", MV643XX_STAT(stats.rx_dropped) },
	{ "tx_dropped", MV643XX_STAT(stats.tx_dropped) },
	{ "good_octets_received", MV643XX_STAT(mib_counters.good_octets_received) },
	{ "bad_octets_received", MV643XX_STAT(mib_counters.bad_octets_received) },
	{ "internal_mac_transmit_err", MV643XX_STAT(mib_counters.internal_mac_transmit_err) },
	{ "good_frames_received", MV643XX_STAT(mib_counters.good_frames_received) },
	{ "bad_frames_received", MV643XX_STAT(mib_counters.bad_frames_received) },
	{ "broadcast_frames_received", MV643XX_STAT(mib_counters.broadcast_frames_received) },
	{ "multicast_frames_received", MV643XX_STAT(mib_counters.multicast_frames_received) },
	{ "frames_64_octets", MV643XX_STAT(mib_counters.frames_64_octets) },
	{ "frames_65_to_127_octets", MV643XX_STAT(mib_counters.frames_65_to_127_octets) },
	{ "frames_128_to_255_octets", MV643XX_STAT(mib_counters.frames_128_to_255_octets) },
	{ "frames_256_to_511_octets", MV643XX_STAT(mib_counters.frames_256_to_511_octets) },
	{ "frames_512_to_1023_octets", MV643XX_STAT(mib_counters.frames_512_to_1023_octets) },
	{ "frames_1024_to_max_octets", MV643XX_STAT(mib_counters.frames_1024_to_max_octets) },
	{ "good_octets_sent", MV643XX_STAT(mib_counters.good_octets_sent) },
	{ "good_frames_sent", MV643XX_STAT(mib_counters.good_frames_sent) },
	{ "excessive_collision", MV643XX_STAT(mib_counters.excessive_collision) },
	{ "multicast_frames_sent", MV643XX_STAT(mib_counters.multicast_frames_sent) },
	{ "broadcast_frames_sent", MV643XX_STAT(mib_counters.broadcast_frames_sent) },
	{ "unrec_mac_control_received", MV643XX_STAT(mib_counters.unrec_mac_control_received) },
	{ "fc_sent", MV643XX_STAT(mib_counters.fc_sent) },
	{ "good_fc_received", MV643XX_STAT(mib_counters.good_fc_received) },
	{ "bad_fc_received", MV643XX_STAT(mib_counters.bad_fc_received) },
	{ "undersize_received", MV643XX_STAT(mib_counters.undersize_received) },
	{ "fragments_received", MV643XX_STAT(mib_counters.fragments_received) },
	{ "oversize_received", MV643XX_STAT(mib_counters.oversize_received) },
	{ "jabber_received", MV643XX_STAT(mib_counters.jabber_received) },
	{ "mac_receive_error", MV643XX_STAT(mib_counters.mac_receive_error) },
	{ "bad_crc_event", MV643XX_STAT(mib_counters.bad_crc_event) },
	{ "collision", MV643XX_STAT(mib_counters.collision) },
	{ "late_collision", MV643XX_STAT(mib_counters.late_collision) },
};

3279
#define MV643XX_STATS_LEN	ARRAY_SIZE(mv643xx_gstrings_stats)
L
Linus Torvalds 已提交
3280

3281 3282
static void mv643xx_get_drvinfo(struct net_device *netdev,
				struct ethtool_drvinfo *drvinfo)
L
Linus Torvalds 已提交
3283 3284 3285 3286 3287 3288 3289 3290
{
	strncpy(drvinfo->driver,  mv643xx_driver_name, 32);
	strncpy(drvinfo->version, mv643xx_driver_version, 32);
	strncpy(drvinfo->fw_version, "N/A", 32);
	strncpy(drvinfo->bus_info, "mv643xx", 32);
	drvinfo->n_stats = MV643XX_STATS_LEN;
}

3291
static int mv643xx_get_sset_count(struct net_device *netdev, int sset)
L
Linus Torvalds 已提交
3292
{
3293 3294 3295 3296 3297 3298
	switch (sset) {
	case ETH_SS_STATS:
		return MV643XX_STATS_LEN;
	default:
		return -EOPNOTSUPP;
	}
L
Linus Torvalds 已提交
3299 3300
}

3301 3302
static void mv643xx_get_ethtool_stats(struct net_device *netdev,
				struct ethtool_stats *stats, uint64_t *data)
L
Linus Torvalds 已提交
3303 3304 3305 3306 3307 3308
{
	struct mv643xx_private *mp = netdev->priv;
	int i;

	eth_update_mib_counters(mp);

3309
	for (i = 0; i < MV643XX_STATS_LEN; i++) {
3310
		char *p = (char *)mp+mv643xx_gstrings_stats[i].stat_offset;
3311
		data[i] = (mv643xx_gstrings_stats[i].sizeof_stat ==
L
Linus Torvalds 已提交
3312 3313 3314 3315
			sizeof(uint64_t)) ? *(uint64_t *)p : *(uint32_t *)p;
	}
}

3316 3317
static void mv643xx_get_strings(struct net_device *netdev, uint32_t stringset,
				uint8_t *data)
L
Linus Torvalds 已提交
3318 3319 3320 3321 3322 3323
{
	int i;

	switch(stringset) {
	case ETH_SS_STATS:
		for (i=0; i < MV643XX_STATS_LEN; i++) {
3324 3325 3326
			memcpy(data + i * ETH_GSTRING_LEN,
					mv643xx_gstrings_stats[i].stat_string,
					ETH_GSTRING_LEN);
L
Linus Torvalds 已提交
3327 3328 3329 3330 3331
		}
		break;
	}
}

3332 3333 3334 3335 3336 3337 3338 3339 3340 3341 3342 3343 3344 3345 3346 3347 3348 3349 3350 3351 3352
static u32 mv643xx_eth_get_link(struct net_device *dev)
{
	struct mv643xx_private *mp = netdev_priv(dev);

	return mii_link_ok(&mp->mii);
}

static int mv643xx_eth_nway_restart(struct net_device *dev)
{
	struct mv643xx_private *mp = netdev_priv(dev);

	return mii_nway_restart(&mp->mii);
}

static int mv643xx_eth_do_ioctl(struct net_device *dev, struct ifreq *ifr, int cmd)
{
	struct mv643xx_private *mp = netdev_priv(dev);

	return generic_mii_ioctl(&mp->mii, if_mii(ifr), cmd, NULL);
}

3353
static const struct ethtool_ops mv643xx_ethtool_ops = {
L
Linus Torvalds 已提交
3354
	.get_settings           = mv643xx_get_settings,
3355
	.set_settings           = mv643xx_set_settings,
L
Linus Torvalds 已提交
3356
	.get_drvinfo            = mv643xx_get_drvinfo,
3357
	.get_link               = mv643xx_eth_get_link,
L
Linus Torvalds 已提交
3358
	.set_sg			= ethtool_op_set_sg,
3359
	.get_sset_count		= mv643xx_get_sset_count,
L
Linus Torvalds 已提交
3360
	.get_ethtool_stats      = mv643xx_get_ethtool_stats,
3361 3362
	.get_strings            = mv643xx_get_strings,
	.nway_reset		= mv643xx_eth_nway_restart,
L
Linus Torvalds 已提交
3363 3364 3365
};

/************* End ethtool support *************************/