#----------------------------------------------------------- # Vivado v2021.1 (64-bit) # SW Build 3247384 on Thu Jun 10 19:36:33 MDT 2021 # IP Build 3246043 on Fri Jun 11 00:30:35 MDT 2021 # Start of session at: Mon Sep 13 12:39:50 2021 # Process ID: 55872 # Current directory: D:/gitwork/hdl4se/examples/hdl4se_riscv/z7/riscv_axi_v5/riscv_axi_v5.runs/synth_1 # Command line: vivado.exe -log risc_axi_v5_top_wrapper.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source risc_axi_v5_top_wrapper.tcl # Log file: D:/gitwork/hdl4se/examples/hdl4se_riscv/z7/riscv_axi_v5/riscv_axi_v5.runs/synth_1/risc_axi_v5_top_wrapper.vds # Journal file: D:/gitwork/hdl4se/examples/hdl4se_riscv/z7/riscv_axi_v5/riscv_axi_v5.runs/synth_1\vivado.jou #----------------------------------------------------------- source risc_axi_v5_top_wrapper.tcl -notrace