test.elf: file format elf32-littleriscv Disassembly of section .text: 00000074 : 74: 00000793 addi x15,x0,0 78: 00078863 beq x15,x0,88 7c: 00001537 lui x10,0x1 80: 19050513 addi x10,x10,400 # 1190 <__libc_fini_array> 84: 1680106f jal x0,11ec 88: 00008067 jalr x0,0(x1) 0000008c <_start>: 8c: 00003197 auipc x3,0x3 90: adc18193 addi x3,x3,-1316 # 2b68 <__global_pointer$> 94: c3818513 addi x10,x3,-968 # 27a0 <_uartstate> 98: c5c18613 addi x12,x3,-932 # 27c4 <__BSS_END__> 9c: 40a60633 sub x12,x12,x10 a0: 00000593 addi x11,x0,0 a4: 6f1000ef jal x1,f94 a8: 00001517 auipc x10,0x1 ac: 14450513 addi x10,x10,324 # 11ec b0: 00050863 beq x10,x0,c0 <_start+0x34> b4: 00001517 auipc x10,0x1 b8: 0dc50513 addi x10,x10,220 # 1190 <__libc_fini_array> bc: 130010ef jal x1,11ec c0: 639000ef jal x1,ef8 <__libc_init_array> c4: 00012503 lw x10,0(x2) c8: 00410593 addi x11,x2,4 cc: 00000613 addi x12,x0,0 d0: 4bd000ef jal x1,d8c
d4: 5f50006f jal x0,ec8 000000d8 <__do_global_dtors_aux>: d8: ff010113 addi x2,x2,-16 dc: 00812423 sw x8,8(x2) e0: c401c783 lbu x15,-960(x3) # 27a8 e4: 00112623 sw x1,12(x2) e8: 02079263 bne x15,x0,10c <__do_global_dtors_aux+0x34> ec: 00000793 addi x15,x0,0 f0: 00078a63 beq x15,x0,104 <__do_global_dtors_aux+0x2c> f4: 00002537 lui x10,0x2 f8: 35850513 addi x10,x10,856 # 2358 <__FRAME_END__> fc: 00000097 auipc x1,0x0 100: 000000e7 jalr x1,0(x0) # 0 104: 00100793 addi x15,x0,1 108: c4f18023 sb x15,-960(x3) # 27a8 10c: 00c12083 lw x1,12(x2) 110: 00812403 lw x8,8(x2) 114: 01010113 addi x2,x2,16 118: 00008067 jalr x0,0(x1) # fc <__do_global_dtors_aux+0x24> 0000011c : 11c: 00000793 addi x15,x0,0 120: 00078c63 beq x15,x0,138 124: 00002537 lui x10,0x2 128: c4418593 addi x11,x3,-956 # 27ac 12c: 35850513 addi x10,x10,856 # 2358 <__FRAME_END__> 130: 00000317 auipc x6,0x0 134: 00000067 jalr x0,0(x0) # 0 138: 00008067 jalr x0,0(x1) 0000013c <_canputchar>: 13c: ff010113 addi x2,x2,-16 140: 00812623 sw x8,12(x2) 144: 01010413 addi x8,x2,16 148: c301a783 lw x15,-976(x3) # 2798 <_uartaddr> 14c: 00878793 addi x15,x15,8 150: 0007a703 lw x14,0(x15) 154: c2e1ac23 sw x14,-968(x3) # 27a0 <_uartstate> 158: c381a783 lw x15,-968(x3) # 27a0 <_uartstate> 15c: 0017f793 andi x15,x15,1 160: 0017b793 sltiu x15,x15,1 164: 0ff7f793 andi x15,x15,255 168: 00078513 addi x10,x15,0 16c: 00c12403 lw x8,12(x2) 170: 01010113 addi x2,x2,16 174: 00008067 jalr x0,0(x1) 00000178 <_haschar>: 178: ff010113 addi x2,x2,-16 17c: 00812623 sw x8,12(x2) 180: 01010413 addi x8,x2,16 184: c301a783 lw x15,-976(x3) # 2798 <_uartaddr> 188: 00878793 addi x15,x15,8 18c: 0007a703 lw x14,0(x15) 190: c2e1ac23 sw x14,-968(x3) # 27a0 <_uartstate> 194: c381a703 lw x14,-968(x3) # 27a0 <_uartstate> 198: 000107b7 lui x15,0x10 19c: 00f777b3 and x15,x14,x15 1a0: 0017b793 sltiu x15,x15,1 1a4: 0ff7f793 andi x15,x15,255 1a8: 00078513 addi x10,x15,0 # 10000 <__global_pointer$+0xd498> 1ac: 00c12403 lw x8,12(x2) 1b0: 01010113 addi x2,x2,16 1b4: 00008067 jalr x0,0(x1) 000001b8 <_putchar>: 1b8: fe010113 addi x2,x2,-32 1bc: 00812e23 sw x8,28(x2) 1c0: 02010413 addi x8,x2,32 1c4: fea42623 sw x10,-20(x8) 1c8: c301a783 lw x15,-976(x3) # 2798 <_uartaddr> 1cc: 00878793 addi x15,x15,8 1d0: 0007a703 lw x14,0(x15) 1d4: c2e1ac23 sw x14,-968(x3) # 27a0 <_uartstate> 1d8: c381a783 lw x15,-968(x3) # 27a0 <_uartstate> 1dc: 0017f793 andi x15,x15,1 1e0: 00079e63 bne x15,x0,1fc <_putchar+0x44> 1e4: c301a783 lw x15,-976(x3) # 2798 <_uartaddr> 1e8: 00478793 addi x15,x15,4 1ec: fec42703 lw x14,-20(x8) 1f0: 00e7a023 sw x14,0(x15) 1f4: 00000793 addi x15,x0,0 1f8: 0080006f jal x0,200 <_putchar+0x48> 1fc: fff00793 addi x15,x0,-1 200: 00078513 addi x10,x15,0 204: 01c12403 lw x8,28(x2) 208: 02010113 addi x2,x2,32 20c: 00008067 jalr x0,0(x1) 00000210 <_getchar>: 210: ff010113 addi x2,x2,-16 214: 00812623 sw x8,12(x2) 218: 01010413 addi x8,x2,16 21c: c301a783 lw x15,-976(x3) # 2798 <_uartaddr> 220: 00878793 addi x15,x15,8 224: 0007a703 lw x14,0(x15) 228: c2e1ac23 sw x14,-968(x3) # 27a0 <_uartstate> 22c: c381a703 lw x14,-968(x3) # 27a0 <_uartstate> 230: 000107b7 lui x15,0x10 234: 00f777b3 and x15,x14,x15 238: 00079863 bne x15,x0,248 <_getchar+0x38> 23c: c301a783 lw x15,-976(x3) # 2798 <_uartaddr> 240: 0007a783 lw x15,0(x15) # 10000 <__global_pointer$+0xd498> 244: 0080006f jal x0,24c <_getchar+0x3c> 248: fff00793 addi x15,x0,-1 24c: 00078513 addi x10,x15,0 250: 00c12403 lw x8,12(x2) 254: 01010113 addi x2,x2,16 258: 00008067 jalr x0,0(x1) 0000025c <_puts>: 25c: fe010113 addi x2,x2,-32 260: 00112e23 sw x1,28(x2) 264: 00812c23 sw x8,24(x2) 268: 02010413 addi x8,x2,32 26c: fea42623 sw x10,-20(x8) 270: 0300006f jal x0,2a0 <_puts+0x44> 274: 00000013 addi x0,x0,0 278: fec42783 lw x15,-20(x8) 27c: 0007c783 lbu x15,0(x15) 280: 00078513 addi x10,x15,0 284: f35ff0ef jal x1,1b8 <_putchar> 288: 00050713 addi x14,x10,0 28c: fff00793 addi x15,x0,-1 290: fef704e3 beq x14,x15,278 <_puts+0x1c> 294: fec42783 lw x15,-20(x8) 298: 00178793 addi x15,x15,1 29c: fef42623 sw x15,-20(x8) 2a0: fec42783 lw x15,-20(x8) 2a4: 0007c783 lbu x15,0(x15) 2a8: fc0796e3 bne x15,x0,274 <_puts+0x18> 2ac: 00000793 addi x15,x0,0 2b0: 00078513 addi x10,x15,0 2b4: 01c12083 lw x1,28(x2) 2b8: 01812403 lw x8,24(x2) 2bc: 02010113 addi x2,x2,32 2c0: 00008067 jalr x0,0(x1) 000002c4 <_gets>: 2c4: fd010113 addi x2,x2,-48 2c8: 02112623 sw x1,44(x2) 2cc: 02812423 sw x8,40(x2) 2d0: 03010413 addi x8,x2,48 2d4: fca42e23 sw x10,-36(x8) 2d8: fcb42c23 sw x11,-40(x8) 2dc: fe042623 sw x0,-20(x8) 2e0: fd842703 lw x14,-40(x8) 2e4: 00100793 addi x15,x0,1 2e8: 00e7c663 blt x15,x14,2f4 <_gets+0x30> 2ec: 00000793 addi x15,x0,0 2f0: 0980006f jal x0,388 <_gets+0xc4> 2f4: f1dff0ef jal x1,210 <_getchar> 2f8: fea42423 sw x10,-24(x8) 2fc: fe842703 lw x14,-24(x8) 300: fff00793 addi x15,x0,-1 304: fef708e3 beq x14,x15,2f4 <_gets+0x30> 308: fec42783 lw x15,-20(x8) 30c: 00178713 addi x14,x15,1 310: fee42623 sw x14,-20(x8) 314: 00078713 addi x14,x15,0 318: fdc42783 lw x15,-36(x8) 31c: 00e787b3 add x15,x15,x14 320: fe842703 lw x14,-24(x8) 324: 0ff77713 andi x14,x14,255 328: 00e78023 sb x14,0(x15) 32c: 00000013 addi x0,x0,0 330: fe842503 lw x10,-24(x8) 334: e85ff0ef jal x1,1b8 <_putchar> 338: 00050713 addi x14,x10,0 33c: fff00793 addi x15,x0,-1 340: fef708e3 beq x14,x15,330 <_gets+0x6c> 344: fd842783 lw x15,-40(x8) 348: fff78793 addi x15,x15,-1 34c: fec42703 lw x14,-20(x8) 350: 02f75063 bge x14,x15,370 <_gets+0xac> 354: fe842703 lw x14,-24(x8) 358: 00a00793 addi x15,x0,10 35c: 00f70c63 beq x14,x15,374 <_gets+0xb0> 360: fe842703 lw x14,-24(x8) 364: 00d00793 addi x15,x0,13 368: 00f70663 beq x14,x15,374 <_gets+0xb0> 36c: f89ff06f jal x0,2f4 <_gets+0x30> 370: 00000013 addi x0,x0,0 374: fec42783 lw x15,-20(x8) 378: fdc42703 lw x14,-36(x8) 37c: 00f707b3 add x15,x14,x15 380: 00078023 sb x0,0(x15) 384: fec42783 lw x15,-20(x8) 388: 00078513 addi x10,x15,0 38c: 02c12083 lw x1,44(x2) 390: 02812403 lw x8,40(x2) 394: 03010113 addi x2,x2,48 398: 00008067 jalr x0,0(x1) 0000039c <_d2s>: 39c: fc010113 addi x2,x2,-64 3a0: 02812e23 sw x8,60(x2) 3a4: 04010413 addi x8,x2,64 3a8: fca42623 sw x10,-52(x8) 3ac: fcb42423 sw x11,-56(x8) 3b0: fe042423 sw x0,-24(x8) 3b4: fe042223 sw x0,-28(x8) 3b8: fc842783 lw x15,-56(x8) 3bc: 0407de63 bge x15,x0,418 <_d2s+0x7c> 3c0: fc842783 lw x15,-56(x8) 3c4: 40f007b3 sub x15,x0,x15 3c8: fcf42423 sw x15,-56(x8) 3cc: fe042223 sw x0,-28(x8) 3d0: 0480006f jal x0,418 <_d2s+0x7c> 3d4: fc842703 lw x14,-56(x8) 3d8: 00a00793 addi x15,x0,10 3dc: 02f767b3 rem x15,x14,x15 3e0: 0ff7f713 andi x14,x15,255 3e4: fe842783 lw x15,-24(x8) 3e8: 00178693 addi x13,x15,1 3ec: fed42423 sw x13,-24(x8) 3f0: 00078693 addi x13,x15,0 3f4: fcc42783 lw x15,-52(x8) 3f8: 00d787b3 add x15,x15,x13 3fc: 03070713 addi x14,x14,48 400: 0ff77713 andi x14,x14,255 404: 00e78023 sb x14,0(x15) 408: fc842703 lw x14,-56(x8) 40c: 00a00793 addi x15,x0,10 410: 02f747b3 div x15,x14,x15 414: fcf42423 sw x15,-56(x8) 418: fc842783 lw x15,-56(x8) 41c: faf04ce3 blt x0,x15,3d4 <_d2s+0x38> 420: fe442783 lw x15,-28(x8) 424: 02078263 beq x15,x0,448 <_d2s+0xac> 428: fe842783 lw x15,-24(x8) 42c: 00178713 addi x14,x15,1 430: fee42423 sw x14,-24(x8) 434: 00078713 addi x14,x15,0 438: fcc42783 lw x15,-52(x8) 43c: 00e787b3 add x15,x15,x14 440: 02d00713 addi x14,x0,45 444: 00e78023 sb x14,0(x15) 448: fe042623 sw x0,-20(x8) 44c: 06c0006f jal x0,4b8 <_d2s+0x11c> 450: fe842783 lw x15,-24(x8) 454: fff78713 addi x14,x15,-1 458: fec42783 lw x15,-20(x8) 45c: 40f707b3 sub x15,x14,x15 460: fef42023 sw x15,-32(x8) 464: fec42783 lw x15,-20(x8) 468: fcc42703 lw x14,-52(x8) 46c: 00f707b3 add x15,x14,x15 470: 0007c783 lbu x15,0(x15) 474: fcf40fa3 sb x15,-33(x8) 478: fe042783 lw x15,-32(x8) 47c: fcc42703 lw x14,-52(x8) 480: 00f70733 add x14,x14,x15 484: fec42783 lw x15,-20(x8) 488: fcc42683 lw x13,-52(x8) 48c: 00f687b3 add x15,x13,x15 490: 00074703 lbu x14,0(x14) 494: 00e78023 sb x14,0(x15) 498: fe042783 lw x15,-32(x8) 49c: fcc42703 lw x14,-52(x8) 4a0: 00f707b3 add x15,x14,x15 4a4: fdf44703 lbu x14,-33(x8) 4a8: 00e78023 sb x14,0(x15) 4ac: fec42783 lw x15,-20(x8) 4b0: 00178793 addi x15,x15,1 4b4: fef42623 sw x15,-20(x8) 4b8: fe842783 lw x15,-24(x8) 4bc: 01f7d713 srli x14,x15,0x1f 4c0: 00f707b3 add x15,x14,x15 4c4: 4017d793 srai x15,x15,0x1 4c8: 00078713 addi x14,x15,0 4cc: fec42783 lw x15,-20(x8) 4d0: f8e7c0e3 blt x15,x14,450 <_d2s+0xb4> 4d4: fe842783 lw x15,-24(x8) 4d8: fcc42703 lw x14,-52(x8) 4dc: 00f707b3 add x15,x14,x15 4e0: 00078023 sb x0,0(x15) 4e4: fe842783 lw x15,-24(x8) 4e8: 00078513 addi x10,x15,0 4ec: 03c12403 lw x8,60(x2) 4f0: 04010113 addi x2,x2,64 4f4: 00008067 jalr x0,0(x1) 000004f8 <_h2s>: 4f8: fc010113 addi x2,x2,-64 4fc: 02812e23 sw x8,60(x2) 500: 04010413 addi x8,x2,64 504: fca42623 sw x10,-52(x8) 508: fcb42423 sw x11,-56(x8) 50c: fcc42223 sw x12,-60(x8) 510: 00068793 addi x15,x13,0 514: fcf401a3 sb x15,-61(x8) 518: fe042423 sw x0,-24(x8) 51c: 0780006f jal x0,594 <_h2s+0x9c> 520: fc842783 lw x15,-56(x8) 524: 00f7f793 andi x15,x15,15 528: fcf42e23 sw x15,-36(x8) 52c: fdc42703 lw x14,-36(x8) 530: 00900793 addi x15,x0,9 534: 02e7c463 blt x15,x14,55c <_h2s+0x64> 538: fdc42783 lw x15,-36(x8) 53c: 0ff7f713 andi x14,x15,255 540: fe842783 lw x15,-24(x8) 544: fcc42683 lw x13,-52(x8) 548: 00f687b3 add x15,x13,x15 54c: 03070713 addi x14,x14,48 550: 0ff77713 andi x14,x14,255 554: 00e78023 sb x14,0(x15) 558: 0240006f jal x0,57c <_h2s+0x84> 55c: fdc42783 lw x15,-36(x8) 560: 0ff7f713 andi x14,x15,255 564: fe842783 lw x15,-24(x8) 568: fcc42683 lw x13,-52(x8) 56c: 00f687b3 add x15,x13,x15 570: 05770713 addi x14,x14,87 574: 0ff77713 andi x14,x14,255 578: 00e78023 sb x14,0(x15) 57c: fc842783 lw x15,-56(x8) 580: 0047d793 srli x15,x15,0x4 584: fcf42423 sw x15,-56(x8) 588: fe842783 lw x15,-24(x8) 58c: 00178793 addi x15,x15,1 590: fef42423 sw x15,-24(x8) 594: fc842783 lw x15,-56(x8) 598: f80794e3 bne x15,x0,520 <_h2s+0x28> 59c: 0240006f jal x0,5c0 <_h2s+0xc8> 5a0: fe842783 lw x15,-24(x8) 5a4: 00178713 addi x14,x15,1 5a8: fee42423 sw x14,-24(x8) 5ac: 00078713 addi x14,x15,0 5b0: fcc42783 lw x15,-52(x8) 5b4: 00e787b3 add x15,x15,x14 5b8: fc344703 lbu x14,-61(x8) 5bc: 00e78023 sb x14,0(x15) 5c0: fe842703 lw x14,-24(x8) 5c4: fc442783 lw x15,-60(x8) 5c8: fcf74ce3 blt x14,x15,5a0 <_h2s+0xa8> 5cc: fe042623 sw x0,-20(x8) 5d0: 06c0006f jal x0,63c <_h2s+0x144> 5d4: fe842783 lw x15,-24(x8) 5d8: fff78713 addi x14,x15,-1 5dc: fec42783 lw x15,-20(x8) 5e0: 40f707b3 sub x15,x14,x15 5e4: fef42223 sw x15,-28(x8) 5e8: fec42783 lw x15,-20(x8) 5ec: fcc42703 lw x14,-52(x8) 5f0: 00f707b3 add x15,x14,x15 5f4: 0007c783 lbu x15,0(x15) 5f8: fef401a3 sb x15,-29(x8) 5fc: fe442783 lw x15,-28(x8) 600: fcc42703 lw x14,-52(x8) 604: 00f70733 add x14,x14,x15 608: fec42783 lw x15,-20(x8) 60c: fcc42683 lw x13,-52(x8) 610: 00f687b3 add x15,x13,x15 614: 00074703 lbu x14,0(x14) 618: 00e78023 sb x14,0(x15) 61c: fe442783 lw x15,-28(x8) 620: fcc42703 lw x14,-52(x8) 624: 00f707b3 add x15,x14,x15 628: fe344703 lbu x14,-29(x8) 62c: 00e78023 sb x14,0(x15) 630: fec42783 lw x15,-20(x8) 634: 00178793 addi x15,x15,1 638: fef42623 sw x15,-20(x8) 63c: fe842783 lw x15,-24(x8) 640: 01f7d713 srli x14,x15,0x1f 644: 00f707b3 add x15,x14,x15 648: 4017d793 srai x15,x15,0x1 64c: 00078713 addi x14,x15,0 650: fec42783 lw x15,-20(x8) 654: f8e7c0e3 blt x15,x14,5d4 <_h2s+0xdc> 658: fe842783 lw x15,-24(x8) 65c: fcc42703 lw x14,-52(x8) 660: 00f707b3 add x15,x14,x15 664: 00078023 sb x0,0(x15) 668: fe842783 lw x15,-24(x8) 66c: 00078513 addi x10,x15,0 670: 03c12403 lw x8,60(x2) 674: 04010113 addi x2,x2,64 678: 00008067 jalr x0,0(x1) 0000067c <_s2d>: 67c: fd010113 addi x2,x2,-48 680: 02812623 sw x8,44(x2) 684: 03010413 addi x8,x2,48 688: fca42e23 sw x10,-36(x8) 68c: fcb42c23 sw x11,-40(x8) 690: fe042623 sw x0,-20(x8) 694: fe042423 sw x0,-24(x8) 698: 00100793 addi x15,x0,1 69c: fef42223 sw x15,-28(x8) 6a0: 08c0006f jal x0,72c <_s2d+0xb0> 6a4: fdc42783 lw x15,-36(x8) 6a8: 0007c783 lbu x15,0(x15) 6ac: fef42023 sw x15,-32(x8) 6b0: fe042703 lw x14,-32(x8) 6b4: 02f00793 addi x15,x0,47 6b8: 04e7d263 bge x15,x14,6fc <_s2d+0x80> 6bc: fe042703 lw x14,-32(x8) 6c0: 03900793 addi x15,x0,57 6c4: 02e7cc63 blt x15,x14,6fc <_s2d+0x80> 6c8: fe842703 lw x14,-24(x8) 6cc: 00070793 addi x15,x14,0 6d0: 00279793 slli x15,x15,0x2 6d4: 00e787b3 add x15,x15,x14 6d8: 00179793 slli x15,x15,0x1 6dc: 00078713 addi x14,x15,0 6e0: fe042783 lw x15,-32(x8) 6e4: 00f707b3 add x15,x14,x15 6e8: fd078793 addi x15,x15,-48 6ec: fef42423 sw x15,-24(x8) 6f0: 00100793 addi x15,x0,1 6f4: fef42623 sw x15,-20(x8) 6f8: 0280006f jal x0,720 <_s2d+0xa4> 6fc: fec42783 lw x15,-20(x8) 700: 02079e63 bne x15,x0,73c <_s2d+0xc0> 704: fe042703 lw x14,-32(x8) 708: 02d00793 addi x15,x0,45 70c: 00f71a63 bne x14,x15,720 <_s2d+0xa4> 710: fff00793 addi x15,x0,-1 714: fef42223 sw x15,-28(x8) 718: 00100793 addi x15,x0,1 71c: fef42623 sw x15,-20(x8) 720: fdc42783 lw x15,-36(x8) 724: 00178793 addi x15,x15,1 728: fcf42e23 sw x15,-36(x8) 72c: fdc42783 lw x15,-36(x8) 730: 0007c783 lbu x15,0(x15) 734: f60798e3 bne x15,x0,6a4 <_s2d+0x28> 738: 0080006f jal x0,740 <_s2d+0xc4> 73c: 00000013 addi x0,x0,0 740: fe842703 lw x14,-24(x8) 744: fe442783 lw x15,-28(x8) 748: 02f707b3 mul x15,x14,x15 74c: fef42423 sw x15,-24(x8) 750: fd842783 lw x15,-40(x8) 754: 00078863 beq x15,x0,764 <_s2d+0xe8> 758: fd842783 lw x15,-40(x8) 75c: fdc42703 lw x14,-36(x8) 760: 00e7a023 sw x14,0(x15) 764: fe842783 lw x15,-24(x8) 768: 00078513 addi x10,x15,0 76c: 02c12403 lw x8,44(x2) 770: 03010113 addi x2,x2,48 774: 00008067 jalr x0,0(x1) 00000778 <_s2h>: 778: fd010113 addi x2,x2,-48 77c: 02812623 sw x8,44(x2) 780: 03010413 addi x8,x2,48 784: fca42e23 sw x10,-36(x8) 788: fcb42c23 sw x11,-40(x8) 78c: fe042623 sw x0,-20(x8) 790: fe042423 sw x0,-24(x8) 794: 0e00006f jal x0,874 <_s2h+0xfc> 798: fdc42783 lw x15,-36(x8) 79c: 0007c783 lbu x15,0(x15) 7a0: fef42223 sw x15,-28(x8) 7a4: fe442703 lw x14,-28(x8) 7a8: 02f00793 addi x15,x0,47 7ac: 02e7da63 bge x15,x14,7e0 <_s2h+0x68> 7b0: fe442703 lw x14,-28(x8) 7b4: 03900793 addi x15,x0,57 7b8: 02e7c463 blt x15,x14,7e0 <_s2h+0x68> 7bc: 00100793 addi x15,x0,1 7c0: fef42623 sw x15,-20(x8) 7c4: fe842783 lw x15,-24(x8) 7c8: 00479713 slli x14,x15,0x4 7cc: fe442783 lw x15,-28(x8) 7d0: 00f707b3 add x15,x14,x15 7d4: fd078793 addi x15,x15,-48 7d8: fef42423 sw x15,-24(x8) 7dc: 08c0006f jal x0,868 <_s2h+0xf0> 7e0: fe442703 lw x14,-28(x8) 7e4: 06000793 addi x15,x0,96 7e8: 02e7da63 bge x15,x14,81c <_s2h+0xa4> 7ec: fe442703 lw x14,-28(x8) 7f0: 06600793 addi x15,x0,102 7f4: 02e7c463 blt x15,x14,81c <_s2h+0xa4> 7f8: 00100793 addi x15,x0,1 7fc: fef42623 sw x15,-20(x8) 800: fe842783 lw x15,-24(x8) 804: 00479713 slli x14,x15,0x4 808: fe442783 lw x15,-28(x8) 80c: 00f707b3 add x15,x14,x15 810: fa978793 addi x15,x15,-87 814: fef42423 sw x15,-24(x8) 818: 0500006f jal x0,868 <_s2h+0xf0> 81c: fe442703 lw x14,-28(x8) 820: 04000793 addi x15,x0,64 824: 02e7da63 bge x15,x14,858 <_s2h+0xe0> 828: fe442703 lw x14,-28(x8) 82c: 04600793 addi x15,x0,70 830: 02e7c463 blt x15,x14,858 <_s2h+0xe0> 834: 00100793 addi x15,x0,1 838: fef42623 sw x15,-20(x8) 83c: fe842783 lw x15,-24(x8) 840: 00479713 slli x14,x15,0x4 844: fe442783 lw x15,-28(x8) 848: 00f707b3 add x15,x14,x15 84c: fc978793 addi x15,x15,-55 850: fef42423 sw x15,-24(x8) 854: 0140006f jal x0,868 <_s2h+0xf0> 858: fec42783 lw x15,-20(x8) 85c: 02079463 bne x15,x0,884 <_s2h+0x10c> 860: 00100793 addi x15,x0,1 864: fef42623 sw x15,-20(x8) 868: fdc42783 lw x15,-36(x8) 86c: 00178793 addi x15,x15,1 870: fcf42e23 sw x15,-36(x8) 874: fdc42783 lw x15,-36(x8) 878: 0007c783 lbu x15,0(x15) 87c: f0079ee3 bne x15,x0,798 <_s2h+0x20> 880: 0080006f jal x0,888 <_s2h+0x110> 884: 00000013 addi x0,x0,0 888: fd842783 lw x15,-40(x8) 88c: 00078863 beq x15,x0,89c <_s2h+0x124> 890: fd842783 lw x15,-40(x8) 894: fdc42703 lw x14,-36(x8) 898: 00e7a023 sw x14,0(x15) 89c: fe842783 lw x15,-24(x8) 8a0: 00078513 addi x10,x15,0 8a4: 02c12403 lw x8,44(x2) 8a8: 03010113 addi x2,x2,48 8ac: 00008067 jalr x0,0(x1) 000008b0 <_strlen>: 8b0: fd010113 addi x2,x2,-48 8b4: 02812623 sw x8,44(x2) 8b8: 03010413 addi x8,x2,48 8bc: fca42e23 sw x10,-36(x8) 8c0: fdc42783 lw x15,-36(x8) 8c4: fef42623 sw x15,-20(x8) 8c8: 0100006f jal x0,8d8 <_strlen+0x28> 8cc: fdc42783 lw x15,-36(x8) 8d0: 00178793 addi x15,x15,1 8d4: fcf42e23 sw x15,-36(x8) 8d8: fdc42783 lw x15,-36(x8) 8dc: 0007c783 lbu x15,0(x15) 8e0: fe0796e3 bne x15,x0,8cc <_strlen+0x1c> 8e4: fdc42703 lw x14,-36(x8) 8e8: fec42783 lw x15,-20(x8) 8ec: 40f707b3 sub x15,x14,x15 8f0: 00078513 addi x10,x15,0 8f4: 02c12403 lw x8,44(x2) 8f8: 03010113 addi x2,x2,48 8fc: 00008067 jalr x0,0(x1) 00000900 <_strcpy>: 900: fd010113 addi x2,x2,-48 904: 02812623 sw x8,44(x2) 908: 03010413 addi x8,x2,48 90c: fca42e23 sw x10,-36(x8) 910: fcb42c23 sw x11,-40(x8) 914: fdc42783 lw x15,-36(x8) 918: fef42623 sw x15,-20(x8) 91c: 0240006f jal x0,940 <_strcpy+0x40> 920: fd842703 lw x14,-40(x8) 924: 00170793 addi x15,x14,1 928: fcf42c23 sw x15,-40(x8) 92c: fdc42783 lw x15,-36(x8) 930: 00178693 addi x13,x15,1 934: fcd42e23 sw x13,-36(x8) 938: 00074703 lbu x14,0(x14) 93c: 00e78023 sb x14,0(x15) 940: fd842783 lw x15,-40(x8) 944: 0007c783 lbu x15,0(x15) 948: fc079ce3 bne x15,x0,920 <_strcpy+0x20> 94c: fdc42783 lw x15,-36(x8) 950: 00078023 sb x0,0(x15) 954: fdc42703 lw x14,-36(x8) 958: fec42783 lw x15,-20(x8) 95c: 40f707b3 sub x15,x14,x15 960: 00078513 addi x10,x15,0 964: 02c12403 lw x8,44(x2) 968: 03010113 addi x2,x2,48 96c: 00008067 jalr x0,0(x1) 00000970 <_strcat>: 970: fd010113 addi x2,x2,-48 974: 02812623 sw x8,44(x2) 978: 03010413 addi x8,x2,48 97c: fca42e23 sw x10,-36(x8) 980: fcb42c23 sw x11,-40(x8) 984: fdc42783 lw x15,-36(x8) 988: fef42623 sw x15,-20(x8) 98c: 0100006f jal x0,99c <_strcat+0x2c> 990: fdc42783 lw x15,-36(x8) 994: 00178793 addi x15,x15,1 998: fcf42e23 sw x15,-36(x8) 99c: fdc42783 lw x15,-36(x8) 9a0: 0007c783 lbu x15,0(x15) 9a4: fe0796e3 bne x15,x0,990 <_strcat+0x20> 9a8: 0240006f jal x0,9cc <_strcat+0x5c> 9ac: fd842703 lw x14,-40(x8) 9b0: 00170793 addi x15,x14,1 9b4: fcf42c23 sw x15,-40(x8) 9b8: fdc42783 lw x15,-36(x8) 9bc: 00178693 addi x13,x15,1 9c0: fcd42e23 sw x13,-36(x8) 9c4: 00074703 lbu x14,0(x14) 9c8: 00e78023 sb x14,0(x15) 9cc: fd842783 lw x15,-40(x8) 9d0: 0007c783 lbu x15,0(x15) 9d4: fc079ce3 bne x15,x0,9ac <_strcat+0x3c> 9d8: fdc42783 lw x15,-36(x8) 9dc: 00078023 sb x0,0(x15) 9e0: fdc42703 lw x14,-36(x8) 9e4: fec42783 lw x15,-20(x8) 9e8: 40f707b3 sub x15,x14,x15 9ec: 00078513 addi x10,x15,0 9f0: 02c12403 lw x8,44(x2) 9f4: 03010113 addi x2,x2,48 9f8: 00008067 jalr x0,0(x1) 000009fc <_strcmp>: 9fc: fe010113 addi x2,x2,-32 a00: 00812e23 sw x8,28(x2) a04: 02010413 addi x8,x2,32 a08: fea42623 sw x10,-20(x8) a0c: feb42423 sw x11,-24(x8) a10: 0300006f jal x0,a40 <_strcmp+0x44> a14: fec42783 lw x15,-20(x8) a18: 00178713 addi x14,x15,1 a1c: fee42623 sw x14,-20(x8) a20: 0007c703 lbu x14,0(x15) a24: fe842783 lw x15,-24(x8) a28: 00178693 addi x13,x15,1 a2c: fed42423 sw x13,-24(x8) a30: 0007c783 lbu x15,0(x15) a34: 00f70663 beq x14,x15,a40 <_strcmp+0x44> a38: 00100793 addi x15,x0,1 a3c: 0200006f jal x0,a5c <_strcmp+0x60> a40: fec42783 lw x15,-20(x8) a44: 0007c783 lbu x15,0(x15) a48: 00078863 beq x15,x0,a58 <_strcmp+0x5c> a4c: fe842783 lw x15,-24(x8) a50: 0007c783 lbu x15,0(x15) a54: fc0790e3 bne x15,x0,a14 <_strcmp+0x18> a58: 00000793 addi x15,x0,0 a5c: 00078513 addi x10,x15,0 a60: 01c12403 lw x8,28(x2) a64: 02010113 addi x2,x2,32 a68: 00008067 jalr x0,0(x1) 00000a6c <_strncmp>: a6c: fd010113 addi x2,x2,-48 a70: 02812623 sw x8,44(x2) a74: 03010413 addi x8,x2,48 a78: fca42e23 sw x10,-36(x8) a7c: fcb42c23 sw x11,-40(x8) a80: fcc42a23 sw x12,-44(x8) a84: fe042623 sw x0,-20(x8) a88: 0500006f jal x0,ad8 <_strncmp+0x6c> a8c: fdc42783 lw x15,-36(x8) a90: 00178713 addi x14,x15,1 a94: fce42e23 sw x14,-36(x8) a98: 0007c703 lbu x14,0(x15) a9c: fd842783 lw x15,-40(x8) aa0: 00178693 addi x13,x15,1 aa4: fcd42c23 sw x13,-40(x8) aa8: 0007c783 lbu x15,0(x15) aac: 00f70663 beq x14,x15,ab8 <_strncmp+0x4c> ab0: 00100793 addi x15,x0,1 ab4: 0400006f jal x0,af4 <_strncmp+0x88> ab8: fec42783 lw x15,-20(x8) abc: 00178793 addi x15,x15,1 ac0: fef42623 sw x15,-20(x8) ac4: fec42703 lw x14,-20(x8) ac8: fd442783 lw x15,-44(x8) acc: 00f74663 blt x14,x15,ad8 <_strncmp+0x6c> ad0: 00000793 addi x15,x0,0 ad4: 0200006f jal x0,af4 <_strncmp+0x88> ad8: fdc42783 lw x15,-36(x8) adc: 0007c783 lbu x15,0(x15) ae0: 00078863 beq x15,x0,af0 <_strncmp+0x84> ae4: fd842783 lw x15,-40(x8) ae8: 0007c783 lbu x15,0(x15) aec: fa0790e3 bne x15,x0,a8c <_strncmp+0x20> af0: 00000793 addi x15,x0,0 af4: 00078513 addi x10,x15,0 af8: 02c12403 lw x8,44(x2) afc: 03010113 addi x2,x2,48 b00: 00008067 jalr x0,0(x1) 00000b04 <_buadrateset>: b04: fe010113 addi x2,x2,-32 b08: 00812e23 sw x8,28(x2) b0c: 02010413 addi x8,x2,32 b10: fea42623 sw x10,-20(x8) b14: 02faf7b7 lui x15,0x2faf b18: 08078713 addi x14,x15,128 # 2faf080 <__global_pointer$+0x2fac518> b1c: fec42783 lw x15,-20(x8) b20: 02f74733 div x14,x14,x15 b24: c301a783 lw x15,-976(x3) # 2798 <_uartaddr> b28: 01078793 addi x15,x15,16 b2c: 00e7a023 sw x14,0(x15) b30: 00000793 addi x15,x0,0 b34: 00078513 addi x10,x15,0 b38: 01c12403 lw x8,28(x2) b3c: 02010113 addi x2,x2,32 b40: 00008067 jalr x0,0(x1) 00000b44 : b44: ec010113 addi x2,x2,-320 b48: 12112e23 sw x1,316(x2) b4c: 12812c23 sw x8,312(x2) b50: 14010413 addi x8,x2,320 b54: c3c1a783 lw x15,-964(x3) # 27a4 b58: ff07f793 andi x15,x15,-16 b5c: fef42223 sw x15,-28(x8) b60: fe042423 sw x0,-24(x8) b64: 1ec0006f jal x0,d50 b68: ed840793 addi x15,x8,-296 b6c: 03000693 addi x13,x0,48 b70: 00800613 addi x12,x0,8 b74: fe442583 lw x11,-28(x8) b78: 00078513 addi x10,x15,0 b7c: 97dff0ef jal x1,4f8 <_h2s> b80: ed840713 addi x14,x8,-296 b84: 000017b7 lui x15,0x1 b88: 2d078593 addi x11,x15,720 # 12d0 <__errno+0x8> b8c: 00070513 addi x10,x14,0 b90: de1ff0ef jal x1,970 <_strcat> b94: fea42023 sw x10,-32(x8) b98: fe042623 sw x0,-20(x8) b9c: 0c00006f jal x0,c5c ba0: fe442783 lw x15,-28(x8) ba4: fcf42c23 sw x15,-40(x8) ba8: fec42703 lw x14,-20(x8) bac: fe442783 lw x15,-28(x8) bb0: 00f70733 add x14,x14,x15 bb4: c3c1a783 lw x15,-964(x3) # 27a4 bb8: 02f77063 bgeu x14,x15,bd8 bbc: ed840713 addi x14,x8,-296 bc0: 000017b7 lui x15,0x1 bc4: 2d478593 addi x11,x15,724 # 12d4 <__errno+0xc> bc8: 00070513 addi x10,x14,0 bcc: da5ff0ef jal x1,970 <_strcat> bd0: fea42023 sw x10,-32(x8) bd4: 05c0006f jal x0,c30 bd8: fec42783 lw x15,-20(x8) bdc: fd842703 lw x14,-40(x8) be0: 00f707b3 add x15,x14,x15 be4: 0007c783 lbu x15,0(x15) be8: 00078713 addi x14,x15,0 bec: ed040793 addi x15,x8,-304 bf0: 03000693 addi x13,x0,48 bf4: 00200613 addi x12,x0,2 bf8: 00070593 addi x11,x14,0 bfc: 00078513 addi x10,x15,0 c00: 8f9ff0ef jal x1,4f8 <_h2s> c04: ed040713 addi x14,x8,-304 c08: 000017b7 lui x15,0x1 c0c: 2d878593 addi x11,x15,728 # 12d8 <__errno+0x10> c10: 00070513 addi x10,x14,0 c14: d5dff0ef jal x1,970 <_strcat> c18: ed040713 addi x14,x8,-304 c1c: ed840793 addi x15,x8,-296 c20: 00070593 addi x11,x14,0 c24: 00078513 addi x10,x15,0 c28: d49ff0ef jal x1,970 <_strcat> c2c: fea42023 sw x10,-32(x8) c30: fec42703 lw x14,-20(x8) c34: 00700793 addi x15,x0,7 c38: 00f71c63 bne x14,x15,c50 c3c: ed840713 addi x14,x8,-296 c40: 000017b7 lui x15,0x1 c44: 2dc78593 addi x11,x15,732 # 12dc <__errno+0x14> c48: 00070513 addi x10,x14,0 c4c: d25ff0ef jal x1,970 <_strcat> c50: fec42783 lw x15,-20(x8) c54: 00178793 addi x15,x15,1 c58: fef42623 sw x15,-20(x8) c5c: fec42703 lw x14,-20(x8) c60: 00f00793 addi x15,x0,15 c64: f2e7dee3 bge x15,x14,ba0 c68: ed840713 addi x14,x8,-296 c6c: 000017b7 lui x15,0x1 c70: 2e078593 addi x11,x15,736 # 12e0 <__errno+0x18> c74: 00070513 addi x10,x14,0 c78: cf9ff0ef jal x1,970 <_strcat> c7c: fe042623 sw x0,-20(x8) c80: 0800006f jal x0,d00 c84: fe442783 lw x15,-28(x8) c88: fcf42e23 sw x15,-36(x8) c8c: fec42783 lw x15,-20(x8) c90: fdc42703 lw x14,-36(x8) c94: 00f707b3 add x15,x14,x15 c98: 0007c703 lbu x14,0(x15) c9c: 02000793 addi x15,x0,32 ca0: 02e7fa63 bgeu x15,x14,cd4 ca4: fec42783 lw x15,-20(x8) ca8: fdc42703 lw x14,-36(x8) cac: 00f707b3 add x15,x14,x15 cb0: 0007c703 lbu x14,0(x15) cb4: 07e00793 addi x15,x0,126 cb8: 00e7ee63 bltu x15,x14,cd4 cbc: fec42783 lw x15,-20(x8) cc0: fdc42703 lw x14,-36(x8) cc4: 00f707b3 add x15,x14,x15 cc8: 0007c783 lbu x15,0(x15) ccc: ecf40623 sb x15,-308(x8) cd0: 00c0006f jal x0,cdc cd4: 02e00793 addi x15,x0,46 cd8: ecf40623 sb x15,-308(x8) cdc: ec0406a3 sb x0,-307(x8) ce0: ecc40713 addi x14,x8,-308 ce4: ed840793 addi x15,x8,-296 ce8: 00070593 addi x11,x14,0 cec: 00078513 addi x10,x15,0 cf0: c81ff0ef jal x1,970 <_strcat> cf4: fec42783 lw x15,-20(x8) cf8: 00178793 addi x15,x15,1 cfc: fef42623 sw x15,-20(x8) d00: fec42703 lw x14,-20(x8) d04: 00f00793 addi x15,x0,15 d08: f6e7dee3 bge x15,x14,c84 d0c: ed840713 addi x14,x8,-296 d10: 000017b7 lui x15,0x1 d14: 2e478593 addi x11,x15,740 # 12e4 <__errno+0x1c> d18: 00070513 addi x10,x14,0 d1c: c55ff0ef jal x1,970 <_strcat> d20: ed840793 addi x15,x8,-296 d24: 00078513 addi x10,x15,0 d28: d34ff0ef jal x1,25c <_puts> d2c: fe442783 lw x15,-28(x8) d30: 01078793 addi x15,x15,16 d34: fef42223 sw x15,-28(x8) d38: fe442783 lw x15,-28(x8) d3c: 0ff7f793 andi x15,x15,255 d40: 02078063 beq x15,x0,d60 d44: fe842783 lw x15,-24(x8) d48: 00178793 addi x15,x15,1 d4c: fef42423 sw x15,-24(x8) d50: fe842703 lw x14,-24(x8) d54: 00f00793 addi x15,x0,15 d58: e0e7d8e3 bge x15,x14,b68 d5c: 0080006f jal x0,d64 d60: 00000013 addi x0,x0,0 d64: 000017b7 lui x15,0x1 d68: 2e878513 addi x10,x15,744 # 12e8 <__errno+0x20> d6c: cf0ff0ef jal x1,25c <_puts> d70: fe442703 lw x14,-28(x8) d74: c2e1ae23 sw x14,-964(x3) # 27a4 d78: 00000013 addi x0,x0,0 d7c: 13c12083 lw x1,316(x2) d80: 13812403 lw x8,312(x2) d84: 14010113 addi x2,x2,320 d88: 00008067 jalr x0,0(x1) 00000d8c
: d8c: ed010113 addi x2,x2,-304 d90: 12112623 sw x1,300(x2) d94: 12812423 sw x8,296(x2) d98: 13010413 addi x8,x2,304 d9c: eca42e23 sw x10,-292(x8) da0: ecb42c23 sw x11,-296(x8) da4: 0001c7b7 lui x15,0x1c da8: 20078513 addi x10,x15,512 # 1c200 <__global_pointer$+0x19698> dac: d59ff0ef jal x1,b04 <_buadrateset> db0: 000017b7 lui x15,0x1 db4: 2ec78513 addi x10,x15,748 # 12ec <__errno+0x24> db8: ca4ff0ef jal x1,25c <_puts> dbc: 000017b7 lui x15,0x1 dc0: 2fc78513 addi x10,x15,764 # 12fc <__errno+0x34> dc4: c98ff0ef jal x1,25c <_puts> dc8: ee840793 addi x15,x8,-280 dcc: 0ff00593 addi x11,x0,255 dd0: 00078513 addi x10,x15,0 dd4: cf0ff0ef jal x1,2c4 <_gets> dd8: 000017b7 lui x15,0x1 ddc: 30078513 addi x10,x15,768 # 1300 <__errno+0x38> de0: c7cff0ef jal x1,25c <_puts> de4: ee840793 addi x15,x8,-280 de8: 00078513 addi x10,x15,0 dec: c70ff0ef jal x1,25c <_puts> df0: ee840713 addi x14,x8,-280 df4: 00400613 addi x12,x0,4 df8: 000017b7 lui x15,0x1 dfc: 30478593 addi x11,x15,772 # 1304 <__errno+0x3c> e00: 00070513 addi x10,x14,0 e04: c69ff0ef jal x1,a6c <_strncmp> e08: 00050793 addi x15,x10,0 e0c: 02079063 bne x15,x0,e2c e10: 000017b7 lui x15,0x1 e14: 30c78513 addi x10,x15,780 # 130c <__errno+0x44> e18: c44ff0ef jal x1,25c <_puts> e1c: 000017b7 lui x15,0x1 e20: 32c78513 addi x10,x15,812 # 132c <__errno+0x64> e24: c38ff0ef jal x1,25c <_puts> e28: f95ff06f jal x0,dbc e2c: ee840713 addi x14,x8,-280 e30: 00100613 addi x12,x0,1 e34: 000017b7 lui x15,0x1 e38: 35078593 addi x11,x15,848 # 1350 <__errno+0x88> e3c: 00070513 addi x10,x14,0 e40: c2dff0ef jal x1,a6c <_strncmp> e44: 00050793 addi x15,x10,0 e48: 02079863 bne x15,x0,e78 e4c: ee840793 addi x15,x8,-280 e50: 00278793 addi x15,x15,2 e54: 00000593 addi x11,x0,0 e58: 00078513 addi x10,x15,0 e5c: 821ff0ef jal x1,67c <_s2d> e60: fea42423 sw x10,-24(x8) e64: fe842783 lw x15,-24(x8) e68: f4f05ae3 bge x0,x15,dbc e6c: fe842503 lw x10,-24(x8) e70: c95ff0ef jal x1,b04 <_buadrateset> e74: f49ff06f jal x0,dbc e78: ee840713 addi x14,x8,-280 e7c: 00100613 addi x12,x0,1 e80: 000017b7 lui x15,0x1 e84: 35478593 addi x11,x15,852 # 1354 <__errno+0x8c> e88: 00070513 addi x10,x14,0 e8c: be1ff0ef jal x1,a6c <_strncmp> e90: 00050793 addi x15,x10,0 e94: f20794e3 bne x15,x0,dbc e98: ee840793 addi x15,x8,-280 e9c: 00278793 addi x15,x15,2 ea0: 00000593 addi x11,x0,0 ea4: 00078513 addi x10,x15,0 ea8: 8d1ff0ef jal x1,778 <_s2h> eac: fea42623 sw x10,-20(x8) eb0: fec42783 lw x15,-20(x8) eb4: 00f05663 bge x0,x15,ec0 eb8: fec42703 lw x14,-20(x8) ebc: c2e1ae23 sw x14,-964(x3) # 27a4 ec0: c85ff0ef jal x1,b44 ec4: ef9ff06f jal x0,dbc 00000ec8 : ec8: ff010113 addi x2,x2,-16 ecc: 00000593 addi x11,x0,0 ed0: 00812423 sw x8,8(x2) ed4: 00112623 sw x1,12(x2) ed8: 00050413 addi x8,x10,0 edc: 194000ef jal x1,1070 <__call_exitprocs> ee0: c281a503 lw x10,-984(x3) # 2790 <_global_impure_ptr> ee4: 03c52783 lw x15,60(x10) ee8: 00078463 beq x15,x0,ef0 eec: 000780e7 jalr x1,0(x15) ef0: 00040513 addi x10,x8,0 ef4: 3a4000ef jal x1,1298 <_exit> 00000ef8 <__libc_init_array>: ef8: ff010113 addi x2,x2,-16 efc: 00812423 sw x8,8(x2) f00: 01212023 sw x18,0(x2) f04: 00002437 lui x8,0x2 f08: 00002937 lui x18,0x2 f0c: 35c40793 addi x15,x8,860 # 235c <__init_array_start> f10: 35c90913 addi x18,x18,860 # 235c <__init_array_start> f14: 40f90933 sub x18,x18,x15 f18: 00112623 sw x1,12(x2) f1c: 00912223 sw x9,4(x2) f20: 40295913 srai x18,x18,0x2 f24: 02090063 beq x18,x0,f44 <__libc_init_array+0x4c> f28: 35c40413 addi x8,x8,860 f2c: 00000493 addi x9,x0,0 f30: 00042783 lw x15,0(x8) f34: 00148493 addi x9,x9,1 f38: 00440413 addi x8,x8,4 f3c: 000780e7 jalr x1,0(x15) f40: fe9918e3 bne x18,x9,f30 <__libc_init_array+0x38> f44: 00002437 lui x8,0x2 f48: 00002937 lui x18,0x2 f4c: 35c40793 addi x15,x8,860 # 235c <__init_array_start> f50: 36490913 addi x18,x18,868 # 2364 <__do_global_dtors_aux_fini_array_entry> f54: 40f90933 sub x18,x18,x15 f58: 40295913 srai x18,x18,0x2 f5c: 02090063 beq x18,x0,f7c <__libc_init_array+0x84> f60: 35c40413 addi x8,x8,860 f64: 00000493 addi x9,x0,0 f68: 00042783 lw x15,0(x8) f6c: 00148493 addi x9,x9,1 f70: 00440413 addi x8,x8,4 f74: 000780e7 jalr x1,0(x15) f78: fe9918e3 bne x18,x9,f68 <__libc_init_array+0x70> f7c: 00c12083 lw x1,12(x2) f80: 00812403 lw x8,8(x2) f84: 00412483 lw x9,4(x2) f88: 00012903 lw x18,0(x2) f8c: 01010113 addi x2,x2,16 f90: 00008067 jalr x0,0(x1) 00000f94 : f94: 00f00313 addi x6,x0,15 f98: 00050713 addi x14,x10,0 f9c: 02c37e63 bgeu x6,x12,fd8 fa0: 00f77793 andi x15,x14,15 fa4: 0a079063 bne x15,x0,1044 fa8: 08059263 bne x11,x0,102c fac: ff067693 andi x13,x12,-16 fb0: 00f67613 andi x12,x12,15 fb4: 00e686b3 add x13,x13,x14 fb8: 00b72023 sw x11,0(x14) fbc: 00b72223 sw x11,4(x14) fc0: 00b72423 sw x11,8(x14) fc4: 00b72623 sw x11,12(x14) fc8: 01070713 addi x14,x14,16 fcc: fed766e3 bltu x14,x13,fb8 fd0: 00061463 bne x12,x0,fd8 fd4: 00008067 jalr x0,0(x1) fd8: 40c306b3 sub x13,x6,x12 fdc: 00269693 slli x13,x13,0x2 fe0: 00000297 auipc x5,0x0 fe4: 005686b3 add x13,x13,x5 fe8: 00c68067 jalr x0,12(x13) fec: 00b70723 sb x11,14(x14) ff0: 00b706a3 sb x11,13(x14) ff4: 00b70623 sb x11,12(x14) ff8: 00b705a3 sb x11,11(x14) ffc: 00b70523 sb x11,10(x14) 1000: 00b704a3 sb x11,9(x14) 1004: 00b70423 sb x11,8(x14) 1008: 00b703a3 sb x11,7(x14) 100c: 00b70323 sb x11,6(x14) 1010: 00b702a3 sb x11,5(x14) 1014: 00b70223 sb x11,4(x14) 1018: 00b701a3 sb x11,3(x14) 101c: 00b70123 sb x11,2(x14) 1020: 00b700a3 sb x11,1(x14) 1024: 00b70023 sb x11,0(x14) 1028: 00008067 jalr x0,0(x1) 102c: 0ff5f593 andi x11,x11,255 1030: 00859693 slli x13,x11,0x8 1034: 00d5e5b3 or x11,x11,x13 1038: 01059693 slli x13,x11,0x10 103c: 00d5e5b3 or x11,x11,x13 1040: f6dff06f jal x0,fac 1044: 00279693 slli x13,x15,0x2 1048: 00000297 auipc x5,0x0 104c: 005686b3 add x13,x13,x5 1050: 00008293 addi x5,x1,0 1054: fa0680e7 jalr x1,-96(x13) 1058: 00028093 addi x1,x5,0 # 1048 105c: ff078793 addi x15,x15,-16 1060: 40f70733 sub x14,x14,x15 1064: 00f60633 add x12,x12,x15 1068: f6c378e3 bgeu x6,x12,fd8 106c: f3dff06f jal x0,fa8 00001070 <__call_exitprocs>: 1070: fd010113 addi x2,x2,-48 1074: 01412c23 sw x20,24(x2) 1078: c281aa03 lw x20,-984(x3) # 2790 <_global_impure_ptr> 107c: 03212023 sw x18,32(x2) 1080: 02112623 sw x1,44(x2) 1084: 148a2903 lw x18,328(x20) 1088: 02812423 sw x8,40(x2) 108c: 02912223 sw x9,36(x2) 1090: 01312e23 sw x19,28(x2) 1094: 01512a23 sw x21,20(x2) 1098: 01612823 sw x22,16(x2) 109c: 01712623 sw x23,12(x2) 10a0: 01812423 sw x24,8(x2) 10a4: 04090063 beq x18,x0,10e4 <__call_exitprocs+0x74> 10a8: 00050b13 addi x22,x10,0 10ac: 00058b93 addi x23,x11,0 10b0: 00100a93 addi x21,x0,1 10b4: fff00993 addi x19,x0,-1 10b8: 00492483 lw x9,4(x18) 10bc: fff48413 addi x8,x9,-1 10c0: 02044263 blt x8,x0,10e4 <__call_exitprocs+0x74> 10c4: 00249493 slli x9,x9,0x2 10c8: 009904b3 add x9,x18,x9 10cc: 040b8463 beq x23,x0,1114 <__call_exitprocs+0xa4> 10d0: 1044a783 lw x15,260(x9) 10d4: 05778063 beq x15,x23,1114 <__call_exitprocs+0xa4> 10d8: fff40413 addi x8,x8,-1 10dc: ffc48493 addi x9,x9,-4 10e0: ff3416e3 bne x8,x19,10cc <__call_exitprocs+0x5c> 10e4: 02c12083 lw x1,44(x2) 10e8: 02812403 lw x8,40(x2) 10ec: 02412483 lw x9,36(x2) 10f0: 02012903 lw x18,32(x2) 10f4: 01c12983 lw x19,28(x2) 10f8: 01812a03 lw x20,24(x2) 10fc: 01412a83 lw x21,20(x2) 1100: 01012b03 lw x22,16(x2) 1104: 00c12b83 lw x23,12(x2) 1108: 00812c03 lw x24,8(x2) 110c: 03010113 addi x2,x2,48 1110: 00008067 jalr x0,0(x1) 1114: 00492783 lw x15,4(x18) 1118: 0044a683 lw x13,4(x9) 111c: fff78793 addi x15,x15,-1 1120: 04878e63 beq x15,x8,117c <__call_exitprocs+0x10c> 1124: 0004a223 sw x0,4(x9) 1128: fa0688e3 beq x13,x0,10d8 <__call_exitprocs+0x68> 112c: 18892783 lw x15,392(x18) 1130: 008a9733 sll x14,x21,x8 1134: 00492c03 lw x24,4(x18) 1138: 00f777b3 and x15,x14,x15 113c: 02079263 bne x15,x0,1160 <__call_exitprocs+0xf0> 1140: 000680e7 jalr x1,0(x13) 1144: 00492703 lw x14,4(x18) 1148: 148a2783 lw x15,328(x20) 114c: 01871463 bne x14,x24,1154 <__call_exitprocs+0xe4> 1150: f92784e3 beq x15,x18,10d8 <__call_exitprocs+0x68> 1154: f80788e3 beq x15,x0,10e4 <__call_exitprocs+0x74> 1158: 00078913 addi x18,x15,0 115c: f5dff06f jal x0,10b8 <__call_exitprocs+0x48> 1160: 18c92783 lw x15,396(x18) 1164: 0844a583 lw x11,132(x9) 1168: 00f77733 and x14,x14,x15 116c: 00071c63 bne x14,x0,1184 <__call_exitprocs+0x114> 1170: 000b0513 addi x10,x22,0 1174: 000680e7 jalr x1,0(x13) 1178: fcdff06f jal x0,1144 <__call_exitprocs+0xd4> 117c: 00892223 sw x8,4(x18) 1180: fa9ff06f jal x0,1128 <__call_exitprocs+0xb8> 1184: 00058513 addi x10,x11,0 1188: 000680e7 jalr x1,0(x13) 118c: fb9ff06f jal x0,1144 <__call_exitprocs+0xd4> 00001190 <__libc_fini_array>: 1190: ff010113 addi x2,x2,-16 1194: 00812423 sw x8,8(x2) 1198: 000027b7 lui x15,0x2 119c: 00002437 lui x8,0x2 11a0: 36478793 addi x15,x15,868 # 2364 <__do_global_dtors_aux_fini_array_entry> 11a4: 36840413 addi x8,x8,872 # 2368 11a8: 40f40433 sub x8,x8,x15 11ac: 00912223 sw x9,4(x2) 11b0: 00112623 sw x1,12(x2) 11b4: 40245493 srai x9,x8,0x2 11b8: 02048063 beq x9,x0,11d8 <__libc_fini_array+0x48> 11bc: ffc40413 addi x8,x8,-4 11c0: 00f40433 add x8,x8,x15 11c4: 00042783 lw x15,0(x8) 11c8: fff48493 addi x9,x9,-1 11cc: ffc40413 addi x8,x8,-4 11d0: 000780e7 jalr x1,0(x15) 11d4: fe0498e3 bne x9,x0,11c4 <__libc_fini_array+0x34> 11d8: 00c12083 lw x1,12(x2) 11dc: 00812403 lw x8,8(x2) 11e0: 00412483 lw x9,4(x2) 11e4: 01010113 addi x2,x2,16 11e8: 00008067 jalr x0,0(x1) 000011ec : 11ec: 00050593 addi x11,x10,0 11f0: 00000693 addi x13,x0,0 11f4: 00000613 addi x12,x0,0 11f8: 00000513 addi x10,x0,0 11fc: 0040006f jal x0,1200 <__register_exitproc> 00001200 <__register_exitproc>: 1200: c281a703 lw x14,-984(x3) # 2790 <_global_impure_ptr> 1204: 14872783 lw x15,328(x14) 1208: 04078c63 beq x15,x0,1260 <__register_exitproc+0x60> 120c: 0047a703 lw x14,4(x15) 1210: 01f00813 addi x16,x0,31 1214: 06e84e63 blt x16,x14,1290 <__register_exitproc+0x90> 1218: 00271813 slli x16,x14,0x2 121c: 02050663 beq x10,x0,1248 <__register_exitproc+0x48> 1220: 01078333 add x6,x15,x16 1224: 08c32423 sw x12,136(x6) # 1b8 <_putchar> 1228: 1887a883 lw x17,392(x15) 122c: 00100613 addi x12,x0,1 1230: 00e61633 sll x12,x12,x14 1234: 00c8e8b3 or x17,x17,x12 1238: 1917a423 sw x17,392(x15) 123c: 10d32423 sw x13,264(x6) 1240: 00200693 addi x13,x0,2 1244: 02d50463 beq x10,x13,126c <__register_exitproc+0x6c> 1248: 00170713 addi x14,x14,1 124c: 00e7a223 sw x14,4(x15) 1250: 010787b3 add x15,x15,x16 1254: 00b7a423 sw x11,8(x15) 1258: 00000513 addi x10,x0,0 125c: 00008067 jalr x0,0(x1) 1260: 14c70793 addi x15,x14,332 1264: 14f72423 sw x15,328(x14) 1268: fa5ff06f jal x0,120c <__register_exitproc+0xc> 126c: 18c7a683 lw x13,396(x15) 1270: 00170713 addi x14,x14,1 1274: 00e7a223 sw x14,4(x15) 1278: 00c6e6b3 or x13,x13,x12 127c: 18d7a623 sw x13,396(x15) 1280: 010787b3 add x15,x15,x16 1284: 00b7a423 sw x11,8(x15) 1288: 00000513 addi x10,x0,0 128c: 00008067 jalr x0,0(x1) 1290: fff00513 addi x10,x0,-1 1294: 00008067 jalr x0,0(x1) 00001298 <_exit>: 1298: 05d00893 addi x17,x0,93 129c: 00000073 ecall 12a0: 00054463 blt x10,x0,12a8 <_exit+0x10> 12a4: 0000006f jal x0,12a4 <_exit+0xc> 12a8: ff010113 addi x2,x2,-16 12ac: 00812423 sw x8,8(x2) 12b0: 00050413 addi x8,x10,0 12b4: 00112623 sw x1,12(x2) 12b8: 40800433 sub x8,x0,x8 12bc: 00c000ef jal x1,12c8 <__errno> 12c0: 00852023 sw x8,0(x10) 12c4: 0000006f jal x0,12c4 <_exit+0x2c> 000012c8 <__errno>: 12c8: c341a503 lw x10,-972(x3) # 279c <_impure_ptr> 12cc: 00008067 jalr x0,0(x1) Disassembly of section .rodata: 000012d0 <.rodata>: 12d0: 2020 c.fld f8,64(x8) 12d2: 0000 c.unimp 12d4: 2020 c.fld f8,64(x8) 12d6: 0020 c.addi4spn x8,x2,8 12d8: 0020 c.addi4spn x8,x2,8 12da: 0000 c.unimp 12dc: 202d c.jal 1306 <__errno+0x3e> 12de: 0000 c.unimp 12e0: 2020 c.fld f8,64(x8) 12e2: 007c c.addi4spn x15,x2,12 12e4: 0a7c c.addi4spn x15,x2,284 12e6: 0000 c.unimp 12e8: 000a c.slli x0,0x2 12ea: 0000 c.unimp 12ec: 6548 c.flw f10,12(x10) 12ee: 6c6c c.flw f11,92(x8) 12f0: 57202c6f jal x24,3862 <__global_pointer$+0xcfa> 12f4: 646c726f jal x4,c893a <__global_pointer$+0xc5dd2> 12f8: 000a c.slli x0,0x2 12fa: 0000 c.unimp 12fc: 3e3e c.fldsp f28,488(x2) 12fe: 0000 c.unimp 1300: 003a c.slli x0,0xe 1302: 0000 c.unimp 1304: 6568 c.flw f10,76(x10) 1306: 706c c.flw f11,100(x8) 1308: 0020 c.addi4spn x8,x2,8 130a: 0000 c.unimp 130c: 2020 c.fld f8,64(x8) 130e: 2064 c.fld f9,192(x8) 1310: 613c c.flw f15,64(x10) 1312: 6464 c.flw f9,76(x8) 1314: 3e72 c.fldsp f28,312(x2) 1316: 2d20 c.fld f8,88(x10) 1318: 202d c.jal 1342 <__errno+0x7a> 131a: 6964 c.flw f9,84(x10) 131c: 616c7073 csrrci x0,0x616,24 1320: 2079 c.jal 13ae <__errno+0xe6> 1322: 656d c.lui x10,0x1b 1324: 6f6d c.lui x30,0x1b 1326: 7972 c.flwsp f18,60(x2) 1328: 0a20 c.addi4spn x8,x2,280 132a: 0000 c.unimp 132c: 2020 c.fld f8,64(x8) 132e: 2062 c.fldsp f0,24(x2) 1330: 623c c.flw f15,64(x12) 1332: 7561 c.lui x10,0xffff8 1334: 7264 c.flw f9,100(x12) 1336: 7461 c.lui x8,0xffff8 1338: 3e65 c.jal ef0 133a: 2d20 c.fld f8,88(x10) 133c: 202d c.jal 1366 <__errno+0x9e> 133e: 20746573 csrrsi x10,0x207,8 1342: 6162 c.flwsp f2,24(x2) 1344: 6475 c.lui x8,0x1d 1346: 6172 c.flwsp f2,28(x2) 1348: 6574 c.flw f13,76(x10) 134a: 0a20 c.addi4spn x8,x2,280 134c: 0000 c.unimp 134e: 0000 c.unimp 1350: 0062 c.slli x0,0x18 1352: 0000 c.unimp 1354: 0064 c.addi4spn x9,x2,12 Disassembly of section .eh_frame: 00002358 <__FRAME_END__>: 2358: 0000 c.unimp ... Disassembly of section .init_array: 0000235c <__init_array_start>: 235c: 0074 c.addi4spn x13,x2,12 ... 00002360 <__frame_dummy_init_array_entry>: 2360: 011c c.addi4spn x15,x2,128 ... Disassembly of section .fini_array: 00002364 <__do_global_dtors_aux_fini_array_entry>: 2364: 00d8 c.addi4spn x14,x2,68 ... Disassembly of section .data: 00002368 : 2368: 0000 c.unimp 236a: 0000 c.unimp 236c: 2654 c.fld f13,136(x12) 236e: 0000 c.unimp 2370: 26bc c.fld f15,72(x13) 2372: 0000 c.unimp 2374: 2724 c.fld f9,72(x14) ... 240e: 0000 c.unimp 2410: 0001 c.addi x0,0 2412: 0000 c.unimp 2414: 0000 c.unimp 2416: 0000 c.unimp 2418: 330e c.fldsp f6,224(x2) 241a: abcd c.j 2a0c <__BSS_END__+0x248> 241c: 1234 c.addi4spn x13,x2,296 241e: e66d c.bnez x12,2508 2420: deec c.sw x11,124(x13) 2422: 0005 c.addi x0,1 2424: 0000000b 0xb ... Disassembly of section .sdata: 00002790 <_global_impure_ptr>: 2790: 2368 c.fld f10,192(x14) ... 00002794 <__dso_handle>: 2794: 0000 c.unimp ... 00002798 <_uartaddr>: 2798: 0100 c.addi4spn x8,x2,128 279a: f000 c.fsw f8,32(x8) 0000279c <_impure_ptr>: 279c: 2368 c.fld f10,192(x14) ... Disassembly of section .sbss: 000027a0 <_uartstate>: 27a0: 0000 c.unimp ... 000027a4 : 27a4: 0000 c.unimp ... Disassembly of section .bss: 000027a8 : 27a8: 0000 c.unimp ... 000027ac : ... Disassembly of section .comment: 00000000 <.comment>: 0: 3a434347 fmsub.d f6,f6,f4,f7,rmm 4: 2820 c.fld f8,80(x8) 6: 29554e47 fmsub.s f28,f10,f21,f5,rmm a: 3120 c.fld f8,96(x10) c: 2e31 c.jal 328 <_gets+0x64> e: 2e31 c.jal 32a <_gets+0x66> 10: 0030 c.addi4spn x12,x2,8 Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: 0: 2041 c.jal 80 2: 0000 c.unimp 4: 7200 c.flw f8,32(x12) 6: 7369 c.lui x6,0xffffa 8: 01007663 bgeu x0,x16,14 c: 0016 c.slli x0,0x5 e: 0000 c.unimp 10: 1004 c.addi4spn x9,x2,32 12: 7205 c.lui x4,0xfffe1 14: 3376 c.fldsp f6,376(x2) 16: 6932 c.flwsp f18,12(x2) 18: 7032 c.flwsp f0,44(x2) 1a: 5f30 c.lw x12,120(x14) 1c: 326d c.jal fffff9c6 <__global_pointer$+0xffffce5e> 1e: 3070 c.fld f12,224(x8) ...