set_global_assignment -name IP_TOOL_NAME "RAM: 1-PORT" set_global_assignment -name IP_TOOL_VERSION "13.1" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "ram_256KB.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ram_256KB_bb.v"]