diff --git a/examples/hdl4se_riscv/de2/PLLJ_PLLSPE_INFO.txt b/examples/hdl4se_riscv/de2/PLLJ_PLLSPE_INFO.txt index 3c55fb6bf699b0fa983e79a4e9ca234de081721e..4b99a3d60549cf54f19626d62c1d785840ef2c23 100644 --- a/examples/hdl4se_riscv/de2/PLLJ_PLLSPE_INFO.txt +++ b/examples/hdl4se_riscv/de2/PLLJ_PLLSPE_INFO.txt @@ -1,4 +1,4 @@ -PLL_Name pllqsys:comb_9|pllqsys_altpll_0:altpll_0|pllqsys_altpll_0_altpll_m342:sd1|pll7 +PLL_Name pllqsys:clk|pllqsys_altpll_0:altpll_0|pllqsys_altpll_0_altpll_m342:sd1|pll7 PLLJITTER 30 PLLSPEmax 84 PLLSPEmin -53 diff --git a/examples/hdl4se_riscv/de2/de2_riscv_v4.qws b/examples/hdl4se_riscv/de2/de2_riscv_v4.qws new file mode 100644 index 0000000000000000000000000000000000000000..632995eee60844e125385006a99fdcf61e84ca02 Binary files /dev/null and b/examples/hdl4se_riscv/de2/de2_riscv_v4.qws differ diff --git a/examples/hdl4se_riscv/de2/de2_riscv_v4.sof b/examples/hdl4se_riscv/de2/de2_riscv_v4.sof index acb90dc67b23945233bbba08b57ab02f5b1df8f0..9a7a46cbf65fdbd18da9a0e222354b2aada8ecfb 100644 Binary files a/examples/hdl4se_riscv/de2/de2_riscv_v4.sof and b/examples/hdl4se_riscv/de2/de2_riscv_v4.sof differ diff --git a/examples/hdl4se_riscv/de2/de2_riscv_v4.v b/examples/hdl4se_riscv/de2/de2_riscv_v4.v index 8172189f9766cfe51385900f8eba512cf1007473..b527e7449d79c320530d786ac8cb0050ed5749a3 100644 --- a/examples/hdl4se_riscv/de2/de2_riscv_v4.v +++ b/examples/hdl4se_riscv/de2/de2_riscv_v4.v @@ -380,7 +380,7 @@ inout [35:0] GPIO; `else wire clk100MHz; wire nwReset = KEY[3]; - pllqsys ( + pllqsys clk( .clk_clk(CLOCK_50), // clk.clk .reset_reset_n(nwReset), // reset.reset_n .altpll_0_c0_clk(clk100MHz), // altpll_0_c0.clk diff --git a/examples/hdl4se_riscv/test_code/console.c b/examples/hdl4se_riscv/test_code/console.c index 480c3053a7bfbc16597bfce0993aecdc956110ac..b66cf6f9273121cbf244c1dd12c8c78ede2693e5 100644 --- a/examples/hdl4se_riscv/test_code/console.c +++ b/examples/hdl4se_riscv/test_code/console.c @@ -78,7 +78,7 @@ static int _gets(char* s, int buflen) return ind; } -static int _d2s(char* buf, int num) +static int _d2s(char* buf, long long num) { int i; int len; @@ -89,12 +89,16 @@ static int _d2s(char* buf, int num) num = -num; sign = 0; } - while (num > 0) { - buf[len++] = (num % 10) + '0'; - num /= 10; + if (num == 0) + buf[len++] = '0'; + else { + while (num > 0) { + buf[len++] = (num % 10) + '0'; + num /= 10; + } + if (sign) + buf[len++] = '-'; } - if (sign) - buf[len++] = '-'; for (i = 0; i < len / 2; i++) { int di = len - 1 - i; char temp; @@ -303,7 +307,7 @@ static void dispmem() if ( (startaddr & 0xff) == 0) break; } - _puts("\n"); + _puts("\n\r"); displayaddr = startaddr; } @@ -326,34 +330,108 @@ static unsigned int num2seg(unsigned int num) return segcode[num % 10]; } -static unsigned long long cycle() { - unsigned long long ret; - unsigned int retl, reth; - asm volatile ( - "csrrsi %0, %1, %2 " : "=r"(retl) : "i"(0xc00),"i"(0):"a0" - ); - asm volatile ( - "csrrsi %0, %1, %2 " : "=r"(reth) : "i"(0xc80), "i"(0) : "a0" - ); - ret = reth; - ret <<= 32; - ret |= retl; - return ret; + +#define DEFINECSRGET(csrname, csrno) \ +static unsigned long long csrname() { \ + unsigned long long ret; \ + unsigned int retl, reth; \ + asm volatile ( \ + "csrrsi %0, %1, %2 " : "=r"(retl) : "i"(csrno), "i"(0) : "a0" \ + ); \ + asm volatile ( \ + "csrrsi %0, %1, %2 " : "=r"(reth) : "i"(csrno + 0x080), "i"(0) : "a0" \ + ); \ + ret = reth; \ + ret <<= 32; \ + ret |= retl; \ + return ret; \ } -static unsigned long long instrcount() { - unsigned long long ret; - unsigned int retl, reth; - asm volatile ( - "csrrsi %0, %1, %2 " : "=r"(retl) : "i"(0xc02), "i"(0) : "a0" - ); - asm volatile ( - "csrrsi %0, %1, %2 " : "=r"(reth) : "i"(0xc82), "i"(0) : "a0" - ); - ret = reth; - ret <<= 32; - ret |= retl; - return ret; +#define DEFINECSRGETCLEAR(csrname, csrno) \ +static unsigned long long csrname() { \ + unsigned long long ret; \ + unsigned int retl = 0, reth = 0; \ + asm volatile ( \ + "csrrw %0, %1, %2 " : "=r"(retl) : "i"(csrno), "r"(retl) : "a0" \ + ); \ + asm volatile ( \ + "csrrw %0, %1, %2 " : "=r"(reth) : "i"(csrno + 0x080), "r"(reth) : "a0" \ + ); \ + ret = reth; \ + ret <<= 32; \ + ret |= retl; \ + return ret; \ +} + +DEFINECSRGET(cycle, 0xc00) +DEFINECSRGETCLEAR(cycle_clear, 0xc00) +DEFINECSRGET(instrcount, 0xc02) +DEFINECSRGETCLEAR(instrcount_clear, 0xc02) +DEFINECSRGET(get_counter_addsub, 0xc20) +DEFINECSRGETCLEAR(get_counter_addsub_clear, 0xc20) +DEFINECSRGET(get_counter_mul, 0xc21) +DEFINECSRGETCLEAR(get_counter_mul_clear, 0xc21) +DEFINECSRGET(get_counter_div, 0xc22) +DEFINECSRGETCLEAR(get_counter_div_clear, 0xc22) +DEFINECSRGET(get_counter_ld, 0xc23) +DEFINECSRGETCLEAR(get_counter_ld_clear, 0xc23) +DEFINECSRGET(get_counter_st, 0xc24) +DEFINECSRGETCLEAR(get_counter_st_clear, 0xc24) +DEFINECSRGET(get_counter_jmp, 0xc25) +DEFINECSRGETCLEAR(get_counter_jmp_clear, 0xc25) +DEFINECSRGET(get_counter_j, 0xc26) +DEFINECSRGETCLEAR(get_counter_j_clear, 0xc26) +DEFINECSRGET(get_counter_alui, 0xc27) +DEFINECSRGETCLEAR(get_counter_alui_clear, 0xc27) +DEFINECSRGET(get_counter_alu, 0xc28) +DEFINECSRGETCLEAR(get_counter_alu_clear, 0xc28) + +typedef unsigned long long (*statusget)(); +statusget statusgetfuncs[10] = { + instrcount, + get_counter_addsub, + get_counter_mul, + get_counter_div, + get_counter_ld, + get_counter_st, + get_counter_jmp, + get_counter_j, + get_counter_alui, + get_counter_alu +}; +const char* statusname[10] = { + "total : ", + "add/sub : ", + "mul : ", + "div : ", + "ld : ", + "st : ", + "jmp : ", + "j : ", + "alui : ", + "alu : ", +}; + + +static void liststatus() +{ + char buf[40]; + unsigned long long total = instrcount(); + unsigned long long num; + int i; + for (i = 0; i < 10; i++) { + _puts("\n"); + _puts(statusname[i]); + num = statusgetfuncs[i](); + _d2s(buf, num); + _puts(buf); + _puts(", "); + ///num *= 10000; + num /= total / 10000; + _d2s(buf, num); + _puts(buf); + } + _puts("\n\r"); } static void printhelp() @@ -440,6 +518,15 @@ int main(int argc, char* argv[]) if (_strncmp(buf, "help ", 4) == 0) { printhelp(); } + /* + else if (buf[0] == 'c') { + cycle_clear(); + instrcount_clear(); + } + */ + else if (buf[0] == 'l') { + liststatus(); + } else if (buf[0] == 'b') { int baud = _s2d(buf+2, 0); if (baud > 0) { @@ -506,6 +593,8 @@ int main(int argc, char* argv[]) _puts(")\n\r"); } } + while (_canputchar() == 0) + ; } while (1); return 1; } diff --git a/examples/hdl4se_riscv/test_code/test.cod b/examples/hdl4se_riscv/test_code/test.cod index 55c6879d2a71e5bfefbe04a4a4b2a883b87b5bd1..da06457c1256e4422652b2d6c94a1d768fc4aac0 100644 --- a/examples/hdl4se_riscv/test_code/test.cod +++ b/examples/hdl4se_riscv/test_code/test.cod @@ -1,33 +1,33 @@ @00000074 -93 07 00 00 63 88 07 00 37 25 00 00 13 05 05 99 -6F 10 90 16 67 80 00 00 97 21 00 00 93 81 C1 7A -13 85 41 C3 13 86 81 C5 33 06 A6 40 93 05 00 00 -EF 10 00 6F 17 25 00 00 13 05 45 94 63 08 05 00 -17 25 00 00 13 05 C5 8D EF 10 10 13 EF 10 80 63 -03 25 01 00 93 05 41 00 13 06 00 00 EF 00 50 53 -6F 10 40 5F 13 01 01 FF 23 24 81 00 83 C7 C1 C3 +93 07 00 00 63 88 07 00 37 35 00 00 13 05 85 90 +6F 20 10 0E 67 80 00 00 97 31 00 00 93 81 41 7D +13 85 41 C8 13 86 81 CA 33 06 A6 40 93 05 00 00 +EF 20 80 66 17 35 00 00 13 05 C5 8B 63 08 05 00 +17 35 00 00 13 05 45 85 EF 20 90 0A EF 20 00 5B +03 25 01 00 93 05 41 00 13 06 00 00 EF 10 80 38 +6F 20 C0 56 13 01 01 FF 23 24 81 00 83 C7 C1 C8 23 26 11 00 63 92 07 02 93 07 00 00 63 8A 07 00 -37 25 00 00 13 05 05 00 97 00 00 00 E7 00 00 00 -93 07 10 00 23 8E F1 C2 83 20 C1 00 03 24 81 00 +37 35 00 00 13 05 05 00 97 00 00 00 E7 00 00 00 +93 07 10 00 23 86 F1 C8 83 20 C1 00 03 24 81 00 13 01 01 01 67 80 00 00 93 07 00 00 63 8C 07 00 -37 25 00 00 93 85 01 C4 13 05 05 00 17 03 00 00 +37 35 00 00 93 85 01 C9 13 05 05 00 17 03 00 00 67 00 00 00 67 80 00 00 13 01 01 FF 23 26 81 00 -13 04 01 01 83 A7 C1 C2 93 87 87 00 03 A7 07 00 -23 AA E1 C2 83 A7 41 C3 93 F7 17 00 93 B7 17 00 +13 04 01 01 83 A7 C1 C7 93 87 87 00 03 A7 07 00 +23 A2 E1 C8 83 A7 41 C8 93 F7 17 00 93 B7 17 00 93 F7 F7 0F 13 85 07 00 03 24 C1 00 13 01 01 01 67 80 00 00 13 01 01 FF 23 26 81 00 13 04 01 01 -83 A7 C1 C2 93 87 87 00 03 A7 07 00 23 AA E1 C2 -03 A7 41 C3 B7 07 01 00 B3 77 F7 00 93 B7 17 00 +83 A7 C1 C7 93 87 87 00 03 A7 07 00 23 A2 E1 C8 +03 A7 41 C8 B7 07 01 00 B3 77 F7 00 93 B7 17 00 93 F7 F7 0F 13 85 07 00 03 24 C1 00 13 01 01 01 67 80 00 00 13 01 01 FE 23 2E 81 00 13 04 01 02 -23 26 A4 FE 83 A7 C1 C2 93 87 87 00 03 A7 07 00 -23 AA E1 C2 83 A7 41 C3 93 F7 17 00 63 9E 07 00 -83 A7 C1 C2 93 87 47 00 03 27 C4 FE 23 A0 E7 00 +23 26 A4 FE 83 A7 C1 C7 93 87 87 00 03 A7 07 00 +23 A2 E1 C8 83 A7 41 C8 93 F7 17 00 63 9E 07 00 +83 A7 C1 C7 93 87 47 00 03 27 C4 FE 23 A0 E7 00 93 07 00 00 6F 00 80 00 93 07 F0 FF 13 85 07 00 03 24 C1 01 13 01 01 02 67 80 00 00 13 01 01 FF -23 26 81 00 13 04 01 01 83 A7 C1 C2 93 87 87 00 -03 A7 07 00 23 AA E1 C2 03 A7 41 C3 B7 07 01 00 -B3 77 F7 00 63 98 07 00 83 A7 C1 C2 83 A7 07 00 +23 26 81 00 13 04 01 01 83 A7 C1 C7 93 87 87 00 +03 A7 07 00 23 A2 E1 C8 03 A7 41 C8 B7 07 01 00 +B3 77 F7 00 63 98 07 00 83 A7 C1 C7 83 A7 07 00 6F 00 80 00 93 07 F0 FF 13 85 07 00 03 24 C1 00 13 01 01 01 67 80 00 00 13 01 01 FE 23 2E 11 00 23 2C 81 00 13 04 01 02 23 26 A4 FE 6F 00 00 03 @@ -49,15 +49,25 @@ EF F0 5F E8 13 07 05 00 93 07 F0 FF E3 08 F7 FE 93 07 D0 00 63 06 F7 00 6F F0 9F F8 13 00 00 00 83 27 C4 FE 03 27 C4 FD B3 07 F7 00 23 80 07 00 83 27 C4 FE 13 85 07 00 83 20 C1 02 03 24 81 02 -13 01 01 03 67 80 00 00 13 01 01 FC 23 2E 81 02 -13 04 01 04 23 26 A4 FC 23 24 B4 FC 23 24 04 FE -23 22 04 FE 83 27 84 FC 63 DE 07 04 83 27 84 FC -B3 07 F0 40 23 24 F4 FC 23 22 04 FE 6F 00 80 04 -03 27 84 FC 93 07 A0 00 B3 67 F7 02 13 F7 F7 0F -83 27 84 FE 93 86 17 00 23 24 D4 FE 93 86 07 00 -83 27 C4 FC B3 87 D7 00 13 07 07 03 13 77 F7 0F -23 80 E7 00 03 27 84 FC 93 07 A0 00 B3 47 F7 02 -23 24 F4 FC 83 27 84 FC E3 4C F0 FA 83 27 44 FE +13 01 01 03 67 80 00 00 13 01 01 FC 23 2E 11 02 +23 2C 81 02 13 04 01 04 23 26 A4 FC 23 20 B4 FC +23 22 C4 FC 23 24 04 FE 23 22 04 FE 83 27 44 FC +63 DC 07 02 13 06 00 00 93 06 00 00 03 25 04 FC +83 25 44 FC 33 07 A6 40 13 08 07 00 33 38 06 01 +B3 87 B6 40 B3 86 07 41 93 87 06 00 23 20 E4 FC +23 22 F4 FC 23 22 04 FE 83 27 04 FC 03 27 44 FC +B3 E7 E7 00 63 90 07 0A 83 27 84 FE 13 87 17 00 +23 24 E4 FE 13 87 07 00 83 27 C4 FC B3 87 E7 00 +13 07 00 03 23 80 E7 00 6F 00 C0 0B 03 27 04 FC +83 27 44 FC 13 06 A0 00 93 06 00 00 13 05 07 00 +93 85 07 00 EF 10 90 17 13 07 05 00 93 87 05 00 +13 77 F7 0F 83 27 84 FE 93 86 17 00 23 24 D4 FE +93 86 07 00 83 27 C4 FC B3 87 D7 00 13 07 07 03 +13 77 F7 0F 23 80 E7 00 03 27 04 FC 83 27 44 FC +13 06 A0 00 93 06 00 00 13 05 07 00 93 85 07 00 +EF 10 40 4B 13 07 05 00 93 87 05 00 23 20 E4 FC +23 22 F4 FC 83 27 44 FC E3 42 F0 F8 83 27 44 FC +63 96 07 00 83 27 04 FC E3 9A 07 F6 83 27 44 FE 63 82 07 02 83 27 84 FE 13 87 17 00 23 24 E4 FE 13 87 07 00 83 27 C4 FC B3 87 E7 00 13 07 D0 02 23 80 E7 00 23 26 04 FE 6F 00 C0 06 83 27 84 FE @@ -70,132 +80,171 @@ A3 0F F4 FC 83 27 04 FE 03 27 C4 FC 33 07 F7 00 23 26 F4 FE 83 27 84 FE 13 D7 F7 01 B3 07 F7 00 93 D7 17 40 13 87 07 00 83 27 C4 FE E3 C0 E7 F8 83 27 84 FE 03 27 C4 FC B3 07 F7 00 23 80 07 00 -83 27 84 FE 13 85 07 00 03 24 C1 03 13 01 01 04 -67 80 00 00 13 01 01 FB 23 26 81 04 13 04 01 05 -23 26 A4 FC 23 20 B4 FC 23 22 C4 FC 23 24 D4 FC -93 07 07 00 A3 0F F4 FA 23 24 04 FE 6F 00 00 09 -83 27 04 FC 93 F7 F7 00 23 2E F4 FC 03 27 C4 FD -93 07 90 00 63 C4 E7 02 83 27 C4 FD 13 F7 F7 0F -83 27 84 FE 83 26 C4 FC B3 87 F6 00 13 07 07 03 -13 77 F7 0F 23 80 E7 00 6F 00 40 02 83 27 C4 FD +83 27 84 FE 13 85 07 00 83 20 C1 03 03 24 81 03 +13 01 01 04 67 80 00 00 13 01 01 FB 23 26 81 04 +13 04 01 05 23 26 A4 FC 23 20 B4 FC 23 22 C4 FC +23 24 D4 FC 93 07 07 00 A3 0F F4 FA 23 24 04 FE +6F 00 00 09 83 27 04 FC 93 F7 F7 00 23 2E F4 FC +03 27 C4 FD 93 07 90 00 63 C4 E7 02 83 27 C4 FD 13 F7 F7 0F 83 27 84 FE 83 26 C4 FC B3 87 F6 00 -13 07 77 05 13 77 F7 0F 23 80 E7 00 83 27 44 FC -93 97 C7 01 03 27 04 FC 13 58 47 00 33 E8 07 01 -83 27 44 FC 93 D8 47 00 23 20 04 FD 23 22 14 FD -83 27 84 FE 93 87 17 00 23 24 F4 FE 83 27 04 FC -03 27 44 FC B3 E7 E7 00 E3 94 07 F6 6F 00 40 02 -83 27 84 FE 13 87 17 00 23 24 E4 FE 13 87 07 00 -83 27 C4 FC B3 87 E7 00 03 47 F4 FB 23 80 E7 00 -03 27 84 FE 83 27 84 FC E3 4C F7 FC 23 26 04 FE -6F 00 C0 06 83 27 84 FE 13 87 F7 FF 83 27 C4 FE -B3 07 F7 40 23 22 F4 FE 83 27 C4 FE 03 27 C4 FC -B3 07 F7 00 83 C7 07 00 A3 01 F4 FE 83 27 44 FE -03 27 C4 FC 33 07 F7 00 83 27 C4 FE 83 26 C4 FC -B3 87 F6 00 03 47 07 00 23 80 E7 00 83 27 44 FE -03 27 C4 FC B3 07 F7 00 03 47 34 FE 23 80 E7 00 -83 27 C4 FE 93 87 17 00 23 26 F4 FE 83 27 84 FE -13 D7 F7 01 B3 07 F7 00 93 D7 17 40 13 87 07 00 -83 27 C4 FE E3 C0 E7 F8 83 27 84 FE 03 27 C4 FC -B3 07 F7 00 23 80 07 00 83 27 84 FE 13 85 07 00 -03 24 C1 04 13 01 01 05 67 80 00 00 13 01 01 FD +13 07 07 03 13 77 F7 0F 23 80 E7 00 6F 00 40 02 +83 27 C4 FD 13 F7 F7 0F 83 27 84 FE 83 26 C4 FC +B3 87 F6 00 13 07 77 05 13 77 F7 0F 23 80 E7 00 +83 27 44 FC 93 97 C7 01 03 27 04 FC 13 58 47 00 +33 E8 07 01 83 27 44 FC 93 D8 47 00 23 20 04 FD +23 22 14 FD 83 27 84 FE 93 87 17 00 23 24 F4 FE +83 27 04 FC 03 27 44 FC B3 E7 E7 00 E3 94 07 F6 +6F 00 40 02 83 27 84 FE 13 87 17 00 23 24 E4 FE +13 87 07 00 83 27 C4 FC B3 87 E7 00 03 47 F4 FB +23 80 E7 00 03 27 84 FE 83 27 84 FC E3 4C F7 FC +23 26 04 FE 6F 00 C0 06 83 27 84 FE 13 87 F7 FF +83 27 C4 FE B3 07 F7 40 23 22 F4 FE 83 27 C4 FE +03 27 C4 FC B3 07 F7 00 83 C7 07 00 A3 01 F4 FE +83 27 44 FE 03 27 C4 FC 33 07 F7 00 83 27 C4 FE +83 26 C4 FC B3 87 F6 00 03 47 07 00 23 80 E7 00 +83 27 44 FE 03 27 C4 FC B3 07 F7 00 03 47 34 FE +23 80 E7 00 83 27 C4 FE 93 87 17 00 23 26 F4 FE +83 27 84 FE 13 D7 F7 01 B3 07 F7 00 93 D7 17 40 +13 87 07 00 83 27 C4 FE E3 C0 E7 F8 83 27 84 FE +03 27 C4 FC B3 07 F7 00 23 80 07 00 83 27 84 FE +13 85 07 00 03 24 C1 04 13 01 01 05 67 80 00 00 +13 01 01 FD 23 26 81 02 13 04 01 03 23 2E A4 FC +23 2C B4 FC 23 26 04 FE 23 24 04 FE 93 07 10 00 +23 22 F4 FE 6F 00 C0 08 83 27 C4 FD 83 C7 07 00 +23 20 F4 FE 03 27 04 FE 93 07 F0 02 63 D2 E7 04 +03 27 04 FE 93 07 90 03 63 CC E7 02 03 27 84 FE +93 07 07 00 93 97 27 00 B3 87 E7 00 93 97 17 00 +13 87 07 00 83 27 04 FE B3 07 F7 00 93 87 07 FD +23 24 F4 FE 93 07 10 00 23 26 F4 FE 6F 00 80 02 +83 27 C4 FE 63 9E 07 02 03 27 04 FE 93 07 D0 02 +63 1A F7 00 93 07 F0 FF 23 22 F4 FE 93 07 10 00 +23 26 F4 FE 83 27 C4 FD 93 87 17 00 23 2E F4 FC +83 27 C4 FD 83 C7 07 00 E3 98 07 F6 6F 00 80 00 +13 00 00 00 03 27 84 FE 83 27 44 FE B3 07 F7 02 +23 24 F4 FE 83 27 84 FD 63 88 07 00 83 27 84 FD +03 27 C4 FD 23 A0 E7 00 83 27 84 FE 13 85 07 00 +03 24 C1 02 13 01 01 03 67 80 00 00 13 01 01 FD 23 26 81 02 13 04 01 03 23 2E A4 FC 23 2C B4 FC -23 26 04 FE 23 24 04 FE 93 07 10 00 23 22 F4 FE -6F 00 C0 08 83 27 C4 FD 83 C7 07 00 23 20 F4 FE -03 27 04 FE 93 07 F0 02 63 D2 E7 04 03 27 04 FE -93 07 90 03 63 CC E7 02 03 27 84 FE 93 07 07 00 -93 97 27 00 B3 87 E7 00 93 97 17 00 13 87 07 00 -83 27 04 FE B3 07 F7 00 93 87 07 FD 23 24 F4 FE -93 07 10 00 23 26 F4 FE 6F 00 80 02 83 27 C4 FE -63 9E 07 02 03 27 04 FE 93 07 D0 02 63 1A F7 00 -93 07 F0 FF 23 22 F4 FE 93 07 10 00 23 26 F4 FE -83 27 C4 FD 93 87 17 00 23 2E F4 FC 83 27 C4 FD -83 C7 07 00 E3 98 07 F6 6F 00 80 00 13 00 00 00 -03 27 84 FE 83 27 44 FE B3 07 F7 02 23 24 F4 FE -83 27 84 FD 63 88 07 00 83 27 84 FD 03 27 C4 FD -23 A0 E7 00 83 27 84 FE 13 85 07 00 03 24 C1 02 -13 01 01 03 67 80 00 00 13 01 01 FD 23 26 81 02 -13 04 01 03 23 2E A4 FC 23 2C B4 FC 23 26 04 FE -23 24 04 FE 6F 00 00 0E 83 27 C4 FD 83 C7 07 00 -23 22 F4 FE 03 27 44 FE 93 07 F0 02 63 DA E7 02 -03 27 44 FE 93 07 90 03 63 C4 E7 02 93 07 10 00 +23 26 04 FE 23 24 04 FE 6F 00 00 0E 83 27 C4 FD +83 C7 07 00 23 22 F4 FE 03 27 44 FE 93 07 F0 02 +63 DA E7 02 03 27 44 FE 93 07 90 03 63 C4 E7 02 +93 07 10 00 23 26 F4 FE 83 27 84 FE 13 97 47 00 +83 27 44 FE B3 07 F7 00 93 87 07 FD 23 24 F4 FE +6F 00 C0 08 03 27 44 FE 93 07 00 06 63 DA E7 02 +03 27 44 FE 93 07 60 06 63 C4 E7 02 93 07 10 00 23 26 F4 FE 83 27 84 FE 13 97 47 00 83 27 44 FE -B3 07 F7 00 93 87 07 FD 23 24 F4 FE 6F 00 C0 08 -03 27 44 FE 93 07 00 06 63 DA E7 02 03 27 44 FE -93 07 60 06 63 C4 E7 02 93 07 10 00 23 26 F4 FE +B3 07 F7 00 93 87 97 FA 23 24 F4 FE 6F 00 00 05 +03 27 44 FE 93 07 00 04 63 DA E7 02 03 27 44 FE +93 07 60 04 63 C4 E7 02 93 07 10 00 23 26 F4 FE 83 27 84 FE 13 97 47 00 83 27 44 FE B3 07 F7 00 -93 87 97 FA 23 24 F4 FE 6F 00 00 05 03 27 44 FE -93 07 00 04 63 DA E7 02 03 27 44 FE 93 07 60 04 -63 C4 E7 02 93 07 10 00 23 26 F4 FE 83 27 84 FE -13 97 47 00 83 27 44 FE B3 07 F7 00 93 87 97 FC -23 24 F4 FE 6F 00 40 01 83 27 C4 FE 63 94 07 02 -93 07 10 00 23 26 F4 FE 83 27 C4 FD 93 87 17 00 -23 2E F4 FC 83 27 C4 FD 83 C7 07 00 E3 9E 07 F0 -6F 00 80 00 13 00 00 00 83 27 84 FD 63 88 07 00 -83 27 84 FD 03 27 C4 FD 23 A0 E7 00 83 27 84 FE +93 87 97 FC 23 24 F4 FE 6F 00 40 01 83 27 C4 FE +63 94 07 02 93 07 10 00 23 26 F4 FE 83 27 C4 FD +93 87 17 00 23 2E F4 FC 83 27 C4 FD 83 C7 07 00 +E3 9E 07 F0 6F 00 80 00 13 00 00 00 83 27 84 FD +63 88 07 00 83 27 84 FD 03 27 C4 FD 23 A0 E7 00 +83 27 84 FE 13 85 07 00 03 24 C1 02 13 01 01 03 +67 80 00 00 13 01 01 FD 23 26 81 02 13 04 01 03 +23 2E A4 FC 23 2C B4 FC 83 27 C4 FD 23 26 F4 FE +6F 00 00 01 83 27 C4 FD 93 87 17 00 23 2E F4 FC +83 27 C4 FD 83 C7 07 00 E3 96 07 FE 6F 00 40 02 +03 27 84 FD 93 07 17 00 23 2C F4 FC 83 27 C4 FD +93 86 17 00 23 2E D4 FC 03 47 07 00 23 80 E7 00 +83 27 84 FD 83 C7 07 00 E3 9C 07 FC 83 27 C4 FD +23 80 07 00 03 27 C4 FD 83 27 C4 FE B3 07 F7 40 13 85 07 00 03 24 C1 02 13 01 01 03 67 80 00 00 13 01 01 FD 23 26 81 02 13 04 01 03 23 2E A4 FC -23 2C B4 FC 83 27 C4 FD 23 26 F4 FE 6F 00 00 01 -83 27 C4 FD 93 87 17 00 23 2E F4 FC 83 27 C4 FD -83 C7 07 00 E3 96 07 FE 6F 00 40 02 03 27 84 FD -93 07 17 00 23 2C F4 FC 83 27 C4 FD 93 86 17 00 -23 2E D4 FC 03 47 07 00 23 80 E7 00 83 27 84 FD -83 C7 07 00 E3 9C 07 FC 83 27 C4 FD 23 80 07 00 -03 27 C4 FD 83 27 C4 FE B3 07 F7 40 13 85 07 00 -03 24 C1 02 13 01 01 03 67 80 00 00 13 01 01 FD -23 26 81 02 13 04 01 03 23 2E A4 FC 23 2C B4 FC -23 2A C4 FC 23 26 04 FE 6F 00 00 05 83 27 C4 FD -13 87 17 00 23 2E E4 FC 03 C7 07 00 83 27 84 FD -93 86 17 00 23 2C D4 FC 83 C7 07 00 63 06 F7 00 -93 07 10 00 6F 00 00 04 83 27 C4 FE 93 87 17 00 -23 26 F4 FE 03 27 C4 FE 83 27 44 FD 63 46 F7 00 -93 07 00 00 6F 00 00 02 83 27 C4 FD 83 C7 07 00 -63 88 07 00 83 27 84 FD 83 C7 07 00 E3 90 07 FA -93 07 00 00 13 85 07 00 03 24 C1 02 13 01 01 03 -67 80 00 00 13 01 01 FE 23 2E 81 00 13 04 01 02 -23 26 A4 FE B7 E7 F5 05 13 87 07 10 83 27 C4 FE -33 47 F7 02 83 A7 C1 C2 93 87 07 01 23 A0 E7 00 -93 07 00 00 13 85 07 00 03 24 C1 01 13 01 01 02 -67 80 00 00 13 01 01 EC 23 2E 11 12 23 2C 81 12 -23 2A 21 13 23 28 31 13 23 26 41 13 23 24 51 13 -13 04 01 14 83 A7 81 C3 93 F7 07 FF 23 2A F4 FC -23 2C 04 FC 6F 00 80 1F 83 27 44 FD 13 89 07 00 -93 09 00 00 93 07 C4 EC 13 07 00 03 93 06 80 00 -93 05 09 00 13 86 09 00 13 85 07 00 EF F0 9F A6 -13 07 C4 EC B7 27 00 00 93 85 07 AD 13 05 07 00 -EF F0 1F E3 23 2E 04 FC 6F 00 00 0C 83 27 44 FD -23 26 F4 FC 03 27 C4 FD 83 27 44 FD 33 07 F7 00 -83 A7 81 C3 63 7E F7 00 13 07 C4 EC B7 27 00 00 -93 85 47 AD 13 05 07 00 EF F0 9F DF 6F 00 00 06 -83 27 C4 FD 03 27 C4 FC B3 07 F7 00 83 C7 07 00 -13 8A 07 00 93 0A 00 00 93 07 44 EC 13 07 00 03 -93 06 20 00 93 05 0A 00 13 86 0A 00 13 85 07 00 -EF F0 5F 9E 13 07 44 EC B7 27 00 00 93 85 87 AD -13 05 07 00 EF F0 DF DA 13 07 44 EC 93 07 C4 EC -93 05 07 00 13 85 07 00 EF F0 9F D9 03 27 C4 FD -93 07 70 00 63 1C F7 00 13 07 C4 EC B7 27 00 00 -93 85 C7 AD 13 05 07 00 EF F0 9F D7 83 27 C4 FD -93 87 17 00 23 2E F4 FC 03 27 C4 FD 93 07 F0 00 -E3 DE E7 F2 13 07 C4 EC B7 27 00 00 93 85 07 AE -13 05 07 00 EF F0 DF D4 23 2E 04 FC 6F 00 00 08 -83 27 44 FD 23 28 F4 FC 83 27 C4 FD 03 27 04 FD -B3 07 F7 00 03 C7 07 00 93 07 F0 01 63 FA E7 02 -83 27 C4 FD 03 27 04 FD B3 07 F7 00 03 C7 07 00 -93 07 E0 07 63 EE E7 00 83 27 C4 FD 03 27 04 FD -B3 07 F7 00 83 C7 07 00 23 00 F4 EC 6F 00 C0 00 -93 07 E0 02 23 00 F4 EC A3 00 04 EC 13 07 04 EC -93 07 C4 EC 93 05 07 00 13 85 07 00 EF F0 5F CD +23 2C B4 FC 23 2A C4 FC 23 26 04 FE 6F 00 00 05 +83 27 C4 FD 13 87 17 00 23 2E E4 FC 03 C7 07 00 +83 27 84 FD 93 86 17 00 23 2C D4 FC 83 C7 07 00 +63 06 F7 00 93 07 10 00 6F 00 00 04 83 27 C4 FE +93 87 17 00 23 26 F4 FE 03 27 C4 FE 83 27 44 FD +63 46 F7 00 93 07 00 00 6F 00 00 02 83 27 C4 FD +83 C7 07 00 63 88 07 00 83 27 84 FD 83 C7 07 00 +E3 90 07 FA 93 07 00 00 13 85 07 00 03 24 C1 02 +13 01 01 03 67 80 00 00 13 01 01 FE 23 2E 81 00 +13 04 01 02 23 26 A4 FE B7 E7 F5 05 13 87 07 10 +83 27 C4 FE 33 47 F7 02 83 A7 C1 C7 93 87 07 01 +23 A0 E7 00 93 07 00 00 13 85 07 00 03 24 C1 01 +13 01 01 02 67 80 00 00 13 01 01 EC 23 2E 11 12 +23 2C 81 12 23 2A 21 13 23 28 31 13 23 26 41 13 +23 24 51 13 13 04 01 14 83 A7 81 C8 93 F7 07 FF +23 2A F4 FC 23 2C 04 FC 6F 00 80 1F 83 27 44 FD +13 89 07 00 93 09 00 00 93 07 C4 EC 13 07 00 03 +93 06 80 00 93 05 09 00 13 86 09 00 13 85 07 00 +EF F0 9F A6 13 07 C4 EC B7 37 00 00 93 85 87 A4 +13 05 07 00 EF F0 1F E3 23 2E 04 FC 6F 00 00 0C +83 27 44 FD 23 26 F4 FC 03 27 C4 FD 83 27 44 FD +33 07 F7 00 83 A7 81 C8 63 7E F7 00 13 07 C4 EC +B7 37 00 00 93 85 C7 A4 13 05 07 00 EF F0 9F DF +6F 00 00 06 83 27 C4 FD 03 27 C4 FC B3 07 F7 00 +83 C7 07 00 13 8A 07 00 93 0A 00 00 93 07 44 EC +13 07 00 03 93 06 20 00 93 05 0A 00 13 86 0A 00 +13 85 07 00 EF F0 5F 9E 13 07 44 EC B7 37 00 00 +93 85 07 A5 13 05 07 00 EF F0 DF DA 13 07 44 EC +93 07 C4 EC 93 05 07 00 13 85 07 00 EF F0 9F D9 +03 27 C4 FD 93 07 70 00 63 1C F7 00 13 07 C4 EC +B7 37 00 00 93 85 47 A5 13 05 07 00 EF F0 9F D7 83 27 C4 FD 93 87 17 00 23 2E F4 FC 03 27 C4 FD -93 07 F0 00 E3 DE E7 F6 13 07 C4 EC B7 27 00 00 -93 85 47 AE 13 05 07 00 EF F0 9F CA 93 07 C4 EC -13 85 07 00 EF F0 4F E2 83 27 44 FD 93 87 07 01 -23 2A F4 FC 83 27 44 FD 93 F7 F7 0F 63 80 07 02 -83 27 84 FD 93 87 17 00 23 2C F4 FC 03 27 84 FD -93 07 F0 00 E3 D2 E7 E0 6F 00 80 00 13 00 00 00 -B7 27 00 00 13 85 87 AE EF F0 0F DE 03 27 44 FD -23 AC E1 C2 13 00 00 00 83 20 C1 13 03 24 81 13 -03 29 41 13 83 29 01 13 03 2A C1 12 83 2A 81 12 -13 01 01 14 67 80 00 00 13 01 01 FE 23 2E 81 00 -13 04 01 02 F3 65 00 C0 23 26 B4 FE F3 65 00 C8 +93 07 F0 00 E3 DE E7 F2 13 07 C4 EC B7 37 00 00 +93 85 87 A5 13 05 07 00 EF F0 DF D4 23 2E 04 FC +6F 00 00 08 83 27 44 FD 23 28 F4 FC 83 27 C4 FD +03 27 04 FD B3 07 F7 00 03 C7 07 00 93 07 F0 01 +63 FA E7 02 83 27 C4 FD 03 27 04 FD B3 07 F7 00 +03 C7 07 00 93 07 E0 07 63 EE E7 00 83 27 C4 FD +03 27 04 FD B3 07 F7 00 83 C7 07 00 23 00 F4 EC +6F 00 C0 00 93 07 E0 02 23 00 F4 EC A3 00 04 EC +13 07 04 EC 93 07 C4 EC 93 05 07 00 13 85 07 00 +EF F0 5F CD 83 27 C4 FD 93 87 17 00 23 2E F4 FC +03 27 C4 FD 93 07 F0 00 E3 DE E7 F6 13 07 C4 EC +B7 37 00 00 93 85 C7 A5 13 05 07 00 EF F0 9F CA +93 07 C4 EC 13 85 07 00 EF F0 0F D8 83 27 44 FD +93 87 07 01 23 2A F4 FC 83 27 44 FD 93 F7 F7 0F +63 80 07 02 83 27 84 FD 93 87 17 00 23 2C F4 FC +03 27 84 FD 93 07 F0 00 E3 D2 E7 E0 6F 00 80 00 +13 00 00 00 B7 37 00 00 13 85 07 A6 EF F0 CF D3 +03 27 44 FD 23 A4 E1 C8 13 00 00 00 83 20 C1 13 +03 24 81 13 03 29 41 13 83 29 01 13 03 2A C1 12 +83 2A 81 12 13 01 01 14 67 80 00 00 13 01 01 FE +23 2E 81 00 13 04 01 02 F3 65 00 C0 23 26 B4 FE +F3 65 00 C8 23 24 B4 FE 83 25 84 FE 23 20 B4 FE +23 22 04 FE 83 25 04 FE 93 98 05 00 13 08 00 00 +23 20 04 FF 23 22 14 FF 83 25 C4 FE 13 87 05 00 +93 07 00 00 83 25 04 FE 33 E6 E5 00 83 25 44 FE +B3 E6 F5 00 23 20 C4 FE 23 22 D4 FE 03 27 04 FE +83 27 44 FE 13 05 07 00 93 85 07 00 03 24 C1 01 +13 01 01 02 67 80 00 00 13 01 01 FE 23 2E 81 00 +13 04 01 02 F3 65 20 C0 23 26 B4 FE F3 65 20 C8 +23 24 B4 FE 83 25 84 FE 23 20 B4 FE 23 22 04 FE +83 25 04 FE 93 98 05 00 13 08 00 00 23 20 04 FF +23 22 14 FF 83 25 C4 FE 13 87 05 00 93 07 00 00 +83 25 04 FE 33 E6 E5 00 83 25 44 FE B3 E6 F5 00 +23 20 C4 FE 23 22 D4 FE 03 27 04 FE 83 27 44 FE +13 05 07 00 93 85 07 00 03 24 C1 01 13 01 01 02 +67 80 00 00 13 01 01 FE 23 2E 81 00 13 04 01 02 +F3 65 00 C2 23 26 B4 FE F3 65 00 CA 23 24 B4 FE +83 25 84 FE 23 20 B4 FE 23 22 04 FE 83 25 04 FE +93 98 05 00 13 08 00 00 23 20 04 FF 23 22 14 FF +83 25 C4 FE 13 87 05 00 93 07 00 00 83 25 04 FE +33 E6 E5 00 83 25 44 FE B3 E6 F5 00 23 20 C4 FE +23 22 D4 FE 03 27 04 FE 83 27 44 FE 13 05 07 00 +93 85 07 00 03 24 C1 01 13 01 01 02 67 80 00 00 +13 01 01 FE 23 2E 81 00 13 04 01 02 F3 65 10 C2 +23 26 B4 FE F3 65 10 CA 23 24 B4 FE 83 25 84 FE +23 20 B4 FE 23 22 04 FE 83 25 04 FE 93 98 05 00 +13 08 00 00 23 20 04 FF 23 22 14 FF 83 25 C4 FE +13 87 05 00 93 07 00 00 83 25 04 FE 33 E6 E5 00 +83 25 44 FE B3 E6 F5 00 23 20 C4 FE 23 22 D4 FE +03 27 04 FE 83 27 44 FE 13 05 07 00 93 85 07 00 +03 24 C1 01 13 01 01 02 67 80 00 00 13 01 01 FE +23 2E 81 00 13 04 01 02 F3 65 20 C2 23 26 B4 FE +F3 65 20 CA 23 24 B4 FE 83 25 84 FE 23 20 B4 FE +23 22 04 FE 83 25 04 FE 93 98 05 00 13 08 00 00 +23 20 04 FF 23 22 14 FF 83 25 C4 FE 13 87 05 00 +93 07 00 00 83 25 04 FE 33 E6 E5 00 83 25 44 FE +B3 E6 F5 00 23 20 C4 FE 23 22 D4 FE 03 27 04 FE +83 27 44 FE 13 05 07 00 93 85 07 00 03 24 C1 01 +13 01 01 02 67 80 00 00 13 01 01 FE 23 2E 81 00 +13 04 01 02 F3 65 30 C2 23 26 B4 FE F3 65 30 CA 23 24 B4 FE 83 25 84 FE 23 20 B4 FE 23 22 04 FE 83 25 04 FE 93 98 05 00 13 08 00 00 23 20 04 FF 23 22 14 FF 83 25 C4 FE 13 87 05 00 93 07 00 00 @@ -203,227 +252,434 @@ B7 27 00 00 13 85 87 AE EF F0 0F DE 03 27 44 FD 23 20 C4 FE 23 22 D4 FE 03 27 04 FE 83 27 44 FE 13 05 07 00 93 85 07 00 03 24 C1 01 13 01 01 02 67 80 00 00 13 01 01 FE 23 2E 81 00 13 04 01 02 -F3 65 20 C0 23 26 B4 FE F3 65 20 C8 23 24 B4 FE +F3 65 40 C2 23 26 B4 FE F3 65 40 CA 23 24 B4 FE 83 25 84 FE 23 20 B4 FE 23 22 04 FE 83 25 04 FE 93 98 05 00 13 08 00 00 23 20 04 FF 23 22 14 FF 83 25 C4 FE 13 87 05 00 93 07 00 00 83 25 04 FE 33 E6 E5 00 83 25 44 FE B3 E6 F5 00 23 20 C4 FE 23 22 D4 FE 03 27 04 FE 83 27 44 FE 13 05 07 00 93 85 07 00 03 24 C1 01 13 01 01 02 67 80 00 00 -13 01 01 FF 23 26 11 00 23 24 81 00 13 04 01 01 -B7 27 00 00 13 85 C7 AE EF F0 0F CA B7 27 00 00 -13 85 C7 B0 EF F0 4F C9 B7 27 00 00 13 85 07 B3 -EF F0 8F C8 B7 27 00 00 13 85 87 B5 EF F0 CF C7 -B7 27 00 00 13 85 C7 B8 EF F0 0F C7 13 00 00 00 -83 20 C1 00 03 24 81 00 13 01 01 01 67 80 00 00 -13 01 01 E8 23 2E 11 16 23 2C 81 16 23 2A 21 17 -23 28 31 17 23 26 41 17 23 24 51 17 23 22 61 17 -23 20 71 17 13 04 01 18 23 26 A4 E8 23 24 B4 E8 -B7 07 00 F0 23 2C F4 FC B7 07 00 F0 93 87 07 01 -23 2A F4 FC B7 C7 01 00 13 85 07 20 EF F0 9F BA -23 28 04 FC 23 26 04 FC EF F0 1F E5 13 09 05 00 -93 89 05 00 EF F0 1F EC 13 07 05 00 93 87 05 00 -13 06 40 06 93 06 00 00 13 05 07 00 93 85 07 00 -EF 00 40 41 13 07 05 00 93 87 05 00 13 06 07 00 -93 86 07 00 13 05 09 00 93 85 09 00 EF 00 80 3F -13 07 05 00 93 87 05 00 23 24 E4 FC EF F0 CF A8 -93 07 05 00 63 8E 07 08 EF F0 1F DF 93 07 04 E9 -13 07 00 03 93 06 80 00 13 86 05 00 93 05 05 00 -13 85 07 00 EF F0 0F E2 93 07 04 E9 13 85 07 00 -EF F0 8F B7 B7 27 00 00 13 85 47 BA EF F0 CF B6 -EF F0 5F E3 93 07 04 E9 13 07 00 03 93 06 80 00 -13 86 05 00 93 05 05 00 13 85 07 00 EF F0 8F DE -93 07 04 E9 13 85 07 00 EF F0 0F B4 B7 27 00 00 -13 85 47 BA EF F0 4F B3 93 07 04 E9 83 25 84 FC -13 85 07 00 EF F0 4F C6 93 07 04 E9 13 85 07 00 -EF F0 8F B1 B7 27 00 00 13 85 87 BA EF F0 CF B0 -EF F0 4F A2 93 07 05 00 E3 8C 07 FE 93 07 04 E9 -93 05 F0 0F 13 85 07 00 EF F0 8F B5 13 00 00 00 -B7 27 00 00 13 85 C7 BA EF F0 0F AE 93 07 04 E9 -13 85 07 00 EF F0 4F AD B7 27 00 00 13 85 07 BB -EF F0 8F AC 13 07 04 E9 13 06 40 00 B7 27 00 00 -93 85 47 BB 13 05 07 00 EF F0 5F 9B 93 07 05 00 -63 96 07 00 EF F0 DF DE 6F F0 1F EA 03 47 04 E9 -93 07 20 06 63 1C F7 02 93 07 04 E9 93 87 27 00 -93 05 00 00 13 85 07 00 EF F0 4F EC 23 26 A4 FA -83 27 C4 FA 63 58 F0 00 03 25 C4 FA EF F0 9F A0 -6F F0 9F E6 EF F0 DF DA 6F F0 1F E6 03 47 04 E9 -93 07 40 06 63 1A F7 02 93 07 04 E9 93 87 27 00 -93 05 00 00 13 85 07 00 EF F0 0F F8 23 28 A4 FA -83 27 04 FB 63 56 F0 00 03 27 04 FB 23 AC E1 C2 -EF F0 5F A0 6F F0 5F E2 03 47 04 E9 93 07 70 07 -63 1C F7 0A 93 07 04 E9 93 87 27 00 13 07 44 F9 -93 05 07 00 13 85 07 00 EF F0 0F F4 23 2E A4 FA -83 27 44 F9 13 07 44 F9 93 05 07 00 13 85 07 00 -EF F0 8F F2 23 2C A4 FA 83 27 44 F9 13 07 44 F9 -93 05 07 00 13 85 07 00 EF F0 0F F1 23 2A A4 FA -03 27 44 FB 93 07 10 00 63 1C F7 00 83 27 C4 FB -03 27 84 FB 13 77 F7 0F 23 80 E7 00 6F F0 DF DA -03 27 44 FB 93 07 20 00 63 1E F7 00 83 27 C4 FB -03 27 84 FB 13 17 07 01 13 57 07 41 23 90 E7 00 -6F F0 9F D8 03 27 44 FB 93 07 40 00 63 1A F7 00 -83 27 C4 FB 03 27 84 FB 23 A0 E7 00 6F F0 DF D6 -EF F0 1F CB 6F F0 5F D6 03 47 04 E9 93 07 20 07 -E3 1C F7 D4 23 2E 04 FC 93 07 04 E9 93 87 27 00 -13 07 04 F9 93 05 07 00 13 85 07 00 EF F0 CF E7 -23 22 A4 FC 83 27 04 F9 13 07 04 F9 93 05 07 00 -13 85 07 00 EF F0 4F E6 23 20 A4 FC 03 27 04 FC -93 07 10 00 63 10 F7 02 83 27 44 FC 83 C7 07 00 -23 2E F4 FC B7 27 00 00 13 85 C7 BB EF F0 CF 8F -6F 00 80 05 03 27 04 FC 93 07 20 00 63 10 F7 02 -83 27 44 FC 83 97 07 00 23 2E F4 FC B7 27 00 00 -13 85 47 BC EF F0 4F 8D 6F 00 00 03 03 27 04 FC -93 07 40 00 63 10 F7 02 83 27 44 FC 83 A7 07 00 -23 2E F4 FC B7 27 00 00 13 85 C7 BC EF F0 CF 8A -6F 00 80 00 EF F0 DF BE 03 27 04 FC 93 07 10 00 -63 0E F7 00 03 27 04 FC 93 07 20 00 63 08 F7 00 -03 27 04 FC 93 07 40 00 E3 10 F7 C8 83 27 44 FC -13 8A 07 00 93 D7 F7 41 93 8A 07 00 93 07 04 E9 -13 07 00 03 93 06 80 00 93 05 0A 00 13 86 0A 00 -13 85 07 00 EF F0 0F AF 93 07 04 E9 13 85 07 00 -EF F0 8F 84 B7 27 00 00 13 85 47 BD EF F0 CF 83 -93 07 04 E9 83 25 C4 FD 13 85 07 00 EF F0 CF 96 -93 07 04 E9 13 85 07 00 EF F0 0F 82 B7 27 00 00 -13 85 87 BD EF F0 4F 81 83 27 C4 FD 13 8B 07 00 -93 D7 F7 41 93 8B 07 00 83 27 04 FC 93 96 17 00 -93 07 04 E9 13 07 00 03 93 05 0B 00 13 86 0B 00 -13 85 07 00 EF F0 0F A8 93 07 04 E9 13 85 07 00 -EF E0 9F FD B7 27 00 00 13 85 C7 BD EF E0 DF FC -6F F0 9F BC 13 03 05 00 93 88 05 00 13 07 06 00 -13 08 05 00 93 87 05 00 63 92 06 28 B7 25 00 00 -93 85 05 BE 63 F6 C8 0E B7 06 01 00 63 78 D6 0C -93 36 06 10 93 C6 16 00 93 96 36 00 33 55 D6 00 -B3 85 A5 00 83 C5 05 00 13 05 00 02 B3 86 D5 00 -B3 05 D5 40 63 0C D5 00 B3 97 B8 00 B3 56 D3 00 -33 17 B6 00 B3 E7 F6 00 33 18 B3 00 93 55 07 01 -33 D3 B7 02 13 16 07 01 13 56 06 01 B3 F7 B7 02 -13 05 03 00 B3 08 66 02 93 96 07 01 93 57 08 01 -B3 E7 D7 00 63 FE 17 01 B3 87 E7 00 13 05 F3 FF -63 E8 E7 00 63 F6 17 01 13 05 E3 FF B3 87 E7 00 -B3 87 17 41 B3 D8 B7 02 13 18 08 01 13 58 08 01 -B3 F7 B7 02 B3 06 16 03 93 97 07 01 33 68 F8 00 -93 87 08 00 63 7C D8 00 33 08 07 01 93 87 F8 FF -63 66 E8 00 63 74 D8 00 93 87 E8 FF 13 15 05 01 -33 65 F5 00 93 05 00 00 67 80 00 00 37 05 00 01 -93 06 00 01 E3 6C A6 F2 93 06 80 01 6F F0 1F F3 -63 14 06 00 73 00 10 00 B7 07 01 00 63 70 F6 0C -93 36 06 10 93 C6 16 00 93 96 36 00 B3 57 D6 00 -B3 85 F5 00 83 C7 05 00 B3 87 D7 00 93 06 00 02 -B3 85 F6 40 63 96 F6 0A B3 87 C8 40 93 05 10 00 -93 58 07 01 33 DE 17 03 13 16 07 01 13 56 06 01 -93 56 08 01 B3 F7 17 03 13 05 0E 00 33 03 C6 03 -93 97 07 01 B3 E7 F6 00 63 FE 67 00 B3 87 E7 00 +13 01 01 FE 23 2E 81 00 13 04 01 02 F3 65 50 C2 +23 26 B4 FE F3 65 50 CA 23 24 B4 FE 83 25 84 FE +23 20 B4 FE 23 22 04 FE 83 25 04 FE 93 98 05 00 +13 08 00 00 23 20 04 FF 23 22 14 FF 83 25 C4 FE +13 87 05 00 93 07 00 00 83 25 04 FE 33 E6 E5 00 +83 25 44 FE B3 E6 F5 00 23 20 C4 FE 23 22 D4 FE +03 27 04 FE 83 27 44 FE 13 05 07 00 93 85 07 00 +03 24 C1 01 13 01 01 02 67 80 00 00 13 01 01 FE +23 2E 81 00 13 04 01 02 F3 65 60 C2 23 26 B4 FE +F3 65 60 CA 23 24 B4 FE 83 25 84 FE 23 20 B4 FE +23 22 04 FE 83 25 04 FE 93 98 05 00 13 08 00 00 +23 20 04 FF 23 22 14 FF 83 25 C4 FE 13 87 05 00 +93 07 00 00 83 25 04 FE 33 E6 E5 00 83 25 44 FE +B3 E6 F5 00 23 20 C4 FE 23 22 D4 FE 03 27 04 FE +83 27 44 FE 13 05 07 00 93 85 07 00 03 24 C1 01 +13 01 01 02 67 80 00 00 13 01 01 FE 23 2E 81 00 +13 04 01 02 F3 65 70 C2 23 26 B4 FE F3 65 70 CA +23 24 B4 FE 83 25 84 FE 23 20 B4 FE 23 22 04 FE +83 25 04 FE 93 98 05 00 13 08 00 00 23 20 04 FF +23 22 14 FF 83 25 C4 FE 13 87 05 00 93 07 00 00 +83 25 04 FE 33 E6 E5 00 83 25 44 FE B3 E6 F5 00 +23 20 C4 FE 23 22 D4 FE 03 27 04 FE 83 27 44 FE +13 05 07 00 93 85 07 00 03 24 C1 01 13 01 01 02 +67 80 00 00 13 01 01 FE 23 2E 81 00 13 04 01 02 +F3 65 80 C2 23 26 B4 FE F3 65 80 CA 23 24 B4 FE +83 25 84 FE 23 20 B4 FE 23 22 04 FE 83 25 04 FE +93 98 05 00 13 08 00 00 23 20 04 FF 23 22 14 FF +83 25 C4 FE 13 87 05 00 93 07 00 00 83 25 04 FE +33 E6 E5 00 83 25 44 FE B3 E6 F5 00 23 20 C4 FE +23 22 D4 FE 03 27 04 FE 83 27 44 FE 13 05 07 00 +93 85 07 00 03 24 C1 01 13 01 01 02 67 80 00 00 +13 01 01 FB 23 26 11 04 23 24 81 04 13 04 01 05 +EF F0 9F B1 23 20 A4 FE 23 22 B4 FE 23 26 04 FE +6F 00 80 10 B7 37 00 00 13 85 C7 AD EF E0 DF F8 +B7 37 00 00 13 87 87 08 83 27 C4 FE 93 97 27 00 +B3 07 F7 00 83 A7 07 00 13 85 07 00 EF E0 DF F6 +B7 37 00 00 13 87 07 06 83 27 C4 FE 93 97 27 00 +B3 07 F7 00 83 A7 07 00 E7 80 07 00 23 2C A4 FC +23 2E B4 FC 03 27 84 FD 83 27 C4 FD 93 06 04 FB +93 05 07 00 13 86 07 00 13 85 06 00 EF F0 CF 86 +93 07 04 FB 13 85 07 00 EF E0 1F F2 B7 37 00 00 +13 85 07 AE EF E0 5F F1 03 27 04 FE 83 27 44 FE +37 26 00 00 13 06 06 71 93 06 00 00 13 05 07 00 +93 85 07 00 EF 00 90 6A 13 07 05 00 93 87 05 00 +13 06 07 00 93 86 07 00 03 25 84 FD 83 25 C4 FD +EF 00 D0 68 13 07 05 00 93 87 05 00 23 2C E4 FC +23 2E F4 FC 03 27 84 FD 83 27 C4 FD 93 06 04 FB +93 05 07 00 13 86 07 00 13 85 06 00 EF E0 DF FE +93 07 04 FB 13 85 07 00 EF E0 1F EA 83 27 C4 FE +93 87 17 00 23 26 F4 FE 03 27 C4 FE 93 07 90 00 +E3 DA E7 EE B7 37 00 00 13 85 07 A6 EF E0 DF E7 +13 00 00 00 83 20 C1 04 03 24 81 04 13 01 01 05 +67 80 00 00 13 01 01 FF 23 26 11 00 23 24 81 00 +13 04 01 01 B7 37 00 00 13 85 47 AE EF E0 DF E4 +B7 37 00 00 13 85 47 B0 EF E0 1F E4 B7 37 00 00 +13 85 87 B2 EF E0 5F E3 B7 37 00 00 13 85 07 B5 +EF E0 9F E2 B7 37 00 00 13 85 47 B8 EF E0 DF E1 +13 00 00 00 83 20 C1 00 03 24 81 00 13 01 01 01 +67 80 00 00 13 01 01 E7 23 26 11 18 23 24 81 18 +23 22 21 19 23 20 31 19 23 2E 41 17 23 2C 51 17 +23 2A 61 17 23 28 71 17 23 26 81 17 23 24 91 17 +23 22 A1 17 23 20 B1 17 13 04 01 19 23 2E A4 E6 +23 2C B4 E6 B7 07 00 F0 23 24 F4 FC B7 07 00 F0 +93 87 07 01 23 22 F4 FC B7 C7 01 00 13 85 07 20 +EF F0 8F DE 23 20 04 FC 23 2E 04 FA EF F0 1F 89 +13 09 05 00 93 89 05 00 EF F0 1F 90 13 07 05 00 +93 87 05 00 13 06 40 06 93 06 00 00 13 05 07 00 +93 85 07 00 EF 00 90 52 13 07 05 00 93 87 05 00 +13 06 07 00 93 86 07 00 13 05 09 00 93 85 09 00 +EF 00 D0 50 13 07 05 00 93 87 05 00 23 2C E4 FA +EF E0 9F C2 93 07 05 00 63 88 07 0A EF F0 1F 83 +93 07 04 E8 13 07 00 03 93 06 80 00 13 86 05 00 +93 05 05 00 13 85 07 00 EF F0 0F 86 93 07 04 E8 +13 85 07 00 EF E0 5F D1 B7 37 00 00 13 85 C7 B9 +EF E0 9F D0 EF F0 5F 87 93 07 04 E8 13 07 00 03 +93 06 80 00 13 86 05 00 93 05 05 00 13 85 07 00 +EF F0 8F 82 93 07 04 E8 13 85 07 00 EF E0 DF CD +B7 37 00 00 13 85 C7 B9 EF E0 1F CD 83 27 84 FB +13 8A 07 00 93 D7 F7 41 93 8A 07 00 93 07 04 E8 +93 05 0A 00 13 86 0A 00 13 85 07 00 EF E0 DF DE +93 07 04 E8 13 85 07 00 EF E0 1F CA B7 37 00 00 +13 85 07 BA EF E0 5F C9 EF E0 DF BA 93 07 05 00 +E3 8C 07 FE 93 07 04 E8 93 05 F0 0F 13 85 07 00 +EF E0 1F CE 13 00 00 00 B7 37 00 00 13 85 47 BA +EF E0 9F C6 93 07 04 E8 13 85 07 00 EF E0 DF C5 +B7 37 00 00 13 85 07 A6 EF E0 1F C5 13 07 04 E8 +13 06 40 00 B7 37 00 00 93 85 87 BA 13 05 07 00 +EF F0 0F BE 93 07 05 00 63 96 07 00 EF F0 9F DC +6F 00 00 30 03 47 04 E8 93 07 C0 06 63 16 F7 00 +EF F0 1F C6 6F 00 C0 2E 03 47 04 E8 93 07 20 06 +63 1C F7 02 93 07 04 E8 93 87 27 00 93 05 00 00 +13 85 07 00 EF F0 CF 8D 23 2E A4 F8 83 27 C4 F9 +63 58 F0 00 03 25 C4 F9 EF F0 0F C2 6F 00 40 2B +EF F0 5F D7 6F 00 C0 2A 03 47 04 E8 93 07 40 06 +63 1A F7 02 93 07 04 E8 93 87 27 00 93 05 00 00 +13 85 07 00 EF F0 8F 99 23 20 A4 FA 83 27 04 FA +63 56 F0 00 03 27 04 FA 23 A4 E1 C8 EF F0 CF C1 +6F 00 00 27 03 47 04 E8 93 07 70 07 63 1C F7 0A +93 07 04 E8 93 87 27 00 13 07 44 F8 93 05 07 00 +13 85 07 00 EF F0 8F 95 23 26 A4 FA 83 27 44 F8 +13 07 44 F8 93 05 07 00 13 85 07 00 EF F0 0F 94 +23 24 A4 FA 83 27 44 F8 13 07 44 F8 93 05 07 00 +13 85 07 00 EF F0 8F 92 23 22 A4 FA 03 27 44 FA +93 07 10 00 63 1C F7 00 83 27 C4 FA 03 27 84 FA +13 77 F7 0F 23 80 E7 00 6F 00 80 1F 03 27 44 FA +93 07 20 00 63 1E F7 00 83 27 C4 FA 03 27 84 FA +13 17 07 01 13 57 07 41 23 90 E7 00 6F 00 40 1D +03 27 44 FA 93 07 40 00 63 1A F7 00 83 27 C4 FA +03 27 84 FA 23 A0 E7 00 6F 00 80 1B EF F0 9F C7 +6F 00 00 1B 03 47 04 E8 93 07 20 07 63 12 F7 1A +23 26 04 FC 93 07 04 E8 93 87 27 00 13 07 04 F8 +93 05 07 00 13 85 07 00 EF F0 4F 89 23 2A A4 FA +83 27 04 F8 13 07 04 F8 93 05 07 00 13 85 07 00 +EF F0 CF 87 23 28 A4 FA 03 27 04 FB 93 07 10 00 +63 10 F7 02 83 27 44 FB 83 C7 07 00 23 26 F4 FC +B7 37 00 00 13 85 07 BB EF E0 1F A7 6F 00 80 05 +03 27 04 FB 93 07 20 00 63 10 F7 02 83 27 44 FB +83 97 07 00 23 26 F4 FC B7 37 00 00 13 85 87 BB +EF E0 9F A4 6F 00 00 03 03 27 04 FB 93 07 40 00 +63 10 F7 02 83 27 44 FB 83 A7 07 00 23 26 F4 FC +B7 37 00 00 13 85 07 BC EF E0 1F A2 6F 00 80 00 +EF F0 5F BB 03 27 04 FB 93 07 10 00 63 0E F7 00 +03 27 04 FB 93 07 20 00 63 08 F7 00 03 27 04 FB +93 07 40 00 63 16 F7 0C 83 27 44 FB 13 8B 07 00 +93 D7 F7 41 93 8B 07 00 93 07 04 E8 13 07 00 03 +93 06 80 00 93 05 0B 00 13 86 0B 00 13 85 07 00 +EF E0 9F D0 93 07 04 E8 13 85 07 00 EF E0 DF 9B +B7 37 00 00 13 85 87 BC EF E0 1F 9B 83 27 C4 FC +13 8C 07 00 93 D7 F7 41 93 8C 07 00 93 07 04 E8 +93 05 0C 00 13 86 0C 00 13 85 07 00 EF E0 DF AC +93 07 04 E8 13 85 07 00 EF E0 1F 98 B7 37 00 00 +13 85 C7 BC EF E0 5F 97 83 27 C4 FC 13 8D 07 00 +93 D7 F7 41 93 8D 07 00 83 27 04 FB 93 96 17 00 +93 07 04 E8 13 07 00 03 93 05 0D 00 13 86 0D 00 +13 85 07 00 EF E0 5F C8 93 07 04 E8 13 85 07 00 +EF E0 9F 93 B7 37 00 00 13 85 07 BD EF E0 DF 92 +13 00 00 00 EF E0 5F 80 93 07 05 00 E3 8C 07 FE +6F F0 DF B7 13 03 05 00 13 8E 05 00 93 08 00 00 +63 DC 05 00 B3 37 A0 00 33 0E B0 40 33 0E FE 40 +33 03 A0 40 93 08 F0 FF 63 DC 06 00 B3 37 C0 00 +B3 06 D0 40 93 C8 F8 FF B3 86 F6 40 33 06 C0 40 +13 07 06 00 13 08 03 00 93 07 0E 00 63 9C 06 28 +B7 35 00 00 93 85 45 BD 63 76 CE 0E B7 06 01 00 +63 78 D6 0C 93 36 06 10 93 C6 16 00 93 96 36 00 +33 55 D6 00 B3 85 A5 00 83 C5 05 00 13 05 00 02 +B3 86 D5 00 B3 05 D5 40 63 0C D5 00 B3 17 BE 00 +B3 56 D3 00 33 17 B6 00 B3 E7 F6 00 33 18 B3 00 +93 55 07 01 33 DE B7 02 13 16 07 01 13 56 06 01 +B3 F7 B7 02 13 05 0E 00 33 03 C6 03 93 96 07 01 +93 57 08 01 B3 E7 D7 00 63 FE 67 00 B3 87 E7 00 13 05 FE FF 63 E8 E7 00 63 F6 67 00 13 05 EE FF -B3 87 E7 00 B3 87 67 40 33 D3 17 03 13 18 08 01 -13 58 08 01 B3 F7 17 03 B3 06 66 02 93 97 07 01 +B3 87 E7 00 B3 87 67 40 33 D3 B7 02 13 18 08 01 +13 58 08 01 B3 F7 B7 02 B3 06 66 02 93 97 07 01 33 68 F8 00 93 07 03 00 63 7C D8 00 33 08 07 01 93 07 F3 FF 63 66 E8 00 63 74 D8 00 93 07 E3 FF -13 15 05 01 33 65 F5 00 67 80 00 00 B7 07 00 01 -93 06 00 01 E3 64 F6 F4 93 06 80 01 6F F0 1F F4 -33 17 B6 00 B3 D6 F8 00 13 55 07 01 B3 57 F3 00 -33 18 B3 00 33 D3 A6 02 13 16 07 01 B3 98 B8 00 -13 56 06 01 B3 E7 17 01 B3 F6 A6 02 B3 08 66 02 -93 95 06 01 93 D6 07 01 B3 E6 B6 00 93 05 03 00 -63 FE 16 01 B3 86 E6 00 93 05 F3 FF 63 E8 E6 00 -63 F6 16 01 93 05 E3 FF B3 86 E6 00 B3 86 16 41 -B3 D8 A6 02 93 97 07 01 93 D7 07 01 B3 F6 A6 02 -33 06 16 03 93 96 06 01 B3 E7 D7 00 93 86 08 00 -63 FE C7 00 B3 87 E7 00 93 86 F8 FF 63 E8 E7 00 -63 F6 C7 00 93 86 E8 FF B3 87 E7 00 93 95 05 01 -B3 87 C7 40 B3 E5 D5 00 6F F0 9F EB 63 E6 D5 18 -B7 07 01 00 63 F4 F6 04 13 B7 06 10 13 47 17 00 -13 17 37 00 B7 27 00 00 B3 D5 E6 00 93 87 07 BE -B3 87 B7 00 83 C7 07 00 B3 87 E7 00 13 07 00 02 -B3 05 F7 40 63 16 F7 02 13 05 10 00 E3 EE 16 E1 -33 35 C3 00 13 45 15 00 67 80 00 00 B7 07 00 01 -13 07 00 01 E3 E0 F6 FC 13 07 80 01 6F F0 9F FB -33 57 F6 00 B3 96 B6 00 B3 66 D7 00 33 D7 F8 00 -B3 98 B8 00 B3 57 F3 00 B3 E7 17 01 93 D8 06 01 -B3 5E 17 03 13 98 06 01 13 58 08 01 33 16 B6 00 -33 77 17 03 33 0E D8 03 13 15 07 01 13 D7 07 01 -33 67 A7 00 13 85 0E 00 63 7E C7 01 33 07 D7 00 -13 85 FE FF 63 68 D7 00 63 76 C7 01 13 85 EE FF -33 07 D7 00 33 07 C7 41 33 5E 17 03 93 97 07 01 -93 D7 07 01 33 77 17 03 33 08 C8 03 13 17 07 01 -B3 E7 E7 00 13 07 0E 00 63 FE 07 01 B3 87 D7 00 -13 07 FE FF 63 E8 D7 00 63 F6 07 01 13 07 EE FF -B3 87 D7 00 13 15 05 01 37 0E 01 00 33 65 E5 00 -93 06 FE FF 33 77 D5 00 B3 87 07 41 B3 76 D6 00 -13 58 05 01 13 56 06 01 B3 08 D7 02 B3 06 D8 02 -33 07 C7 02 33 08 C8 02 33 06 D7 00 13 D7 08 01 -33 07 C7 00 63 74 D7 00 33 08 C8 01 93 56 07 01 -B3 86 06 01 63 E6 D7 02 E3 9E D7 CE B7 07 01 00 -93 87 F7 FF 33 77 F7 00 13 17 07 01 B3 F8 F8 00 -33 13 B3 00 33 07 17 01 93 05 00 00 E3 7E E3 CC -13 05 F5 FF 6F F0 1F CD 93 05 00 00 13 05 00 00 -67 80 00 00 13 01 01 FF 93 05 00 00 23 24 81 00 -23 26 11 00 13 04 05 00 EF 00 40 19 03 A5 81 C2 -83 27 C5 03 63 84 07 00 E7 80 07 00 13 05 04 00 -EF 00 40 3A 13 01 01 FF 23 24 81 00 23 20 21 01 -37 24 00 00 37 29 00 00 93 07 C4 02 13 09 C9 02 -33 09 F9 40 23 26 11 00 23 22 91 00 13 59 29 40 -63 00 09 02 13 04 C4 02 93 04 00 00 83 27 04 00 -93 84 14 00 13 04 44 00 E7 80 07 00 E3 18 99 FE -37 24 00 00 37 29 00 00 93 07 C4 02 13 09 49 03 -33 09 F9 40 13 59 29 40 63 00 09 02 13 04 C4 02 +13 15 05 01 33 65 F5 00 93 05 00 00 6F 00 00 0E +37 05 00 01 93 06 00 01 E3 6C A6 F2 93 06 80 01 +6F F0 1F F3 63 14 06 00 73 00 10 00 B7 07 01 00 +63 7A F6 0C 93 36 06 10 93 C6 16 00 93 96 36 00 +B3 57 D6 00 B3 85 F5 00 83 C7 05 00 B3 87 D7 00 +93 06 00 02 B3 85 F6 40 63 90 F6 0C B3 07 CE 40 +93 05 10 00 13 53 07 01 B3 DE 67 02 13 16 07 01 +13 56 06 01 93 56 08 01 B3 F7 67 02 13 85 0E 00 +33 0E D6 03 93 97 07 01 B3 E7 F6 00 63 FE C7 01 +B3 87 E7 00 13 85 FE FF 63 E8 E7 00 63 F6 C7 01 +13 85 EE FF B3 87 E7 00 B3 87 C7 41 33 DE 67 02 +13 18 08 01 13 58 08 01 B3 F7 67 02 B3 06 C6 03 +93 97 07 01 33 68 F8 00 93 07 0E 00 63 7C D8 00 +33 08 07 01 93 07 FE FF 63 66 E8 00 63 74 D8 00 +93 07 EE FF 13 15 05 01 33 65 F5 00 63 8A 08 00 +B3 37 A0 00 B3 05 B0 40 B3 85 F5 40 33 05 A0 40 +67 80 00 00 B7 07 00 01 93 06 00 01 E3 6A F6 F2 +93 06 80 01 6F F0 DF F2 33 17 B6 00 B3 56 FE 00 +13 55 07 01 33 1E BE 00 B3 57 F3 00 B3 E7 C7 01 +33 DE A6 02 13 16 07 01 13 56 06 01 33 18 B3 00 +B3 F6 A6 02 33 03 C6 03 93 95 06 01 93 D6 07 01 +B3 E6 B6 00 93 05 0E 00 63 FE 66 00 B3 86 E6 00 +93 05 FE FF 63 E8 E6 00 63 F6 66 00 93 05 EE FF +B3 86 E6 00 B3 86 66 40 33 D3 A6 02 93 97 07 01 +93 D7 07 01 B3 F6 A6 02 33 06 66 02 93 96 06 01 +B3 E7 D7 00 93 06 03 00 63 FE C7 00 B3 87 E7 00 +93 06 F3 FF 63 E8 E7 00 63 F6 C7 00 93 06 E3 FF +B3 87 E7 00 93 95 05 01 B3 87 C7 40 B3 E5 D5 00 +6F F0 5F EA 63 66 DE 18 B7 07 01 00 63 F4 F6 04 +13 B7 06 10 13 47 17 00 13 17 37 00 B7 37 00 00 +B3 D5 E6 00 93 87 47 BD B3 87 B7 00 83 C7 07 00 +B3 87 E7 00 13 07 00 02 B3 05 F7 40 63 16 F7 02 +13 05 10 00 E3 E4 C6 EF 33 35 C3 00 13 45 15 00 +6F F0 DF ED B7 07 00 01 13 07 00 01 E3 E0 F6 FC +13 07 80 01 6F F0 9F FB 33 57 F6 00 B3 96 B6 00 +B3 66 D7 00 33 57 FE 00 33 1E BE 00 B3 57 F3 00 +B3 E7 C7 01 13 DE 06 01 33 5F C7 03 13 98 06 01 +13 58 08 01 33 16 B6 00 33 77 C7 03 B3 0E E8 03 +13 15 07 01 13 D7 07 01 33 67 A7 00 13 05 0F 00 +63 7E D7 01 33 07 D7 00 13 05 FF FF 63 68 D7 00 +63 76 D7 01 13 05 EF FF 33 07 D7 00 33 07 D7 41 +B3 5E C7 03 93 97 07 01 93 D7 07 01 33 77 C7 03 +33 08 D8 03 13 17 07 01 B3 E7 E7 00 13 87 0E 00 +63 FE 07 01 B3 87 D7 00 13 87 FE FF 63 E8 D7 00 +63 F6 07 01 13 87 EE FF B3 87 D7 00 13 15 05 01 +B7 0E 01 00 33 65 E5 00 93 86 FE FF 33 77 D5 00 +B3 87 07 41 B3 76 D6 00 13 58 05 01 13 56 06 01 +33 0E D7 02 B3 06 D8 02 33 07 C7 02 33 08 C8 02 +33 06 D7 00 13 57 0E 01 33 07 C7 00 63 74 D7 00 +33 08 D8 01 93 56 07 01 B3 86 06 01 63 E6 D7 02 +E3 94 D7 CE B7 07 01 00 93 87 F7 FF 33 77 F7 00 +13 17 07 01 33 7E FE 00 33 13 B3 00 33 07 C7 01 +93 05 00 00 E3 74 E3 DA 13 05 F5 FF 6F F0 DF CB +93 05 00 00 13 05 00 00 6F F0 5F D9 13 8E 05 00 +13 08 00 00 63 DC 05 00 B3 37 A0 00 B3 05 B0 40 +33 8E F5 40 33 05 A0 40 13 08 F0 FF 63 DA 06 00 +B3 37 C0 00 B3 06 D0 40 B3 86 F6 40 33 06 C0 40 +93 08 06 00 93 07 05 00 93 05 0E 00 63 94 06 24 +37 37 00 00 13 07 47 BD 63 76 CE 0E B7 06 01 00 +63 78 D6 0C 93 36 06 10 93 C6 16 00 93 96 36 00 +33 53 D6 00 33 07 67 00 03 47 07 00 33 07 D7 00 +93 06 00 02 33 83 E6 40 63 8C E6 00 B3 15 6E 00 +33 57 E5 00 B3 18 66 00 B3 65 B7 00 B3 17 65 00 +93 D6 08 01 33 D7 D5 02 13 95 08 01 13 55 05 01 +B3 F5 D5 02 33 07 A7 02 13 96 05 01 93 D5 07 01 +B3 E5 C5 00 63 FA E5 00 B3 85 15 01 63 E6 15 01 +63 F4 E5 00 B3 85 15 01 B3 85 E5 40 33 D7 D5 02 +93 97 07 01 93 D7 07 01 B3 F5 D5 02 33 07 A7 02 +93 95 05 01 B3 E7 B7 00 63 FA E7 00 B3 87 17 01 +63 E6 17 01 63 F4 E7 00 B3 87 17 01 B3 87 E7 40 +33 D5 67 00 93 05 00 00 63 0A 08 00 B3 37 A0 00 +B3 05 B0 40 B3 85 F5 40 33 05 A0 40 67 80 00 00 +37 03 00 01 93 06 00 01 E3 6C 66 F2 93 06 80 01 +6F F0 1F F3 63 14 06 00 73 00 10 00 B7 06 01 00 +63 72 D6 0A 93 36 06 10 93 C6 16 00 93 96 36 00 +B3 55 D6 00 33 07 B7 00 03 47 07 00 33 07 D7 00 +93 06 00 02 33 83 E6 40 63 98 E6 08 33 07 CE 40 +93 D5 08 01 33 56 B7 02 13 95 08 01 13 55 05 01 +93 D6 07 01 33 77 B7 02 33 06 A6 02 13 17 07 01 +33 E7 E6 00 63 7A C7 00 33 07 17 01 63 66 17 01 +63 74 C7 00 33 07 17 01 33 07 C7 40 B3 56 B7 02 +93 97 07 01 93 D7 07 01 33 77 B7 02 B3 86 A6 02 +13 17 07 01 B3 E7 E7 00 63 FA D7 00 B3 87 17 01 +63 E6 17 01 63 F4 D7 00 B3 87 17 01 B3 87 D7 40 +6F F0 1F F2 B7 05 00 01 93 06 00 01 E3 62 B6 F6 +93 06 80 01 6F F0 DF F5 B3 18 66 00 B3 56 EE 00 +B3 15 6E 00 33 57 E5 00 B3 17 65 00 13 D5 08 01 +33 67 B7 00 B3 D5 A6 02 13 9E 08 01 13 5E 0E 01 +B3 F6 A6 02 B3 85 C5 03 13 96 06 01 93 56 07 01 +B3 E6 C6 00 63 FA B6 00 B3 86 16 01 63 E6 16 01 +63 F4 B6 00 B3 86 16 01 B3 86 B6 40 33 D6 A6 02 +13 17 07 01 13 57 07 01 B3 F6 A6 02 33 06 C6 03 +93 96 06 01 33 67 D7 00 63 7A C7 00 33 07 17 01 +63 66 17 01 63 74 C7 00 33 07 17 01 33 07 C7 40 +6F F0 1F EF E3 62 DE E8 37 07 01 00 63 FC E6 04 +13 B7 06 10 13 47 17 00 13 17 37 00 B7 38 00 00 +33 D3 E6 00 93 88 48 BD B3 88 68 00 03 C3 08 00 +33 03 E3 00 13 07 00 02 B3 08 67 40 63 1E 67 02 +63 E4 C6 01 63 6C C5 00 33 06 C5 40 B3 06 DE 40 +B3 35 C5 00 B3 85 B6 40 93 07 06 00 13 85 07 00 +6F F0 9F E2 B7 08 00 01 13 07 00 01 E3 E8 16 FB +13 07 80 01 6F F0 9F FA B3 57 66 00 B3 96 16 01 +B3 E6 D7 00 33 57 6E 00 93 DE 06 01 B3 5F D7 03 +B3 15 1E 01 13 9E 06 01 13 5E 0E 01 B3 57 65 00 +B3 E5 B7 00 93 D7 05 01 33 16 16 01 33 15 15 01 +33 77 D7 03 33 0F FE 03 13 17 07 01 B3 E7 E7 00 +13 87 0F 00 63 FE E7 01 B3 87 D7 00 13 87 FF FF +63 E8 D7 00 63 F6 E7 01 13 87 EF FF B3 87 D7 00 +B3 87 E7 41 33 DF D7 03 93 95 05 01 93 D5 05 01 +B3 F7 D7 03 33 0E EE 03 93 97 07 01 B3 E5 F5 00 +93 07 0F 00 63 FE C5 01 B3 85 D5 00 93 07 FF FF +63 E8 D5 00 63 F6 C5 01 93 07 EF FF B3 85 D5 00 +13 17 07 01 B7 0F 01 00 33 67 F7 00 B3 85 C5 41 +13 8E FF FF B3 77 C7 01 93 5E 06 01 13 57 07 01 +33 7E C6 01 33 8F C7 03 33 0E C7 03 B3 87 D7 03 +33 07 D7 03 B3 8E C7 01 93 57 0F 01 B3 87 D7 01 +63 F4 C7 01 33 07 F7 01 13 DE 07 01 33 07 EE 00 +37 0E 01 00 13 0E FE FF B3 F7 C7 01 93 97 07 01 +33 7F CF 01 B3 87 E7 01 63 E6 E5 00 63 9E E5 00 +63 7C F5 00 33 86 C7 40 B3 B7 C7 00 B3 87 D7 00 +33 07 F7 40 93 07 06 00 B3 07 F5 40 33 35 F5 00 +B3 85 E5 40 B3 85 A5 40 33 93 65 00 B3 D7 17 01 +33 65 F3 00 B3 D5 15 01 6F F0 1F CC 13 03 05 00 +93 88 05 00 13 07 06 00 13 08 05 00 93 87 05 00 +63 92 06 28 B7 35 00 00 93 85 45 BD 63 F6 C8 0E +B7 06 01 00 63 78 D6 0C 93 36 06 10 93 C6 16 00 +93 96 36 00 33 55 D6 00 B3 85 A5 00 83 C5 05 00 +13 05 00 02 B3 86 D5 00 B3 05 D5 40 63 0C D5 00 +B3 97 B8 00 B3 56 D3 00 33 17 B6 00 B3 E7 F6 00 +33 18 B3 00 93 55 07 01 33 D3 B7 02 13 16 07 01 +13 56 06 01 B3 F7 B7 02 13 05 03 00 B3 08 66 02 +93 96 07 01 93 57 08 01 B3 E7 D7 00 63 FE 17 01 +B3 87 E7 00 13 05 F3 FF 63 E8 E7 00 63 F6 17 01 +13 05 E3 FF B3 87 E7 00 B3 87 17 41 B3 D8 B7 02 +13 18 08 01 13 58 08 01 B3 F7 B7 02 B3 06 16 03 +93 97 07 01 33 68 F8 00 93 87 08 00 63 7C D8 00 +33 08 07 01 93 87 F8 FF 63 66 E8 00 63 74 D8 00 +93 87 E8 FF 13 15 05 01 33 65 F5 00 93 05 00 00 +67 80 00 00 37 05 00 01 93 06 00 01 E3 6C A6 F2 +93 06 80 01 6F F0 1F F3 63 14 06 00 73 00 10 00 +B7 07 01 00 63 70 F6 0C 93 36 06 10 93 C6 16 00 +93 96 36 00 B3 57 D6 00 B3 85 F5 00 83 C7 05 00 +B3 87 D7 00 93 06 00 02 B3 85 F6 40 63 96 F6 0A +B3 87 C8 40 93 05 10 00 93 58 07 01 33 DE 17 03 +13 16 07 01 13 56 06 01 93 56 08 01 B3 F7 17 03 +13 05 0E 00 33 03 C6 03 93 97 07 01 B3 E7 F6 00 +63 FE 67 00 B3 87 E7 00 13 05 FE FF 63 E8 E7 00 +63 F6 67 00 13 05 EE FF B3 87 E7 00 B3 87 67 40 +33 D3 17 03 13 18 08 01 13 58 08 01 B3 F7 17 03 +B3 06 66 02 93 97 07 01 33 68 F8 00 93 07 03 00 +63 7C D8 00 33 08 07 01 93 07 F3 FF 63 66 E8 00 +63 74 D8 00 93 07 E3 FF 13 15 05 01 33 65 F5 00 +67 80 00 00 B7 07 00 01 93 06 00 01 E3 64 F6 F4 +93 06 80 01 6F F0 1F F4 33 17 B6 00 B3 D6 F8 00 +13 55 07 01 B3 57 F3 00 33 18 B3 00 33 D3 A6 02 +13 16 07 01 B3 98 B8 00 13 56 06 01 B3 E7 17 01 +B3 F6 A6 02 B3 08 66 02 93 95 06 01 93 D6 07 01 +B3 E6 B6 00 93 05 03 00 63 FE 16 01 B3 86 E6 00 +93 05 F3 FF 63 E8 E6 00 63 F6 16 01 93 05 E3 FF +B3 86 E6 00 B3 86 16 41 B3 D8 A6 02 93 97 07 01 +93 D7 07 01 B3 F6 A6 02 33 06 16 03 93 96 06 01 +B3 E7 D7 00 93 86 08 00 63 FE C7 00 B3 87 E7 00 +93 86 F8 FF 63 E8 E7 00 63 F6 C7 00 93 86 E8 FF +B3 87 E7 00 93 95 05 01 B3 87 C7 40 B3 E5 D5 00 +6F F0 9F EB 63 E6 D5 18 B7 07 01 00 63 F4 F6 04 +13 B7 06 10 13 47 17 00 13 17 37 00 B7 37 00 00 +B3 D5 E6 00 93 87 47 BD B3 87 B7 00 83 C7 07 00 +B3 87 E7 00 13 07 00 02 B3 05 F7 40 63 16 F7 02 +13 05 10 00 E3 EE 16 E1 33 35 C3 00 13 45 15 00 +67 80 00 00 B7 07 00 01 13 07 00 01 E3 E0 F6 FC +13 07 80 01 6F F0 9F FB 33 57 F6 00 B3 96 B6 00 +B3 66 D7 00 33 D7 F8 00 B3 98 B8 00 B3 57 F3 00 +B3 E7 17 01 93 D8 06 01 B3 5E 17 03 13 98 06 01 +13 58 08 01 33 16 B6 00 33 77 17 03 33 0E D8 03 +13 15 07 01 13 D7 07 01 33 67 A7 00 13 85 0E 00 +63 7E C7 01 33 07 D7 00 13 85 FE FF 63 68 D7 00 +63 76 C7 01 13 85 EE FF 33 07 D7 00 33 07 C7 41 +33 5E 17 03 93 97 07 01 93 D7 07 01 33 77 17 03 +33 08 C8 03 13 17 07 01 B3 E7 E7 00 13 07 0E 00 +63 FE 07 01 B3 87 D7 00 13 07 FE FF 63 E8 D7 00 +63 F6 07 01 13 07 EE FF B3 87 D7 00 13 15 05 01 +37 0E 01 00 33 65 E5 00 93 06 FE FF 33 77 D5 00 +B3 87 07 41 B3 76 D6 00 13 58 05 01 13 56 06 01 +B3 08 D7 02 B3 06 D8 02 33 07 C7 02 33 08 C8 02 +33 06 D7 00 13 D7 08 01 33 07 C7 00 63 74 D7 00 +33 08 C8 01 93 56 07 01 B3 86 06 01 63 E6 D7 02 +E3 9E D7 CE B7 07 01 00 93 87 F7 FF 33 77 F7 00 +13 17 07 01 B3 F8 F8 00 33 13 B3 00 33 07 17 01 +93 05 00 00 E3 7E E3 CC 13 05 F5 FF 6F F0 1F CD +93 05 00 00 13 05 00 00 67 80 00 00 13 01 01 FF +93 05 00 00 23 24 81 00 23 26 11 00 13 04 05 00 +EF 00 40 19 03 A5 81 C7 83 27 C5 03 63 84 07 00 +E7 80 07 00 13 05 04 00 EF 00 40 3A 13 01 01 FF +23 24 81 00 23 20 21 01 37 34 00 00 37 39 00 00 +93 07 44 05 13 09 49 05 33 09 F9 40 23 26 11 00 +23 22 91 00 13 59 29 40 63 00 09 02 13 04 44 05 93 04 00 00 83 27 04 00 93 84 14 00 13 04 44 00 -E7 80 07 00 E3 18 99 FE 83 20 C1 00 03 24 81 00 -83 24 41 00 03 29 01 00 13 01 01 01 67 80 00 00 -13 03 F0 00 13 07 05 00 63 7E C3 02 93 77 F7 00 -63 90 07 0A 63 92 05 08 93 76 06 FF 13 76 F6 00 -B3 86 E6 00 23 20 B7 00 23 22 B7 00 23 24 B7 00 -23 26 B7 00 13 07 07 01 E3 66 D7 FE 63 14 06 00 -67 80 00 00 B3 06 C3 40 93 96 26 00 97 02 00 00 -B3 86 56 00 67 80 C6 00 23 07 B7 00 A3 06 B7 00 -23 06 B7 00 A3 05 B7 00 23 05 B7 00 A3 04 B7 00 -23 04 B7 00 A3 03 B7 00 23 03 B7 00 A3 02 B7 00 -23 02 B7 00 A3 01 B7 00 23 01 B7 00 A3 00 B7 00 -23 00 B7 00 67 80 00 00 93 F5 F5 0F 93 96 85 00 -B3 E5 D5 00 93 96 05 01 B3 E5 D5 00 6F F0 DF F6 -93 96 27 00 97 02 00 00 B3 86 56 00 93 82 00 00 -E7 80 06 FA 93 80 02 00 93 87 07 FF 33 07 F7 40 -33 06 F6 00 E3 78 C3 F6 6F F0 DF F3 13 01 01 FD -23 2C 41 01 03 AA 81 C2 23 20 21 03 23 26 11 02 -03 29 8A 14 23 24 81 02 23 22 91 02 23 2E 31 01 -23 2A 51 01 23 28 61 01 23 26 71 01 23 24 81 01 -63 00 09 04 13 0B 05 00 93 8B 05 00 93 0A 10 00 -93 09 F0 FF 83 24 49 00 13 84 F4 FF 63 42 04 02 -93 94 24 00 B3 04 99 00 63 84 0B 04 83 A7 44 10 -63 80 77 05 13 04 F4 FF 93 84 C4 FF E3 16 34 FF -83 20 C1 02 03 24 81 02 83 24 41 02 03 29 01 02 -83 29 C1 01 03 2A 81 01 83 2A 41 01 03 2B 01 01 -83 2B C1 00 03 2C 81 00 13 01 01 03 67 80 00 00 -83 27 49 00 83 A6 44 00 93 87 F7 FF 63 8E 87 04 -23 A2 04 00 E3 88 06 FA 83 27 89 18 33 97 8A 00 -03 2C 49 00 B3 77 F7 00 63 92 07 02 E7 80 06 00 -03 27 49 00 83 27 8A 14 63 14 87 01 E3 84 27 F9 -E3 88 07 F8 13 89 07 00 6F F0 DF F5 83 27 C9 18 -83 A5 44 08 33 77 F7 00 63 1C 07 00 13 05 0B 00 -E7 80 06 00 6F F0 DF FC 23 22 89 00 6F F0 9F FA -13 85 05 00 E7 80 06 00 6F F0 9F FB 13 01 01 FF -23 24 81 00 B7 27 00 00 37 24 00 00 93 87 47 03 -13 04 84 03 33 04 F4 40 23 22 91 00 23 26 11 00 -93 54 24 40 63 80 04 02 13 04 C4 FF 33 04 F4 00 -83 27 04 00 93 84 F4 FF 13 04 C4 FF E7 80 07 00 -E3 98 04 FE 83 20 C1 00 03 24 81 00 83 24 41 00 -13 01 01 01 67 80 00 00 93 05 05 00 93 06 00 00 -13 06 00 00 13 05 00 00 6F 00 40 00 03 A7 81 C2 -83 27 87 14 63 8C 07 04 03 A7 47 00 13 08 F0 01 -63 4E E8 06 13 18 27 00 63 06 05 02 33 83 07 01 -23 24 C3 08 83 A8 87 18 13 06 10 00 33 16 E6 00 -B3 E8 C8 00 23 A4 17 19 23 24 D3 10 93 06 20 00 -63 04 D5 02 13 07 17 00 23 A2 E7 00 B3 87 07 01 -23 A4 B7 00 13 05 00 00 67 80 00 00 93 07 C7 14 -23 24 F7 14 6F F0 5F FA 83 A6 C7 18 13 07 17 00 -23 A2 E7 00 B3 E6 C6 00 23 A6 D7 18 B3 87 07 01 -23 A4 B7 00 13 05 00 00 67 80 00 00 13 05 F0 FF -67 80 00 00 93 08 D0 05 73 00 00 00 63 44 05 00 -6F 00 00 00 13 01 01 FF 23 24 81 00 13 04 05 00 -23 26 11 00 33 04 80 40 EF 00 C0 00 23 20 85 00 -6F 00 00 00 03 A5 01 C3 67 80 00 00 -@00001AD0 +E7 80 07 00 E3 18 99 FE 37 34 00 00 37 39 00 00 +93 07 44 05 13 09 C9 05 33 09 F9 40 13 59 29 40 +63 00 09 02 13 04 44 05 93 04 00 00 83 27 04 00 +93 84 14 00 13 04 44 00 E7 80 07 00 E3 18 99 FE +83 20 C1 00 03 24 81 00 83 24 41 00 03 29 01 00 +13 01 01 01 67 80 00 00 13 03 F0 00 13 07 05 00 +63 7E C3 02 93 77 F7 00 63 90 07 0A 63 92 05 08 +93 76 06 FF 13 76 F6 00 B3 86 E6 00 23 20 B7 00 +23 22 B7 00 23 24 B7 00 23 26 B7 00 13 07 07 01 +E3 66 D7 FE 63 14 06 00 67 80 00 00 B3 06 C3 40 +93 96 26 00 97 02 00 00 B3 86 56 00 67 80 C6 00 +23 07 B7 00 A3 06 B7 00 23 06 B7 00 A3 05 B7 00 +23 05 B7 00 A3 04 B7 00 23 04 B7 00 A3 03 B7 00 +23 03 B7 00 A3 02 B7 00 23 02 B7 00 A3 01 B7 00 +23 01 B7 00 A3 00 B7 00 23 00 B7 00 67 80 00 00 +93 F5 F5 0F 93 96 85 00 B3 E5 D5 00 93 96 05 01 +B3 E5 D5 00 6F F0 DF F6 93 96 27 00 97 02 00 00 +B3 86 56 00 93 82 00 00 E7 80 06 FA 93 80 02 00 +93 87 07 FF 33 07 F7 40 33 06 F6 00 E3 78 C3 F6 +6F F0 DF F3 13 01 01 FD 23 2C 41 01 03 AA 81 C7 +23 20 21 03 23 26 11 02 03 29 8A 14 23 24 81 02 +23 22 91 02 23 2E 31 01 23 2A 51 01 23 28 61 01 +23 26 71 01 23 24 81 01 63 00 09 04 13 0B 05 00 +93 8B 05 00 93 0A 10 00 93 09 F0 FF 83 24 49 00 +13 84 F4 FF 63 42 04 02 93 94 24 00 B3 04 99 00 +63 84 0B 04 83 A7 44 10 63 80 77 05 13 04 F4 FF +93 84 C4 FF E3 16 34 FF 83 20 C1 02 03 24 81 02 +83 24 41 02 03 29 01 02 83 29 C1 01 03 2A 81 01 +83 2A 41 01 03 2B 01 01 83 2B C1 00 03 2C 81 00 +13 01 01 03 67 80 00 00 83 27 49 00 83 A6 44 00 +93 87 F7 FF 63 8E 87 04 23 A2 04 00 E3 88 06 FA +83 27 89 18 33 97 8A 00 03 2C 49 00 B3 77 F7 00 +63 92 07 02 E7 80 06 00 03 27 49 00 83 27 8A 14 +63 14 87 01 E3 84 27 F9 E3 88 07 F8 13 89 07 00 +6F F0 DF F5 83 27 C9 18 83 A5 44 08 33 77 F7 00 +63 1C 07 00 13 05 0B 00 E7 80 06 00 6F F0 DF FC +23 22 89 00 6F F0 9F FA 13 85 05 00 E7 80 06 00 +6F F0 9F FB 13 01 01 FF 23 24 81 00 B7 37 00 00 +37 34 00 00 93 87 C7 05 13 04 04 06 33 04 F4 40 +23 22 91 00 23 26 11 00 93 54 24 40 63 80 04 02 +13 04 C4 FF 33 04 F4 00 83 27 04 00 93 84 F4 FF +13 04 C4 FF E7 80 07 00 E3 98 04 FE 83 20 C1 00 +03 24 81 00 83 24 41 00 13 01 01 01 67 80 00 00 +93 05 05 00 93 06 00 00 13 06 00 00 13 05 00 00 +6F 00 40 00 03 A7 81 C7 83 27 87 14 63 8C 07 04 +03 A7 47 00 13 08 F0 01 63 4E E8 06 13 18 27 00 +63 06 05 02 33 83 07 01 23 24 C3 08 83 A8 87 18 +13 06 10 00 33 16 E6 00 B3 E8 C8 00 23 A4 17 19 +23 24 D3 10 93 06 20 00 63 04 D5 02 13 07 17 00 +23 A2 E7 00 B3 87 07 01 23 A4 B7 00 13 05 00 00 +67 80 00 00 93 07 C7 14 23 24 F7 14 6F F0 5F FA +83 A6 C7 18 13 07 17 00 23 A2 E7 00 B3 E6 C6 00 +23 A6 D7 18 B3 87 07 01 23 A4 B7 00 13 05 00 00 +67 80 00 00 13 05 F0 FF 67 80 00 00 93 08 D0 05 +73 00 00 00 63 44 05 00 6F 00 00 00 13 01 01 FF +23 24 81 00 13 04 05 00 23 26 11 00 33 04 80 40 +EF 00 C0 00 23 20 85 00 6F 00 00 00 03 A5 01 C8 +67 80 00 00 +@00002A48 20 20 00 00 20 20 20 00 20 00 00 00 2D 20 00 00 -20 20 7C 00 7C 0A 00 00 0A 00 00 00 20 20 64 20 +20 20 7C 00 7C 0A 00 00 0A 0D 00 00 74 6F 74 61 +6C 20 20 20 3A 20 00 00 61 64 64 2F 73 75 62 20 +3A 20 00 00 6D 75 6C 20 20 20 20 20 3A 20 00 00 +64 69 76 20 20 20 20 20 3A 20 00 00 6C 64 20 20 +20 20 20 20 3A 20 00 00 73 74 20 20 20 20 20 20 +3A 20 00 00 6A 6D 70 20 20 20 20 20 3A 20 00 00 +6A 20 20 20 20 20 20 20 3A 20 00 00 61 6C 75 69 +20 20 20 20 3A 20 00 00 61 6C 75 20 20 20 20 20 +3A 20 00 00 0A 00 00 00 2C 20 00 00 20 20 64 20 3C 61 64 64 72 3E 20 2D 2D 20 64 69 73 70 6C 61 79 20 6D 65 6D 6F 72 79 20 0A 00 00 20 20 62 20 3C 62 61 75 64 72 61 74 65 3E 20 2D 2D 20 73 65 @@ -436,18 +692,17 @@ B3 E8 C8 00 23 A4 17 19 23 24 D3 10 93 06 20 00 72 79 20 77 6F 72 64 0A 00 00 00 00 20 20 20 20 77 69 64 74 68 3D 31 2C 20 32 20 6F 72 20 34 0A 00 00 00 00 3A 00 00 00 3E 3E 00 00 0A 0D 3A 00 -0A 0D 00 00 68 65 6C 70 20 00 00 00 63 68 61 72 -20 40 00 00 73 68 6F 72 74 20 40 00 69 6E 74 20 -40 00 00 00 20 3D 20 00 28 00 00 00 29 0A 0D 00 -00 01 02 02 03 03 03 03 04 04 04 04 04 04 04 04 -05 05 05 05 05 05 05 05 05 05 05 05 05 05 05 05 -06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 +68 65 6C 70 20 00 00 00 63 68 61 72 20 40 00 00 +73 68 6F 72 74 20 40 00 69 6E 74 20 40 00 00 00 +20 3D 20 00 28 00 00 00 29 0A 0D 00 00 01 02 02 +03 03 03 03 04 04 04 04 04 04 04 04 05 05 05 05 +05 05 05 05 05 05 05 05 05 05 05 05 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 +06 06 06 06 06 06 06 06 06 06 06 06 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 -07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 -08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 +07 07 07 07 07 07 07 07 07 07 07 07 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 @@ -455,16 +710,25 @@ B3 E8 C8 00 23 A4 17 19 23 24 D3 10 93 06 20 00 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 -@00002000 +08 08 08 08 08 08 08 08 08 08 08 08 +@00003000 10 00 00 00 00 00 00 00 03 7A 52 00 01 7C 01 01 -1B 0D 02 00 10 00 00 00 18 00 00 00 7C F2 FF FF -30 04 00 00 00 00 00 00 00 00 00 00 -@0000202C +1B 0D 02 00 10 00 00 00 18 00 00 00 2C E9 FF FF +78 04 00 00 00 00 00 00 10 00 00 00 2C 00 00 00 +90 ED FF FF 50 04 00 00 00 00 00 00 10 00 00 00 +40 00 00 00 CC F1 FF FF 30 04 00 00 00 00 00 00 +00 00 00 00 +@00003054 74 00 00 00 1C 01 00 00 -@00002034 +@0000305C D8 00 00 00 -@00002038 -00 00 00 00 24 23 00 00 8C 23 00 00 F4 23 00 00 +@00003060 +CC 0D 00 00 48 0E 00 00 C4 0E 00 00 40 0F 00 00 +BC 0F 00 00 38 10 00 00 B4 10 00 00 30 11 00 00 +AC 11 00 00 28 12 00 00 64 2A 00 00 70 2A 00 00 +7C 2A 00 00 88 2A 00 00 94 2A 00 00 A0 2A 00 00 +AC 2A 00 00 B8 2A 00 00 C4 2A 00 00 D0 2A 00 00 +00 00 00 00 9C 33 00 00 04 34 00 00 6C 34 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @@ -531,5 +795,5 @@ D8 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -@00002460 -38 20 00 00 00 01 00 F0 38 20 00 00 +@000034D8 +B0 30 00 00 00 01 00 F0 B0 30 00 00 diff --git a/examples/hdl4se_riscv/test_code/test.elf b/examples/hdl4se_riscv/test_code/test.elf index b7fd9c0f789c4655f92bd52fef9f0d23a2d4dd24..b2a0fddb3d282f8afb6a27a4ef7344b6fcb48183 100644 Binary files a/examples/hdl4se_riscv/test_code/test.elf and b/examples/hdl4se_riscv/test_code/test.elf differ diff --git a/examples/hdl4se_riscv/test_code/test.hex b/examples/hdl4se_riscv/test_code/test.hex index 70aab492482b6d654a446babde7532a3ae2650f2..1eb0e0425ca19ef5a65d997f5d5a5adf863bf025 100644 --- a/examples/hdl4se_riscv/test_code/test.hex +++ b/examples/hdl4se_riscv/test_code/test.hex @@ -1,32 +1,32 @@ -:1000740093070000638807003725000013050599DE -:100084006F10901667800000972100009381C17A59 -:10009400138541C3138681C53306A640930500002A -:1000A400EF10006F17250000130545946308050041 -:1000B400172500001305C58DEF101013EF10806392 -:1000C400032501009305410013060000EF0050537F -:1000D4006F10405F130101FF2324810083C7C1C354 +:100074009307000063880700373500001305859057 +:100084006F20100E67800000973100009381417D3E +:10009400138541C8138681CA3306A6409305000020 +:1000A400EF208066173500001305C58B6308050033 +:1000B4001735000013054585EF20900AEF20005BFB +:1000C400032501009305410013060000EF1080385A +:1000D4006F20C056130101FF2324810083C7C1C8C8 :1000E400232611006392070293070000638A070026 -:1000F400372500001305050097000000E700000005 -:1001040093071000238EF1C28320C10003248100D1 +:1000F400373500001305050097000000E7000000F5 +:10010400930710002386F1C88320C10003248100D3 :10011400130101016780000093070000638C07004E -:1001240037250000938501C413050500170300005B +:1001240037350000938501C9130505001703000046 :100134006700000067800000130101FF232681008F -:100144001304010183A7C1C29387870003A7070093 -:1001540023AAE1C283A741C393F7170093B71700FB +:100144001304010183A7C1C79387870003A707008E +:1001540023A2E1C883A741C893F7170093B71700F8 :1001640093F7F70F138507000324C100130101015E :1001740067800000130101FF23268100130401019D -:1001840083A7C1C29387870003A7070023AAE1C2FC -:1001940003A741C3B7070100B377F70093B717006C +:1001840083A7C1C79387870003A7070023A2E1C8F9 +:1001940003A741C8B7070100B377F70093B7170067 :1001A40093F7F70F138507000324C100130101011E :1001B40067800000130101FE232E81001304010255 -:1001C4002326A4FE83A7C1C29387870003A7070041 -:1001D40023AAE1C283A741C393F71700639E0700D4 -:1001E40083A7C1C2938747000327C4FE23A0E70067 +:1001C4002326A4FE83A7C1C79387870003A707003C +:1001D40023A2E1C883A741C893F71700639E0700D1 +:1001E40083A7C1C7938747000327C4FE23A0E70062 :1001F400930700006F0080009307F0FF138507004A :100204000324C1011301010267800000130101FFEF -:10021400232681001304010183A7C1C293878700A9 -:1002240003A7070023AAE1C203A741C3B70701003C -:10023400B377F7006398070083A7C1C283A70700B9 +:10021400232681001304010183A7C1C793878700A4 +:1002240003A7070023A2E1C803A741C8B707010039 +:10023400B377F7006398070083A7C1C783A70700B4 :100244006F0080009307F0FF138507000324C100AB :100254001301010167800000130101FE232E110028 :10026400232C8100130401022326A4FE6F00000343 @@ -48,483 +48,747 @@ :100364009307D0006306F7006FF09FF813000000B6 :100374008327C4FE0327C4FDB307F70023800700C7 :100384008327C4FE138507008320C102032481024E -:100394001301010367800000130101FC232E810275 -:1003A400130401042326A4FC2324B4FC232404FE04 -:1003B400232204FE832784FC63DE0704832784FC52 -:1003C400B307F0402324F4FC232204FE6F008004CE -:1003D400032784FC9307A000B367F70213F7F70F12 -:1003E400832784FE938617002324D4FE9386070074 -:1003F4008327C4FCB387D700130707031377F70FCA -:100404002380E700032784FC9307A000B347F70287 -:100414002324F4FC832784FCE34CF0FA832744FE72 -:1004240063820702832784FE138717002324E4FED4 -:10043400138707008327C4FCB387E7001307D002A0 -:100444002380E700232604FE6F00C006832784FE72 -:100454001387F7FF8327C4FEB307F7402320F4FE76 -:100464008327C4FE0327C4FCB307F70083C7070030 -:10047400A30FF4FC832704FE0327C4FC3307F7000F -:100484008327C4FE8326C4FCB387F6000347070012 -:100494002380E700832704FE0327C4FCB307F70087 -:1004A4000347F4FD2380E7008327C4FE93871700E6 -:1004B4002326F4FE832784FE13D7F701B307F7003E -:1004C40093D71740138707008327C4FEE3C0E7F8D8 -:1004D400832784FE0327C4FCB307F70023800700A7 -:1004E400832784FE138507000324C1031301010439 -:1004F40067800000130101FB232681041304010516 -:100504002326A4FC2320B4FC2322C4FC2324D4FCEF -:1005140093070700A30FF4FA232404FE6F000009D5 -:10052400832704FC93F7F700232EF4FC0327C4FD70 -:100534009307900063C4E7028327C4FD13F7F70F02 -:10054400832784FE8326C4FCB387F60013070703BE -:100554001377F70F2380E7006F0040028327C4FD61 -:1005640013F7F70F832784FE8326C4FCB387F600B2 -:10057400130777051377F70F2380E700832744FCDD -:100584009397C701032704FC1358470033E8070176 -:10059400832744FC93D84700232004FD232214FD21 -:1005A400832784FE938717002324F4FE832704FC07 -:1005B400032744FCB3E7E700E39407F66F00400227 -:1005C400832784FE138717002324E4FE1387070080 -:1005D4008327C4FCB387E7000347F4FB2380E700C9 -:1005E400032784FE832784FCE34CF7FC232604FEC4 -:1005F4006F00C006832784FE1387F7FF8327C4FE9A -:10060400B307F7402322F4FE8327C4FE0327C4FC68 -:10061400B307F70083C70700A301F4FE832744FE52 -:100624000327C4FC3307F7008327C4FE8326C4FCD6 -:10063400B387F600034707002380E700832744FEBF -:100644000327C4FCB307F700034734FE2380E70005 -:100654008327C4FE938717002326F4FE832784FE92 -:1006640013D7F701B307F70093D717401387070091 -:100674008327C4FEE3C0E7F8832784FE0327C4FC72 -:10068400B307F70023800700832784FE1385070040 -:100694000324C1041301010567800000130101FD57 -:1006A4002326810213040103232EA4FC232CB4FC6F -:1006B400232604FE232404FE930710002322F4FEC1 -:1006C4006F00C0088327C4FD83C707002320F4FEFE -:1006D400032704FE9307F00263D2E704032704FE12 -:1006E4009307900363CCE702032784FE9307070074 -:1006F40093972700B387E7009397170013870700A2 -:10070400832704FEB307F700938707FD2324F4FE31 -:10071400930710002326F4FE6F0080028327C4FE93 -:10072400639E0702032704FE9307D002631AF700AF -:100734009307F0FF2322F4FE930710002326F4FE10 -:100744008327C4FD93871700232EF4FC8327C4FD5D -:1007540083C70700E39807F66F00800013000000CA -:10076400032784FE832744FEB307F7022324F4FE01 -:10077400832784FD63880700832784FD0327C4FD42 -:1007840023A0E700832784FE138507000324C10206 -:100794001301010367800000130101FD2326810278 -:1007A40013040103232EA4FC232CB4FC232604FEEF -:1007B400232404FE6F00000E8327C4FD83C70700B3 -:1007C4002322F4FE032744FE9307F00263DAE702D0 -:1007D400032744FE9307900363C4E70293071000C2 -:1007E4002326F4FE832784FE13974700832744FEC1 -:1007F400B307F700938707FD2324F4FE6F00C008B6 -:10080400032744FE9307000663DAE702032744FE46 -:100814009307600663C4E702930710002326F4FEDF -:10082400832784FE13974700832744FEB307F7000A -:10083400938797FA2324F4FE6F000005032744FEF0 -:100844009307000463DAE702032744FE9307600476 -:1008540063C4E702930710002326F4FE832784FE73 -:1008640013974700832744FEB307F700938797FC49 -:100874002324F4FE6F0040018327C4FE639407021F -:10088400930710002326F4FE8327C4FD93871700E3 -:10089400232EF4FC8327C4FD83C70700E39E07F0DF -:1008A4006F00800013000000832784FD6388070025 -:1008B400832784FD0327C4FD23A0E700832784FE48 -:1008C400138507000324C10213010103678000009C -:1008D400130101FD2326810213040103232EA4FC2A -:1008E400232CB4FC8327C4FD2326F4FE6F000001EF -:1008F4008327C4FD93871700232EF4FC8327C4FDAC -:1009040083C70700E39607FE6F004002032784FDB8 -:1009140093071700232CF4FC8327C4FD9386170048 -:10092400232ED4FC034707002380E700832784FD9C -:1009340083C70700E39C07FC8327C4FD23800700CB -:100944000327C4FD8327C4FEB307F74013850700BC -:100954000324C1021301010367800000130101FD98 -:100964002326810213040103232EA4FC232CB4FCAC -:10097400232AC4FC232604FE6F0000058327C4FD3C -:1009840013871700232EE4FC03C70700832784FD85 -:1009940093861700232CD4FC83C707006306F70053 -:1009A400930710006F0000048327C4FE9387170089 -:1009B4002326F4FE0327C4FE832744FD6346F70081 -:1009C400930700006F0000028327C4FD83C707005C -:1009D40063880700832784FD83C70700E39007FA31 -:1009E40093070000138507000324C10213010103C8 -:1009F40067800000130101FE232E8100130401020D -:100A04002326A4FEB7E7F505138707108327C4FE42 -:100A14003347F70283A7C1C29387070123A0E700E6 -:100A240093070000138507000324C1011301010289 -:100A340067800000130101EC232E1112232C811274 -:100A4400232A21132328311323264113232451134A -:100A54001304011483A781C393F707FF232AF4FC2B -:100A6400232C04FC6F00801F832744FD1389070097 -:100A7400930900009307C4EC130700039306800056 -:100A8400930509001386090013850700EFF09FA65C -:100A94001307C4ECB7270000938507AD13050700BF -:100AA400EFF01FE3232E04FC6F00000C832744FDAA -:100AB4002326F4FC0327C4FD832744FD3307F700F2 -:100AC40083A781C3637EF7001307C4ECB727000034 -:100AD400938547AD13050700EFF09FDF6F00000615 -:100AE4008327C4FD0327C4FCB307F70083C70700AB -:100AF400138A0700930A0000930744EC13070003CA -:100B04009306200093050A0013860A001385070044 -:100B1400EFF05F9E130744ECB7270000938587AD81 -:100B240013050700EFF0DFDA130744EC9307C4EC76 -:100B34009305070013850700EFF09FD90327C4FD31 -:100B440093077000631CF7001307C4ECB727000079 -:100B54009385C7AD13050700EFF09FD78327C4FD26 -:100B640093871700232EF4FC0327C4FD9307F0009A -:100B7400E3DEE7F21307C4ECB7270000938507AE62 -:100B840013050700EFF0DFD4232E04FC6F000008E8 -:100B9400832744FD2328F4FC8327C4FD032704FD95 -:100BA400B307F70003C707009307F00163FAE702EE -:100BB4008327C4FD032704FDB307F70003C7070019 -:100BC4009307E00763EEE7008327C4FD032704FDD2 -:100BD400B307F70083C707002300F4EC6F00C000DD -:100BE4009307E0022300F4ECA30004EC130704ECE5 -:100BF4009307C4EC9305070013850700EFF05FCD5E +:100394001301010367800000130101FC232E1102E5 +:1003A400232C8102130401042326A4FC2320B4FC7F +:1003B4002322C4FC232404FE232204FE832744FCBA +:1003C40063DC07021306000093060000032504FC07 +:1003D400832544FC3307A64013080700333806017D +:1003E400B387B640B3860741938706002320E4FC15 +:1003F4002322F4FC232204FE832704FC032744FC69 +:10040400B3E7E7006390070A832784FE1387170086 +:100414002324E4FE138707008327C4FCB387E70083 +:10042400130700032380E7006F00C00B032704FCBD +:10043400832744FC1306A00093060000130507005D +:1004440093850700EF1090171307050093870500A5 +:100454001377F70F832784FE938617002324D4FE93 +:10046400938607008327C4FCB387D70013070703C9 +:100474001377F70F2380E700032704FC832744FC4A +:100484001306A000930600001305070093850700D8 +:10049400EF10404B13070500938705002320E4FC6D +:1004A4002322F4FC832744FCE342F0F8832744FC32 +:1004B40063960700832704FCE39A07F6832744FE28 +:1004C40063820702832784FE138717002324E4FE34 +:1004D400138707008327C4FCB387E7001307D00200 +:1004E4002380E700232604FE6F00C006832784FED2 +:1004F4001387F7FF8327C4FEB307F7402320F4FED6 +:100504008327C4FE0327C4FCB307F70083C707008F +:10051400A30FF4FC832704FE0327C4FC3307F7006E +:100524008327C4FE8326C4FCB387F6000347070071 +:100534002380E700832704FE0327C4FCB307F700E6 +:100544000347F4FD2380E7008327C4FE9387170045 +:100554002326F4FE832784FE13D7F701B307F7009D +:1005640093D71740138707008327C4FEE3C0E7F837 +:10057400832784FE0327C4FCB307F7002380070006 +:10058400832784FE138507008320C103032481038A +:100594001301010467800000130101FB2326810479 +:1005A400130401052326A4FC2320B4FC2322C4FC49 +:1005B4002324D4FC93070700A30FF4FA232404FE96 +:1005C4006F000009832704FC93F7F700232EF4FC43 +:1005D4000327C4FD9307900063C4E7028327C4FD87 +:1005E40013F7F70F832784FE8326C4FCB387F60032 +:1005F400130707031377F70F2380E7006F00400208 +:100604008327C4FD13F7F70F832784FE8326C4FCD6 +:10061400B387F600130777051377F70F2380E700F6 +:10062400832744FC9397C701032704FC135847000E +:1006340033E80701832744FC93D84700232004FDB3 +:10064400232214FD832784FE938717002324F4FEBA +:10065400832704FC032744FCB3E7E700E39407F68D +:100664006F004002832784FE138717002324E4FECF +:10067400138707008327C4FCB387E7000347F4FB11 +:100684002380E700032784FE832784FCE34CF7FCE4 +:10069400232604FE6F00C006832784FE1387F7FF1A +:1006A4008327C4FEB307F7402322F4FE8327C4FE46 +:1006B4000327C4FCB307F70083C70700A301F4FEB4 +:1006C400832744FE0327C4FC3307F7008327C4FEB3 +:1006D4008326C4FCB387F600034707002380E700A2 +:1006E400832744FE0327C4FCB307F700034734FE03 +:1006F4002380E7008327C4FE938717002326F4FE94 +:10070400832784FE13D7F701B307F70093D7174065 +:10071400138707008327C4FEE3C0E7F8832784FE1A +:100724000327C4FCB307F70023800700832784FE54 +:10073400138507000324C104130101056780000029 +:10074400130101FD2326810213040103232EA4FCBB +:10075400232CB4FC232604FE232404FE9307100058 +:100764002322F4FE6F00C0088327C4FD83C707005B +:100774002320F4FE032704FE9307F00263D2E70468 +:10078400032704FE9307900363CCE702032784FE48 +:100794009307070093972700B387E7009397170001 +:1007A40013870700832704FEB307F700938707FD29 +:1007B4002324F4FE930710002326F4FE6F00800226 +:1007C4008327C4FE639E0702032704FE9307D00217 +:1007D400631AF7009307F0FF2322F4FE9307100037 +:1007E4002326F4FE8327C4FD93871700232EF4FCED +:1007F4008327C4FD83C70700E39807F66F008000D2 +:1008040013000000032784FE832744FEB307F70286 +:100814002324F4FE832784FD63880700832784FD53 +:100824000327C4FD23A0E700832784FE1385070064 +:100834000324C1021301010367800000130101FDB9 +:100844002326810213040103232EA4FC232CB4FCCD +:10085400232604FE232404FE6F00000E8327C4FD18 +:1008640083C707002322F4FE032744FE9307F00204 +:1008740063DAE702032744FE9307900363C4E702A5 +:10088400930710002326F4FE832784FE1397470062 +:10089400832744FEB307F700938707FD2324F4FE60 +:1008A4006F00C008032744FE9307000663DAE702DB +:1008B400032744FE9307600663C4E702930710000E +:1008C4002326F4FE832784FE13974700832744FEE0 +:1008D400B307F700938797FA2324F4FE6F0000050B +:1008E400032744FE9307000463DAE702032744FE68 +:1008F4009307600463C4E702930710002326F4FE01 +:10090400832784FE13974700832744FEB307F70029 +:10091400938797FC2324F4FE6F0040018327C4FED1 +:1009240063940702930710002326F4FE8327C4FD73 +:1009340093871700232EF4FC8327C4FD83C7070085 +:10094400E39E07F06F00800013000000832784FDFE +:1009540063880700832784FD0327C4FD23A0E700E1 +:10096400832784FE138507000324C10213010103B6 +:1009740067800000130101FD232681021304010393 +:10098400232EA4FC232CB4FC8327C4FD2326F4FECD +:100994006F0000018327C4FD93871700232EF4FC06 +:1009A4008327C4FD83C70700E39607FE6F00400258 +:1009B400032784FD93071700232CF4FC8327C4FD2D +:1009C40093861700232ED4FC034707002380E700F7 +:1009D400832784FD83C70700E39C07FC8327C4FDAA +:1009E400238007000327C4FD8327C4FEB307F74011 +:1009F400138507000324C10213010103678000006B +:100A0400130101FD2326810213040103232EA4FCF8 +:100A1400232CB4FC232AC4FC232604FE6F00000507 +:100A24008327C4FD13871700232EE4FC03C70700A4 +:100A3400832784FD93861700232CD4FC83C70700E7 +:100A44006306F700930710006F0000048327C4FEB9 +:100A5400938717002326F4FE0327C4FE832744FD4F +:100A64006346F700930700006F0000028327C4FD6C +:100A740083C7070063880700832784FD83C70700B3 +:100A8400E39007FA93070000138507000324C102CB +:100A94001301010367800000130101FE232E81006E +:100AA400130401022326A4FEB7E7F50513870710F4 +:100AB4008327C4FE3347F70283A7C1C7938707017F +:100AC40023A0E70093070000138507000324C10156 +:100AD4001301010267800000130101EC232E11129F +:100AE400232C8112232A2113232831132326411373 +:100AF400232451131304011483A781C893F707FF18 +:100B0400232AF4FC232C04FC6F00801F832744FD5C +:100B140013890700930900009307C4EC130700032B +:100B240093068000930509001386090013850700C6 +:100B3400EFF09FA61307C4ECB7370000938587A492 +:100B440013050700EFF01FE3232E04FC6F00000CD5 +:100B5400832744FD2326F4FC0327C4FD832744FD97 +:100B64003307F70083A781C8637EF7001307C4EC3B +:100B7400B73700009385C7A413050700EFF09FDF84 +:100B84006F0000068327C4FD0327C4FCB307F700E6 +:100B940083C70700138A0700930A0000930744ECF5 +:100BA400130700039306200093050A0013860A0026 +:100BB40013850700EFF05F9E130744ECB73700007E +:100BC400938507A513050700EFF0DFDA130744EC5C +:100BD4009307C4EC9305070013850700EFF09FD932 +:100BE4000327C4FD93077000631CF7001307C4ECCC +:100BF400B7370000938547A513050700EFF09FD78B :100C04008327C4FD93871700232EF4FC0327C4FD18 -:100C14009307F000E3DEE7F61307C4ECB727000000 -:100C2400938547AE13050700EFF09FCA9307C4EC02 -:100C340013850700EFF04FE2832744FD93870701F4 -:100C4400232AF4FC832744FD93F7F70F63800702FC -:100C5400832784FD93871700232CF4FC032784FD4A -:100C64009307F000E3D2E7E06F0080001300000078 -:100C7400B7270000138587AEEFF00FDE032744FD8E -:100C840023ACE1C2130000008320C11303248113A9 -:100C94000329411383290113032AC112832A8112D0 -:100CA4001301011467800000130101FE232E81004B -:100CB40013040102F36500C02326B4FEF36500C8E3 -:100CC4002324B4FE832584FE2320B4FE232204FEC1 -:100CD400832504FE9398050013080000232004FFD5 -:100CE400232214FF8325C4FE138705009307000005 -:100CF400832504FE33E6E500832544FEB3E6F500D0 -:100D04002320C4FE2322D4FE032704FE832744FEAB -:100D140013050700938507000324C1011301010291 -:100D240067800000130101FE232E810013040102D9 -:100D3400F36520C02326B4FEF36520C82324B4FE43 -:100D4400832584FE2320B4FE232204FE832504FE8F -:100D54009398050013080000232004FF232214FFA6 -:100D64008325C4FE1387050093070000832504FE32 -:100D740033E6E500832544FEB3E6F5002320C4FEF4 -:100D84002322D4FE032704FE832744FE1305070011 -:100D9400938507000324C101130101026780000049 -:100DA400130101FF232611002324810013040101F0 -:100DB400B72700001385C7AEEFF00FCAB7270000AE -:100DC4001385C7B0EFF04FC9B7270000138507B3E9 -:100DD400EFF08FC8B7270000138587B5EFF0CFC7B2 -:100DE400B72700001385C7B8EFF00FC71300000042 -:100DF4008320C100032481001301010167800000E6 -:100E0400130101E8232E1116232C8116232A2117FE -:100E1400232831172326411723245117232261172E -:100E240023207117130401182326A4E82324B4E80B -:100E3400B70700F0232CF4FCB70700F093870701F1 -:100E4400232AF4FCB7C7010013850720EFF09FBAEB -:100E5400232804FC232604FCEFF01FE513090500F6 -:100E640093890500EFF01FEC130705009387050035 -:100E74001306400693060000130507009385070038 -:100E8400EF00404113070500938705001306070090 -:100E9400938607001305090093850900EF00803F3E -:100EA40013070500938705002324E4FCEFF0CFA883 -:100EB40093070500638E0708EFF01FDF930704E92B -:100EC40013070003930680001386050093050500AD -:100ED40013850700EFF00FE2930704E91385070079 -:100EE400EFF08FB7B7270000138547BAEFF0CFB6FE -:100EF400EFF05FE3930704E9130700039306800010 -:100F0400138605009305050013850700EFF08FDEB7 -:100F1400930704E913850700EFF00FB4B727000027 -:100F2400138547BAEFF04FB3930704E9832584FC94 -:100F340013850700EFF04FC6930704E913850700F4 -:100F4400EFF08FB1B7270000138587BAEFF0CFB069 -:100F5400EFF04FA293070500E38C07FE930704E923 -:100F64009305F00F13850700EFF08FB51300000011 -:100F7400B72700001385C7BAEFF00FAE930704E953 -:100F840013850700EFF04FADB7270000138507BBAB -:100F9400EFF08FAC130704E913064000B7270000F5 -:100FA400938547BB13050700EFF05F9B930705008C -:100FB40063960700EFF0DFDE6FF01FEA034704E9F2 -:100FC40093072006631CF702930704E9938727001D -:100FD4009305000013850700EFF04FEC2326A4FAD5 -:100FE4008327C4FA6358F0000325C4FAEFF09FA0E6 -:100FF4006FF09FE6EFF0DFDA6FF01FE6034704E9D6 -:1010040093074006631AF702930704E993872700BE -:101014009305000013850700EFF00FF82328A4FAC6 -:10102400832704FB6356F000032704FB23ACE1C2CF -:10103400EFF05FA06FF05FE2034704E993077007E6 -:10104400631CF70A930704E993872700130744F9FD -:101054009305070013850700EFF00FF4232EA4FA7D -:10106400832744F9130744F9930507001385070000 -:10107400EFF08FF2232CA4FA832744F9130744F9E1 -:101084009305070013850700EFF00FF1232AA4FA54 -:10109400032744FB93071000631CF7008327C4FB5A -:1010A400032784FB1377F70F2380E7006FF0DFDA61 -:1010B400032744FB93072000631EF7008327C4FB28 -:1010C400032784FB13170701135707412390E700F5 -:1010D4006FF09FD8032744FB93074000631AF7007F -:1010E4008327C4FB032784FB23A0E7006FF0DFD62C -:1010F400EFF01FCB6FF05FD6034704E99307200797 -:10110400E31CF7D4232E04FC930704E993872700F8 -:10111400130704F99305070013850700EFF0CFE7E1 -:101124002322A4FC832704F9130704F99305070079 -:1011340013850700EFF04FE62320A4FC032704FCEB -:10114400930710006310F702832744FC83C707004A -:10115400232EF4FCB72700001385C7BBEFF0CF8F15 -:101164006F008005032704FC930720006310F70237 -:10117400832744FC83970700232EF4FCB727000041 -:10118400138547BCEFF04F8D6F000003032704FC69 -:10119400930740006310F702832744FC83A70700EA -:1011A400232EF4FCB72700001385C7BCEFF0CF8AC9 -:1011B4006F008000EFF0DFBE032704FC93071000EC -:1011C400630EF700032704FC930720006308F7006D -:1011D400032704FC93074000E310F7C8832744FC6B -:1011E400138A070093D7F741938A0700930704E90A -:1011F400130700039306800093050A0013860A0070 -:1012040013850700EFF00FAF930704E91385070078 -:10121400EFF08F84B7270000138547BDEFF0CF832D -:10122400930704E98325C4FD13850700EFF0CF96E7 -:10123400930704E913850700EFF00F82B727000036 -:10124400138587BDEFF04F818327C4FD138B0700FF -:1012540093D7F741938B0700832704FC93961700D9 -:10126400930704E91307000393050B0013860B008F -:1012740013850700EFF00FA8930704E9138507000F -:10128400EFE09FFDB72700001385C7BDEFE0DFFC4B -:101294006FF09FBC13030500938805001307060035 -:1012A400130805009387050063920628B7250000FC -:1012B400938505BE63F6C80EB70601006378D60CA5 -:1012C4009336061093C61600939636003355D6000F -:1012D400B385A50083C5050013050002B386D500B8 -:1012E400B305D540630CD500B397B800B356D3000B -:1012F4003317B600B3E7F6003318B300935507016C -:1013040033D3B7021316070113560601B3F7B70216 -:1013140013050300B3086602939607019357080167 -:10132400B3E7D70063FE1701B387E7001305F3FFA4 -:1013340063E8E70063F617011305E3FFB387E700EB -:10134400B3871741B3D8B70213180801135808011B -:10135400B3F7B702B3061603939707013368F8008F -:1013640093870800637CD800330807019387F8FF4C -:101374006366E8006374D8009387E8FF13150501DA -:101384003365F50093050000678000003705000110 -:1013940093060001E36CA6F2930680016FF01FF33D -:1013A4006314060073001000B70701006370F60CA5 -:1013B4009336061093C6160093963600B357D6009C -:1013C400B385F50083C70500B387D70093060002F1 -:1013D400B385F6406396F60AB387C84093051000B8 -:1013E4009358070133DE170313160701135606013A -:1013F40093560801B3F7170313050E003303C6030E -:1014040093970701B3E7F60063FE6700B387E7002D -:101414001305FEFF63E8E70063F667001305EEFFBC -:10142400B387E700B387674033D317031318080162 -:1014340013580801B3F71703B3066602939707011D -:101444003368F80093070300637CD800330807016E -:101454009307F3FF6366E8006374D8009307E3FF20 -:10146400131505013365F50067800000B707000117 -:1014740093060001E364F6F4930680016FF01FF411 -:101484003317B600B3D6F80013550701B357F3006A -:101494003318B30033D3A60213160701B398B80068 -:1014A40013560601B3E71701B3F6A602B3086602A2 -:1014B4009395060193D60701B3E6B600930503009E -:1014C40063FE1601B386E6009305F3FF63E8E600C6 -:1014D40063F616019305E3FFB386E600B38616416F -:1014E400B3D8A6029397070193D70701B3F6A602D0 -:1014F4003306160393960601B3E7D70093860800D4 -:1015040063FEC700B387E7009386F8FF63E8E7004C -:1015140063F6C7009386E8FFB387E7009395050158 -:10152400B387C740B3E5D5006FF09FEB63E6D518EA -:10153400B707010063F4F60413B706101347170046 -:1015440013173700B7270000B3D5E600938707BE0B -:10155400B387B70083C70700B387E7001307000208 -:10156400B305F7406316F70213051000E3EE16E126 -:101574003335C3001345150067800000B707000129 -:1015840013070001E3E0F6FC130780016FF09FFBF3 -:101594003357F600B396B600B366D70033D7F800D6 -:1015A400B398B800B357F300B3E7170193D8060113 -:1015B400B35E170313980601135808013316B600D7 -:1015C40033771703330ED8031315070113D7070115 -:1015D4003367A70013850E00637EC7013307D70066 -:1015E4001385FEFF6368D7006376C7011385EEFF9A -:1015F4003307D7003307C741335E170393970701B7 -:1016040093D70701337717033308C8031317070168 -:10161400B3E7E70013070E0063FE0701B387D700A3 -:101624001307FEFF63E8D70063F607011307EEFF15 -:10163400B387D70013150501370E01003365E500A4 -:101644009306FEFF3377D500B3870741B376D60000 -:101654001358050113560601B308D702B306D8027E -:101664003307C7023308C8023306D70013D708016B -:101674003307C7006374D7003308C80193560701C2 -:10168400B386060163E6D702E39ED7CEB70701000F -:101694009387F7FF3377F70013170701B3F8F800C0 -:1016A4003313B3003307170193050000E37EE3CC43 -:1016B4001305F5FF6FF01FCD93050000130500001F -:1016C40067800000130101FF9305000023248100BB -:1016D4002326110013040500EF00401903A581C25D -:1016E4008327C50363840700E7800700130504000C -:1016F400EF00403A130101FF23248100232021013C -:1017040037240000372900009307C4021309C902D3 -:101714003309F9402326110023229100135929404B -:10172400630009021304C402930400008327040025 -:101734009384140013044400E7800700E31899FE1F -:1017440037240000372900009307C4021309490312 -:101754003309F94013592940630009021304C402F0 -:1017640093040000832704009384140013044400AA -:10177400E7800700E31899FE8320C1000324810059 -:101784008324410003290100130101016780000043 -:101794001303F00013070500637EC3029377F70079 -:1017A4006390070A63920508937606FF1376F600A2 -:1017B400B386E6002320B7002322B7002324B70012 -:1017C4002326B70013070701E366D7FE6314060058 -:1017D40067800000B306C34093962600970200007A -:1017E400B38656006780C6002307B700A306B70078 -:1017F4002306B700A305B7002305B700A304B70069 -:101804002304B700A303B7002303B700A302B70060 -:101814002302B700A301B7002301B700A300B70058 -:101824002300B7006780000093F5F50F93968500B9 -:10183400B3E5D50093960501B3E5D5006FF0DFF667 -:101844009396270097020000B38656009382000007 -:10185400E78006FA93800200938707FF3307F74077 -:101864003306F600E378C3F66FF0DFF3130101FDEE -:10187400232C410103AA81C2232021032326110220 -:1018840003298A142324810223229102232E310165 -:10189400232A510123286101232671012324810174 -:1018A40063000904130B0500938B0500930A1000D1 -:1018B4009309F0FF832449001384F4FF6342040274 -:1018C40093942400B304990063840B0483A7441005 -:1018D400638077051304F4FF9384C4FFE31634FF95 -:1018E4008320C102032481028324410203290102CB -:1018F4008329C101032A8101832A4101032B0101A8 -:10190400832BC100032C81001301010367800000B5 -:101914008327490083A644009387F7FF638E8704D7 -:1019240023A20400E38806FA8327891833978A00E0 -:10193400032C4900B377F70063920702E78006009F -:101944000327490083278A1463148701E38427F952 -:10195400E38807F8138907006FF0DFF58327C918B8 -:1019640083A544083377F700631C070013050B00B5 -:10197400E78006006FF0DFFC232289006FF09FFAF6 -:1019840013850500E78006006FF09FFB130101FF3C -:1019940023248100B72700003724000093874703DE -:1019A400130484033304F4402322910023261100FA -:1019B40093542440638004021304C4FF3304F400EA -:1019C400832704009384F4FF1304C4FFE780070013 -:1019D400E39804FE8320C100032481008324410092 -:1019E40013010101678000009305050093060000C0 -:1019F40013060000130500006F00400003A781C216 -:101A040083278714638C070403A747001308F00196 -:101A1400634EE806131827006306050233830701A3 -:101A24002324C30883A88718130610003316E6007E -:101A3400B3E8C80023A417192324D3109306200065 -:101A44006304D5021307170023A2E700B387070135 -:101A540023A4B70013050000678000009307C71490 -:101A64002324F7146FF05FFA83A6C718130717002F -:101A740023A2E700B3E6C60023A6D718B38707015D -:101A840023A4B70013050000678000001305F0FFCE -:101A9400678000009308D0057300000063440500CC -:101AA4006F000000130101FF2324810013040500CB -:101AB4002326110033048040EF00C000232085005A -:0C1AC4006F00000003A501C36780000054 -:101AD0002020000020202000200000002D200000F9 -:101AE00020207C007C0A00000A00000020206420E6 -:101AF0003C616464723E202D2D20646973706C61BA -:101B000079206D656D6F7279200A000020206220B7 -:101B10003C62617564726174653E202D2D20736591 -:101B200074206261756472617465200A00000000AF -:101B3000202072203C616464723E203C77696474AA -:101B4000683E202D2D2072656164206D656D6F7279 -:101B50007920776F72640A00202077203C616464EA -:101B6000723E203C76616C75653E203C77696474FA -:101B7000683E202D2D207772697465206D656D6F2C -:101B8000727920776F72640A000000002020202004 -:101B900077696474683D312C2032206F7220340ADA -:101BA000000000003A0000003E3E00000A0D3A002E -:101BB0000A0D000068656C702000000063686172A7 -:101BC0002040000073686F7274204000696E7420BA -:101BD00040000000203D200028000000290A0D00E0 -:101BE00000010202030303030404040404040404C4 -:101BF0000505050505050505050505050505050595 -:101C00000606060606060606060606060606060674 -:101C10000606060606060606060606060606060664 -:101C20000707070707070707070707070707070744 -:101C30000707070707070707070707070707070734 -:101C40000707070707070707070707070707070724 -:101C50000707070707070707070707070707070714 -:101C600008080808080808080808080808080808F4 -:101C700008080808080808080808080808080808E4 -:101C800008080808080808080808080808080808D4 -:101C900008080808080808080808080808080808C4 -:101CA00008080808080808080808080808080808B4 -:101CB00008080808080808080808080808080808A4 -:101CC0000808080808080808080808080808080894 -:101CD0000808080808080808080808080808080884 -:102000001000000000000000037A5200017C010172 -:102010001B0D020010000000180000007CF2FFFF02 -:0C20200030040000000000000000000080 -:08202C00740000001C0100001B -:04203400D8000000D0 -:1020380000000000242300008C230000F42300008B -:102048000000000000000000000000000000000088 -:102058000000000000000000000000000000000078 -:102068000000000000000000000000000000000068 -:102078000000000000000000000000000000000058 -:102088000000000000000000000000000000000048 -:102098000000000000000000000000000000000038 -:1020A8000000000000000000000000000000000028 -:1020B8000000000000000000000000000000000018 -:1020C8000000000000000000000000000000000008 -:1020D80000000000000000000100000000000000F7 -:1020E8000E33CDAB34126DE6ECDE05000B000000BC -:1020F80000000000000000000000000000000000D8 -:1021080000000000000000000000000000000000C7 -:1021180000000000000000000000000000000000B7 -:1021280000000000000000000000000000000000A7 -:102138000000000000000000000000000000000097 -:102148000000000000000000000000000000000087 -:102158000000000000000000000000000000000077 -:102168000000000000000000000000000000000067 -:102178000000000000000000000000000000000057 -:102188000000000000000000000000000000000047 -:102198000000000000000000000000000000000037 -:1021A8000000000000000000000000000000000027 -:1021B8000000000000000000000000000000000017 -:1021C8000000000000000000000000000000000007 -:1021D80000000000000000000000000000000000F7 -:1021E80000000000000000000000000000000000E7 -:1021F80000000000000000000000000000000000D7 -:1022080000000000000000000000000000000000C6 -:1022180000000000000000000000000000000000B6 -:1022280000000000000000000000000000000000A6 -:102238000000000000000000000000000000000096 -:102248000000000000000000000000000000000086 -:102258000000000000000000000000000000000076 -:102268000000000000000000000000000000000066 -:102278000000000000000000000000000000000056 -:102288000000000000000000000000000000000046 -:102298000000000000000000000000000000000036 -:1022A8000000000000000000000000000000000026 -:1022B8000000000000000000000000000000000016 -:1022C8000000000000000000000000000000000006 -:1022D80000000000000000000000000000000000F6 -:1022E80000000000000000000000000000000000E6 -:1022F80000000000000000000000000000000000D6 -:1023080000000000000000000000000000000000C5 -:1023180000000000000000000000000000000000B5 -:1023280000000000000000000000000000000000A5 -:102338000000000000000000000000000000000095 -:102348000000000000000000000000000000000085 -:102358000000000000000000000000000000000075 -:102368000000000000000000000000000000000065 -:102378000000000000000000000000000000000055 -:102388000000000000000000000000000000000045 -:102398000000000000000000000000000000000035 -:1023A8000000000000000000000000000000000025 -:1023B8000000000000000000000000000000000015 -:1023C8000000000000000000000000000000000005 -:1023D80000000000000000000000000000000000F5 -:1023E80000000000000000000000000000000000E5 -:1023F80000000000000000000000000000000000D5 -:1024080000000000000000000000000000000000C4 -:1024180000000000000000000000000000000000B4 -:1024280000000000000000000000000000000000A4 -:102438000000000000000000000000000000000094 -:102448000000000000000000000000000000000084 -:0824580000000000000000007C -:0C24600038200000000100F038200000CF +:100C14009307F000E3DEE7F21307C4ECB7370000F4 +:100C2400938587A513050700EFF0DFD4232E04FC7A +:100C34006F000008832744FD2328F4FC8327C4FDA8 +:100C4400032704FDB307F70003C707009307F00168 +:100C540063FAE7028327C4FD032704FDB307F70003 +:100C640003C707009307E00763EEE7008327C4FD8B +:100C7400032704FDB307F70083C707002300F4EC40 +:100C84006F00C0009307E0022300F4ECA30004EC1F +:100C9400130704EC9307C4EC9305070013850700BE +:100CA400EFF05FCD8327C4FD93871700232EF4FC58 +:100CB4000327C4FD9307F000E3DEE7F61307C4EC53 +:100CC400B73700009385C7A513050700EFF09FCA47 +:100CD4009307C4EC13850700EFF00FD8832744FD76 +:100CE40093870701232AF4FC832744FD93F7F70F26 +:100CF40063800702832784FD93871700232CF4FC69 +:100D0400032784FD9307F000E3D2E7E06F0080003F +:100D140013000000B7370000138507A6EFF0CFD308 +:100D2400032744FD23A4E1C8130000008320C1135A +:100D3400032481130329411383290113032AC112B4 +:100D4400832A81121301011467800000130101FE3C +:100D5400232E810013040102F36500C02326B4FE90 +:100D6400F36500C82324B4FE832584FE2320B4FE47 +:100D7400232204FE832504FE939805001308000033 +:100D8400232004FF232214FF8325C4FE13870500B8 +:100D940093070000832504FE33E6E500832544FE23 +:100DA400B3E6F5002320C4FE2322D4FE032704FE69 +:100DB400832744FE13050700938507000324C1011C +:100DC4001301010267800000130101FE232E81003C +:100DD40013040102F36520C02326B4FEF36520C882 +:100DE4002324B4FE832584FE2320B4FE232204FEA0 +:100DF400832504FE9398050013080000232004FFB4 +:100E0400232214FF8325C4FE1387050093070000E3 +:100E1400832504FE33E6E500832544FEB3E6F500AE +:100E24002320C4FE2322D4FE032704FE832744FE8A +:100E340013050700938507000324C1011301010270 +:100E440067800000130101FE232E810013040102B8 +:100E5400F36500C22326B4FEF36500CA2324B4FE5E +:100E6400832584FE2320B4FE232204FE832504FE6E +:100E74009398050013080000232004FF232214FF85 +:100E84008325C4FE1387050093070000832504FE11 +:100E940033E6E500832544FEB3E6F5002320C4FED3 +:100EA4002322D4FE032704FE832744FE13050700F0 +:100EB400938507000324C101130101026780000028 +:100EC400130101FE232E810013040102F36510C2F5 +:100ED4002326B4FEF36510CA2324B4FE832584FEBE +:100EE4002320B4FE232204FE832504FE93980500E8 +:100EF40013080000232004FF232214FF8325C4FECB +:100F04001387050093070000832504FE33E6E500FC +:100F1400832544FEB3E6F5002320C4FE2322D4FE39 +:100F2400032704FE832744FE130507009385070067 +:100F34000324C1011301010267800000130101FEB3 +:100F4400232E810013040102F36520C22326B4FE7C +:100F5400F36520CA2324B4FE832584FE2320B4FE33 +:100F6400232204FE832504FE939805001308000041 +:100F7400232004FF232214FF8325C4FE13870500C6 +:100F840093070000832504FE33E6E500832544FE31 +:100F9400B3E6F5002320C4FE2322D4FE032704FE77 +:100FA400832744FE13050700938507000324C1012A +:100FB4001301010267800000130101FE232E81004A +:100FC40013040102F36530C22326B4FEF36530CA6C +:100FD4002324B4FE832584FE2320B4FE232204FEAE +:100FE400832504FE9398050013080000232004FFC2 +:100FF400232214FF8325C4FE1387050093070000F2 +:10100400832504FE33E6E500832544FEB3E6F500BC +:101014002320C4FE2322D4FE032704FE832744FE98 +:1010240013050700938507000324C101130101027E +:1010340067800000130101FE232E810013040102C6 +:10104400F36540C22326B4FEF36540CA2324B4FEEC +:10105400832584FE2320B4FE232204FE832504FE7C +:101064009398050013080000232004FF232214FF93 +:101074008325C4FE1387050093070000832504FE1F +:1010840033E6E500832544FEB3E6F5002320C4FEE1 +:101094002322D4FE032704FE832744FE13050700FE +:1010A400938507000324C101130101026780000036 +:1010B400130101FE232E810013040102F36550C2C3 +:1010C4002326B4FEF36550CA2324B4FE832584FE8C +:1010D4002320B4FE232204FE832504FE93980500F6 +:1010E40013080000232004FF232214FF8325C4FED9 +:1010F4001387050093070000832504FE33E6E5000B +:10110400832544FEB3E6F5002320C4FE2322D4FE47 +:10111400032704FE832744FE130507009385070075 +:101124000324C1011301010267800000130101FEC1 +:10113400232E810013040102F36560C22326B4FE4A +:10114400F36560CA2324B4FE832584FE2320B4FE01 +:10115400232204FE832504FE93980500130800004F +:10116400232004FF232214FF8325C4FE13870500D4 +:1011740093070000832504FE33E6E500832544FE3F +:10118400B3E6F5002320C4FE2322D4FE032704FE85 +:10119400832744FE13050700938507000324C10138 +:1011A4001301010267800000130101FE232E810058 +:1011B40013040102F36570C22326B4FEF36570CAFA +:1011C4002324B4FE832584FE2320B4FE232204FEBC +:1011D400832504FE9398050013080000232004FFD0 +:1011E400232214FF8325C4FE138705009307000000 +:1011F400832504FE33E6E500832544FEB3E6F500CB +:101204002320C4FE2322D4FE032704FE832744FEA6 +:1012140013050700938507000324C101130101028C +:1012240067800000130101FE232E810013040102D4 +:10123400F36580C22326B4FEF36580CA2324B4FE7A +:10124400832584FE2320B4FE232204FE832504FE8A +:101254009398050013080000232004FF232214FFA1 +:101264008325C4FE1387050093070000832504FE2D +:1012740033E6E500832544FEB3E6F5002320C4FEEF +:101284002322D4FE032704FE832744FE130507000C +:10129400938507000324C101130101026780000044 +:1012A400130101FB232611042324810413040105E3 +:1012B400EFF09FB12320A4FE2322B4FE232604FED4 +:1012C4006F008010B73700001385C7ADEFE0DFF87B +:1012D400B7370000138787088327C4FE9397270036 +:1012E400B307F70083A7070013850700EFE0DFF6D5 +:1012F400B7370000138707068327C4FE9397270098 +:10130400B307F70083A70700E7800700232CA4FC9A +:10131400232EB4FC032784FD8327C4FD930604FB1A +:10132400930507001386070013850600EFF0CF86A8 +:10133400930704FB13850700EFE01FF2B7370000A3 +:10134400138507AEEFE05FF1032704FE832744FE15 +:1013540037260000130606719306000013050700E4 +:1013640093850700EF00906A130705009387050033 +:101374001306070093860700032584FD8325C4FD17 +:10138400EF00D0681307050093870500232CE4FCC5 +:10139400232EF4FC032784FD8327C4FD930604FB5A +:1013A400930507001386070013850600EFE0DFFEB0 +:1013B400930704FB13850700EFE01FEA8327C4FEAD +:1013C400938717002326F4FE0327C4FE9307900097 +:1013D400E3DAE7EEB7370000138507A6EFE0DFE7AF +:1013E400130000008320C1040324810413010105B8 +:1013F40067800000130101FF2326110023248100CC +:1014040013040101B7370000138547AEEFE0DFE4B2 +:10141400B7370000138547B0EFE01FE4B73700008B +:10142400138587B2EFE05FE3B7370000138507B594 +:10143400EFE09FE2B7370000138547B8EFE0DFE144 +:10144400130000008320C100032481001301010163 +:1014540067800000130101E7232611182324811853 +:101464002322211923203119232E4117232C51170C +:10147400232A611723287117232681172324911700 +:101484002322A1172320B11713040119232EA4E644 +:10149400232CB4E6B70700F02324F4FCB70700F0CC +:1014A400938707012322F4FCB7C7010013850720A3 +:1014B400EFF08FDE232004FC232E04FAEFF01F89C3 +:1014C4001309050093890500EFF01F901307050029 +:1014D40093870500130640069306000013050700D2 +:1014E40093850700EF0090521307050093870500CA +:1014F4001306070093860700130509009385090066 +:10150400EF00D0501307050093870500232CE4FA5D +:10151400EFE09FC2930705006388070AEFF01F837B +:10152400930704E81307000393068000138605005D +:101534009305050013850700EFF00F86930704E871 +:1015440013850700EFE05FD1B73700001385C7B9F3 +:10155400EFE09FD0EFF05F87930704E813070003E1 +:101564009306800013860500930505001385070084 +:10157400EFF08F82930704E813850700EFE0DFCDD7 +:10158400B73700001385C7B9EFE01FCD832784FB6D +:10159400138A070093D7F741938A0700930704E857 +:1015A40093050A0013860A0013850700EFE0DFDEC7 +:1015B400930704E813850700EFE01FCAB73700005C +:1015C400138507BAEFE05FC9EFE0DFBA93070500C0 +:1015D400E38C07FE930704E89305F00F13850700D7 +:1015E400EFE01FCE13000000B7370000138547BAA1 +:1015F400EFE09FC6930704E813850700EFE0DFC51B +:10160400B7370000138507A6EFE01FC5130704E8EA +:1016140013064000B7370000938587BA1305070007 +:10162400EFF00FBE9307050063960700EFF09FDC11 +:101634006F000030034704E89307C0066316F70001 +:10164400EFF01FC66F00C02E034704E8930720067F +:10165400631CF702930704E89387270093050000AF +:1016640013850700EFF0CF8D232EA4F88327C4F948 +:101674006358F0000325C4F9EFF00FC26F00402B4C +:10168400EFF05FD76F00C02A034704E893074006D2 +:10169400631AF702930704E8938727009305000071 +:1016A40013850700EFF08F992320A4FA832704FA07 +:1016B4006356F000032704FA23A4E1C8EFF0CFC176 +:1016C4006F000027034704E893077007631CF70AB9 +:1016D400930704E893872700130744F8930507004A +:1016E40013850700EFF08F952326A4FA832744F887 +:1016F400130744F89305070013850700EFF00F94D0 +:101704002324A4FA832744F8130744F89305070015 +:1017140013850700EFF08F922322A4FA032744FADB +:1017240093071000631CF7008327C4FA032784FA85 +:101734001377F70F2380E7006F00801F032744FA15 +:1017440093072000631EF7008327C4FA032784FA53 +:1017540013170701135707412390E7006F00401D3B +:10176400032744FA93074000631AF7008327C4FA57 +:10177400032784FA23A0E7006F00801BEFF09FC7C4 +:101784006F00001B034704E8930720076312F71A4E +:10179400232604FC930704E893872700130704F81F +:1017A4009305070013850700EFF04F89232AA4FA55 +:1017B400832704F8130704F893050700138507002B +:1017C400EFF0CF872328A4FA032704FB9307100024 +:1017D4006310F702832744FB83C707002326F4FC26 +:1017E400B7370000138507BBEFE01FA76F00800524 +:1017F400032704FB930720006310F702832744FBAD +:10180400839707002326F4FCB7370000138587BBB2 +:10181400EFE09FA46F000003032704FB930740003D +:101824006310F702832744FB83A707002326F4FCF5 +:10183400B7370000138507BCEFE01FA26F008000DC +:10184400EFF05FBB032704FB93071000630EF70060 +:10185400032704FB930720006308F700032704FB16 +:10186400930740006316F70C832744FB138B070090 +:1018740093D7F741938B0700930704E813070003FA +:101884009306800093050B0013860B001385070055 +:10189400EFE09FD0930704E813850700EFE0DF9B98 +:1018A400B7370000138587BCEFE01F9B8327C4FC78 +:1018B400138C070093D7F741938C0700930704E830 +:1018C40093050C0013860C0013850700EFE0DFACD2 +:1018D400930704E813850700EFE01F98B73700006B +:1018E4001385C7BCEFE05F978327C4FC138D070003 +:1018F40093D7F741938D0700832704FB9396170032 +:10190400930704E81307000393050D0013860D00E5 +:1019140013850700EFE05FC8930704E81385070009 +:10192400EFE09F93B7370000138507BDEFE0DF9228 +:1019340013000000EFE05F8093070500E38C07FECF +:101944006FF0DFB713030500138E05009308000042 +:1019540063DC0500B337A000330EB040330EFE4005 +:101964003303A0409308F0FF63DC0600B337C000E4 +:10197400B306D04093C8F8FFB386F6403306C040A0 +:10198400130706001308030093070E00639C062840 +:10199400B7350000938545BD6376CE0EB7060100CA +:1019A4006378D60C9336061093C6160093963600C9 +:1019B4003355D600B385A50083C505001305000281 +:1019C400B386D500B305D540630CD500B317BE006C +:1019D400B356D3003317B600B3E7F6003318B30099 +:1019E4009355070133DEB702131607011356060198 +:1019F400B3F7B70213050E003303C603939607012A +:101A040093570801B3E7D70063FE6700B387E70085 +:101A14001305FEFF63E8E70063F667001305EEFFB6 +:101A2400B387E700B387674033D3B70213180801BD +:101A340013580801B3F7B702B30666029397070178 +:101A44003368F80093070300637CD8003308070168 +:101A54009307F3FF6366E8006374D8009307E3FF1A +:101A6400131505013365F500930500006F00000EA2 +:101A74003705000193060001E36CA6F2930680018A +:101A84006FF01FF36314060073001000B707010022 +:101A9400637AF60C9336061093C6160093963600B6 +:101AA400B357D600B385F50083C70500B387D700C5 +:101AB40093060002B385F6406390F60CB307CE405C +:101AC4009305100013530701B3DE670213160701D1 +:101AD4001356060193560801B3F7670213850E00E7 +:101AE400330ED60393970701B3E7F60063FEC701ED +:101AF400B387E7001385FEFF63E8E70063F6C701D9 +:101B04001385EEFFB387E700B387C74133DE67026F +:101B14001318080113580801B3F76702B306C60384 +:101B2400939707013368F80093070E00637CD8008D +:101B3400330807019307FEFF6366E8006374D80067 +:101B44009307EEFF131505013365F500638A08005A +:101B5400B337A000B305B040B385F5403305A040CA +:101B640067800000B707000193060001E36AF6F2FC +:101B7400930680016FF0DFF23317B600B356FE0010 +:101B840013550701331EBE00B357F300B3E7C70173 +:101B940033DEA60213160701135606013318B300E9 +:101BA400B3F6A6023303C6039395060193D6070141 +:101BB400B3E6B60093050E0063FE6600B386E60046 +:101BC4009305FEFF63E8E60063F666009305EEFF07 +:101BD400B386E600B386664033D3A6029397070123 +:101BE40093D70701B3F6A60233066602939606015D +:101BF400B3E7D7009306030063FEC700B387E7008B +:101C04009306F3FF63E8E70063F6C7009306E3FF78 +:101C1400B387E70093950501B387C740B3E5D500C3 +:101C24006FF05FEA6366DE18B707010063F4F60439 +:101C340013B706101347170013173700B737000000 +:101C4400B3D5E600938747BDB387B70083C70700C2 +:101C5400B387E70013070002B305F7406316F702E2 +:101C640013051000E3E4C6EF3335C3001345150034 +:101C74006FF0DFEDB707000113070001E3E0F6FCA6 +:101C8400130780016FF09FFB3357F600B396B6003D +:101C9400B366D7003357FE00331EBE00B357F300BC +:101CA400B3E7C70113DE0601335FC70313980601C8 +:101CB400135808013316B6003377C703B30EE8038D +:101CC4001315070113D707013367A70013050F0086 +:101CD400637ED7013307D7001305FFFF6368D7007E +:101CE4006376D7011305EFFF3307D7003307D741D6 +:101CF400B35EC7039397070193D707013377C703ED +:101D04003308D80313170701B3E7E70013870E005E +:101D140063FE0701B387D7001387FEFF63E8D7008C +:101D240063F607011387EEFFB387D7001315050188 +:101D3400B70E01003365E5009386FEFF3377D500C7 +:101D4400B3870741B376D60013580501135606012D +:101D5400330ED702B306D8023307C7023308C802CA +:101D64003306D70013570E013307C7006374D70037 +:101D74003308D80193560701B386060163E6D702F8 +:101D8400E394D7CEB70701009387F7FF3377F700C3 +:101D940013170701337EFE003313B3003307C70163 +:101DA40093050000E374E3DA1305F5FF6FF0DFCB6E +:101DB40093050000130500006FF05FD9138E050032 +:101DC4001308000063DC0500B337A000B305B0407E +:101DD400338EF5403305A0401308F0FF63DA0600A4 +:101DE400B337C000B306D040B386F6403306C040D4 +:101DF400930806009307050093050E0063940624D8 +:101E040037370000130747BD6376CE0EB7060100CF +:101E14006378D60C9336061093C616009396360054 +:101E24003353D60033076700034707003307D7004F +:101E3400930600023383E640638CE600B3156E001C +:101E44003357E500B3186600B365B700B3176500F0 +:101E540093D6080133D7D50213950801135505010C +:101E6400B3F5D5023307A7021396050193D50701ED +:101E7400B3E5C50063FAE500B385150163E6150112 +:101E840063F4E500B3851501B385E54033D7D50286 +:101E94009397070193D70701B3F5D5023307A70238 +:101EA40093950501B3E7B70063FAE700B387170119 +:101EB40063E6170163F4E700B3871701B387E740CC +:101EC40033D5670093050000630A0800B337A00008 +:101ED400B305B040B385F5403305A04067800000EA +:101EE4003703000193060001E36C66F29306800158 +:101EF4006FF01FF36314060073001000B7060100AF +:101F04006372D60A9336061093C61600939636006B +:101F1400B355D6003307B700034707003307D7008C +:101F2400930600023383E6406398E6083307CE4005 +:101F340093D508013356B7021395080113550501CB +:101F440093D607013377B7023306A60213170701A6 +:101F540033E7E600637AC7003307170163661701A6 +:101F64006374C700330717013307C740B356B7027A +:101F74009397070193D707013377B702B386A60275 +:101F840013170701B3E7E70063FAD700B387170114 +:101F940063E6170163F4D700B3871701B387D7400B +:101FA4006FF01FF2B705000193060001E362B6F675 +:101FB400930680016FF0DFF5B3186600B356EE00A8 +:101FC400B3156E003357E500B317650013D5080148 +:101FD4003367B700B3D5A602139E0801135E0E0142 +:101FE400B3F6A602B385C5031396060193560701FB +:101FF400B3E6C60063FAB600B386160163E61601BB +:1020040063F4B600B3861601B386B64033D6A6028F +:102014001317070113570701B3F6A6023306C603C5 +:10202400939606013367D700637AC7003307170115 +:10203400636617016374C700330717013307C7408A +:102044006FF01FEFE362DEE83707010063FCE6048C +:1020540013B706101347170013173700B7380000DB +:1020640033D3E600938848BDB388680003C30800EF +:102074003303E30013070002B3086740631E6702DB +:1020840063E4C601636CC5003306C540B306DE4095 +:10209400B335C500B385B640930706001385070022 +:1020A4006FF09FE2B708000113070001E3E816FB95 +:1020B400130780016FF09FFAB3576600B3961601B9 +:1020C400B3E6D70033576E0093DE0601B35FD70340 +:1020D400B3151E01139E0601135E0E01B35765006E +:1020E400B3E5B70093D7050133161601331515016F +:1020F4003377D703330FFE0313170701B3E7E70062 +:1021040013870F0063FEE701B387D7001387FFFF30 +:1021140063E8D70063F6E7011387EFFFB387D700BF +:10212400B387E74133DFD7039395050193D50501C1 +:10213400B3F7D703330EEE0393970701B3E5F50026 +:1021440093070F0063FEC501B385D5009307FFFF16 +:1021540063E8D50063F6C5019307EFFFB385D500A7 +:1021640013170701B70F01003367F700B385C541A3 +:10217400138EFFFFB377C701935E06011357070160 +:10218400337EC601338FC703330EC703B387D70328 +:102194003307D703B38EC70193570F01B387D70112 +:1021A40063F4C7013307F70113DE07013307EE00B9 +:1021B400370E0100130EFEFFB3F7C7019397070113 +:1021C400337FCF01B387E70163E6E500639EE50053 +:1021D400637CF5003386C740B3B7C700B387D70025 +:1021E4003307F74093070600B307F5403335F5008E +:1021F400B385E540B385A54033936500B3D7170194 +:102204003365F300B3D515016FF01FCC130305003C +:10221400938805001307060013080500938705003B +:1022240063920628B7350000938545BD63F6C80E52 +:10223400B70601006378D60C9336061093C61600D1 +:10224400939636003355D600B385A50083C50500A3 +:1022540013050002B386D500B305D540630CD50041 +:10226400B397B800B356D3003317B600B3E7F600FC +:102274003318B3009355070133D3B702131607017C +:1022840013560601B3F7B70213050300B308660239 +:102294009396070193570801B3E7D70063FE17012C +:1022A400B387E7001305F3FF63E8E70063F617015C +:1022B4001305E3FFB387E700B3871741B3D8B70229 +:1022C4001318080113580801B3F7B702B30616032D +:1022D400939707013368F80093870800637CD8005C +:1022E400330807019387F8FF6366E8006374D80036 +:1022F4009387E8FF131505013365F5009305000086 +:10230400678000003705000193060001E36CA6F224 +:10231400930680016FF01FF363140600730010002E +:10232400B70701006370F60C9336061093C61600C7 +:1023340093963600B357D600B385F50083C70500DE +:10234400B387D70093060002B385F6406396F60A76 +:10235400B387C840930510009358070133DE170371 +:10236400131607011356060193560801B3F7170312 +:1023740013050E003303C60393970701B3E7F60072 +:1023840063FE6700B387E7001305FEFF63E8E70019 +:1023940063F667001305EEFFB387E700B387674072 +:1023A40033D317031318080113580801B3F717039D +:1023B400B3066602939707013368F8009307030096 +:1023C400637CD800330807019307F3FF6366E800D2 +:1023D4006374D8009307E3FF131505013365F50013 +:1023E40067800000B707000193060001E364F6F478 +:1023F400930680016FF01FF43317B600B3D6F800CC +:1024040013550701B357F3003318B30033D3A602AF +:1024140013160701B398B80013560601B3E7170162 +:10242400B3F6A602B30866029395060193D6070194 +:10243400B3E6B6009305030063FE1601B386E60017 +:102444009305F3FF63E8E60063F616019305E3FFE3 +:10245400B386E600B3861641B3D8A6029397070164 +:1024640093D70701B3F6A602330616039396060123 +:10247400B3E7D7009386080063FEC700B387E7007D +:102484009386F8FF63E8E70063F6C7009386E8FFE6 +:10249400B387E70093950501B387C740B3E5D5003B +:1024A4006FF09FEB63E6D518B707010063F4F604F9 +:1024B40013B706101347170013173700B737000078 +:1024C400B3D5E600938747BDB387B70083C707003A +:1024D400B387E70013070002B305F7406316F7025A +:1024E40013051000E3EE16E13335C3001345150060 +:1024F40067800000B707000113070001E3E0F6FC62 +:10250400130780016FF09FFB3357F600B396B600B4 +:10251400B366D70033D7F800B398B800B357F300C5 +:10252400B3E7170193D80601B35E170313980601A6 +:10253400135808013316B60033771703330ED80344 +:102544001315070113D707013367A70013850E007E +:10255400637EC7013307D7001385FEFF6368D70086 +:102564006376C7011385EEFF3307D7003307C741EE +:10257400335E17039397070193D707013377170344 +:102584003308C80313170701B3E7E70013070E0066 +:1025940063FE0701B387D7001307FEFF63E8D70084 +:1025A40063F607011307EEFFB387D7001315050180 +:1025B400370E01003365E5009306FEFF3377D5003F +:1025C400B3870741B376D6001358050113560601A5 +:1025D400B308D702B306D8023307C7023308C802C8 +:1025E4003306D70013D708013307C7006374D70035 +:1025F4003308C80193560701B386060163E6D70280 +:10260400E39ED7CEB70701009387F7FF3377F70030 +:1026140013170701B3F8F8003313B3003307170196 +:1026240093050000E37EE3CC1305F5FF6FF01FCDA7 +:10263400930500001305000067800000130101FFEB +:1026440093050000232481002326110013040500B0 +:10265400EF00401903A581C78327C50363840700DE +:10266400E780070013050400EF00403A130101FF5F +:10267400232481002320210137340000373900004E +:1026840093074405130949053309F940232611002A +:1026940023229100135929406300090213044405BD +:1026A400930400008327040093841400130444005B +:1026B400E7800700E31899FE37340000373900003B +:1026C400930744051309C9053309F94013592940EF +:1026D40063000902130444059304000083270400E3 +:1026E4009384140013044400E7800700E31899FE60 +:1026F4008320C100032481008324410003290100B5 +:1027040013010101678000001303F00013070500A3 +:10271400637EC3029377F7006390070A6392050808 +:10272400937606FF1376F600B386E6002320B700FF +:102734002322B7002324B7002326B7001307070179 +:10274400E366D7FE6314060067800000B306C34047 +:102754009396260097020000B38656006780C60051 +:102764002307B700A306B7002306B700A305B700E5 +:102774002305B700A304B7002304B700A303B700DD +:102784002303B700A302B7002302B700A301B700D5 +:102794002301B700A300B7002300B700678000003F +:1027A40093F5F50F93968500B3E5D500939605014F +:1027B400B3E5D5006FF0DFF693962700970200008B +:1027C400B386560093820000E78006FA93800200E5 +:1027D400938707FF3307F7403306F600E378C3F621 +:1027E4006FF0DFF3130101FD232C410103AA81C71C +:1027F400232021032326110203298A14232481027E +:1028040023229102232E3101232A5101232861011D +:10281400232671012324810163000904130B05009D +:10282400938B0500930A10009309F0FF8324490059 +:102834001384F4FF6342040293942400B3049900C4 +:1028440063840B0483A74410638077051304F4FFA7 +:102854009384C4FFE31634FF8320C102032481025E +:1028640083244102032901028329C101032A81012E +:10287400832A4101032B0101832BC100032C810016 +:1028840013010103678000008327490083A64400E5 +:102894009387F7FF638E870423A20400E38806FA74 +:1028A4008327891833978A00032C4900B377F700EC +:1028B40063920702E78006000327490083278A14EE +:1028C40063148701E38427F9E38807F81389070071 +:1028D4006FF0DFF58327C91883A544083377F70021 +:1028E400631C070013050B00E78006006FF0DFFC94 +:1028F400232289006FF09FFA13850500E780060004 +:102904006FF09FFB130101FF23248100B737000000 +:10291400373400009387C705130404063304F440D6 +:10292400232291002326110093542440638004023F +:102934001304C4FF3304F400832704009384F4FFD6 +:102944001304C4FFE7800700E39804FE8320C1005A +:1029540003248100832441001301010167800000E6 +:1029640093050500930600001306000013050000FC +:102974006F00400003A781C783278714638C070473 +:1029840003A747001308F001634EE8061318270055 +:1029940063060502338307012324C30883A8871829 +:1029A400130610003316E600B3E8C80023A4171971 +:1029B4002324D310930620006304D50213071700C1 +:1029C40023A2E700B387070123A4B700130500007F +:1029D400678000009307C7142324F7146FF05FFA8D +:1029E40083A6C7181307170023A2E700B3E6C6009F +:1029F40023A6D718B387070123A4B7001305000043 +:102A0400678000001305F0FF678000009308D0057D +:102A140073000000634405006F000000130101FF10 +:102A2400232481001304050023261100330480406D +:102A3400EF00C000232085006F00000003A501C83B +:042A440067800000A7 +:102A48002020000020202000200000002D20000071 +:102A580020207C007C0A00000A0D0000746F74615D +:102A68006C2020203A2000006164642F7375622076 +:102A78003A2000006D756C20202020203A200000AC +:102A880064697620202020203A2000006C642020F1 +:102A9800202020203A2000007374202020202020AD +:102AA8003A2000006A6D7020202020203A20000083 +:102AB8006A202020202020203A200000616C7569BF +:102AC800202020203A200000616C75202020202042 +:102AD8003A2000000A0000002C200000202064207A +:102AE8003C616464723E202D2D20646973706C61B2 +:102AF80079206D656D6F7279200A000020206220B0 +:102B08003C62617564726174653E202D2D20736589 +:102B180074206261756472617465200A00000000A7 +:102B2800202072203C616464723E203C77696474A2 +:102B3800683E202D2D2072656164206D656D6F7271 +:102B48007920776F72640A00202077203C616464E2 +:102B5800723E203C76616C75653E203C77696474F2 +:102B6800683E202D2D207772697465206D656D6F24 +:102B7800727920776F72640A0000000020202020FC +:102B880077696474683D312C2032206F7220340AD2 +:102B9800000000003A0000003E3E00000A0D3A0026 +:102BA80068656C7020000000636861722040000056 +:102BB80073686F7274204000696E742040000000D2 +:102BC800203D200028000000290A0D000001020213 +:102BD80003030303040404040404040405050505AD +:102BE8000505050505050505050505050606060689 +:102BF800060606060606060606060606060606066D +:102C08000606060606060606060606060707070758 +:102C1800070707070707070707070707070707073C +:102C2800070707070707070707070707070707072C +:102C3800070707070707070707070707070707071C +:102C48000707070707070707070707070808080808 +:102C580008080808080808080808080808080808EC +:102C680008080808080808080808080808080808DC +:102C780008080808080808080808080808080808CC +:102C880008080808080808080808080808080808BC +:102C980008080808080808080808080808080808AC +:102CA800080808080808080808080808080808089C +:102CB800080808080808080808080808080808088C +:0C2CC800080808080808080808080808A0 +:103000001000000000000000037A5200017C010162 +:103010001B0D020010000000180000002CE9FFFF4B +:103020007804000000000000100000002C000000E8 +:1030300090EDFFFF500400000000000010000000B1 +:1030400040000000CCF1FFFF300400000000000051 +:04305000000000007C +:08305400740000001C010000E3 +:04305C00D800000098 +:10306000CC0D0000480E0000C40E0000400F000010 +:10307000BC0F000038100000B41000003011000038 +:10308000AC11000028120000642A0000702A000021 +:103090007C2A0000882A0000942A0000A02A000050 +:1030A000AC2A0000B82A0000C42A0000D02A000080 +:1030B000000000009C330000043400006C34000069 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000001000000000000006E +:103160000E33CDAB34126DE6ECDE05000B00000033 +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:0834D0000000000000000000F4 +:0C34D800B0300000000100F0B030000037 :040000030000008C6D :00000001FF diff --git a/examples/hdl4se_riscv/test_code/test.info b/examples/hdl4se_riscv/test_code/test.info index 8691bea04242fa1e550065539d331ce4ce13093c..c4f18ead1ef2ac3c29e8582a064d632ddff958ab 100644 --- a/examples/hdl4se_riscv/test_code/test.info +++ b/examples/hdl4se_riscv/test_code/test.info @@ -10,7 +10,7 @@ ELF Header: Version: 0x1 Entry point address: 0x8c Start of program headers: 52 (bytes into file) - Start of section headers: 20312 (bytes into file) + Start of section headers: 38636 (bytes into file) Flags: 0x0 Size of this header: 52 (bytes) Size of program headers: 32 (bytes) @@ -22,28 +22,28 @@ ELF Header: Section Headers: [Nr] Name Type Addr Off Size ES Flg Lk Inf Al [ 0] NULL 00000000 000000 000000 00 0 0 0 - [ 1] .text PROGBITS 00000074 000074 001a5c 00 AX 0 0 4 - [ 2] .rodata PROGBITS 00001ad0 001ad0 000210 00 A 0 0 4 - [ 3] .eh_frame PROGBITS 00002000 002000 00002c 00 WA 0 0 4 - [ 4] .init_array INIT_ARRAY 0000202c 00202c 000008 04 WA 0 0 4 - [ 5] .fini_array FINI_ARRAY 00002034 002034 000004 04 WA 0 0 4 - [ 6] .data PROGBITS 00002038 002038 000428 00 WA 0 0 8 - [ 7] .sdata PROGBITS 00002460 002460 00000c 00 WA 0 0 4 - [ 8] .sbss NOBITS 0000246c 00246c 000008 00 WA 0 0 4 - [ 9] .bss NOBITS 00002474 00246c 00001c 00 WA 0 0 4 - [10] .comment PROGBITS 00000000 00246c 000012 01 MS 0 0 1 - [11] .riscv.attributes RISCV_ATTRIBUTE 00000000 00247e 000025 00 0 0 1 - [12] .debug_aranges PROGBITS 00000000 0024a3 000038 00 0 0 1 - [13] .debug_info PROGBITS 00000000 0024db 000839 00 0 0 1 - [14] .debug_abbrev PROGBITS 00000000 002d14 000216 00 0 0 1 - [15] .debug_line PROGBITS 00000000 002f2a 000766 00 0 0 1 - [16] .debug_str PROGBITS 00000000 003690 000296 01 MS 0 0 1 - [17] .debug_line_str PROGBITS 00000000 003926 0000b0 01 MS 0 0 1 - [18] .debug_loclists PROGBITS 00000000 0039d6 000a99 00 0 0 1 - [19] .debug_rnglists PROGBITS 00000000 00446f 000111 00 0 0 1 - [20] .symtab SYMTAB 00000000 004580 0005d0 10 21 71 4 - [21] .strtab STRTAB 00000000 004b50 000319 00 0 0 1 - [22] .shstrtab STRTAB 00000000 004e69 0000ee 00 0 0 1 + [ 1] .text PROGBITS 00000074 000074 0029d4 00 AX 0 0 4 + [ 2] .rodata PROGBITS 00002a48 002a48 00028c 00 A 0 0 4 + [ 3] .eh_frame PROGBITS 00003000 003000 000054 00 WA 0 0 4 + [ 4] .init_array INIT_ARRAY 00003054 003054 000008 04 WA 0 0 4 + [ 5] .fini_array FINI_ARRAY 0000305c 00305c 000004 04 WA 0 0 4 + [ 6] .data PROGBITS 00003060 003060 000478 00 WA 0 0 8 + [ 7] .sdata PROGBITS 000034d8 0034d8 00000c 00 WA 0 0 4 + [ 8] .sbss NOBITS 000034e4 0034e4 000008 00 WA 0 0 4 + [ 9] .bss NOBITS 000034ec 0034e4 00001c 00 WA 0 0 4 + [10] .comment PROGBITS 00000000 0034e4 000012 01 MS 0 0 1 + [11] .riscv.attributes RISCV_ATTRIBUTE 00000000 0034f6 000025 00 0 0 1 + [12] .debug_aranges PROGBITS 00000000 00351b 000078 00 0 0 1 + [13] .debug_info PROGBITS 00000000 003593 0017b0 00 0 0 1 + [14] .debug_abbrev PROGBITS 00000000 004d43 00059f 00 0 0 1 + [15] .debug_line PROGBITS 00000000 0052e2 001792 00 0 0 1 + [16] .debug_str PROGBITS 00000000 006a74 0002a8 01 MS 0 0 1 + [17] .debug_line_str PROGBITS 00000000 006d1c 0000b0 01 MS 0 0 1 + [18] .debug_loclists PROGBITS 00000000 006dcc 001aba 00 0 0 1 + [19] .debug_rnglists PROGBITS 00000000 008886 0002c6 00 0 0 1 + [20] .symtab SYMTAB 00000000 008b4c 0006d0 10 21 83 4 + [21] .strtab STRTAB 00000000 00921c 0003e0 00 0 0 1 + [22] .shstrtab STRTAB 00000000 0095fc 0000ee 00 0 0 1 Key to Flags: W (write), A (alloc), X (execute), M (merge), S (strings), I (info), L (link order), O (extra OS processing required), G (group), T (TLS), @@ -54,8 +54,8 @@ There are no section groups in this file. Program Headers: Type Offset VirtAddr PhysAddr FileSiz MemSiz Flg Align - LOAD 0x000000 0x00000000 0x00000000 0x01ce0 0x01ce0 R E 0x1000 - LOAD 0x002000 0x00002000 0x00002000 0x0046c 0x00490 RW 0x1000 + LOAD 0x000000 0x00000000 0x00000000 0x02cd4 0x02cd4 R E 0x1000 + LOAD 0x003000 0x00003000 0x00003000 0x004e4 0x00508 RW 0x1000 Section to Segment mapping: Segment Sections... @@ -68,18 +68,18 @@ There are no relocations in this file. The decoding of unwind sections for machine type RISC-V is not currently supported. -Symbol table '.symtab' contains 93 entries: +Symbol table '.symtab' contains 109 entries: Num: Value Size Type Bind Vis Ndx Name 0: 00000000 0 NOTYPE LOCAL DEFAULT UND 1: 00000074 0 SECTION LOCAL DEFAULT 1 .text - 2: 00001ad0 0 SECTION LOCAL DEFAULT 2 .rodata - 3: 00002000 0 SECTION LOCAL DEFAULT 3 .eh_frame - 4: 0000202c 0 SECTION LOCAL DEFAULT 4 .init_array - 5: 00002034 0 SECTION LOCAL DEFAULT 5 .fini_array - 6: 00002038 0 SECTION LOCAL DEFAULT 6 .data - 7: 00002460 0 SECTION LOCAL DEFAULT 7 .sdata - 8: 0000246c 0 SECTION LOCAL DEFAULT 8 .sbss - 9: 00002474 0 SECTION LOCAL DEFAULT 9 .bss + 2: 00002a48 0 SECTION LOCAL DEFAULT 2 .rodata + 3: 00003000 0 SECTION LOCAL DEFAULT 3 .eh_frame + 4: 00003054 0 SECTION LOCAL DEFAULT 4 .init_array + 5: 0000305c 0 SECTION LOCAL DEFAULT 5 .fini_array + 6: 00003060 0 SECTION LOCAL DEFAULT 6 .data + 7: 000034d8 0 SECTION LOCAL DEFAULT 7 .sdata + 8: 000034e4 0 SECTION LOCAL DEFAULT 8 .sbss + 9: 000034ec 0 SECTION LOCAL DEFAULT 9 .bss 10: 00000000 0 SECTION LOCAL DEFAULT 10 .comment 11: 00000000 0 SECTION LOCAL DEFAULT 11 .riscv.attributes 12: 00000000 0 SECTION LOCAL DEFAULT 12 .debug_aranges @@ -93,76 +93,92 @@ Symbol table '.symtab' contains 93 entries: 20: 00000000 0 FILE LOCAL DEFAULT ABS __call_atexit.c 21: 00000074 24 FUNC LOCAL DEFAULT 1 register_fini 22: 00000000 0 FILE LOCAL DEFAULT ABS crtstuff.c - 23: 00002000 0 OBJECT LOCAL DEFAULT 3 __EH_FRAME_BEGIN__ + 23: 00003000 0 OBJECT LOCAL DEFAULT 3 __EH_FRAME_BEGIN__ 24: 000000d8 0 FUNC LOCAL DEFAULT 1 __do_global_dtors_aux - 25: 00002474 1 OBJECT LOCAL DEFAULT 9 completed.1 - 26: 00002034 0 OBJECT LOCAL DEFAULT 5 __do_global_dtor[...] + 25: 000034ec 1 OBJECT LOCAL DEFAULT 9 completed.1 + 26: 0000305c 0 OBJECT LOCAL DEFAULT 5 __do_global_dtor[...] 27: 0000011c 0 FUNC LOCAL DEFAULT 1 frame_dummy - 28: 00002478 24 OBJECT LOCAL DEFAULT 9 object.0 - 29: 00002030 0 OBJECT LOCAL DEFAULT 4 __frame_dummy_in[...] + 28: 000034f0 24 OBJECT LOCAL DEFAULT 9 object.0 + 29: 00003058 0 OBJECT LOCAL DEFAULT 4 __frame_dummy_in[...] 30: 00000000 0 FILE LOCAL DEFAULT ABS console.c - 31: 00002464 4 OBJECT LOCAL DEFAULT 7 _uartaddr - 32: 0000246c 4 OBJECT LOCAL DEFAULT 8 _uartstate + 31: 000034dc 4 OBJECT LOCAL DEFAULT 7 _uartaddr + 32: 000034e4 4 OBJECT LOCAL DEFAULT 8 _uartstate 33: 0000013c 60 FUNC LOCAL DEFAULT 1 _canputchar 34: 00000178 64 FUNC LOCAL DEFAULT 1 _haschar 35: 000001b8 88 FUNC LOCAL DEFAULT 1 _putchar 36: 00000210 76 FUNC LOCAL DEFAULT 1 _getchar 37: 0000025c 104 FUNC LOCAL DEFAULT 1 _puts 38: 000002c4 216 FUNC LOCAL DEFAULT 1 _gets - 39: 0000039c 348 FUNC LOCAL DEFAULT 1 _d2s - 40: 000004f8 424 FUNC LOCAL DEFAULT 1 _h2s - 41: 000006a0 252 FUNC LOCAL DEFAULT 1 _s2d - 42: 0000079c 312 FUNC LOCAL DEFAULT 1 _s2h - 43: 000008d4 140 FUNC LOCAL DEFAULT 1 _strcat - 44: 00000960 152 FUNC LOCAL DEFAULT 1 _strncmp - 45: 000009f8 64 FUNC LOCAL DEFAULT 1 _buadrateset - 46: 00002470 4 OBJECT LOCAL DEFAULT 8 displayaddr - 47: 00000a38 628 FUNC LOCAL DEFAULT 1 dispmem - 48: 00000cac 124 FUNC LOCAL DEFAULT 1 cycle - 49: 00000d28 124 FUNC LOCAL DEFAULT 1 instrcount - 50: 00000da4 96 FUNC LOCAL DEFAULT 1 printhelp - 51: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c - 52: 00000000 0 FILE LOCAL DEFAULT ABS exit.c - 53: 00000000 0 FILE LOCAL DEFAULT ABS init.c - 54: 00000000 0 FILE LOCAL DEFAULT ABS fini.c - 55: 00000000 0 FILE LOCAL DEFAULT ABS atexit.c - 56: 00000000 0 FILE LOCAL DEFAULT ABS __atexit.c - 57: 00000000 0 FILE LOCAL DEFAULT ABS sys_exit.c - 58: 00000000 0 FILE LOCAL DEFAULT ABS errno.c - 59: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c - 60: 00000000 0 FILE LOCAL DEFAULT ABS crtstuff.c - 61: 00002028 0 OBJECT LOCAL DEFAULT 3 __FRAME_END__ - 62: 00000000 0 FILE LOCAL DEFAULT ABS impure.c - 63: 00002038 1064 OBJECT LOCAL DEFAULT 6 impure_data - 64: 00000000 0 FILE LOCAL DEFAULT ABS - 65: 00002038 0 NOTYPE LOCAL DEFAULT 5 __fini_array_end - 66: 00002034 0 NOTYPE LOCAL DEFAULT 5 __fini_array_start - 67: 00002034 0 NOTYPE LOCAL DEFAULT 4 __init_array_end - 68: 0000202c 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_end - 69: 0000202c 0 NOTYPE LOCAL DEFAULT 4 __init_array_start - 70: 0000202c 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_start - 71: 00002838 0 NOTYPE GLOBAL DEFAULT ABS __global_pointer$ - 72: 00001ac8 8 FUNC GLOBAL DEFAULT 1 __errno - 73: 00002460 0 NOTYPE GLOBAL DEFAULT 7 __SDATA_BEGIN__ - 74: 00002460 4 OBJECT GLOBAL DEFAULT 7 _global_impure_ptr - 75: 000016f8 156 FUNC GLOBAL DEFAULT 1 __libc_init_array - 76: 00001298 1072 FUNC GLOBAL HIDDEN 1 __udivdi3 - 77: 00001990 92 FUNC GLOBAL DEFAULT 1 __libc_fini_array - 78: 00001870 288 FUNC GLOBAL DEFAULT 1 __call_exitprocs - 79: 0000008c 76 FUNC GLOBAL DEFAULT 1 _start - 80: 00001a00 152 FUNC GLOBAL DEFAULT 1 __register_exitproc - 81: 00002490 0 NOTYPE GLOBAL DEFAULT 9 __BSS_END__ - 82: 0000246c 0 NOTYPE GLOBAL DEFAULT 8 __bss_start - 83: 00001794 220 FUNC GLOBAL DEFAULT 1 memset - 84: 00000e04 1172 FUNC GLOBAL DEFAULT 1 main - 85: 00001be0 256 OBJECT GLOBAL HIDDEN 2 __clz_tab - 86: 000019ec 20 FUNC GLOBAL DEFAULT 1 atexit - 87: 00002468 4 OBJECT GLOBAL DEFAULT 7 _impure_ptr - 88: 00002038 0 NOTYPE GLOBAL DEFAULT 6 __DATA_BEGIN__ - 89: 0000246c 0 NOTYPE GLOBAL DEFAULT 7 _edata - 90: 00002490 0 NOTYPE GLOBAL DEFAULT 9 _end - 91: 000016c8 48 FUNC GLOBAL DEFAULT 1 exit - 92: 00001a98 48 FUNC GLOBAL DEFAULT 1 _exit + 39: 0000039c 512 FUNC LOCAL DEFAULT 1 _d2s + 40: 0000059c 424 FUNC LOCAL DEFAULT 1 _h2s + 41: 00000744 252 FUNC LOCAL DEFAULT 1 _s2d + 42: 00000840 312 FUNC LOCAL DEFAULT 1 _s2h + 43: 00000978 140 FUNC LOCAL DEFAULT 1 _strcat + 44: 00000a04 152 FUNC LOCAL DEFAULT 1 _strncmp + 45: 00000a9c 64 FUNC LOCAL DEFAULT 1 _buadrateset + 46: 000034e8 4 OBJECT LOCAL DEFAULT 8 displayaddr + 47: 00000adc 628 FUNC LOCAL DEFAULT 1 dispmem + 48: 00000d50 124 FUNC LOCAL DEFAULT 1 cycle + 49: 00000dcc 124 FUNC LOCAL DEFAULT 1 instrcount + 50: 00000e48 124 FUNC LOCAL DEFAULT 1 get_counter_addsub + 51: 00000ec4 124 FUNC LOCAL DEFAULT 1 get_counter_mul + 52: 00000f40 124 FUNC LOCAL DEFAULT 1 get_counter_div + 53: 00000fbc 124 FUNC LOCAL DEFAULT 1 get_counter_ld + 54: 00001038 124 FUNC LOCAL DEFAULT 1 get_counter_st + 55: 000010b4 124 FUNC LOCAL DEFAULT 1 get_counter_jmp + 56: 00001130 124 FUNC LOCAL DEFAULT 1 get_counter_j + 57: 000011ac 124 FUNC LOCAL DEFAULT 1 get_counter_alui + 58: 00001228 124 FUNC LOCAL DEFAULT 1 get_counter_alu + 59: 000012a4 340 FUNC LOCAL DEFAULT 1 liststatus + 60: 000013f8 96 FUNC LOCAL DEFAULT 1 printhelp + 61: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c + 62: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c + 63: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c + 64: 00000000 0 FILE LOCAL DEFAULT ABS exit.c + 65: 00000000 0 FILE LOCAL DEFAULT ABS init.c + 66: 00000000 0 FILE LOCAL DEFAULT ABS fini.c + 67: 00000000 0 FILE LOCAL DEFAULT ABS atexit.c + 68: 00000000 0 FILE LOCAL DEFAULT ABS __atexit.c + 69: 00000000 0 FILE LOCAL DEFAULT ABS sys_exit.c + 70: 00000000 0 FILE LOCAL DEFAULT ABS errno.c + 71: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c + 72: 00000000 0 FILE LOCAL DEFAULT ABS crtstuff.c + 73: 00003050 0 OBJECT LOCAL DEFAULT 3 __FRAME_END__ + 74: 00000000 0 FILE LOCAL DEFAULT ABS impure.c + 75: 000030b0 1064 OBJECT LOCAL DEFAULT 6 impure_data + 76: 00000000 0 FILE LOCAL DEFAULT ABS + 77: 00003060 0 NOTYPE LOCAL DEFAULT 5 __fini_array_end + 78: 0000305c 0 NOTYPE LOCAL DEFAULT 5 __fini_array_start + 79: 0000305c 0 NOTYPE LOCAL DEFAULT 4 __init_array_end + 80: 00003054 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_end + 81: 00003054 0 NOTYPE LOCAL DEFAULT 4 __init_array_start + 82: 00003054 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_start + 83: 00001948 1144 FUNC GLOBAL HIDDEN 1 __divdi3 + 84: 00003860 0 NOTYPE GLOBAL DEFAULT ABS __global_pointer$ + 85: 00002a40 8 FUNC GLOBAL DEFAULT 1 __errno + 86: 000034d8 0 NOTYPE GLOBAL DEFAULT 7 __SDATA_BEGIN__ + 87: 000034d8 4 OBJECT GLOBAL DEFAULT 7 _global_impure_ptr + 88: 00002670 156 FUNC GLOBAL DEFAULT 1 __libc_init_array + 89: 00002210 1072 FUNC GLOBAL HIDDEN 1 __udivdi3 + 90: 00002908 92 FUNC GLOBAL DEFAULT 1 __libc_fini_array + 91: 000027e8 288 FUNC GLOBAL DEFAULT 1 __call_exitprocs + 92: 0000008c 76 FUNC GLOBAL DEFAULT 1 _start + 93: 00002978 152 FUNC GLOBAL DEFAULT 1 __register_exitproc + 94: 00003088 40 OBJECT GLOBAL DEFAULT 6 statusname + 95: 00003508 0 NOTYPE GLOBAL DEFAULT 9 __BSS_END__ + 96: 000034e4 0 NOTYPE GLOBAL DEFAULT 8 __bss_start + 97: 0000270c 220 FUNC GLOBAL DEFAULT 1 memset + 98: 00001458 1264 FUNC GLOBAL DEFAULT 1 main + 99: 00002bd4 256 OBJECT GLOBAL HIDDEN 2 __clz_tab + 100: 00002964 20 FUNC GLOBAL DEFAULT 1 atexit + 101: 000034e0 4 OBJECT GLOBAL DEFAULT 7 _impure_ptr + 102: 00003060 0 NOTYPE GLOBAL DEFAULT 6 __DATA_BEGIN__ + 103: 000034e4 0 NOTYPE GLOBAL DEFAULT 7 _edata + 104: 00003508 0 NOTYPE GLOBAL DEFAULT 9 _end + 105: 00003060 40 OBJECT GLOBAL DEFAULT 6 statusgetfuncs + 106: 00002640 48 FUNC GLOBAL DEFAULT 1 exit + 107: 00001dc0 1104 FUNC GLOBAL HIDDEN 1 __moddi3 + 108: 00002a10 48 FUNC GLOBAL DEFAULT 1 _exit No version information found in this file. Attribute Section: riscv diff --git a/examples/hdl4se_riscv/test_code/test.mif b/examples/hdl4se_riscv/test_code/test.mif index 3e7c440168a4f59c77b2bcb4f499ab79fb4dfb55..82d558b5afd168bab8b9ba4112205ca8fb0bee7f 100644 --- a/examples/hdl4se_riscv/test_code/test.mif +++ b/examples/hdl4se_riscv/test_code/test.mif @@ -35,50 +35,50 @@ BEGIN 001C : CDCDCDCD; 001D : 00000793; 001E : 00078863; -001F : 00002537; -0020 : 99050513; -0021 : 1690106F; +001F : 00003537; +0020 : 90850513; +0021 : 0E10206F; 0022 : 00008067; -0023 : 00002197; -0024 : 7AC18193; -0025 : C3418513; -0026 : C5818613; +0023 : 00003197; +0024 : 7D418193; +0025 : C8418513; +0026 : CA818613; 0027 : 40A60633; 0028 : 00000593; -0029 : 6F0010EF; -002A : 00002517; -002B : 94450513; +0029 : 668020EF; +002A : 00003517; +002B : 8BC50513; 002C : 00050863; -002D : 00002517; -002E : 8DC50513; -002F : 131010EF; -0030 : 638010EF; +002D : 00003517; +002E : 85450513; +002F : 0A9020EF; +0030 : 5B0020EF; 0031 : 00012503; 0032 : 00410593; 0033 : 00000613; -0034 : 535000EF; -0035 : 5F40106F; +0034 : 388010EF; +0035 : 56C0206F; 0036 : FF010113; 0037 : 00812423; -0038 : C3C1C783; +0038 : C8C1C783; 0039 : 00112623; 003A : 02079263; 003B : 00000793; 003C : 00078A63; -003D : 00002537; +003D : 00003537; 003E : 00050513; 003F : 00000097; 0040 : 000000E7; 0041 : 00100793; -0042 : C2F18E23; +0042 : C8F18623; 0043 : 00C12083; 0044 : 00812403; 0045 : 01010113; 0046 : 00008067; 0047 : 00000793; 0048 : 00078C63; -0049 : 00002537; -004A : C4018593; +0049 : 00003537; +004A : C9018593; 004B : 00050513; 004C : 00000317; 004D : 00000067; @@ -86,11 +86,11 @@ BEGIN 004F : FF010113; 0050 : 00812623; 0051 : 01010413; -0052 : C2C1A783; +0052 : C7C1A783; 0053 : 00878793; 0054 : 0007A703; -0055 : C2E1AA23; -0056 : C341A783; +0055 : C8E1A223; +0056 : C841A783; 0057 : 0017F793; 0058 : 0017B793; 0059 : 0FF7F793; @@ -101,11 +101,11 @@ BEGIN 005E : FF010113; 005F : 00812623; 0060 : 01010413; -0061 : C2C1A783; +0061 : C7C1A783; 0062 : 00878793; 0063 : 0007A703; -0064 : C2E1AA23; -0065 : C341A703; +0064 : C8E1A223; +0065 : C841A703; 0066 : 000107B7; 0067 : 00F777B3; 0068 : 0017B793; @@ -118,14 +118,14 @@ BEGIN 006F : 00812E23; 0070 : 02010413; 0071 : FEA42623; -0072 : C2C1A783; +0072 : C7C1A783; 0073 : 00878793; 0074 : 0007A703; -0075 : C2E1AA23; -0076 : C341A783; +0075 : C8E1A223; +0076 : C841A783; 0077 : 0017F793; 0078 : 00079E63; -0079 : C2C1A783; +0079 : C7C1A783; 007A : 00478793; 007B : FEC42703; 007C : 00E7A023; @@ -139,15 +139,15 @@ BEGIN 0084 : FF010113; 0085 : 00812623; 0086 : 01010413; -0087 : C2C1A783; +0087 : C7C1A783; 0088 : 00878793; 0089 : 0007A703; -008A : C2E1AA23; -008B : C341A703; +008A : C8E1A223; +008B : C841A703; 008C : 000107B7; 008D : 00F777B3; 008E : 00079863; -008F : C2C1A783; +008F : C7C1A783; 0090 : 0007A783; 0091 : 0080006F; 0092 : FFF00793; @@ -236,2643 +236,2643 @@ BEGIN 00E5 : 03010113; 00E6 : 00008067; 00E7 : FC010113; -00E8 : 02812E23; -00E9 : 04010413; -00EA : FCA42623; -00EB : FCB42423; -00EC : FE042423; -00ED : FE042223; -00EE : FC842783; -00EF : 0407DE63; -00F0 : FC842783; -00F1 : 40F007B3; -00F2 : FCF42423; -00F3 : FE042223; -00F4 : 0480006F; -00F5 : FC842703; -00F6 : 00A00793; -00F7 : 02F767B3; -00F8 : 0FF7F713; -00F9 : FE842783; -00FA : 00178693; -00FB : FED42423; -00FC : 00078693; -00FD : FCC42783; -00FE : 00D787B3; -00FF : 03070713; -0100 : 0FF77713; -0101 : 00E78023; -0102 : FC842703; -0103 : 00A00793; -0104 : 02F747B3; -0105 : FCF42423; -0106 : FC842783; -0107 : FAF04CE3; -0108 : FE442783; -0109 : 02078263; -010A : FE842783; -010B : 00178713; -010C : FEE42423; -010D : 00078713; -010E : FCC42783; -010F : 00E787B3; -0110 : 02D00713; -0111 : 00E78023; -0112 : FE042623; -0113 : 06C0006F; -0114 : FE842783; -0115 : FFF78713; -0116 : FEC42783; -0117 : 40F707B3; -0118 : FEF42023; -0119 : FEC42783; -011A : FCC42703; -011B : 00F707B3; -011C : 0007C783; -011D : FCF40FA3; -011E : FE042783; -011F : FCC42703; -0120 : 00F70733; -0121 : FEC42783; -0122 : FCC42683; -0123 : 00F687B3; -0124 : 00074703; -0125 : 00E78023; -0126 : FE042783; -0127 : FCC42703; -0128 : 00F707B3; -0129 : FDF44703; -012A : 00E78023; -012B : FEC42783; -012C : 00178793; -012D : FEF42623; -012E : FE842783; -012F : 01F7D713; -0130 : 00F707B3; -0131 : 4017D793; -0132 : 00078713; -0133 : FEC42783; -0134 : F8E7C0E3; -0135 : FE842783; -0136 : FCC42703; -0137 : 00F707B3; -0138 : 00078023; -0139 : FE842783; -013A : 00078513; -013B : 03C12403; -013C : 04010113; -013D : 00008067; -013E : FB010113; -013F : 04812623; -0140 : 05010413; -0141 : FCA42623; -0142 : FCB42023; -0143 : FCC42223; -0144 : FCD42423; -0145 : 00070793; -0146 : FAF40FA3; -0147 : FE042423; -0148 : 0900006F; -0149 : FC042783; -014A : 00F7F793; -014B : FCF42E23; -014C : FDC42703; -014D : 00900793; -014E : 02E7C463; -014F : FDC42783; -0150 : 0FF7F713; -0151 : FE842783; -0152 : FCC42683; -0153 : 00F687B3; -0154 : 03070713; -0155 : 0FF77713; -0156 : 00E78023; -0157 : 0240006F; -0158 : FDC42783; -0159 : 0FF7F713; -015A : FE842783; -015B : FCC42683; -015C : 00F687B3; -015D : 05770713; -015E : 0FF77713; -015F : 00E78023; -0160 : FC442783; -0161 : 01C79793; -0162 : FC042703; -0163 : 00475813; -0164 : 0107E833; -0165 : FC442783; -0166 : 0047D893; -0167 : FD042023; -0168 : FD142223; -0169 : FE842783; -016A : 00178793; -016B : FEF42423; -016C : FC042783; -016D : FC442703; -016E : 00E7E7B3; -016F : F60794E3; -0170 : 0240006F; -0171 : FE842783; -0172 : 00178713; -0173 : FEE42423; -0174 : 00078713; -0175 : FCC42783; -0176 : 00E787B3; -0177 : FBF44703; -0178 : 00E78023; -0179 : FE842703; -017A : FC842783; -017B : FCF74CE3; -017C : FE042623; -017D : 06C0006F; -017E : FE842783; -017F : FFF78713; -0180 : FEC42783; -0181 : 40F707B3; -0182 : FEF42223; -0183 : FEC42783; -0184 : FCC42703; -0185 : 00F707B3; -0186 : 0007C783; -0187 : FEF401A3; -0188 : FE442783; -0189 : FCC42703; -018A : 00F70733; -018B : FEC42783; -018C : FCC42683; -018D : 00F687B3; -018E : 00074703; -018F : 00E78023; -0190 : FE442783; -0191 : FCC42703; -0192 : 00F707B3; -0193 : FE344703; -0194 : 00E78023; -0195 : FEC42783; -0196 : 00178793; -0197 : FEF42623; -0198 : FE842783; -0199 : 01F7D713; -019A : 00F707B3; -019B : 4017D793; -019C : 00078713; -019D : FEC42783; -019E : F8E7C0E3; -019F : FE842783; -01A0 : FCC42703; -01A1 : 00F707B3; -01A2 : 00078023; -01A3 : FE842783; -01A4 : 00078513; -01A5 : 04C12403; -01A6 : 05010113; -01A7 : 00008067; -01A8 : FD010113; -01A9 : 02812623; -01AA : 03010413; -01AB : FCA42E23; -01AC : FCB42C23; -01AD : FE042623; -01AE : FE042423; -01AF : 00100793; -01B0 : FEF42223; -01B1 : 08C0006F; -01B2 : FDC42783; -01B3 : 0007C783; -01B4 : FEF42023; -01B5 : FE042703; -01B6 : 02F00793; -01B7 : 04E7D263; -01B8 : FE042703; -01B9 : 03900793; -01BA : 02E7CC63; -01BB : FE842703; -01BC : 00070793; -01BD : 00279793; -01BE : 00E787B3; -01BF : 00179793; -01C0 : 00078713; -01C1 : FE042783; -01C2 : 00F707B3; -01C3 : FD078793; -01C4 : FEF42423; -01C5 : 00100793; -01C6 : FEF42623; -01C7 : 0280006F; -01C8 : FEC42783; -01C9 : 02079E63; -01CA : FE042703; -01CB : 02D00793; -01CC : 00F71A63; -01CD : FFF00793; -01CE : FEF42223; -01CF : 00100793; -01D0 : FEF42623; -01D1 : FDC42783; -01D2 : 00178793; -01D3 : FCF42E23; -01D4 : FDC42783; -01D5 : 0007C783; -01D6 : F60798E3; -01D7 : 0080006F; -01D8 : 00000013; -01D9 : FE842703; -01DA : FE442783; -01DB : 02F707B3; -01DC : FEF42423; -01DD : FD842783; -01DE : 00078863; -01DF : FD842783; -01E0 : FDC42703; -01E1 : 00E7A023; -01E2 : FE842783; -01E3 : 00078513; -01E4 : 02C12403; -01E5 : 03010113; -01E6 : 00008067; -01E7 : FD010113; -01E8 : 02812623; -01E9 : 03010413; -01EA : FCA42E23; -01EB : FCB42C23; -01EC : FE042623; -01ED : FE042423; -01EE : 0E00006F; -01EF : FDC42783; -01F0 : 0007C783; -01F1 : FEF42223; -01F2 : FE442703; -01F3 : 02F00793; -01F4 : 02E7DA63; -01F5 : FE442703; -01F6 : 03900793; -01F7 : 02E7C463; +00E8 : 02112E23; +00E9 : 02812C23; +00EA : 04010413; +00EB : FCA42623; +00EC : FCB42023; +00ED : FCC42223; +00EE : FE042423; +00EF : FE042223; +00F0 : FC442783; +00F1 : 0207DC63; +00F2 : 00000613; +00F3 : 00000693; +00F4 : FC042503; +00F5 : FC442583; +00F6 : 40A60733; +00F7 : 00070813; +00F8 : 01063833; +00F9 : 40B687B3; +00FA : 410786B3; +00FB : 00068793; +00FC : FCE42023; +00FD : FCF42223; +00FE : FE042223; +00FF : FC042783; +0100 : FC442703; +0101 : 00E7E7B3; +0102 : 0A079063; +0103 : FE842783; +0104 : 00178713; +0105 : FEE42423; +0106 : 00078713; +0107 : FCC42783; +0108 : 00E787B3; +0109 : 03000713; +010A : 00E78023; +010B : 0BC0006F; +010C : FC042703; +010D : FC442783; +010E : 00A00613; +010F : 00000693; +0110 : 00070513; +0111 : 00078593; +0112 : 179010EF; +0113 : 00050713; +0114 : 00058793; +0115 : 0FF77713; +0116 : FE842783; +0117 : 00178693; +0118 : FED42423; +0119 : 00078693; +011A : FCC42783; +011B : 00D787B3; +011C : 03070713; +011D : 0FF77713; +011E : 00E78023; +011F : FC042703; +0120 : FC442783; +0121 : 00A00613; +0122 : 00000693; +0123 : 00070513; +0124 : 00078593; +0125 : 4B4010EF; +0126 : 00050713; +0127 : 00058793; +0128 : FCE42023; +0129 : FCF42223; +012A : FC442783; +012B : F8F042E3; +012C : FC442783; +012D : 00079663; +012E : FC042783; +012F : F6079AE3; +0130 : FE442783; +0131 : 02078263; +0132 : FE842783; +0133 : 00178713; +0134 : FEE42423; +0135 : 00078713; +0136 : FCC42783; +0137 : 00E787B3; +0138 : 02D00713; +0139 : 00E78023; +013A : FE042623; +013B : 06C0006F; +013C : FE842783; +013D : FFF78713; +013E : FEC42783; +013F : 40F707B3; +0140 : FEF42023; +0141 : FEC42783; +0142 : FCC42703; +0143 : 00F707B3; +0144 : 0007C783; +0145 : FCF40FA3; +0146 : FE042783; +0147 : FCC42703; +0148 : 00F70733; +0149 : FEC42783; +014A : FCC42683; +014B : 00F687B3; +014C : 00074703; +014D : 00E78023; +014E : FE042783; +014F : FCC42703; +0150 : 00F707B3; +0151 : FDF44703; +0152 : 00E78023; +0153 : FEC42783; +0154 : 00178793; +0155 : FEF42623; +0156 : FE842783; +0157 : 01F7D713; +0158 : 00F707B3; +0159 : 4017D793; +015A : 00078713; +015B : FEC42783; +015C : F8E7C0E3; +015D : FE842783; +015E : FCC42703; +015F : 00F707B3; +0160 : 00078023; +0161 : FE842783; +0162 : 00078513; +0163 : 03C12083; +0164 : 03812403; +0165 : 04010113; +0166 : 00008067; +0167 : FB010113; +0168 : 04812623; +0169 : 05010413; +016A : FCA42623; +016B : FCB42023; +016C : FCC42223; +016D : FCD42423; +016E : 00070793; +016F : FAF40FA3; +0170 : FE042423; +0171 : 0900006F; +0172 : FC042783; +0173 : 00F7F793; +0174 : FCF42E23; +0175 : FDC42703; +0176 : 00900793; +0177 : 02E7C463; +0178 : FDC42783; +0179 : 0FF7F713; +017A : FE842783; +017B : FCC42683; +017C : 00F687B3; +017D : 03070713; +017E : 0FF77713; +017F : 00E78023; +0180 : 0240006F; +0181 : FDC42783; +0182 : 0FF7F713; +0183 : FE842783; +0184 : FCC42683; +0185 : 00F687B3; +0186 : 05770713; +0187 : 0FF77713; +0188 : 00E78023; +0189 : FC442783; +018A : 01C79793; +018B : FC042703; +018C : 00475813; +018D : 0107E833; +018E : FC442783; +018F : 0047D893; +0190 : FD042023; +0191 : FD142223; +0192 : FE842783; +0193 : 00178793; +0194 : FEF42423; +0195 : FC042783; +0196 : FC442703; +0197 : 00E7E7B3; +0198 : F60794E3; +0199 : 0240006F; +019A : FE842783; +019B : 00178713; +019C : FEE42423; +019D : 00078713; +019E : FCC42783; +019F : 00E787B3; +01A0 : FBF44703; +01A1 : 00E78023; +01A2 : FE842703; +01A3 : FC842783; +01A4 : FCF74CE3; +01A5 : FE042623; +01A6 : 06C0006F; +01A7 : FE842783; +01A8 : FFF78713; +01A9 : FEC42783; +01AA : 40F707B3; +01AB : FEF42223; +01AC : FEC42783; +01AD : FCC42703; +01AE : 00F707B3; +01AF : 0007C783; +01B0 : FEF401A3; +01B1 : FE442783; +01B2 : FCC42703; +01B3 : 00F70733; +01B4 : FEC42783; +01B5 : FCC42683; +01B6 : 00F687B3; +01B7 : 00074703; +01B8 : 00E78023; +01B9 : FE442783; +01BA : FCC42703; +01BB : 00F707B3; +01BC : FE344703; +01BD : 00E78023; +01BE : FEC42783; +01BF : 00178793; +01C0 : FEF42623; +01C1 : FE842783; +01C2 : 01F7D713; +01C3 : 00F707B3; +01C4 : 4017D793; +01C5 : 00078713; +01C6 : FEC42783; +01C7 : F8E7C0E3; +01C8 : FE842783; +01C9 : FCC42703; +01CA : 00F707B3; +01CB : 00078023; +01CC : FE842783; +01CD : 00078513; +01CE : 04C12403; +01CF : 05010113; +01D0 : 00008067; +01D1 : FD010113; +01D2 : 02812623; +01D3 : 03010413; +01D4 : FCA42E23; +01D5 : FCB42C23; +01D6 : FE042623; +01D7 : FE042423; +01D8 : 00100793; +01D9 : FEF42223; +01DA : 08C0006F; +01DB : FDC42783; +01DC : 0007C783; +01DD : FEF42023; +01DE : FE042703; +01DF : 02F00793; +01E0 : 04E7D263; +01E1 : FE042703; +01E2 : 03900793; +01E3 : 02E7CC63; +01E4 : FE842703; +01E5 : 00070793; +01E6 : 00279793; +01E7 : 00E787B3; +01E8 : 00179793; +01E9 : 00078713; +01EA : FE042783; +01EB : 00F707B3; +01EC : FD078793; +01ED : FEF42423; +01EE : 00100793; +01EF : FEF42623; +01F0 : 0280006F; +01F1 : FEC42783; +01F2 : 02079E63; +01F3 : FE042703; +01F4 : 02D00793; +01F5 : 00F71A63; +01F6 : FFF00793; +01F7 : FEF42223; 01F8 : 00100793; 01F9 : FEF42623; -01FA : FE842783; -01FB : 00479713; -01FC : FE442783; -01FD : 00F707B3; -01FE : FD078793; -01FF : FEF42423; -0200 : 08C0006F; -0201 : FE442703; -0202 : 06000793; -0203 : 02E7DA63; -0204 : FE442703; -0205 : 06600793; -0206 : 02E7C463; -0207 : 00100793; -0208 : FEF42623; -0209 : FE842783; -020A : 00479713; -020B : FE442783; -020C : 00F707B3; -020D : FA978793; -020E : FEF42423; -020F : 0500006F; -0210 : FE442703; -0211 : 04000793; -0212 : 02E7DA63; -0213 : FE442703; -0214 : 04600793; -0215 : 02E7C463; -0216 : 00100793; -0217 : FEF42623; -0218 : FE842783; -0219 : 00479713; -021A : FE442783; -021B : 00F707B3; -021C : FC978793; -021D : FEF42423; -021E : 0140006F; -021F : FEC42783; -0220 : 02079463; +01FA : FDC42783; +01FB : 00178793; +01FC : FCF42E23; +01FD : FDC42783; +01FE : 0007C783; +01FF : F60798E3; +0200 : 0080006F; +0201 : 00000013; +0202 : FE842703; +0203 : FE442783; +0204 : 02F707B3; +0205 : FEF42423; +0206 : FD842783; +0207 : 00078863; +0208 : FD842783; +0209 : FDC42703; +020A : 00E7A023; +020B : FE842783; +020C : 00078513; +020D : 02C12403; +020E : 03010113; +020F : 00008067; +0210 : FD010113; +0211 : 02812623; +0212 : 03010413; +0213 : FCA42E23; +0214 : FCB42C23; +0215 : FE042623; +0216 : FE042423; +0217 : 0E00006F; +0218 : FDC42783; +0219 : 0007C783; +021A : FEF42223; +021B : FE442703; +021C : 02F00793; +021D : 02E7DA63; +021E : FE442703; +021F : 03900793; +0220 : 02E7C463; 0221 : 00100793; 0222 : FEF42623; -0223 : FDC42783; -0224 : 00178793; -0225 : FCF42E23; -0226 : FDC42783; -0227 : 0007C783; -0228 : F0079EE3; -0229 : 0080006F; -022A : 00000013; -022B : FD842783; -022C : 00078863; -022D : FD842783; -022E : FDC42703; -022F : 00E7A023; -0230 : FE842783; -0231 : 00078513; -0232 : 02C12403; -0233 : 03010113; -0234 : 00008067; -0235 : FD010113; -0236 : 02812623; -0237 : 03010413; -0238 : FCA42E23; -0239 : FCB42C23; -023A : FDC42783; -023B : FEF42623; -023C : 0100006F; -023D : FDC42783; -023E : 00178793; -023F : FCF42E23; -0240 : FDC42783; -0241 : 0007C783; -0242 : FE0796E3; -0243 : 0240006F; -0244 : FD842703; -0245 : 00170793; -0246 : FCF42C23; -0247 : FDC42783; -0248 : 00178693; -0249 : FCD42E23; -024A : 00074703; -024B : 00E78023; -024C : FD842783; -024D : 0007C783; -024E : FC079CE3; +0223 : FE842783; +0224 : 00479713; +0225 : FE442783; +0226 : 00F707B3; +0227 : FD078793; +0228 : FEF42423; +0229 : 08C0006F; +022A : FE442703; +022B : 06000793; +022C : 02E7DA63; +022D : FE442703; +022E : 06600793; +022F : 02E7C463; +0230 : 00100793; +0231 : FEF42623; +0232 : FE842783; +0233 : 00479713; +0234 : FE442783; +0235 : 00F707B3; +0236 : FA978793; +0237 : FEF42423; +0238 : 0500006F; +0239 : FE442703; +023A : 04000793; +023B : 02E7DA63; +023C : FE442703; +023D : 04600793; +023E : 02E7C463; +023F : 00100793; +0240 : FEF42623; +0241 : FE842783; +0242 : 00479713; +0243 : FE442783; +0244 : 00F707B3; +0245 : FC978793; +0246 : FEF42423; +0247 : 0140006F; +0248 : FEC42783; +0249 : 02079463; +024A : 00100793; +024B : FEF42623; +024C : FDC42783; +024D : 00178793; +024E : FCF42E23; 024F : FDC42783; -0250 : 00078023; -0251 : FDC42703; -0252 : FEC42783; -0253 : 40F707B3; -0254 : 00078513; -0255 : 02C12403; -0256 : 03010113; -0257 : 00008067; -0258 : FD010113; -0259 : 02812623; -025A : 03010413; -025B : FCA42E23; -025C : FCB42C23; -025D : FCC42A23; -025E : FE042623; -025F : 0500006F; -0260 : FDC42783; -0261 : 00178713; -0262 : FCE42E23; -0263 : 0007C703; -0264 : FD842783; -0265 : 00178693; -0266 : FCD42C23; -0267 : 0007C783; -0268 : 00F70663; -0269 : 00100793; -026A : 0400006F; -026B : FEC42783; -026C : 00178793; -026D : FEF42623; -026E : FEC42703; -026F : FD442783; -0270 : 00F74663; -0271 : 00000793; -0272 : 0200006F; -0273 : FDC42783; -0274 : 0007C783; -0275 : 00078863; -0276 : FD842783; -0277 : 0007C783; -0278 : FA0790E3; -0279 : 00000793; -027A : 00078513; -027B : 02C12403; -027C : 03010113; -027D : 00008067; -027E : FE010113; -027F : 00812E23; -0280 : 02010413; -0281 : FEA42623; -0282 : 05F5E7B7; -0283 : 10078713; -0284 : FEC42783; -0285 : 02F74733; -0286 : C2C1A783; -0287 : 01078793; -0288 : 00E7A023; -0289 : 00000793; -028A : 00078513; -028B : 01C12403; -028C : 02010113; -028D : 00008067; -028E : EC010113; -028F : 12112E23; -0290 : 12812C23; -0291 : 13212A23; -0292 : 13312823; -0293 : 13412623; -0294 : 13512423; -0295 : 14010413; -0296 : C381A783; -0297 : FF07F793; -0298 : FCF42A23; -0299 : FC042C23; -029A : 1F80006F; -029B : FD442783; -029C : 00078913; -029D : 00000993; -029E : ECC40793; -029F : 03000713; -02A0 : 00800693; -02A1 : 00090593; -02A2 : 00098613; +0250 : 0007C783; +0251 : F0079EE3; +0252 : 0080006F; +0253 : 00000013; +0254 : FD842783; +0255 : 00078863; +0256 : FD842783; +0257 : FDC42703; +0258 : 00E7A023; +0259 : FE842783; +025A : 00078513; +025B : 02C12403; +025C : 03010113; +025D : 00008067; +025E : FD010113; +025F : 02812623; +0260 : 03010413; +0261 : FCA42E23; +0262 : FCB42C23; +0263 : FDC42783; +0264 : FEF42623; +0265 : 0100006F; +0266 : FDC42783; +0267 : 00178793; +0268 : FCF42E23; +0269 : FDC42783; +026A : 0007C783; +026B : FE0796E3; +026C : 0240006F; +026D : FD842703; +026E : 00170793; +026F : FCF42C23; +0270 : FDC42783; +0271 : 00178693; +0272 : FCD42E23; +0273 : 00074703; +0274 : 00E78023; +0275 : FD842783; +0276 : 0007C783; +0277 : FC079CE3; +0278 : FDC42783; +0279 : 00078023; +027A : FDC42703; +027B : FEC42783; +027C : 40F707B3; +027D : 00078513; +027E : 02C12403; +027F : 03010113; +0280 : 00008067; +0281 : FD010113; +0282 : 02812623; +0283 : 03010413; +0284 : FCA42E23; +0285 : FCB42C23; +0286 : FCC42A23; +0287 : FE042623; +0288 : 0500006F; +0289 : FDC42783; +028A : 00178713; +028B : FCE42E23; +028C : 0007C703; +028D : FD842783; +028E : 00178693; +028F : FCD42C23; +0290 : 0007C783; +0291 : 00F70663; +0292 : 00100793; +0293 : 0400006F; +0294 : FEC42783; +0295 : 00178793; +0296 : FEF42623; +0297 : FEC42703; +0298 : FD442783; +0299 : 00F74663; +029A : 00000793; +029B : 0200006F; +029C : FDC42783; +029D : 0007C783; +029E : 00078863; +029F : FD842783; +02A0 : 0007C783; +02A1 : FA0790E3; +02A2 : 00000793; 02A3 : 00078513; -02A4 : A69FF0EF; -02A5 : ECC40713; -02A6 : 000027B7; -02A7 : AD078593; -02A8 : 00070513; -02A9 : E31FF0EF; -02AA : FC042E23; -02AB : 0C00006F; -02AC : FD442783; -02AD : FCF42623; -02AE : FDC42703; -02AF : FD442783; -02B0 : 00F70733; -02B1 : C381A783; -02B2 : 00F77E63; -02B3 : ECC40713; -02B4 : 000027B7; -02B5 : AD478593; -02B6 : 00070513; -02B7 : DF9FF0EF; -02B8 : 0600006F; -02B9 : FDC42783; -02BA : FCC42703; -02BB : 00F707B3; -02BC : 0007C783; -02BD : 00078A13; -02BE : 00000A93; -02BF : EC440793; -02C0 : 03000713; -02C1 : 00200693; -02C2 : 000A0593; -02C3 : 000A8613; -02C4 : 00078513; -02C5 : 9E5FF0EF; -02C6 : EC440713; -02C7 : 000027B7; -02C8 : AD878593; -02C9 : 00070513; -02CA : DADFF0EF; -02CB : EC440713; -02CC : ECC40793; -02CD : 00070593; -02CE : 00078513; -02CF : D99FF0EF; -02D0 : FDC42703; -02D1 : 00700793; -02D2 : 00F71C63; -02D3 : ECC40713; -02D4 : 000027B7; -02D5 : ADC78593; -02D6 : 00070513; -02D7 : D79FF0EF; -02D8 : FDC42783; -02D9 : 00178793; -02DA : FCF42E23; -02DB : FDC42703; -02DC : 00F00793; -02DD : F2E7DEE3; -02DE : ECC40713; -02DF : 000027B7; -02E0 : AE078593; -02E1 : 00070513; -02E2 : D4DFF0EF; -02E3 : FC042E23; -02E4 : 0800006F; -02E5 : FD442783; -02E6 : FCF42823; -02E7 : FDC42783; -02E8 : FD042703; -02E9 : 00F707B3; -02EA : 0007C703; -02EB : 01F00793; -02EC : 02E7FA63; -02ED : FDC42783; -02EE : FD042703; -02EF : 00F707B3; -02F0 : 0007C703; -02F1 : 07E00793; -02F2 : 00E7EE63; -02F3 : FDC42783; -02F4 : FD042703; -02F5 : 00F707B3; -02F6 : 0007C783; -02F7 : ECF40023; -02F8 : 00C0006F; -02F9 : 02E00793; -02FA : ECF40023; -02FB : EC0400A3; -02FC : EC040713; -02FD : ECC40793; -02FE : 00070593; -02FF : 00078513; -0300 : CD5FF0EF; +02A4 : 02C12403; +02A5 : 03010113; +02A6 : 00008067; +02A7 : FE010113; +02A8 : 00812E23; +02A9 : 02010413; +02AA : FEA42623; +02AB : 05F5E7B7; +02AC : 10078713; +02AD : FEC42783; +02AE : 02F74733; +02AF : C7C1A783; +02B0 : 01078793; +02B1 : 00E7A023; +02B2 : 00000793; +02B3 : 00078513; +02B4 : 01C12403; +02B5 : 02010113; +02B6 : 00008067; +02B7 : EC010113; +02B8 : 12112E23; +02B9 : 12812C23; +02BA : 13212A23; +02BB : 13312823; +02BC : 13412623; +02BD : 13512423; +02BE : 14010413; +02BF : C881A783; +02C0 : FF07F793; +02C1 : FCF42A23; +02C2 : FC042C23; +02C3 : 1F80006F; +02C4 : FD442783; +02C5 : 00078913; +02C6 : 00000993; +02C7 : ECC40793; +02C8 : 03000713; +02C9 : 00800693; +02CA : 00090593; +02CB : 00098613; +02CC : 00078513; +02CD : A69FF0EF; +02CE : ECC40713; +02CF : 000037B7; +02D0 : A4878593; +02D1 : 00070513; +02D2 : E31FF0EF; +02D3 : FC042E23; +02D4 : 0C00006F; +02D5 : FD442783; +02D6 : FCF42623; +02D7 : FDC42703; +02D8 : FD442783; +02D9 : 00F70733; +02DA : C881A783; +02DB : 00F77E63; +02DC : ECC40713; +02DD : 000037B7; +02DE : A4C78593; +02DF : 00070513; +02E0 : DF9FF0EF; +02E1 : 0600006F; +02E2 : FDC42783; +02E3 : FCC42703; +02E4 : 00F707B3; +02E5 : 0007C783; +02E6 : 00078A13; +02E7 : 00000A93; +02E8 : EC440793; +02E9 : 03000713; +02EA : 00200693; +02EB : 000A0593; +02EC : 000A8613; +02ED : 00078513; +02EE : 9E5FF0EF; +02EF : EC440713; +02F0 : 000037B7; +02F1 : A5078593; +02F2 : 00070513; +02F3 : DADFF0EF; +02F4 : EC440713; +02F5 : ECC40793; +02F6 : 00070593; +02F7 : 00078513; +02F8 : D99FF0EF; +02F9 : FDC42703; +02FA : 00700793; +02FB : 00F71C63; +02FC : ECC40713; +02FD : 000037B7; +02FE : A5478593; +02FF : 00070513; +0300 : D79FF0EF; 0301 : FDC42783; 0302 : 00178793; 0303 : FCF42E23; 0304 : FDC42703; 0305 : 00F00793; -0306 : F6E7DEE3; +0306 : F2E7DEE3; 0307 : ECC40713; -0308 : 000027B7; -0309 : AE478593; +0308 : 000037B7; +0309 : A5878593; 030A : 00070513; -030B : CA9FF0EF; -030C : ECC40793; -030D : 00078513; -030E : E24FF0EF; -030F : FD442783; -0310 : 01078793; -0311 : FCF42A23; -0312 : FD442783; -0313 : 0FF7F793; -0314 : 02078063; -0315 : FD842783; -0316 : 00178793; -0317 : FCF42C23; -0318 : FD842703; -0319 : 00F00793; -031A : E0E7D2E3; -031B : 0080006F; -031C : 00000013; -031D : 000027B7; -031E : AE878513; -031F : DE0FF0EF; -0320 : FD442703; -0321 : C2E1AC23; -0322 : 00000013; -0323 : 13C12083; -0324 : 13812403; -0325 : 13412903; -0326 : 13012983; -0327 : 12C12A03; -0328 : 12812A83; -0329 : 14010113; -032A : 00008067; -032B : FE010113; -032C : 00812E23; -032D : 02010413; -032E : C00065F3; -032F : FEB42623; -0330 : C80065F3; -0331 : FEB42423; -0332 : FE842583; -0333 : FEB42023; -0334 : FE042223; -0335 : FE042583; -0336 : 00059893; -0337 : 00000813; -0338 : FF042023; -0339 : FF142223; -033A : FEC42583; -033B : 00058713; -033C : 00000793; -033D : FE042583; -033E : 00E5E633; -033F : FE442583; -0340 : 00F5E6B3; -0341 : FEC42023; -0342 : FED42223; -0343 : FE042703; -0344 : FE442783; -0345 : 00070513; -0346 : 00078593; -0347 : 01C12403; -0348 : 02010113; -0349 : 00008067; -034A : FE010113; -034B : 00812E23; -034C : 02010413; -034D : C02065F3; -034E : FEB42623; -034F : C82065F3; -0350 : FEB42423; -0351 : FE842583; -0352 : FEB42023; -0353 : FE042223; -0354 : FE042583; -0355 : 00059893; -0356 : 00000813; -0357 : FF042023; -0358 : FF142223; -0359 : FEC42583; -035A : 00058713; -035B : 00000793; -035C : FE042583; -035D : 00E5E633; -035E : FE442583; -035F : 00F5E6B3; -0360 : FEC42023; -0361 : FED42223; -0362 : FE042703; -0363 : FE442783; -0364 : 00070513; -0365 : 00078593; -0366 : 01C12403; -0367 : 02010113; -0368 : 00008067; -0369 : FF010113; -036A : 00112623; -036B : 00812423; -036C : 01010413; -036D : 000027B7; -036E : AEC78513; -036F : CA0FF0EF; -0370 : 000027B7; -0371 : B0C78513; -0372 : C94FF0EF; -0373 : 000027B7; -0374 : B3078513; -0375 : C88FF0EF; -0376 : 000027B7; -0377 : B5878513; -0378 : C7CFF0EF; -0379 : 000027B7; -037A : B8C78513; -037B : C70FF0EF; -037C : 00000013; -037D : 00C12083; -037E : 00812403; -037F : 01010113; -0380 : 00008067; -0381 : E8010113; -0382 : 16112E23; -0383 : 16812C23; -0384 : 17212A23; -0385 : 17312823; -0386 : 17412623; -0387 : 17512423; -0388 : 17612223; -0389 : 17712023; -038A : 18010413; -038B : E8A42623; -038C : E8B42423; -038D : F00007B7; -038E : FCF42C23; -038F : F00007B7; -0390 : 01078793; -0391 : FCF42A23; -0392 : 0001C7B7; -0393 : 20078513; -0394 : BA9FF0EF; -0395 : FC042823; -0396 : FC042623; -0397 : E51FF0EF; -0398 : 00050913; -0399 : 00058993; -039A : EC1FF0EF; -039B : 00050713; -039C : 00058793; -039D : 06400613; -039E : 00000693; -039F : 00070513; -03A0 : 00078593; -03A1 : 414000EF; -03A2 : 00050713; -03A3 : 00058793; -03A4 : 00070613; -03A5 : 00078693; -03A6 : 00090513; -03A7 : 00098593; -03A8 : 3F8000EF; -03A9 : 00050713; -03AA : 00058793; -03AB : FCE42423; -03AC : A8CFF0EF; -03AD : 00050793; -03AE : 08078E63; -03AF : DF1FF0EF; -03B0 : E9040793; -03B1 : 03000713; -03B2 : 00800693; -03B3 : 00058613; -03B4 : 00050593; -03B5 : 00078513; -03B6 : E20FF0EF; -03B7 : E9040793; -03B8 : 00078513; -03B9 : B78FF0EF; -03BA : 000027B7; -03BB : BA478513; -03BC : B6CFF0EF; -03BD : E35FF0EF; -03BE : E9040793; -03BF : 03000713; -03C0 : 00800693; -03C1 : 00058613; -03C2 : 00050593; -03C3 : 00078513; -03C4 : DE8FF0EF; -03C5 : E9040793; -03C6 : 00078513; -03C7 : B40FF0EF; -03C8 : 000027B7; -03C9 : BA478513; -03CA : B34FF0EF; -03CB : E9040793; -03CC : FC842583; -03CD : 00078513; -03CE : C64FF0EF; -03CF : E9040793; -03D0 : 00078513; -03D1 : B18FF0EF; -03D2 : 000027B7; -03D3 : BA878513; -03D4 : B0CFF0EF; -03D5 : A24FF0EF; -03D6 : 00050793; -03D7 : FE078CE3; -03D8 : E9040793; -03D9 : 0FF00593; -03DA : 00078513; -03DB : B58FF0EF; -03DC : 00000013; -03DD : 000027B7; -03DE : BAC78513; -03DF : AE0FF0EF; -03E0 : E9040793; -03E1 : 00078513; -03E2 : AD4FF0EF; -03E3 : 000027B7; -03E4 : BB078513; -03E5 : AC8FF0EF; -03E6 : E9040713; -03E7 : 00400613; -03E8 : 000027B7; -03E9 : BB478593; +030B : D4DFF0EF; +030C : FC042E23; +030D : 0800006F; +030E : FD442783; +030F : FCF42823; +0310 : FDC42783; +0311 : FD042703; +0312 : 00F707B3; +0313 : 0007C703; +0314 : 01F00793; +0315 : 02E7FA63; +0316 : FDC42783; +0317 : FD042703; +0318 : 00F707B3; +0319 : 0007C703; +031A : 07E00793; +031B : 00E7EE63; +031C : FDC42783; +031D : FD042703; +031E : 00F707B3; +031F : 0007C783; +0320 : ECF40023; +0321 : 00C0006F; +0322 : 02E00793; +0323 : ECF40023; +0324 : EC0400A3; +0325 : EC040713; +0326 : ECC40793; +0327 : 00070593; +0328 : 00078513; +0329 : CD5FF0EF; +032A : FDC42783; +032B : 00178793; +032C : FCF42E23; +032D : FDC42703; +032E : 00F00793; +032F : F6E7DEE3; +0330 : ECC40713; +0331 : 000037B7; +0332 : A5C78593; +0333 : 00070513; +0334 : CA9FF0EF; +0335 : ECC40793; +0336 : 00078513; +0337 : D80FF0EF; +0338 : FD442783; +0339 : 01078793; +033A : FCF42A23; +033B : FD442783; +033C : 0FF7F793; +033D : 02078063; +033E : FD842783; +033F : 00178793; +0340 : FCF42C23; +0341 : FD842703; +0342 : 00F00793; +0343 : E0E7D2E3; +0344 : 0080006F; +0345 : 00000013; +0346 : 000037B7; +0347 : A6078513; +0348 : D3CFF0EF; +0349 : FD442703; +034A : C8E1A423; +034B : 00000013; +034C : 13C12083; +034D : 13812403; +034E : 13412903; +034F : 13012983; +0350 : 12C12A03; +0351 : 12812A83; +0352 : 14010113; +0353 : 00008067; +0354 : FE010113; +0355 : 00812E23; +0356 : 02010413; +0357 : C00065F3; +0358 : FEB42623; +0359 : C80065F3; +035A : FEB42423; +035B : FE842583; +035C : FEB42023; +035D : FE042223; +035E : FE042583; +035F : 00059893; +0360 : 00000813; +0361 : FF042023; +0362 : FF142223; +0363 : FEC42583; +0364 : 00058713; +0365 : 00000793; +0366 : FE042583; +0367 : 00E5E633; +0368 : FE442583; +0369 : 00F5E6B3; +036A : FEC42023; +036B : FED42223; +036C : FE042703; +036D : FE442783; +036E : 00070513; +036F : 00078593; +0370 : 01C12403; +0371 : 02010113; +0372 : 00008067; +0373 : FE010113; +0374 : 00812E23; +0375 : 02010413; +0376 : C02065F3; +0377 : FEB42623; +0378 : C82065F3; +0379 : FEB42423; +037A : FE842583; +037B : FEB42023; +037C : FE042223; +037D : FE042583; +037E : 00059893; +037F : 00000813; +0380 : FF042023; +0381 : FF142223; +0382 : FEC42583; +0383 : 00058713; +0384 : 00000793; +0385 : FE042583; +0386 : 00E5E633; +0387 : FE442583; +0388 : 00F5E6B3; +0389 : FEC42023; +038A : FED42223; +038B : FE042703; +038C : FE442783; +038D : 00070513; +038E : 00078593; +038F : 01C12403; +0390 : 02010113; +0391 : 00008067; +0392 : FE010113; +0393 : 00812E23; +0394 : 02010413; +0395 : C20065F3; +0396 : FEB42623; +0397 : CA0065F3; +0398 : FEB42423; +0399 : FE842583; +039A : FEB42023; +039B : FE042223; +039C : FE042583; +039D : 00059893; +039E : 00000813; +039F : FF042023; +03A0 : FF142223; +03A1 : FEC42583; +03A2 : 00058713; +03A3 : 00000793; +03A4 : FE042583; +03A5 : 00E5E633; +03A6 : FE442583; +03A7 : 00F5E6B3; +03A8 : FEC42023; +03A9 : FED42223; +03AA : FE042703; +03AB : FE442783; +03AC : 00070513; +03AD : 00078593; +03AE : 01C12403; +03AF : 02010113; +03B0 : 00008067; +03B1 : FE010113; +03B2 : 00812E23; +03B3 : 02010413; +03B4 : C21065F3; +03B5 : FEB42623; +03B6 : CA1065F3; +03B7 : FEB42423; +03B8 : FE842583; +03B9 : FEB42023; +03BA : FE042223; +03BB : FE042583; +03BC : 00059893; +03BD : 00000813; +03BE : FF042023; +03BF : FF142223; +03C0 : FEC42583; +03C1 : 00058713; +03C2 : 00000793; +03C3 : FE042583; +03C4 : 00E5E633; +03C5 : FE442583; +03C6 : 00F5E6B3; +03C7 : FEC42023; +03C8 : FED42223; +03C9 : FE042703; +03CA : FE442783; +03CB : 00070513; +03CC : 00078593; +03CD : 01C12403; +03CE : 02010113; +03CF : 00008067; +03D0 : FE010113; +03D1 : 00812E23; +03D2 : 02010413; +03D3 : C22065F3; +03D4 : FEB42623; +03D5 : CA2065F3; +03D6 : FEB42423; +03D7 : FE842583; +03D8 : FEB42023; +03D9 : FE042223; +03DA : FE042583; +03DB : 00059893; +03DC : 00000813; +03DD : FF042023; +03DE : FF142223; +03DF : FEC42583; +03E0 : 00058713; +03E1 : 00000793; +03E2 : FE042583; +03E3 : 00E5E633; +03E4 : FE442583; +03E5 : 00F5E6B3; +03E6 : FEC42023; +03E7 : FED42223; +03E8 : FE042703; +03E9 : FE442783; 03EA : 00070513; -03EB : 9B5FF0EF; -03EC : 00050793; -03ED : 00079663; -03EE : DEDFF0EF; -03EF : EA1FF06F; -03F0 : E9044703; -03F1 : 06200793; -03F2 : 02F71C63; -03F3 : E9040793; -03F4 : 00278793; -03F5 : 00000593; -03F6 : 00078513; -03F7 : EC4FF0EF; -03F8 : FAA42623; -03F9 : FAC42783; -03FA : 00F05863; -03FB : FAC42503; -03FC : A09FF0EF; -03FD : E69FF06F; -03FE : DADFF0EF; -03FF : E61FF06F; -0400 : E9044703; -0401 : 06400793; -0402 : 02F71A63; -0403 : E9040793; -0404 : 00278793; -0405 : 00000593; -0406 : 00078513; -0407 : F80FF0EF; -0408 : FAA42823; -0409 : FB042783; -040A : 00F05663; -040B : FB042703; -040C : C2E1AC23; -040D : A05FF0EF; -040E : E25FF06F; -040F : E9044703; -0410 : 07700793; -0411 : 0AF71C63; -0412 : E9040793; -0413 : 00278793; -0414 : F9440713; -0415 : 00070593; -0416 : 00078513; -0417 : F40FF0EF; -0418 : FAA42E23; -0419 : F9442783; -041A : F9440713; -041B : 00070593; -041C : 00078513; -041D : F28FF0EF; -041E : FAA42C23; -041F : F9442783; -0420 : F9440713; -0421 : 00070593; -0422 : 00078513; -0423 : F10FF0EF; -0424 : FAA42A23; -0425 : FB442703; -0426 : 00100793; -0427 : 00F71C63; -0428 : FBC42783; -0429 : FB842703; -042A : 0FF77713; -042B : 00E78023; -042C : DADFF06F; -042D : FB442703; -042E : 00200793; -042F : 00F71E63; -0430 : FBC42783; -0431 : FB842703; -0432 : 01071713; -0433 : 41075713; -0434 : 00E79023; -0435 : D89FF06F; -0436 : FB442703; -0437 : 00400793; -0438 : 00F71A63; -0439 : FBC42783; -043A : FB842703; -043B : 00E7A023; -043C : D6DFF06F; -043D : CB1FF0EF; -043E : D65FF06F; -043F : E9044703; -0440 : 07200793; -0441 : D4F71CE3; -0442 : FC042E23; -0443 : E9040793; -0444 : 00278793; -0445 : F9040713; -0446 : 00070593; -0447 : 00078513; -0448 : E7CFF0EF; -0449 : FCA42223; -044A : F9042783; -044B : F9040713; -044C : 00070593; -044D : 00078513; -044E : E64FF0EF; -044F : FCA42023; -0450 : FC042703; -0451 : 00100793; -0452 : 02F71063; -0453 : FC442783; -0454 : 0007C783; -0455 : FCF42E23; -0456 : 000027B7; -0457 : BBC78513; -0458 : 8FCFF0EF; -0459 : 0580006F; -045A : FC042703; -045B : 00200793; -045C : 02F71063; -045D : FC442783; -045E : 00079783; -045F : FCF42E23; -0460 : 000027B7; -0461 : BC478513; -0462 : 8D4FF0EF; -0463 : 0300006F; -0464 : FC042703; -0465 : 00400793; -0466 : 02F71063; -0467 : FC442783; -0468 : 0007A783; -0469 : FCF42E23; -046A : 000027B7; -046B : BCC78513; -046C : 8ACFF0EF; -046D : 0080006F; -046E : BEDFF0EF; -046F : FC042703; -0470 : 00100793; -0471 : 00F70E63; -0472 : FC042703; -0473 : 00200793; -0474 : 00F70863; -0475 : FC042703; -0476 : 00400793; -0477 : C8F710E3; -0478 : FC442783; -0479 : 00078A13; -047A : 41F7D793; -047B : 00078A93; -047C : E9040793; -047D : 03000713; -047E : 00800693; -047F : 000A0593; -0480 : 000A8613; -0481 : 00078513; -0482 : AF0FF0EF; -0483 : E9040793; -0484 : 00078513; -0485 : 848FF0EF; -0486 : 000027B7; -0487 : BD478513; -0488 : 83CFF0EF; -0489 : E9040793; -048A : FDC42583; -048B : 00078513; -048C : 96CFF0EF; -048D : E9040793; -048E : 00078513; -048F : 820FF0EF; -0490 : 000027B7; -0491 : BD878513; -0492 : 814FF0EF; -0493 : FDC42783; -0494 : 00078B13; -0495 : 41F7D793; -0496 : 00078B93; -0497 : FC042783; -0498 : 00179693; -0499 : E9040793; -049A : 03000713; -049B : 000B0593; -049C : 000B8613; -049D : 00078513; -049E : A80FF0EF; -049F : E9040793; -04A0 : 00078513; -04A1 : FD9FE0EF; -04A2 : 000027B7; -04A3 : BDC78513; -04A4 : FCDFE0EF; -04A5 : BC9FF06F; -04A6 : 00050313; -04A7 : 00058893; -04A8 : 00060713; -04A9 : 00050813; -04AA : 00058793; -04AB : 28069263; -04AC : 000025B7; -04AD : BE058593; -04AE : 0EC8F663; -04AF : 000106B7; -04B0 : 0CD67863; -04B1 : 10063693; -04B2 : 0016C693; -04B3 : 00369693; -04B4 : 00D65533; -04B5 : 00A585B3; -04B6 : 0005C583; -04B7 : 02000513; -04B8 : 00D586B3; -04B9 : 40D505B3; -04BA : 00D50C63; -04BB : 00B897B3; -04BC : 00D356B3; -04BD : 00B61733; -04BE : 00F6E7B3; -04BF : 00B31833; -04C0 : 01075593; -04C1 : 02B7D333; -04C2 : 01071613; -04C3 : 01065613; -04C4 : 02B7F7B3; -04C5 : 00030513; -04C6 : 026608B3; -04C7 : 01079693; -04C8 : 01085793; -04C9 : 00D7E7B3; -04CA : 0117FE63; -04CB : 00E787B3; -04CC : FFF30513; -04CD : 00E7E863; -04CE : 0117F663; -04CF : FFE30513; -04D0 : 00E787B3; -04D1 : 411787B3; -04D2 : 02B7D8B3; -04D3 : 01081813; -04D4 : 01085813; -04D5 : 02B7F7B3; -04D6 : 031606B3; -04D7 : 01079793; -04D8 : 00F86833; -04D9 : 00088793; -04DA : 00D87C63; -04DB : 01070833; -04DC : FFF88793; -04DD : 00E86663; -04DE : 00D87463; -04DF : FFE88793; -04E0 : 01051513; -04E1 : 00F56533; -04E2 : 00000593; -04E3 : 00008067; -04E4 : 01000537; -04E5 : 01000693; -04E6 : F2A66CE3; -04E7 : 01800693; -04E8 : F31FF06F; -04E9 : 00061463; -04EA : 00100073; -04EB : 000107B7; -04EC : 0CF67063; -04ED : 10063693; -04EE : 0016C693; -04EF : 00369693; -04F0 : 00D657B3; -04F1 : 00F585B3; -04F2 : 0005C783; -04F3 : 00D787B3; -04F4 : 02000693; -04F5 : 40F685B3; -04F6 : 0AF69663; -04F7 : 40C887B3; -04F8 : 00100593; -04F9 : 01075893; -04FA : 0317DE33; -04FB : 01071613; -04FC : 01065613; -04FD : 01085693; -04FE : 0317F7B3; -04FF : 000E0513; -0500 : 03C60333; -0501 : 01079793; -0502 : 00F6E7B3; -0503 : 0067FE63; -0504 : 00E787B3; -0505 : FFFE0513; -0506 : 00E7E863; -0507 : 0067F663; -0508 : FFEE0513; -0509 : 00E787B3; -050A : 406787B3; -050B : 0317D333; -050C : 01081813; -050D : 01085813; -050E : 0317F7B3; -050F : 026606B3; -0510 : 01079793; -0511 : 00F86833; -0512 : 00030793; -0513 : 00D87C63; -0514 : 01070833; -0515 : FFF30793; -0516 : 00E86663; -0517 : 00D87463; -0518 : FFE30793; -0519 : 01051513; -051A : 00F56533; -051B : 00008067; -051C : 010007B7; -051D : 01000693; -051E : F4F664E3; -051F : 01800693; -0520 : F41FF06F; -0521 : 00B61733; -0522 : 00F8D6B3; -0523 : 01075513; -0524 : 00F357B3; -0525 : 00B31833; -0526 : 02A6D333; -0527 : 01071613; -0528 : 00B898B3; -0529 : 01065613; -052A : 0117E7B3; -052B : 02A6F6B3; -052C : 026608B3; -052D : 01069593; -052E : 0107D693; -052F : 00B6E6B3; -0530 : 00030593; -0531 : 0116FE63; -0532 : 00E686B3; -0533 : FFF30593; -0534 : 00E6E863; -0535 : 0116F663; -0536 : FFE30593; -0537 : 00E686B3; -0538 : 411686B3; -0539 : 02A6D8B3; -053A : 01079793; -053B : 0107D793; -053C : 02A6F6B3; -053D : 03160633; -053E : 01069693; -053F : 00D7E7B3; -0540 : 00088693; -0541 : 00C7FE63; -0542 : 00E787B3; -0543 : FFF88693; -0544 : 00E7E863; -0545 : 00C7F663; -0546 : FFE88693; -0547 : 00E787B3; -0548 : 01059593; -0549 : 40C787B3; -054A : 00D5E5B3; -054B : EB9FF06F; -054C : 18D5E663; -054D : 000107B7; -054E : 04F6F463; -054F : 1006B713; -0550 : 00174713; -0551 : 00371713; -0552 : 000027B7; -0553 : 00E6D5B3; -0554 : BE078793; -0555 : 00B787B3; -0556 : 0007C783; -0557 : 00E787B3; -0558 : 02000713; -0559 : 40F705B3; -055A : 02F71663; -055B : 00100513; -055C : E116EEE3; -055D : 00C33533; -055E : 00154513; -055F : 00008067; -0560 : 010007B7; -0561 : 01000713; -0562 : FCF6E0E3; -0563 : 01800713; -0564 : FB9FF06F; -0565 : 00F65733; -0566 : 00B696B3; -0567 : 00D766B3; -0568 : 00F8D733; -0569 : 00B898B3; -056A : 00F357B3; -056B : 0117E7B3; -056C : 0106D893; -056D : 03175EB3; -056E : 01069813; -056F : 01085813; -0570 : 00B61633; -0571 : 03177733; -0572 : 03D80E33; -0573 : 01071513; -0574 : 0107D713; -0575 : 00A76733; -0576 : 000E8513; -0577 : 01C77E63; -0578 : 00D70733; -0579 : FFFE8513; -057A : 00D76863; -057B : 01C77663; -057C : FFEE8513; -057D : 00D70733; -057E : 41C70733; -057F : 03175E33; -0580 : 01079793; -0581 : 0107D793; -0582 : 03177733; -0583 : 03C80833; -0584 : 01071713; -0585 : 00E7E7B3; -0586 : 000E0713; -0587 : 0107FE63; -0588 : 00D787B3; -0589 : FFFE0713; -058A : 00D7E863; -058B : 0107F663; -058C : FFEE0713; -058D : 00D787B3; -058E : 01051513; -058F : 00010E37; -0590 : 00E56533; -0591 : FFFE0693; -0592 : 00D57733; -0593 : 410787B3; -0594 : 00D676B3; -0595 : 01055813; -0596 : 01065613; -0597 : 02D708B3; -0598 : 02D806B3; -0599 : 02C70733; -059A : 02C80833; -059B : 00D70633; -059C : 0108D713; -059D : 00C70733; -059E : 00D77463; -059F : 01C80833; -05A0 : 01075693; -05A1 : 010686B3; -05A2 : 02D7E663; -05A3 : CED79EE3; -05A4 : 000107B7; -05A5 : FFF78793; -05A6 : 00F77733; -05A7 : 01071713; -05A8 : 00F8F8B3; -05A9 : 00B31333; -05AA : 01170733; -05AB : 00000593; -05AC : CCE37EE3; -05AD : FFF50513; -05AE : CD1FF06F; -05AF : 00000593; -05B0 : 00000513; -05B1 : 00008067; -05B2 : FF010113; -05B3 : 00000593; -05B4 : 00812423; -05B5 : 00112623; -05B6 : 00050413; -05B7 : 194000EF; -05B8 : C281A503; -05B9 : 03C52783; -05BA : 00078463; -05BB : 000780E7; -05BC : 00040513; -05BD : 3A4000EF; -05BE : FF010113; -05BF : 00812423; -05C0 : 01212023; -05C1 : 00002437; -05C2 : 00002937; -05C3 : 02C40793; -05C4 : 02C90913; -05C5 : 40F90933; -05C6 : 00112623; -05C7 : 00912223; -05C8 : 40295913; -05C9 : 02090063; -05CA : 02C40413; -05CB : 00000493; -05CC : 00042783; -05CD : 00148493; -05CE : 00440413; -05CF : 000780E7; -05D0 : FE9918E3; -05D1 : 00002437; -05D2 : 00002937; -05D3 : 02C40793; -05D4 : 03490913; -05D5 : 40F90933; -05D6 : 40295913; -05D7 : 02090063; -05D8 : 02C40413; -05D9 : 00000493; -05DA : 00042783; -05DB : 00148493; -05DC : 00440413; -05DD : 000780E7; -05DE : FE9918E3; -05DF : 00C12083; -05E0 : 00812403; -05E1 : 00412483; -05E2 : 00012903; -05E3 : 01010113; -05E4 : 00008067; -05E5 : 00F00313; -05E6 : 00050713; -05E7 : 02C37E63; -05E8 : 00F77793; -05E9 : 0A079063; -05EA : 08059263; -05EB : FF067693; -05EC : 00F67613; -05ED : 00E686B3; -05EE : 00B72023; -05EF : 00B72223; -05F0 : 00B72423; -05F1 : 00B72623; -05F2 : 01070713; -05F3 : FED766E3; -05F4 : 00061463; -05F5 : 00008067; -05F6 : 40C306B3; -05F7 : 00269693; -05F8 : 00000297; -05F9 : 005686B3; -05FA : 00C68067; -05FB : 00B70723; -05FC : 00B706A3; -05FD : 00B70623; -05FE : 00B705A3; -05FF : 00B70523; -0600 : 00B704A3; -0601 : 00B70423; -0602 : 00B703A3; -0603 : 00B70323; -0604 : 00B702A3; -0605 : 00B70223; -0606 : 00B701A3; -0607 : 00B70123; -0608 : 00B700A3; -0609 : 00B70023; -060A : 00008067; -060B : 0FF5F593; -060C : 00859693; -060D : 00D5E5B3; -060E : 01059693; -060F : 00D5E5B3; -0610 : F6DFF06F; -0611 : 00279693; -0612 : 00000297; -0613 : 005686B3; -0614 : 00008293; -0615 : FA0680E7; -0616 : 00028093; -0617 : FF078793; -0618 : 40F70733; -0619 : 00F60633; -061A : F6C378E3; -061B : F3DFF06F; -061C : FD010113; -061D : 01412C23; -061E : C281AA03; -061F : 03212023; -0620 : 02112623; -0621 : 148A2903; -0622 : 02812423; -0623 : 02912223; -0624 : 01312E23; -0625 : 01512A23; -0626 : 01612823; -0627 : 01712623; -0628 : 01812423; -0629 : 04090063; -062A : 00050B13; -062B : 00058B93; -062C : 00100A93; -062D : FFF00993; -062E : 00492483; -062F : FFF48413; -0630 : 02044263; -0631 : 00249493; -0632 : 009904B3; -0633 : 040B8463; -0634 : 1044A783; -0635 : 05778063; -0636 : FFF40413; -0637 : FFC48493; -0638 : FF3416E3; -0639 : 02C12083; -063A : 02812403; -063B : 02412483; -063C : 02012903; -063D : 01C12983; -063E : 01812A03; -063F : 01412A83; -0640 : 01012B03; -0641 : 00C12B83; -0642 : 00812C03; -0643 : 03010113; -0644 : 00008067; -0645 : 00492783; -0646 : 0044A683; -0647 : FFF78793; -0648 : 04878E63; -0649 : 0004A223; -064A : FA0688E3; -064B : 18892783; -064C : 008A9733; -064D : 00492C03; -064E : 00F777B3; -064F : 02079263; -0650 : 000680E7; -0651 : 00492703; -0652 : 148A2783; -0653 : 01871463; -0654 : F92784E3; -0655 : F80788E3; -0656 : 00078913; -0657 : F5DFF06F; -0658 : 18C92783; -0659 : 0844A583; -065A : 00F77733; -065B : 00071C63; -065C : 000B0513; -065D : 000680E7; -065E : FCDFF06F; -065F : 00892223; -0660 : FA9FF06F; -0661 : 00058513; -0662 : 000680E7; -0663 : FB9FF06F; -0664 : FF010113; -0665 : 00812423; -0666 : 000027B7; -0667 : 00002437; -0668 : 03478793; -0669 : 03840413; -066A : 40F40433; -066B : 00912223; -066C : 00112623; -066D : 40245493; -066E : 02048063; -066F : FFC40413; -0670 : 00F40433; -0671 : 00042783; -0672 : FFF48493; -0673 : FFC40413; -0674 : 000780E7; -0675 : FE0498E3; -0676 : 00C12083; -0677 : 00812403; -0678 : 00412483; -0679 : 01010113; -067A : 00008067; -067B : 00050593; -067C : 00000693; -067D : 00000613; -067E : 00000513; -067F : 0040006F; -0680 : C281A703; -0681 : 14872783; -0682 : 04078C63; -0683 : 0047A703; -0684 : 01F00813; -0685 : 06E84E63; -0686 : 00271813; -0687 : 02050663; -0688 : 01078333; -0689 : 08C32423; -068A : 1887A883; -068B : 00100613; -068C : 00E61633; -068D : 00C8E8B3; -068E : 1917A423; -068F : 10D32423; -0690 : 00200693; -0691 : 02D50463; -0692 : 00170713; -0693 : 00E7A223; -0694 : 010787B3; -0695 : 00B7A423; -0696 : 00000513; -0697 : 00008067; -0698 : 14C70793; -0699 : 14F72423; -069A : FA5FF06F; -069B : 18C7A683; -069C : 00170713; -069D : 00E7A223; -069E : 00C6E6B3; -069F : 18D7A623; -06A0 : 010787B3; -06A1 : 00B7A423; -06A2 : 00000513; -06A3 : 00008067; -06A4 : FFF00513; -06A5 : 00008067; -06A6 : 05D00893; -06A7 : 00000073; -06A8 : 00054463; -06A9 : 0000006F; -06AA : FF010113; -06AB : 00812423; -06AC : 00050413; -06AD : 00112623; -06AE : 40800433; -06AF : 00C000EF; -06B0 : 00852023; -06B1 : 0000006F; -06B2 : C301A503; -06B3 : 00008067; -06B4 : 00002020; -06B5 : 00202020; -06B6 : 00000020; -06B7 : 0000202D; -06B8 : 007C2020; -06B9 : 00000A7C; -06BA : 0000000A; -06BB : 20642020; -06BC : 6464613C; -06BD : 2D203E72; -06BE : 6964202D; -06BF : 616C7073; -06C0 : 656D2079; -06C1 : 79726F6D; -06C2 : 00000A20; -06C3 : 20622020; -06C4 : 7561623C; -06C5 : 74617264; -06C6 : 2D203E65; -06C7 : 6573202D; -06C8 : 61622074; -06C9 : 61726475; -06CA : 0A206574; -06CB : 00000000; -06CC : 20722020; -06CD : 6464613C; -06CE : 3C203E72; -06CF : 74646977; -06D0 : 2D203E68; -06D1 : 6572202D; -06D2 : 6D206461; -06D3 : 726F6D65; -06D4 : 6F772079; -06D5 : 000A6472; -06D6 : 20772020; -06D7 : 6464613C; -06D8 : 3C203E72; -06D9 : 756C6176; -06DA : 3C203E65; -06DB : 74646977; -06DC : 2D203E68; -06DD : 7277202D; -06DE : 20657469; -06DF : 6F6D656D; -06E0 : 77207972; -06E1 : 0A64726F; -06E2 : 00000000; -06E3 : 20202020; -06E4 : 74646977; -06E5 : 2C313D68; -06E6 : 6F203220; -06E7 : 0A342072; -06E8 : 00000000; -06E9 : 0000003A; -06EA : 00003E3E; -06EB : 003A0D0A; -06EC : 00000D0A; -06ED : 706C6568; -06EE : 00000020; -06EF : 72616863; -06F0 : 00004020; -06F1 : 726F6873; -06F2 : 00402074; -06F3 : 20746E69; -06F4 : 00000040; -06F5 : 00203D20; -06F6 : 00000028; -06F7 : 000D0A29; -06F8 : 02020100; -06F9 : 03030303; -06FA : 04040404; -06FB : 04040404; -06FC : 05050505; -06FD : 05050505; -06FE : 05050505; -06FF : 05050505; -0700 : 06060606; -0701 : 06060606; -0702 : 06060606; -0703 : 06060606; -0704 : 06060606; -0705 : 06060606; -0706 : 06060606; -0707 : 06060606; -0708 : 07070707; -0709 : 07070707; -070A : 07070707; -070B : 07070707; -070C : 07070707; -070D : 07070707; -070E : 07070707; -070F : 07070707; -0710 : 07070707; -0711 : 07070707; -0712 : 07070707; -0713 : 07070707; -0714 : 07070707; -0715 : 07070707; -0716 : 07070707; -0717 : 07070707; -0718 : 08080808; -0719 : 08080808; -071A : 08080808; -071B : 08080808; -071C : 08080808; -071D : 08080808; -071E : 08080808; -071F : 08080808; -0720 : 08080808; -0721 : 08080808; -0722 : 08080808; -0723 : 08080808; -0724 : 08080808; -0725 : 08080808; -0726 : 08080808; -0727 : 08080808; -0728 : 08080808; -0729 : 08080808; -072A : 08080808; -072B : 08080808; -072C : 08080808; -072D : 08080808; -072E : 08080808; -072F : 08080808; -0730 : 08080808; -0731 : 08080808; -0732 : 08080808; -0733 : 08080808; -0734 : 08080808; -0735 : 08080808; -0736 : 08080808; -0737 : 08080808; -0738 : CDCDCDCD; -0739 : CDCDCDCD; -073A : CDCDCDCD; -073B : CDCDCDCD; -073C : CDCDCDCD; -073D : CDCDCDCD; -073E : CDCDCDCD; -073F : CDCDCDCD; -0740 : CDCDCDCD; -0741 : CDCDCDCD; -0742 : CDCDCDCD; -0743 : CDCDCDCD; -0744 : CDCDCDCD; -0745 : CDCDCDCD; -0746 : CDCDCDCD; -0747 : CDCDCDCD; -0748 : CDCDCDCD; -0749 : CDCDCDCD; -074A : CDCDCDCD; -074B : CDCDCDCD; -074C : CDCDCDCD; -074D : CDCDCDCD; -074E : CDCDCDCD; -074F : CDCDCDCD; -0750 : CDCDCDCD; -0751 : CDCDCDCD; -0752 : CDCDCDCD; -0753 : CDCDCDCD; -0754 : CDCDCDCD; -0755 : CDCDCDCD; -0756 : CDCDCDCD; -0757 : CDCDCDCD; -0758 : CDCDCDCD; -0759 : CDCDCDCD; -075A : CDCDCDCD; -075B : CDCDCDCD; -075C : CDCDCDCD; -075D : CDCDCDCD; -075E : CDCDCDCD; -075F : CDCDCDCD; -0760 : CDCDCDCD; -0761 : CDCDCDCD; -0762 : CDCDCDCD; -0763 : CDCDCDCD; -0764 : CDCDCDCD; -0765 : CDCDCDCD; -0766 : CDCDCDCD; -0767 : CDCDCDCD; -0768 : CDCDCDCD; -0769 : CDCDCDCD; -076A : CDCDCDCD; -076B : CDCDCDCD; -076C : CDCDCDCD; -076D : CDCDCDCD; -076E : CDCDCDCD; -076F : CDCDCDCD; -0770 : CDCDCDCD; -0771 : CDCDCDCD; -0772 : CDCDCDCD; -0773 : CDCDCDCD; -0774 : CDCDCDCD; -0775 : CDCDCDCD; -0776 : CDCDCDCD; -0777 : CDCDCDCD; -0778 : CDCDCDCD; -0779 : CDCDCDCD; -077A : CDCDCDCD; -077B : CDCDCDCD; -077C : CDCDCDCD; -077D : CDCDCDCD; -077E : CDCDCDCD; -077F : CDCDCDCD; -0780 : CDCDCDCD; -0781 : CDCDCDCD; -0782 : CDCDCDCD; -0783 : CDCDCDCD; -0784 : CDCDCDCD; -0785 : CDCDCDCD; -0786 : CDCDCDCD; -0787 : CDCDCDCD; -0788 : CDCDCDCD; -0789 : CDCDCDCD; -078A : CDCDCDCD; -078B : CDCDCDCD; -078C : CDCDCDCD; -078D : CDCDCDCD; -078E : CDCDCDCD; -078F : CDCDCDCD; -0790 : CDCDCDCD; -0791 : CDCDCDCD; -0792 : CDCDCDCD; -0793 : CDCDCDCD; -0794 : CDCDCDCD; -0795 : CDCDCDCD; -0796 : CDCDCDCD; -0797 : CDCDCDCD; -0798 : CDCDCDCD; -0799 : CDCDCDCD; -079A : CDCDCDCD; -079B : CDCDCDCD; -079C : CDCDCDCD; -079D : CDCDCDCD; -079E : CDCDCDCD; -079F : CDCDCDCD; -07A0 : CDCDCDCD; -07A1 : CDCDCDCD; -07A2 : CDCDCDCD; -07A3 : CDCDCDCD; -07A4 : CDCDCDCD; -07A5 : CDCDCDCD; -07A6 : CDCDCDCD; -07A7 : CDCDCDCD; -07A8 : CDCDCDCD; -07A9 : CDCDCDCD; -07AA : CDCDCDCD; -07AB : CDCDCDCD; -07AC : CDCDCDCD; -07AD : CDCDCDCD; -07AE : CDCDCDCD; -07AF : CDCDCDCD; -07B0 : CDCDCDCD; -07B1 : CDCDCDCD; -07B2 : CDCDCDCD; -07B3 : CDCDCDCD; -07B4 : CDCDCDCD; -07B5 : CDCDCDCD; -07B6 : CDCDCDCD; -07B7 : CDCDCDCD; -07B8 : CDCDCDCD; -07B9 : CDCDCDCD; -07BA : CDCDCDCD; -07BB : CDCDCDCD; -07BC : CDCDCDCD; -07BD : CDCDCDCD; -07BE : CDCDCDCD; -07BF : CDCDCDCD; -07C0 : CDCDCDCD; -07C1 : CDCDCDCD; -07C2 : CDCDCDCD; -07C3 : CDCDCDCD; -07C4 : CDCDCDCD; -07C5 : CDCDCDCD; -07C6 : CDCDCDCD; -07C7 : CDCDCDCD; -07C8 : CDCDCDCD; -07C9 : CDCDCDCD; -07CA : CDCDCDCD; -07CB : CDCDCDCD; -07CC : CDCDCDCD; -07CD : CDCDCDCD; -07CE : CDCDCDCD; -07CF : CDCDCDCD; -07D0 : CDCDCDCD; -07D1 : CDCDCDCD; -07D2 : CDCDCDCD; -07D3 : CDCDCDCD; -07D4 : CDCDCDCD; -07D5 : CDCDCDCD; -07D6 : CDCDCDCD; -07D7 : CDCDCDCD; -07D8 : CDCDCDCD; -07D9 : CDCDCDCD; -07DA : CDCDCDCD; -07DB : CDCDCDCD; -07DC : CDCDCDCD; -07DD : CDCDCDCD; -07DE : CDCDCDCD; -07DF : CDCDCDCD; -07E0 : CDCDCDCD; -07E1 : CDCDCDCD; -07E2 : CDCDCDCD; -07E3 : CDCDCDCD; -07E4 : CDCDCDCD; -07E5 : CDCDCDCD; -07E6 : CDCDCDCD; -07E7 : CDCDCDCD; -07E8 : CDCDCDCD; -07E9 : CDCDCDCD; -07EA : CDCDCDCD; -07EB : CDCDCDCD; -07EC : CDCDCDCD; -07ED : CDCDCDCD; -07EE : CDCDCDCD; -07EF : CDCDCDCD; -07F0 : CDCDCDCD; -07F1 : CDCDCDCD; -07F2 : CDCDCDCD; -07F3 : CDCDCDCD; -07F4 : CDCDCDCD; -07F5 : CDCDCDCD; -07F6 : CDCDCDCD; -07F7 : CDCDCDCD; -07F8 : CDCDCDCD; -07F9 : CDCDCDCD; -07FA : CDCDCDCD; -07FB : CDCDCDCD; -07FC : CDCDCDCD; -07FD : CDCDCDCD; -07FE : CDCDCDCD; -07FF : CDCDCDCD; -0800 : 00000010; -0801 : 00000000; -0802 : 00527A03; -0803 : 01017C01; -0804 : 00020D1B; -0805 : 00000010; -0806 : 00000018; -0807 : FFFFF27C; -0808 : 00000430; -0809 : 00000000; -080A : 00000000; -080B : 00000074; -080C : 0000011C; -080D : 000000D8; -080E : 00000000; -080F : 00002324; -0810 : 0000238C; -0811 : 000023F4; -0812 : 00000000; -0813 : 00000000; -0814 : 00000000; -0815 : 00000000; -0816 : 00000000; -0817 : 00000000; -0818 : 00000000; -0819 : 00000000; -081A : 00000000; -081B : 00000000; -081C : 00000000; -081D : 00000000; -081E : 00000000; -081F : 00000000; -0820 : 00000000; -0821 : 00000000; -0822 : 00000000; -0823 : 00000000; -0824 : 00000000; -0825 : 00000000; -0826 : 00000000; -0827 : 00000000; -0828 : 00000000; -0829 : 00000000; -082A : 00000000; -082B : 00000000; -082C : 00000000; -082D : 00000000; -082E : 00000000; -082F : 00000000; -0830 : 00000000; -0831 : 00000000; -0832 : 00000000; -0833 : 00000000; -0834 : 00000000; -0835 : 00000000; -0836 : 00000000; -0837 : 00000000; -0838 : 00000001; -0839 : 00000000; -083A : ABCD330E; -083B : E66D1234; -083C : 0005DEEC; -083D : 0000000B; -083E : 00000000; -083F : 00000000; -0840 : 00000000; -0841 : 00000000; -0842 : 00000000; -0843 : 00000000; -0844 : 00000000; -0845 : 00000000; -0846 : 00000000; -0847 : 00000000; -0848 : 00000000; -0849 : 00000000; -084A : 00000000; -084B : 00000000; -084C : 00000000; -084D : 00000000; -084E : 00000000; -084F : 00000000; -0850 : 00000000; -0851 : 00000000; -0852 : 00000000; -0853 : 00000000; -0854 : 00000000; -0855 : 00000000; -0856 : 00000000; -0857 : 00000000; -0858 : 00000000; -0859 : 00000000; -085A : 00000000; -085B : 00000000; -085C : 00000000; -085D : 00000000; -085E : 00000000; -085F : 00000000; -0860 : 00000000; -0861 : 00000000; -0862 : 00000000; -0863 : 00000000; -0864 : 00000000; -0865 : 00000000; -0866 : 00000000; -0867 : 00000000; -0868 : 00000000; -0869 : 00000000; -086A : 00000000; -086B : 00000000; -086C : 00000000; -086D : 00000000; -086E : 00000000; -086F : 00000000; -0870 : 00000000; -0871 : 00000000; -0872 : 00000000; -0873 : 00000000; -0874 : 00000000; -0875 : 00000000; -0876 : 00000000; -0877 : 00000000; -0878 : 00000000; -0879 : 00000000; -087A : 00000000; -087B : 00000000; -087C : 00000000; -087D : 00000000; -087E : 00000000; -087F : 00000000; -0880 : 00000000; -0881 : 00000000; -0882 : 00000000; -0883 : 00000000; -0884 : 00000000; -0885 : 00000000; -0886 : 00000000; -0887 : 00000000; -0888 : 00000000; -0889 : 00000000; -088A : 00000000; -088B : 00000000; -088C : 00000000; -088D : 00000000; -088E : 00000000; -088F : 00000000; -0890 : 00000000; -0891 : 00000000; -0892 : 00000000; -0893 : 00000000; -0894 : 00000000; -0895 : 00000000; -0896 : 00000000; -0897 : 00000000; -0898 : 00000000; -0899 : 00000000; -089A : 00000000; -089B : 00000000; -089C : 00000000; -089D : 00000000; -089E : 00000000; -089F : 00000000; -08A0 : 00000000; -08A1 : 00000000; -08A2 : 00000000; -08A3 : 00000000; -08A4 : 00000000; -08A5 : 00000000; -08A6 : 00000000; -08A7 : 00000000; -08A8 : 00000000; -08A9 : 00000000; -08AA : 00000000; -08AB : 00000000; -08AC : 00000000; -08AD : 00000000; -08AE : 00000000; -08AF : 00000000; -08B0 : 00000000; -08B1 : 00000000; -08B2 : 00000000; -08B3 : 00000000; -08B4 : 00000000; -08B5 : 00000000; -08B6 : 00000000; -08B7 : 00000000; -08B8 : 00000000; -08B9 : 00000000; -08BA : 00000000; -08BB : 00000000; -08BC : 00000000; -08BD : 00000000; -08BE : 00000000; -08BF : 00000000; -08C0 : 00000000; -08C1 : 00000000; -08C2 : 00000000; -08C3 : 00000000; -08C4 : 00000000; -08C5 : 00000000; -08C6 : 00000000; -08C7 : 00000000; -08C8 : 00000000; -08C9 : 00000000; -08CA : 00000000; -08CB : 00000000; -08CC : 00000000; -08CD : 00000000; -08CE : 00000000; -08CF : 00000000; -08D0 : 00000000; -08D1 : 00000000; -08D2 : 00000000; -08D3 : 00000000; -08D4 : 00000000; -08D5 : 00000000; -08D6 : 00000000; -08D7 : 00000000; -08D8 : 00000000; -08D9 : 00000000; -08DA : 00000000; -08DB : 00000000; -08DC : 00000000; -08DD : 00000000; -08DE : 00000000; -08DF : 00000000; -08E0 : 00000000; -08E1 : 00000000; -08E2 : 00000000; -08E3 : 00000000; -08E4 : 00000000; -08E5 : 00000000; -08E6 : 00000000; -08E7 : 00000000; -08E8 : 00000000; -08E9 : 00000000; -08EA : 00000000; -08EB : 00000000; -08EC : 00000000; -08ED : 00000000; -08EE : 00000000; -08EF : 00000000; -08F0 : 00000000; -08F1 : 00000000; -08F2 : 00000000; -08F3 : 00000000; -08F4 : 00000000; -08F5 : 00000000; -08F6 : 00000000; -08F7 : 00000000; -08F8 : 00000000; -08F9 : 00000000; -08FA : 00000000; -08FB : 00000000; -08FC : 00000000; -08FD : 00000000; -08FE : 00000000; -08FF : 00000000; -0900 : 00000000; -0901 : 00000000; -0902 : 00000000; -0903 : 00000000; -0904 : 00000000; -0905 : 00000000; -0906 : 00000000; -0907 : 00000000; -0908 : 00000000; -0909 : 00000000; -090A : 00000000; -090B : 00000000; -090C : 00000000; -090D : 00000000; -090E : 00000000; -090F : 00000000; -0910 : 00000000; -0911 : 00000000; -0912 : 00000000; -0913 : 00000000; -0914 : 00000000; -0915 : 00000000; -0916 : 00000000; -0917 : 00000000; -0918 : 00002038; -0919 : F0000100; -091A : 00002038; -091B : 00002038; -091C : F0000100; -091D : 00002038; -091E : CDCDCDCD; -091F : CDCDCDCD; -0920 : CDCDCDCD; -0921 : CDCDCDCD; -0922 : CDCDCDCD; -0923 : CDCDCDCD; -0924 : CDCDCDCD; -0925 : CDCDCDCD; -0926 : CDCDCDCD; -0927 : CDCDCDCD; -0928 : CDCDCDCD; -0929 : CDCDCDCD; -092A : CDCDCDCD; -092B : CDCDCDCD; -092C : CDCDCDCD; -092D : CDCDCDCD; -092E : CDCDCDCD; -092F : CDCDCDCD; -0930 : CDCDCDCD; -0931 : CDCDCDCD; -0932 : CDCDCDCD; -0933 : CDCDCDCD; -0934 : CDCDCDCD; -0935 : CDCDCDCD; -0936 : CDCDCDCD; -0937 : CDCDCDCD; -0938 : CDCDCDCD; -0939 : CDCDCDCD; -093A : CDCDCDCD; -093B : CDCDCDCD; -093C : CDCDCDCD; -093D : CDCDCDCD; -093E : CDCDCDCD; -093F : CDCDCDCD; -0940 : CDCDCDCD; -0941 : CDCDCDCD; -0942 : CDCDCDCD; -0943 : CDCDCDCD; -0944 : CDCDCDCD; -0945 : CDCDCDCD; -0946 : CDCDCDCD; -0947 : CDCDCDCD; -0948 : CDCDCDCD; -0949 : CDCDCDCD; -094A : CDCDCDCD; -094B : CDCDCDCD; -094C : CDCDCDCD; -094D : CDCDCDCD; -094E : CDCDCDCD; -094F : CDCDCDCD; -0950 : CDCDCDCD; -0951 : CDCDCDCD; -0952 : CDCDCDCD; -0953 : CDCDCDCD; -0954 : CDCDCDCD; -0955 : CDCDCDCD; -0956 : CDCDCDCD; -0957 : CDCDCDCD; -0958 : CDCDCDCD; -0959 : CDCDCDCD; -095A : CDCDCDCD; -095B : CDCDCDCD; -095C : CDCDCDCD; -095D : CDCDCDCD; -095E : CDCDCDCD; -095F : CDCDCDCD; -0960 : CDCDCDCD; -0961 : CDCDCDCD; -0962 : CDCDCDCD; -0963 : CDCDCDCD; -0964 : CDCDCDCD; -0965 : CDCDCDCD; -0966 : CDCDCDCD; -0967 : CDCDCDCD; -0968 : CDCDCDCD; -0969 : CDCDCDCD; -096A : CDCDCDCD; -096B : CDCDCDCD; -096C : CDCDCDCD; -096D : CDCDCDCD; -096E : CDCDCDCD; -096F : CDCDCDCD; -0970 : CDCDCDCD; -0971 : CDCDCDCD; -0972 : CDCDCDCD; -0973 : CDCDCDCD; -0974 : CDCDCDCD; -0975 : CDCDCDCD; -0976 : CDCDCDCD; -0977 : CDCDCDCD; -0978 : CDCDCDCD; -0979 : CDCDCDCD; -097A : CDCDCDCD; -097B : CDCDCDCD; -097C : CDCDCDCD; -097D : CDCDCDCD; -097E : CDCDCDCD; -097F : CDCDCDCD; -0980 : CDCDCDCD; -0981 : CDCDCDCD; -0982 : CDCDCDCD; -0983 : CDCDCDCD; -0984 : CDCDCDCD; -0985 : CDCDCDCD; -0986 : CDCDCDCD; -0987 : CDCDCDCD; -0988 : CDCDCDCD; -0989 : CDCDCDCD; -098A : CDCDCDCD; -098B : CDCDCDCD; -098C : CDCDCDCD; -098D : CDCDCDCD; -098E : CDCDCDCD; -098F : CDCDCDCD; -0990 : CDCDCDCD; -0991 : CDCDCDCD; -0992 : CDCDCDCD; -0993 : CDCDCDCD; -0994 : CDCDCDCD; -0995 : CDCDCDCD; -0996 : CDCDCDCD; -0997 : CDCDCDCD; -0998 : CDCDCDCD; -0999 : CDCDCDCD; -099A : CDCDCDCD; -099B : CDCDCDCD; -099C : CDCDCDCD; -099D : CDCDCDCD; -099E : CDCDCDCD; -099F : CDCDCDCD; -09A0 : CDCDCDCD; -09A1 : CDCDCDCD; -09A2 : CDCDCDCD; -09A3 : CDCDCDCD; -09A4 : CDCDCDCD; -09A5 : CDCDCDCD; -09A6 : CDCDCDCD; -09A7 : CDCDCDCD; -09A8 : CDCDCDCD; -09A9 : CDCDCDCD; -09AA : CDCDCDCD; -09AB : CDCDCDCD; -09AC : CDCDCDCD; -09AD : CDCDCDCD; -09AE : CDCDCDCD; -09AF : CDCDCDCD; -09B0 : CDCDCDCD; -09B1 : CDCDCDCD; -09B2 : CDCDCDCD; -09B3 : CDCDCDCD; -09B4 : CDCDCDCD; -09B5 : CDCDCDCD; -09B6 : CDCDCDCD; -09B7 : CDCDCDCD; -09B8 : CDCDCDCD; -09B9 : CDCDCDCD; -09BA : CDCDCDCD; -09BB : CDCDCDCD; -09BC : CDCDCDCD; -09BD : CDCDCDCD; -09BE : CDCDCDCD; -09BF : CDCDCDCD; -09C0 : CDCDCDCD; -09C1 : CDCDCDCD; -09C2 : CDCDCDCD; -09C3 : CDCDCDCD; -09C4 : CDCDCDCD; -09C5 : CDCDCDCD; -09C6 : CDCDCDCD; -09C7 : CDCDCDCD; -09C8 : CDCDCDCD; -09C9 : CDCDCDCD; -09CA : CDCDCDCD; -09CB : CDCDCDCD; -09CC : CDCDCDCD; -09CD : CDCDCDCD; -09CE : CDCDCDCD; -09CF : CDCDCDCD; -09D0 : CDCDCDCD; -09D1 : CDCDCDCD; -09D2 : CDCDCDCD; -09D3 : CDCDCDCD; -09D4 : CDCDCDCD; -09D5 : CDCDCDCD; -09D6 : CDCDCDCD; -09D7 : CDCDCDCD; -09D8 : CDCDCDCD; -09D9 : CDCDCDCD; -09DA : CDCDCDCD; -09DB : CDCDCDCD; -09DC : CDCDCDCD; -09DD : CDCDCDCD; -09DE : CDCDCDCD; -09DF : CDCDCDCD; -09E0 : CDCDCDCD; -09E1 : CDCDCDCD; -09E2 : CDCDCDCD; -09E3 : CDCDCDCD; -09E4 : CDCDCDCD; -09E5 : CDCDCDCD; -09E6 : CDCDCDCD; -09E7 : CDCDCDCD; -09E8 : CDCDCDCD; -09E9 : CDCDCDCD; -09EA : CDCDCDCD; -09EB : CDCDCDCD; -09EC : CDCDCDCD; -09ED : CDCDCDCD; -09EE : CDCDCDCD; -09EF : CDCDCDCD; -09F0 : CDCDCDCD; -09F1 : CDCDCDCD; -09F2 : CDCDCDCD; -09F3 : CDCDCDCD; -09F4 : CDCDCDCD; -09F5 : CDCDCDCD; -09F6 : CDCDCDCD; -09F7 : CDCDCDCD; -09F8 : CDCDCDCD; -09F9 : CDCDCDCD; -09FA : CDCDCDCD; -09FB : CDCDCDCD; -09FC : CDCDCDCD; -09FD : CDCDCDCD; -09FE : CDCDCDCD; -09FF : CDCDCDCD; -0A00 : CDCDCDCD; -0A01 : CDCDCDCD; -0A02 : CDCDCDCD; -0A03 : CDCDCDCD; -0A04 : CDCDCDCD; -0A05 : CDCDCDCD; -0A06 : CDCDCDCD; -0A07 : CDCDCDCD; -0A08 : CDCDCDCD; -0A09 : CDCDCDCD; -0A0A : CDCDCDCD; -0A0B : CDCDCDCD; -0A0C : CDCDCDCD; -0A0D : CDCDCDCD; -0A0E : CDCDCDCD; -0A0F : CDCDCDCD; -0A10 : CDCDCDCD; -0A11 : CDCDCDCD; -0A12 : CDCDCDCD; -0A13 : CDCDCDCD; -0A14 : CDCDCDCD; -0A15 : CDCDCDCD; -0A16 : CDCDCDCD; -0A17 : CDCDCDCD; -0A18 : CDCDCDCD; -0A19 : CDCDCDCD; -0A1A : CDCDCDCD; -0A1B : CDCDCDCD; -0A1C : CDCDCDCD; -0A1D : CDCDCDCD; -0A1E : CDCDCDCD; -0A1F : CDCDCDCD; -0A20 : CDCDCDCD; -0A21 : CDCDCDCD; -0A22 : CDCDCDCD; -0A23 : CDCDCDCD; -0A24 : CDCDCDCD; -0A25 : CDCDCDCD; -0A26 : CDCDCDCD; -0A27 : CDCDCDCD; -0A28 : CDCDCDCD; -0A29 : CDCDCDCD; -0A2A : CDCDCDCD; -0A2B : CDCDCDCD; -0A2C : CDCDCDCD; -0A2D : CDCDCDCD; -0A2E : CDCDCDCD; -0A2F : CDCDCDCD; -0A30 : CDCDCDCD; -0A31 : CDCDCDCD; -0A32 : CDCDCDCD; -0A33 : CDCDCDCD; -0A34 : CDCDCDCD; -0A35 : CDCDCDCD; -0A36 : CDCDCDCD; -0A37 : CDCDCDCD; -0A38 : CDCDCDCD; -0A39 : CDCDCDCD; -0A3A : CDCDCDCD; -0A3B : CDCDCDCD; -0A3C : CDCDCDCD; -0A3D : CDCDCDCD; -0A3E : CDCDCDCD; -0A3F : CDCDCDCD; -0A40 : CDCDCDCD; -0A41 : CDCDCDCD; -0A42 : CDCDCDCD; -0A43 : CDCDCDCD; -0A44 : CDCDCDCD; -0A45 : CDCDCDCD; -0A46 : CDCDCDCD; -0A47 : CDCDCDCD; -0A48 : CDCDCDCD; -0A49 : CDCDCDCD; -0A4A : CDCDCDCD; -0A4B : CDCDCDCD; -0A4C : CDCDCDCD; -0A4D : CDCDCDCD; -0A4E : CDCDCDCD; -0A4F : CDCDCDCD; -0A50 : CDCDCDCD; -0A51 : CDCDCDCD; -0A52 : CDCDCDCD; -0A53 : CDCDCDCD; -0A54 : CDCDCDCD; -0A55 : CDCDCDCD; -0A56 : CDCDCDCD; -0A57 : CDCDCDCD; -0A58 : CDCDCDCD; -0A59 : CDCDCDCD; -0A5A : CDCDCDCD; -0A5B : CDCDCDCD; -0A5C : CDCDCDCD; -0A5D : CDCDCDCD; -0A5E : CDCDCDCD; -0A5F : CDCDCDCD; -0A60 : CDCDCDCD; -0A61 : CDCDCDCD; -0A62 : CDCDCDCD; -0A63 : CDCDCDCD; -0A64 : CDCDCDCD; -0A65 : CDCDCDCD; -0A66 : CDCDCDCD; -0A67 : CDCDCDCD; -0A68 : CDCDCDCD; -0A69 : CDCDCDCD; -0A6A : CDCDCDCD; -0A6B : CDCDCDCD; -0A6C : CDCDCDCD; -0A6D : CDCDCDCD; -0A6E : CDCDCDCD; -0A6F : CDCDCDCD; -0A70 : CDCDCDCD; -0A71 : CDCDCDCD; -0A72 : CDCDCDCD; -0A73 : CDCDCDCD; -0A74 : CDCDCDCD; -0A75 : CDCDCDCD; -0A76 : CDCDCDCD; -0A77 : CDCDCDCD; -0A78 : CDCDCDCD; -0A79 : CDCDCDCD; -0A7A : CDCDCDCD; -0A7B : CDCDCDCD; -0A7C : CDCDCDCD; -0A7D : CDCDCDCD; -0A7E : CDCDCDCD; -0A7F : CDCDCDCD; -0A80 : CDCDCDCD; -0A81 : CDCDCDCD; -0A82 : CDCDCDCD; -0A83 : CDCDCDCD; -0A84 : CDCDCDCD; -0A85 : CDCDCDCD; -0A86 : CDCDCDCD; -0A87 : CDCDCDCD; -0A88 : CDCDCDCD; -0A89 : CDCDCDCD; -0A8A : CDCDCDCD; -0A8B : CDCDCDCD; -0A8C : CDCDCDCD; -0A8D : CDCDCDCD; -0A8E : CDCDCDCD; -0A8F : CDCDCDCD; -0A90 : CDCDCDCD; -0A91 : CDCDCDCD; -0A92 : CDCDCDCD; -0A93 : CDCDCDCD; -0A94 : CDCDCDCD; -0A95 : CDCDCDCD; -0A96 : CDCDCDCD; -0A97 : CDCDCDCD; -0A98 : CDCDCDCD; -0A99 : CDCDCDCD; -0A9A : CDCDCDCD; -0A9B : CDCDCDCD; -0A9C : CDCDCDCD; -0A9D : CDCDCDCD; -0A9E : CDCDCDCD; -0A9F : CDCDCDCD; -0AA0 : CDCDCDCD; -0AA1 : CDCDCDCD; -0AA2 : CDCDCDCD; -0AA3 : CDCDCDCD; -0AA4 : CDCDCDCD; -0AA5 : CDCDCDCD; -0AA6 : CDCDCDCD; -0AA7 : CDCDCDCD; -0AA8 : CDCDCDCD; -0AA9 : CDCDCDCD; -0AAA : CDCDCDCD; -0AAB : CDCDCDCD; -0AAC : CDCDCDCD; -0AAD : CDCDCDCD; -0AAE : CDCDCDCD; -0AAF : CDCDCDCD; -0AB0 : CDCDCDCD; -0AB1 : CDCDCDCD; -0AB2 : CDCDCDCD; -0AB3 : CDCDCDCD; -0AB4 : CDCDCDCD; -0AB5 : CDCDCDCD; -0AB6 : CDCDCDCD; -0AB7 : CDCDCDCD; -0AB8 : CDCDCDCD; -0AB9 : CDCDCDCD; -0ABA : CDCDCDCD; -0ABB : CDCDCDCD; -0ABC : CDCDCDCD; -0ABD : CDCDCDCD; -0ABE : CDCDCDCD; -0ABF : CDCDCDCD; -0AC0 : CDCDCDCD; -0AC1 : CDCDCDCD; -0AC2 : CDCDCDCD; -0AC3 : CDCDCDCD; -0AC4 : CDCDCDCD; -0AC5 : CDCDCDCD; -0AC6 : CDCDCDCD; -0AC7 : CDCDCDCD; -0AC8 : CDCDCDCD; -0AC9 : CDCDCDCD; -0ACA : CDCDCDCD; -0ACB : CDCDCDCD; -0ACC : CDCDCDCD; -0ACD : CDCDCDCD; -0ACE : CDCDCDCD; -0ACF : CDCDCDCD; -0AD0 : CDCDCDCD; -0AD1 : CDCDCDCD; -0AD2 : CDCDCDCD; -0AD3 : CDCDCDCD; -0AD4 : CDCDCDCD; -0AD5 : CDCDCDCD; -0AD6 : CDCDCDCD; -0AD7 : CDCDCDCD; -0AD8 : CDCDCDCD; -0AD9 : CDCDCDCD; -0ADA : CDCDCDCD; -0ADB : CDCDCDCD; -0ADC : CDCDCDCD; -0ADD : CDCDCDCD; -0ADE : CDCDCDCD; -0ADF : CDCDCDCD; -0AE0 : CDCDCDCD; -0AE1 : CDCDCDCD; -0AE2 : CDCDCDCD; -0AE3 : CDCDCDCD; -0AE4 : CDCDCDCD; -0AE5 : CDCDCDCD; -0AE6 : CDCDCDCD; -0AE7 : CDCDCDCD; -0AE8 : CDCDCDCD; -0AE9 : CDCDCDCD; -0AEA : CDCDCDCD; -0AEB : CDCDCDCD; -0AEC : CDCDCDCD; -0AED : CDCDCDCD; -0AEE : CDCDCDCD; -0AEF : CDCDCDCD; -0AF0 : CDCDCDCD; -0AF1 : CDCDCDCD; -0AF2 : CDCDCDCD; -0AF3 : CDCDCDCD; -0AF4 : CDCDCDCD; -0AF5 : CDCDCDCD; -0AF6 : CDCDCDCD; -0AF7 : CDCDCDCD; -0AF8 : CDCDCDCD; -0AF9 : CDCDCDCD; -0AFA : CDCDCDCD; -0AFB : CDCDCDCD; -0AFC : CDCDCDCD; -0AFD : CDCDCDCD; -0AFE : CDCDCDCD; -0AFF : CDCDCDCD; -0B00 : CDCDCDCD; -0B01 : CDCDCDCD; -0B02 : CDCDCDCD; -0B03 : CDCDCDCD; -0B04 : CDCDCDCD; -0B05 : CDCDCDCD; -0B06 : CDCDCDCD; -0B07 : CDCDCDCD; -0B08 : CDCDCDCD; -0B09 : CDCDCDCD; -0B0A : CDCDCDCD; -0B0B : CDCDCDCD; -0B0C : CDCDCDCD; -0B0D : CDCDCDCD; -0B0E : CDCDCDCD; -0B0F : CDCDCDCD; -0B10 : CDCDCDCD; -0B11 : CDCDCDCD; -0B12 : CDCDCDCD; -0B13 : CDCDCDCD; -0B14 : CDCDCDCD; -0B15 : CDCDCDCD; -0B16 : CDCDCDCD; -0B17 : CDCDCDCD; -0B18 : CDCDCDCD; -0B19 : CDCDCDCD; -0B1A : CDCDCDCD; -0B1B : CDCDCDCD; -0B1C : CDCDCDCD; -0B1D : CDCDCDCD; -0B1E : CDCDCDCD; -0B1F : CDCDCDCD; -0B20 : CDCDCDCD; -0B21 : CDCDCDCD; -0B22 : CDCDCDCD; -0B23 : CDCDCDCD; -0B24 : CDCDCDCD; -0B25 : CDCDCDCD; -0B26 : CDCDCDCD; -0B27 : CDCDCDCD; -0B28 : CDCDCDCD; -0B29 : CDCDCDCD; -0B2A : CDCDCDCD; -0B2B : CDCDCDCD; -0B2C : CDCDCDCD; -0B2D : CDCDCDCD; -0B2E : CDCDCDCD; -0B2F : CDCDCDCD; -0B30 : CDCDCDCD; -0B31 : CDCDCDCD; -0B32 : CDCDCDCD; -0B33 : CDCDCDCD; -0B34 : CDCDCDCD; +03EB : 00078593; +03EC : 01C12403; +03ED : 02010113; +03EE : 00008067; +03EF : FE010113; +03F0 : 00812E23; +03F1 : 02010413; +03F2 : C23065F3; +03F3 : FEB42623; +03F4 : CA3065F3; +03F5 : FEB42423; +03F6 : FE842583; +03F7 : FEB42023; +03F8 : FE042223; +03F9 : FE042583; +03FA : 00059893; +03FB : 00000813; +03FC : FF042023; +03FD : FF142223; +03FE : FEC42583; +03FF : 00058713; +0400 : 00000793; +0401 : FE042583; +0402 : 00E5E633; +0403 : FE442583; +0404 : 00F5E6B3; +0405 : FEC42023; +0406 : FED42223; +0407 : FE042703; +0408 : FE442783; +0409 : 00070513; +040A : 00078593; +040B : 01C12403; +040C : 02010113; +040D : 00008067; +040E : FE010113; +040F : 00812E23; +0410 : 02010413; +0411 : C24065F3; +0412 : FEB42623; +0413 : CA4065F3; +0414 : FEB42423; +0415 : FE842583; +0416 : FEB42023; +0417 : FE042223; +0418 : FE042583; +0419 : 00059893; +041A : 00000813; +041B : FF042023; +041C : FF142223; +041D : FEC42583; +041E : 00058713; +041F : 00000793; +0420 : FE042583; +0421 : 00E5E633; +0422 : FE442583; +0423 : 00F5E6B3; +0424 : FEC42023; +0425 : FED42223; +0426 : FE042703; +0427 : FE442783; +0428 : 00070513; +0429 : 00078593; +042A : 01C12403; +042B : 02010113; +042C : 00008067; +042D : FE010113; +042E : 00812E23; +042F : 02010413; +0430 : C25065F3; +0431 : FEB42623; +0432 : CA5065F3; +0433 : FEB42423; +0434 : FE842583; +0435 : FEB42023; +0436 : FE042223; +0437 : FE042583; +0438 : 00059893; +0439 : 00000813; +043A : FF042023; +043B : FF142223; +043C : FEC42583; +043D : 00058713; +043E : 00000793; +043F : FE042583; +0440 : 00E5E633; +0441 : FE442583; +0442 : 00F5E6B3; +0443 : FEC42023; +0444 : FED42223; +0445 : FE042703; +0446 : FE442783; +0447 : 00070513; +0448 : 00078593; +0449 : 01C12403; +044A : 02010113; +044B : 00008067; +044C : FE010113; +044D : 00812E23; +044E : 02010413; +044F : C26065F3; +0450 : FEB42623; +0451 : CA6065F3; +0452 : FEB42423; +0453 : FE842583; +0454 : FEB42023; +0455 : FE042223; +0456 : FE042583; +0457 : 00059893; +0458 : 00000813; +0459 : FF042023; +045A : FF142223; +045B : FEC42583; +045C : 00058713; +045D : 00000793; +045E : FE042583; +045F : 00E5E633; +0460 : FE442583; +0461 : 00F5E6B3; +0462 : FEC42023; +0463 : FED42223; +0464 : FE042703; +0465 : FE442783; +0466 : 00070513; +0467 : 00078593; +0468 : 01C12403; +0469 : 02010113; +046A : 00008067; +046B : FE010113; +046C : 00812E23; +046D : 02010413; +046E : C27065F3; +046F : FEB42623; +0470 : CA7065F3; +0471 : FEB42423; +0472 : FE842583; +0473 : FEB42023; +0474 : FE042223; +0475 : FE042583; +0476 : 00059893; +0477 : 00000813; +0478 : FF042023; +0479 : FF142223; +047A : FEC42583; +047B : 00058713; +047C : 00000793; +047D : FE042583; +047E : 00E5E633; +047F : FE442583; +0480 : 00F5E6B3; +0481 : FEC42023; +0482 : FED42223; +0483 : FE042703; +0484 : FE442783; +0485 : 00070513; +0486 : 00078593; +0487 : 01C12403; +0488 : 02010113; +0489 : 00008067; +048A : FE010113; +048B : 00812E23; +048C : 02010413; +048D : C28065F3; +048E : FEB42623; +048F : CA8065F3; +0490 : FEB42423; +0491 : FE842583; +0492 : FEB42023; +0493 : FE042223; +0494 : FE042583; +0495 : 00059893; +0496 : 00000813; +0497 : FF042023; +0498 : FF142223; +0499 : FEC42583; +049A : 00058713; +049B : 00000793; +049C : FE042583; +049D : 00E5E633; +049E : FE442583; +049F : 00F5E6B3; +04A0 : FEC42023; +04A1 : FED42223; +04A2 : FE042703; +04A3 : FE442783; +04A4 : 00070513; +04A5 : 00078593; +04A6 : 01C12403; +04A7 : 02010113; +04A8 : 00008067; +04A9 : FB010113; +04AA : 04112623; +04AB : 04812423; +04AC : 05010413; +04AD : B19FF0EF; +04AE : FEA42023; +04AF : FEB42223; +04B0 : FE042623; +04B1 : 1080006F; +04B2 : 000037B7; +04B3 : ADC78513; +04B4 : F8DFE0EF; +04B5 : 000037B7; +04B6 : 08878713; +04B7 : FEC42783; +04B8 : 00279793; +04B9 : 00F707B3; +04BA : 0007A783; +04BB : 00078513; +04BC : F6DFE0EF; +04BD : 000037B7; +04BE : 06078713; +04BF : FEC42783; +04C0 : 00279793; +04C1 : 00F707B3; +04C2 : 0007A783; +04C3 : 000780E7; +04C4 : FCA42C23; +04C5 : FCB42E23; +04C6 : FD842703; +04C7 : FDC42783; +04C8 : FB040693; +04C9 : 00070593; +04CA : 00078613; +04CB : 00068513; +04CC : 86CFF0EF; +04CD : FB040793; +04CE : 00078513; +04CF : F21FE0EF; +04D0 : 000037B7; +04D1 : AE078513; +04D2 : F15FE0EF; +04D3 : FE042703; +04D4 : FE442783; +04D5 : 00002637; +04D6 : 71060613; +04D7 : 00000693; +04D8 : 00070513; +04D9 : 00078593; +04DA : 6A9000EF; +04DB : 00050713; +04DC : 00058793; +04DD : 00070613; +04DE : 00078693; +04DF : FD842503; +04E0 : FDC42583; +04E1 : 68D000EF; +04E2 : 00050713; +04E3 : 00058793; +04E4 : FCE42C23; +04E5 : FCF42E23; +04E6 : FD842703; +04E7 : FDC42783; +04E8 : FB040693; +04E9 : 00070593; +04EA : 00078613; +04EB : 00068513; +04EC : FEDFE0EF; +04ED : FB040793; +04EE : 00078513; +04EF : EA1FE0EF; +04F0 : FEC42783; +04F1 : 00178793; +04F2 : FEF42623; +04F3 : FEC42703; +04F4 : 00900793; +04F5 : EEE7DAE3; +04F6 : 000037B7; +04F7 : A6078513; +04F8 : E7DFE0EF; +04F9 : 00000013; +04FA : 04C12083; +04FB : 04812403; +04FC : 05010113; +04FD : 00008067; +04FE : FF010113; +04FF : 00112623; +0500 : 00812423; +0501 : 01010413; +0502 : 000037B7; +0503 : AE478513; +0504 : E4DFE0EF; +0505 : 000037B7; +0506 : B0478513; +0507 : E41FE0EF; +0508 : 000037B7; +0509 : B2878513; +050A : E35FE0EF; +050B : 000037B7; +050C : B5078513; +050D : E29FE0EF; +050E : 000037B7; +050F : B8478513; +0510 : E1DFE0EF; +0511 : 00000013; +0512 : 00C12083; +0513 : 00812403; +0514 : 01010113; +0515 : 00008067; +0516 : E7010113; +0517 : 18112623; +0518 : 18812423; +0519 : 19212223; +051A : 19312023; +051B : 17412E23; +051C : 17512C23; +051D : 17612A23; +051E : 17712823; +051F : 17812623; +0520 : 17912423; +0521 : 17A12223; +0522 : 17B12023; +0523 : 19010413; +0524 : E6A42E23; +0525 : E6B42C23; +0526 : F00007B7; +0527 : FCF42423; +0528 : F00007B7; +0529 : 01078793; +052A : FCF42223; +052B : 0001C7B7; +052C : 20078513; +052D : DE8FF0EF; +052E : FC042023; +052F : FA042E23; +0530 : 891FF0EF; +0531 : 00050913; +0532 : 00058993; +0533 : 901FF0EF; +0534 : 00050713; +0535 : 00058793; +0536 : 06400613; +0537 : 00000693; +0538 : 00070513; +0539 : 00078593; +053A : 529000EF; +053B : 00050713; +053C : 00058793; +053D : 00070613; +053E : 00078693; +053F : 00090513; +0540 : 00098593; +0541 : 50D000EF; +0542 : 00050713; +0543 : 00058793; +0544 : FAE42C23; +0545 : C29FE0EF; +0546 : 00050793; +0547 : 0A078863; +0548 : 831FF0EF; +0549 : E8040793; +054A : 03000713; +054B : 00800693; +054C : 00058613; +054D : 00050593; +054E : 00078513; +054F : 860FF0EF; +0550 : E8040793; +0551 : 00078513; +0552 : D15FE0EF; +0553 : 000037B7; +0554 : B9C78513; +0555 : D09FE0EF; +0556 : 875FF0EF; +0557 : E8040793; +0558 : 03000713; +0559 : 00800693; +055A : 00058613; +055B : 00050593; +055C : 00078513; +055D : 828FF0EF; +055E : E8040793; +055F : 00078513; +0560 : CDDFE0EF; +0561 : 000037B7; +0562 : B9C78513; +0563 : CD1FE0EF; +0564 : FB842783; +0565 : 00078A13; +0566 : 41F7D793; +0567 : 00078A93; +0568 : E8040793; +0569 : 000A0593; +056A : 000A8613; +056B : 00078513; +056C : DEDFE0EF; +056D : E8040793; +056E : 00078513; +056F : CA1FE0EF; +0570 : 000037B7; +0571 : BA078513; +0572 : C95FE0EF; +0573 : BADFE0EF; +0574 : 00050793; +0575 : FE078CE3; +0576 : E8040793; +0577 : 0FF00593; +0578 : 00078513; +0579 : CE1FE0EF; +057A : 00000013; +057B : 000037B7; +057C : BA478513; +057D : C69FE0EF; +057E : E8040793; +057F : 00078513; +0580 : C5DFE0EF; +0581 : 000037B7; +0582 : A6078513; +0583 : C51FE0EF; +0584 : E8040713; +0585 : 00400613; +0586 : 000037B7; +0587 : BA878593; +0588 : 00070513; +0589 : BE0FF0EF; +058A : 00050793; +058B : 00079663; +058C : DC9FF0EF; +058D : 3000006F; +058E : E8044703; +058F : 06C00793; +0590 : 00F71663; +0591 : C61FF0EF; +0592 : 2EC0006F; +0593 : E8044703; +0594 : 06200793; +0595 : 02F71C63; +0596 : E8040793; +0597 : 00278793; +0598 : 00000593; +0599 : 00078513; +059A : 8DCFF0EF; +059B : F8A42E23; +059C : F9C42783; +059D : 00F05863; +059E : F9C42503; +059F : C20FF0EF; +05A0 : 2B40006F; +05A1 : D75FF0EF; +05A2 : 2AC0006F; +05A3 : E8044703; +05A4 : 06400793; +05A5 : 02F71A63; +05A6 : E8040793; +05A7 : 00278793; +05A8 : 00000593; +05A9 : 00078513; +05AA : 998FF0EF; +05AB : FAA42023; +05AC : FA042783; +05AD : 00F05663; +05AE : FA042703; +05AF : C8E1A423; +05B0 : C1CFF0EF; +05B1 : 2700006F; +05B2 : E8044703; +05B3 : 07700793; +05B4 : 0AF71C63; +05B5 : E8040793; +05B6 : 00278793; +05B7 : F8440713; +05B8 : 00070593; +05B9 : 00078513; +05BA : 958FF0EF; +05BB : FAA42623; +05BC : F8442783; +05BD : F8440713; +05BE : 00070593; +05BF : 00078513; +05C0 : 940FF0EF; +05C1 : FAA42423; +05C2 : F8442783; +05C3 : F8440713; +05C4 : 00070593; +05C5 : 00078513; +05C6 : 928FF0EF; +05C7 : FAA42223; +05C8 : FA442703; +05C9 : 00100793; +05CA : 00F71C63; +05CB : FAC42783; +05CC : FA842703; +05CD : 0FF77713; +05CE : 00E78023; +05CF : 1F80006F; +05D0 : FA442703; +05D1 : 00200793; +05D2 : 00F71E63; +05D3 : FAC42783; +05D4 : FA842703; +05D5 : 01071713; +05D6 : 41075713; +05D7 : 00E79023; +05D8 : 1D40006F; +05D9 : FA442703; +05DA : 00400793; +05DB : 00F71A63; +05DC : FAC42783; +05DD : FA842703; +05DE : 00E7A023; +05DF : 1B80006F; +05E0 : C79FF0EF; +05E1 : 1B00006F; +05E2 : E8044703; +05E3 : 07200793; +05E4 : 1AF71263; +05E5 : FC042623; +05E6 : E8040793; +05E7 : 00278793; +05E8 : F8040713; +05E9 : 00070593; +05EA : 00078513; +05EB : 894FF0EF; +05EC : FAA42A23; +05ED : F8042783; +05EE : F8040713; +05EF : 00070593; +05F0 : 00078513; +05F1 : 87CFF0EF; +05F2 : FAA42823; +05F3 : FB042703; +05F4 : 00100793; +05F5 : 02F71063; +05F6 : FB442783; +05F7 : 0007C783; +05F8 : FCF42623; +05F9 : 000037B7; +05FA : BB078513; +05FB : A71FE0EF; +05FC : 0580006F; +05FD : FB042703; +05FE : 00200793; +05FF : 02F71063; +0600 : FB442783; +0601 : 00079783; +0602 : FCF42623; +0603 : 000037B7; +0604 : BB878513; +0605 : A49FE0EF; +0606 : 0300006F; +0607 : FB042703; +0608 : 00400793; +0609 : 02F71063; +060A : FB442783; +060B : 0007A783; +060C : FCF42623; +060D : 000037B7; +060E : BC078513; +060F : A21FE0EF; +0610 : 0080006F; +0611 : BB5FF0EF; +0612 : FB042703; +0613 : 00100793; +0614 : 00F70E63; +0615 : FB042703; +0616 : 00200793; +0617 : 00F70863; +0618 : FB042703; +0619 : 00400793; +061A : 0CF71663; +061B : FB442783; +061C : 00078B13; +061D : 41F7D793; +061E : 00078B93; +061F : E8040793; +0620 : 03000713; +0621 : 00800693; +0622 : 000B0593; +0623 : 000B8613; +0624 : 00078513; +0625 : D09FE0EF; +0626 : E8040793; +0627 : 00078513; +0628 : 9BDFE0EF; +0629 : 000037B7; +062A : BC878513; +062B : 9B1FE0EF; +062C : FCC42783; +062D : 00078C13; +062E : 41F7D793; +062F : 00078C93; +0630 : E8040793; +0631 : 000C0593; +0632 : 000C8613; +0633 : 00078513; +0634 : ACDFE0EF; +0635 : E8040793; +0636 : 00078513; +0637 : 981FE0EF; +0638 : 000037B7; +0639 : BCC78513; +063A : 975FE0EF; +063B : FCC42783; +063C : 00078D13; +063D : 41F7D793; +063E : 00078D93; +063F : FB042783; +0640 : 00179693; +0641 : E8040793; +0642 : 03000713; +0643 : 000D0593; +0644 : 000D8613; +0645 : 00078513; +0646 : C85FE0EF; +0647 : E8040793; +0648 : 00078513; +0649 : 939FE0EF; +064A : 000037B7; +064B : BD078513; +064C : 92DFE0EF; +064D : 00000013; +064E : 805FE0EF; +064F : 00050793; +0650 : FE078CE3; +0651 : B7DFF06F; +0652 : 00050313; +0653 : 00058E13; +0654 : 00000893; +0655 : 0005DC63; +0656 : 00A037B3; +0657 : 40B00E33; +0658 : 40FE0E33; +0659 : 40A00333; +065A : FFF00893; +065B : 0006DC63; +065C : 00C037B3; +065D : 40D006B3; +065E : FFF8C893; +065F : 40F686B3; +0660 : 40C00633; +0661 : 00060713; +0662 : 00030813; +0663 : 000E0793; +0664 : 28069C63; +0665 : 000035B7; +0666 : BD458593; +0667 : 0ECE7663; +0668 : 000106B7; +0669 : 0CD67863; +066A : 10063693; +066B : 0016C693; +066C : 00369693; +066D : 00D65533; +066E : 00A585B3; +066F : 0005C583; +0670 : 02000513; +0671 : 00D586B3; +0672 : 40D505B3; +0673 : 00D50C63; +0674 : 00BE17B3; +0675 : 00D356B3; +0676 : 00B61733; +0677 : 00F6E7B3; +0678 : 00B31833; +0679 : 01075593; +067A : 02B7DE33; +067B : 01071613; +067C : 01065613; +067D : 02B7F7B3; +067E : 000E0513; +067F : 03C60333; +0680 : 01079693; +0681 : 01085793; +0682 : 00D7E7B3; +0683 : 0067FE63; +0684 : 00E787B3; +0685 : FFFE0513; +0686 : 00E7E863; +0687 : 0067F663; +0688 : FFEE0513; +0689 : 00E787B3; +068A : 406787B3; +068B : 02B7D333; +068C : 01081813; +068D : 01085813; +068E : 02B7F7B3; +068F : 026606B3; +0690 : 01079793; +0691 : 00F86833; +0692 : 00030793; +0693 : 00D87C63; +0694 : 01070833; +0695 : FFF30793; +0696 : 00E86663; +0697 : 00D87463; +0698 : FFE30793; +0699 : 01051513; +069A : 00F56533; +069B : 00000593; +069C : 0E00006F; +069D : 01000537; +069E : 01000693; +069F : F2A66CE3; +06A0 : 01800693; +06A1 : F31FF06F; +06A2 : 00061463; +06A3 : 00100073; +06A4 : 000107B7; +06A5 : 0CF67A63; +06A6 : 10063693; +06A7 : 0016C693; +06A8 : 00369693; +06A9 : 00D657B3; +06AA : 00F585B3; +06AB : 0005C783; +06AC : 00D787B3; +06AD : 02000693; +06AE : 40F685B3; +06AF : 0CF69063; +06B0 : 40CE07B3; +06B1 : 00100593; +06B2 : 01075313; +06B3 : 0267DEB3; +06B4 : 01071613; +06B5 : 01065613; +06B6 : 01085693; +06B7 : 0267F7B3; +06B8 : 000E8513; +06B9 : 03D60E33; +06BA : 01079793; +06BB : 00F6E7B3; +06BC : 01C7FE63; +06BD : 00E787B3; +06BE : FFFE8513; +06BF : 00E7E863; +06C0 : 01C7F663; +06C1 : FFEE8513; +06C2 : 00E787B3; +06C3 : 41C787B3; +06C4 : 0267DE33; +06C5 : 01081813; +06C6 : 01085813; +06C7 : 0267F7B3; +06C8 : 03C606B3; +06C9 : 01079793; +06CA : 00F86833; +06CB : 000E0793; +06CC : 00D87C63; +06CD : 01070833; +06CE : FFFE0793; +06CF : 00E86663; +06D0 : 00D87463; +06D1 : FFEE0793; +06D2 : 01051513; +06D3 : 00F56533; +06D4 : 00088A63; +06D5 : 00A037B3; +06D6 : 40B005B3; +06D7 : 40F585B3; +06D8 : 40A00533; +06D9 : 00008067; +06DA : 010007B7; +06DB : 01000693; +06DC : F2F66AE3; +06DD : 01800693; +06DE : F2DFF06F; +06DF : 00B61733; +06E0 : 00FE56B3; +06E1 : 01075513; +06E2 : 00BE1E33; +06E3 : 00F357B3; +06E4 : 01C7E7B3; +06E5 : 02A6DE33; +06E6 : 01071613; +06E7 : 01065613; +06E8 : 00B31833; +06E9 : 02A6F6B3; +06EA : 03C60333; +06EB : 01069593; +06EC : 0107D693; +06ED : 00B6E6B3; +06EE : 000E0593; +06EF : 0066FE63; +06F0 : 00E686B3; +06F1 : FFFE0593; +06F2 : 00E6E863; +06F3 : 0066F663; +06F4 : FFEE0593; +06F5 : 00E686B3; +06F6 : 406686B3; +06F7 : 02A6D333; +06F8 : 01079793; +06F9 : 0107D793; +06FA : 02A6F6B3; +06FB : 02660633; +06FC : 01069693; +06FD : 00D7E7B3; +06FE : 00030693; +06FF : 00C7FE63; +0700 : 00E787B3; +0701 : FFF30693; +0702 : 00E7E863; +0703 : 00C7F663; +0704 : FFE30693; +0705 : 00E787B3; +0706 : 01059593; +0707 : 40C787B3; +0708 : 00D5E5B3; +0709 : EA5FF06F; +070A : 18DE6663; +070B : 000107B7; +070C : 04F6F463; +070D : 1006B713; +070E : 00174713; +070F : 00371713; +0710 : 000037B7; +0711 : 00E6D5B3; +0712 : BD478793; +0713 : 00B787B3; +0714 : 0007C783; +0715 : 00E787B3; +0716 : 02000713; +0717 : 40F705B3; +0718 : 02F71663; +0719 : 00100513; +071A : EFC6E4E3; +071B : 00C33533; +071C : 00154513; +071D : EDDFF06F; +071E : 010007B7; +071F : 01000713; +0720 : FCF6E0E3; +0721 : 01800713; +0722 : FB9FF06F; +0723 : 00F65733; +0724 : 00B696B3; +0725 : 00D766B3; +0726 : 00FE5733; +0727 : 00BE1E33; +0728 : 00F357B3; +0729 : 01C7E7B3; +072A : 0106DE13; +072B : 03C75F33; +072C : 01069813; +072D : 01085813; +072E : 00B61633; +072F : 03C77733; +0730 : 03E80EB3; +0731 : 01071513; +0732 : 0107D713; +0733 : 00A76733; +0734 : 000F0513; +0735 : 01D77E63; +0736 : 00D70733; +0737 : FFFF0513; +0738 : 00D76863; +0739 : 01D77663; +073A : FFEF0513; +073B : 00D70733; +073C : 41D70733; +073D : 03C75EB3; +073E : 01079793; +073F : 0107D793; +0740 : 03C77733; +0741 : 03D80833; +0742 : 01071713; +0743 : 00E7E7B3; +0744 : 000E8713; +0745 : 0107FE63; +0746 : 00D787B3; +0747 : FFFE8713; +0748 : 00D7E863; +0749 : 0107F663; +074A : FFEE8713; +074B : 00D787B3; +074C : 01051513; +074D : 00010EB7; +074E : 00E56533; +074F : FFFE8693; +0750 : 00D57733; +0751 : 410787B3; +0752 : 00D676B3; +0753 : 01055813; +0754 : 01065613; +0755 : 02D70E33; +0756 : 02D806B3; +0757 : 02C70733; +0758 : 02C80833; +0759 : 00D70633; +075A : 010E5713; +075B : 00C70733; +075C : 00D77463; +075D : 01D80833; +075E : 01075693; +075F : 010686B3; +0760 : 02D7E663; +0761 : CED794E3; +0762 : 000107B7; +0763 : FFF78793; +0764 : 00F77733; +0765 : 01071713; +0766 : 00FE7E33; +0767 : 00B31333; +0768 : 01C70733; +0769 : 00000593; +076A : DAE374E3; +076B : FFF50513; +076C : CBDFF06F; +076D : 00000593; +076E : 00000513; +076F : D95FF06F; +0770 : 00058E13; +0771 : 00000813; +0772 : 0005DC63; +0773 : 00A037B3; +0774 : 40B005B3; +0775 : 40F58E33; +0776 : 40A00533; +0777 : FFF00813; +0778 : 0006DA63; +0779 : 00C037B3; +077A : 40D006B3; +077B : 40F686B3; +077C : 40C00633; +077D : 00060893; +077E : 00050793; +077F : 000E0593; +0780 : 24069463; +0781 : 00003737; +0782 : BD470713; +0783 : 0ECE7663; +0784 : 000106B7; +0785 : 0CD67863; +0786 : 10063693; +0787 : 0016C693; +0788 : 00369693; +0789 : 00D65333; +078A : 00670733; +078B : 00074703; +078C : 00D70733; +078D : 02000693; +078E : 40E68333; +078F : 00E68C63; +0790 : 006E15B3; +0791 : 00E55733; +0792 : 006618B3; +0793 : 00B765B3; +0794 : 006517B3; +0795 : 0108D693; +0796 : 02D5D733; +0797 : 01089513; +0798 : 01055513; +0799 : 02D5F5B3; +079A : 02A70733; +079B : 01059613; +079C : 0107D593; +079D : 00C5E5B3; +079E : 00E5FA63; +079F : 011585B3; +07A0 : 0115E663; +07A1 : 00E5F463; +07A2 : 011585B3; +07A3 : 40E585B3; +07A4 : 02D5D733; +07A5 : 01079793; +07A6 : 0107D793; +07A7 : 02D5F5B3; +07A8 : 02A70733; +07A9 : 01059593; +07AA : 00B7E7B3; +07AB : 00E7FA63; +07AC : 011787B3; +07AD : 0117E663; +07AE : 00E7F463; +07AF : 011787B3; +07B0 : 40E787B3; +07B1 : 0067D533; +07B2 : 00000593; +07B3 : 00080A63; +07B4 : 00A037B3; +07B5 : 40B005B3; +07B6 : 40F585B3; +07B7 : 40A00533; +07B8 : 00008067; +07B9 : 01000337; +07BA : 01000693; +07BB : F2666CE3; +07BC : 01800693; +07BD : F31FF06F; +07BE : 00061463; +07BF : 00100073; +07C0 : 000106B7; +07C1 : 0AD67263; +07C2 : 10063693; +07C3 : 0016C693; +07C4 : 00369693; +07C5 : 00D655B3; +07C6 : 00B70733; +07C7 : 00074703; +07C8 : 00D70733; +07C9 : 02000693; +07CA : 40E68333; +07CB : 08E69863; +07CC : 40CE0733; +07CD : 0108D593; +07CE : 02B75633; +07CF : 01089513; +07D0 : 01055513; +07D1 : 0107D693; +07D2 : 02B77733; +07D3 : 02A60633; +07D4 : 01071713; +07D5 : 00E6E733; +07D6 : 00C77A63; +07D7 : 01170733; +07D8 : 01176663; +07D9 : 00C77463; +07DA : 01170733; +07DB : 40C70733; +07DC : 02B756B3; +07DD : 01079793; +07DE : 0107D793; +07DF : 02B77733; +07E0 : 02A686B3; +07E1 : 01071713; +07E2 : 00E7E7B3; +07E3 : 00D7FA63; +07E4 : 011787B3; +07E5 : 0117E663; +07E6 : 00D7F463; +07E7 : 011787B3; +07E8 : 40D787B3; +07E9 : F21FF06F; +07EA : 010005B7; +07EB : 01000693; +07EC : F6B662E3; +07ED : 01800693; +07EE : F5DFF06F; +07EF : 006618B3; +07F0 : 00EE56B3; +07F1 : 006E15B3; +07F2 : 00E55733; +07F3 : 006517B3; +07F4 : 0108D513; +07F5 : 00B76733; +07F6 : 02A6D5B3; +07F7 : 01089E13; +07F8 : 010E5E13; +07F9 : 02A6F6B3; +07FA : 03C585B3; +07FB : 01069613; +07FC : 01075693; +07FD : 00C6E6B3; +07FE : 00B6FA63; +07FF : 011686B3; +0800 : 0116E663; +0801 : 00B6F463; +0802 : 011686B3; +0803 : 40B686B3; +0804 : 02A6D633; +0805 : 01071713; +0806 : 01075713; +0807 : 02A6F6B3; +0808 : 03C60633; +0809 : 01069693; +080A : 00D76733; +080B : 00C77A63; +080C : 01170733; +080D : 01176663; +080E : 00C77463; +080F : 01170733; +0810 : 40C70733; +0811 : EF1FF06F; +0812 : E8DE62E3; +0813 : 00010737; +0814 : 04E6FC63; +0815 : 1006B713; +0816 : 00174713; +0817 : 00371713; +0818 : 000038B7; +0819 : 00E6D333; +081A : BD488893; +081B : 006888B3; +081C : 0008C303; +081D : 00E30333; +081E : 02000713; +081F : 406708B3; +0820 : 02671E63; +0821 : 01C6E463; +0822 : 00C56C63; +0823 : 40C50633; +0824 : 40DE06B3; +0825 : 00C535B3; +0826 : 40B685B3; +0827 : 00060793; +0828 : 00078513; +0829 : E29FF06F; +082A : 010008B7; +082B : 01000713; +082C : FB16E8E3; +082D : 01800713; +082E : FA9FF06F; +082F : 006657B3; +0830 : 011696B3; +0831 : 00D7E6B3; +0832 : 006E5733; +0833 : 0106DE93; +0834 : 03D75FB3; +0835 : 011E15B3; +0836 : 01069E13; +0837 : 010E5E13; +0838 : 006557B3; +0839 : 00B7E5B3; +083A : 0105D793; +083B : 01161633; +083C : 01151533; +083D : 03D77733; +083E : 03FE0F33; +083F : 01071713; +0840 : 00E7E7B3; +0841 : 000F8713; +0842 : 01E7FE63; +0843 : 00D787B3; +0844 : FFFF8713; +0845 : 00D7E863; +0846 : 01E7F663; +0847 : FFEF8713; +0848 : 00D787B3; +0849 : 41E787B3; +084A : 03D7DF33; +084B : 01059593; +084C : 0105D593; +084D : 03D7F7B3; +084E : 03EE0E33; +084F : 01079793; +0850 : 00F5E5B3; +0851 : 000F0793; +0852 : 01C5FE63; +0853 : 00D585B3; +0854 : FFFF0793; +0855 : 00D5E863; +0856 : 01C5F663; +0857 : FFEF0793; +0858 : 00D585B3; +0859 : 01071713; +085A : 00010FB7; +085B : 00F76733; +085C : 41C585B3; +085D : FFFF8E13; +085E : 01C777B3; +085F : 01065E93; +0860 : 01075713; +0861 : 01C67E33; +0862 : 03C78F33; +0863 : 03C70E33; +0864 : 03D787B3; +0865 : 03D70733; +0866 : 01C78EB3; +0867 : 010F5793; +0868 : 01D787B3; +0869 : 01C7F463; +086A : 01F70733; +086B : 0107DE13; +086C : 00EE0733; +086D : 00010E37; +086E : FFFE0E13; +086F : 01C7F7B3; +0870 : 01079793; +0871 : 01CF7F33; +0872 : 01E787B3; +0873 : 00E5E663; +0874 : 00E59E63; +0875 : 00F57C63; +0876 : 40C78633; +0877 : 00C7B7B3; +0878 : 00D787B3; +0879 : 40F70733; +087A : 00060793; +087B : 40F507B3; +087C : 00F53533; +087D : 40E585B3; +087E : 40A585B3; +087F : 00659333; +0880 : 0117D7B3; +0881 : 00F36533; +0882 : 0115D5B3; +0883 : CC1FF06F; +0884 : 00050313; +0885 : 00058893; +0886 : 00060713; +0887 : 00050813; +0888 : 00058793; +0889 : 28069263; +088A : 000035B7; +088B : BD458593; +088C : 0EC8F663; +088D : 000106B7; +088E : 0CD67863; +088F : 10063693; +0890 : 0016C693; +0891 : 00369693; +0892 : 00D65533; +0893 : 00A585B3; +0894 : 0005C583; +0895 : 02000513; +0896 : 00D586B3; +0897 : 40D505B3; +0898 : 00D50C63; +0899 : 00B897B3; +089A : 00D356B3; +089B : 00B61733; +089C : 00F6E7B3; +089D : 00B31833; +089E : 01075593; +089F : 02B7D333; +08A0 : 01071613; +08A1 : 01065613; +08A2 : 02B7F7B3; +08A3 : 00030513; +08A4 : 026608B3; +08A5 : 01079693; +08A6 : 01085793; +08A7 : 00D7E7B3; +08A8 : 0117FE63; +08A9 : 00E787B3; +08AA : FFF30513; +08AB : 00E7E863; +08AC : 0117F663; +08AD : FFE30513; +08AE : 00E787B3; +08AF : 411787B3; +08B0 : 02B7D8B3; +08B1 : 01081813; +08B2 : 01085813; +08B3 : 02B7F7B3; +08B4 : 031606B3; +08B5 : 01079793; +08B6 : 00F86833; +08B7 : 00088793; +08B8 : 00D87C63; +08B9 : 01070833; +08BA : FFF88793; +08BB : 00E86663; +08BC : 00D87463; +08BD : FFE88793; +08BE : 01051513; +08BF : 00F56533; +08C0 : 00000593; +08C1 : 00008067; +08C2 : 01000537; +08C3 : 01000693; +08C4 : F2A66CE3; +08C5 : 01800693; +08C6 : F31FF06F; +08C7 : 00061463; +08C8 : 00100073; +08C9 : 000107B7; +08CA : 0CF67063; +08CB : 10063693; +08CC : 0016C693; +08CD : 00369693; +08CE : 00D657B3; +08CF : 00F585B3; +08D0 : 0005C783; +08D1 : 00D787B3; +08D2 : 02000693; +08D3 : 40F685B3; +08D4 : 0AF69663; +08D5 : 40C887B3; +08D6 : 00100593; +08D7 : 01075893; +08D8 : 0317DE33; +08D9 : 01071613; +08DA : 01065613; +08DB : 01085693; +08DC : 0317F7B3; +08DD : 000E0513; +08DE : 03C60333; +08DF : 01079793; +08E0 : 00F6E7B3; +08E1 : 0067FE63; +08E2 : 00E787B3; +08E3 : FFFE0513; +08E4 : 00E7E863; +08E5 : 0067F663; +08E6 : FFEE0513; +08E7 : 00E787B3; +08E8 : 406787B3; +08E9 : 0317D333; +08EA : 01081813; +08EB : 01085813; +08EC : 0317F7B3; +08ED : 026606B3; +08EE : 01079793; +08EF : 00F86833; +08F0 : 00030793; +08F1 : 00D87C63; +08F2 : 01070833; +08F3 : FFF30793; +08F4 : 00E86663; +08F5 : 00D87463; +08F6 : FFE30793; +08F7 : 01051513; +08F8 : 00F56533; +08F9 : 00008067; +08FA : 010007B7; +08FB : 01000693; +08FC : F4F664E3; +08FD : 01800693; +08FE : F41FF06F; +08FF : 00B61733; +0900 : 00F8D6B3; +0901 : 01075513; +0902 : 00F357B3; +0903 : 00B31833; +0904 : 02A6D333; +0905 : 01071613; +0906 : 00B898B3; +0907 : 01065613; +0908 : 0117E7B3; +0909 : 02A6F6B3; +090A : 026608B3; +090B : 01069593; +090C : 0107D693; +090D : 00B6E6B3; +090E : 00030593; +090F : 0116FE63; +0910 : 00E686B3; +0911 : FFF30593; +0912 : 00E6E863; +0913 : 0116F663; +0914 : FFE30593; +0915 : 00E686B3; +0916 : 411686B3; +0917 : 02A6D8B3; +0918 : 01079793; +0919 : 0107D793; +091A : 02A6F6B3; +091B : 03160633; +091C : 01069693; +091D : 00D7E7B3; +091E : 00088693; +091F : 00C7FE63; +0920 : 00E787B3; +0921 : FFF88693; +0922 : 00E7E863; +0923 : 00C7F663; +0924 : FFE88693; +0925 : 00E787B3; +0926 : 01059593; +0927 : 40C787B3; +0928 : 00D5E5B3; +0929 : EB9FF06F; +092A : 18D5E663; +092B : 000107B7; +092C : 04F6F463; +092D : 1006B713; +092E : 00174713; +092F : 00371713; +0930 : 000037B7; +0931 : 00E6D5B3; +0932 : BD478793; +0933 : 00B787B3; +0934 : 0007C783; +0935 : 00E787B3; +0936 : 02000713; +0937 : 40F705B3; +0938 : 02F71663; +0939 : 00100513; +093A : E116EEE3; +093B : 00C33533; +093C : 00154513; +093D : 00008067; +093E : 010007B7; +093F : 01000713; +0940 : FCF6E0E3; +0941 : 01800713; +0942 : FB9FF06F; +0943 : 00F65733; +0944 : 00B696B3; +0945 : 00D766B3; +0946 : 00F8D733; +0947 : 00B898B3; +0948 : 00F357B3; +0949 : 0117E7B3; +094A : 0106D893; +094B : 03175EB3; +094C : 01069813; +094D : 01085813; +094E : 00B61633; +094F : 03177733; +0950 : 03D80E33; +0951 : 01071513; +0952 : 0107D713; +0953 : 00A76733; +0954 : 000E8513; +0955 : 01C77E63; +0956 : 00D70733; +0957 : FFFE8513; +0958 : 00D76863; +0959 : 01C77663; +095A : FFEE8513; +095B : 00D70733; +095C : 41C70733; +095D : 03175E33; +095E : 01079793; +095F : 0107D793; +0960 : 03177733; +0961 : 03C80833; +0962 : 01071713; +0963 : 00E7E7B3; +0964 : 000E0713; +0965 : 0107FE63; +0966 : 00D787B3; +0967 : FFFE0713; +0968 : 00D7E863; +0969 : 0107F663; +096A : FFEE0713; +096B : 00D787B3; +096C : 01051513; +096D : 00010E37; +096E : 00E56533; +096F : FFFE0693; +0970 : 00D57733; +0971 : 410787B3; +0972 : 00D676B3; +0973 : 01055813; +0974 : 01065613; +0975 : 02D708B3; +0976 : 02D806B3; +0977 : 02C70733; +0978 : 02C80833; +0979 : 00D70633; +097A : 0108D713; +097B : 00C70733; +097C : 00D77463; +097D : 01C80833; +097E : 01075693; +097F : 010686B3; +0980 : 02D7E663; +0981 : CED79EE3; +0982 : 000107B7; +0983 : FFF78793; +0984 : 00F77733; +0985 : 01071713; +0986 : 00F8F8B3; +0987 : 00B31333; +0988 : 01170733; +0989 : 00000593; +098A : CCE37EE3; +098B : FFF50513; +098C : CD1FF06F; +098D : 00000593; +098E : 00000513; +098F : 00008067; +0990 : FF010113; +0991 : 00000593; +0992 : 00812423; +0993 : 00112623; +0994 : 00050413; +0995 : 194000EF; +0996 : C781A503; +0997 : 03C52783; +0998 : 00078463; +0999 : 000780E7; +099A : 00040513; +099B : 3A4000EF; +099C : FF010113; +099D : 00812423; +099E : 01212023; +099F : 00003437; +09A0 : 00003937; +09A1 : 05440793; +09A2 : 05490913; +09A3 : 40F90933; +09A4 : 00112623; +09A5 : 00912223; +09A6 : 40295913; +09A7 : 02090063; +09A8 : 05440413; +09A9 : 00000493; +09AA : 00042783; +09AB : 00148493; +09AC : 00440413; +09AD : 000780E7; +09AE : FE9918E3; +09AF : 00003437; +09B0 : 00003937; +09B1 : 05440793; +09B2 : 05C90913; +09B3 : 40F90933; +09B4 : 40295913; +09B5 : 02090063; +09B6 : 05440413; +09B7 : 00000493; +09B8 : 00042783; +09B9 : 00148493; +09BA : 00440413; +09BB : 000780E7; +09BC : FE9918E3; +09BD : 00C12083; +09BE : 00812403; +09BF : 00412483; +09C0 : 00012903; +09C1 : 01010113; +09C2 : 00008067; +09C3 : 00F00313; +09C4 : 00050713; +09C5 : 02C37E63; +09C6 : 00F77793; +09C7 : 0A079063; +09C8 : 08059263; +09C9 : FF067693; +09CA : 00F67613; +09CB : 00E686B3; +09CC : 00B72023; +09CD : 00B72223; +09CE : 00B72423; +09CF : 00B72623; +09D0 : 01070713; +09D1 : FED766E3; +09D2 : 00061463; +09D3 : 00008067; +09D4 : 40C306B3; +09D5 : 00269693; +09D6 : 00000297; +09D7 : 005686B3; +09D8 : 00C68067; +09D9 : 00B70723; +09DA : 00B706A3; +09DB : 00B70623; +09DC : 00B705A3; +09DD : 00B70523; +09DE : 00B704A3; +09DF : 00B70423; +09E0 : 00B703A3; +09E1 : 00B70323; +09E2 : 00B702A3; +09E3 : 00B70223; +09E4 : 00B701A3; +09E5 : 00B70123; +09E6 : 00B700A3; +09E7 : 00B70023; +09E8 : 00008067; +09E9 : 0FF5F593; +09EA : 00859693; +09EB : 00D5E5B3; +09EC : 01059693; +09ED : 00D5E5B3; +09EE : F6DFF06F; +09EF : 00279693; +09F0 : 00000297; +09F1 : 005686B3; +09F2 : 00008293; +09F3 : FA0680E7; +09F4 : 00028093; +09F5 : FF078793; +09F6 : 40F70733; +09F7 : 00F60633; +09F8 : F6C378E3; +09F9 : F3DFF06F; +09FA : FD010113; +09FB : 01412C23; +09FC : C781AA03; +09FD : 03212023; +09FE : 02112623; +09FF : 148A2903; +0A00 : 02812423; +0A01 : 02912223; +0A02 : 01312E23; +0A03 : 01512A23; +0A04 : 01612823; +0A05 : 01712623; +0A06 : 01812423; +0A07 : 04090063; +0A08 : 00050B13; +0A09 : 00058B93; +0A0A : 00100A93; +0A0B : FFF00993; +0A0C : 00492483; +0A0D : FFF48413; +0A0E : 02044263; +0A0F : 00249493; +0A10 : 009904B3; +0A11 : 040B8463; +0A12 : 1044A783; +0A13 : 05778063; +0A14 : FFF40413; +0A15 : FFC48493; +0A16 : FF3416E3; +0A17 : 02C12083; +0A18 : 02812403; +0A19 : 02412483; +0A1A : 02012903; +0A1B : 01C12983; +0A1C : 01812A03; +0A1D : 01412A83; +0A1E : 01012B03; +0A1F : 00C12B83; +0A20 : 00812C03; +0A21 : 03010113; +0A22 : 00008067; +0A23 : 00492783; +0A24 : 0044A683; +0A25 : FFF78793; +0A26 : 04878E63; +0A27 : 0004A223; +0A28 : FA0688E3; +0A29 : 18892783; +0A2A : 008A9733; +0A2B : 00492C03; +0A2C : 00F777B3; +0A2D : 02079263; +0A2E : 000680E7; +0A2F : 00492703; +0A30 : 148A2783; +0A31 : 01871463; +0A32 : F92784E3; +0A33 : F80788E3; +0A34 : 00078913; +0A35 : F5DFF06F; +0A36 : 18C92783; +0A37 : 0844A583; +0A38 : 00F77733; +0A39 : 00071C63; +0A3A : 000B0513; +0A3B : 000680E7; +0A3C : FCDFF06F; +0A3D : 00892223; +0A3E : FA9FF06F; +0A3F : 00058513; +0A40 : 000680E7; +0A41 : FB9FF06F; +0A42 : FF010113; +0A43 : 00812423; +0A44 : 000037B7; +0A45 : 00003437; +0A46 : 05C78793; +0A47 : 06040413; +0A48 : 40F40433; +0A49 : 00912223; +0A4A : 00112623; +0A4B : 40245493; +0A4C : 02048063; +0A4D : FFC40413; +0A4E : 00F40433; +0A4F : 00042783; +0A50 : FFF48493; +0A51 : FFC40413; +0A52 : 000780E7; +0A53 : FE0498E3; +0A54 : 00C12083; +0A55 : 00812403; +0A56 : 00412483; +0A57 : 01010113; +0A58 : 00008067; +0A59 : 00050593; +0A5A : 00000693; +0A5B : 00000613; +0A5C : 00000513; +0A5D : 0040006F; +0A5E : C781A703; +0A5F : 14872783; +0A60 : 04078C63; +0A61 : 0047A703; +0A62 : 01F00813; +0A63 : 06E84E63; +0A64 : 00271813; +0A65 : 02050663; +0A66 : 01078333; +0A67 : 08C32423; +0A68 : 1887A883; +0A69 : 00100613; +0A6A : 00E61633; +0A6B : 00C8E8B3; +0A6C : 1917A423; +0A6D : 10D32423; +0A6E : 00200693; +0A6F : 02D50463; +0A70 : 00170713; +0A71 : 00E7A223; +0A72 : 010787B3; +0A73 : 00B7A423; +0A74 : 00000513; +0A75 : 00008067; +0A76 : 14C70793; +0A77 : 14F72423; +0A78 : FA5FF06F; +0A79 : 18C7A683; +0A7A : 00170713; +0A7B : 00E7A223; +0A7C : 00C6E6B3; +0A7D : 18D7A623; +0A7E : 010787B3; +0A7F : 00B7A423; +0A80 : 00000513; +0A81 : 00008067; +0A82 : FFF00513; +0A83 : 00008067; +0A84 : 05D00893; +0A85 : 00000073; +0A86 : 00054463; +0A87 : 0000006F; +0A88 : FF010113; +0A89 : 00812423; +0A8A : 00050413; +0A8B : 00112623; +0A8C : 40800433; +0A8D : 00C000EF; +0A8E : 00852023; +0A8F : 0000006F; +0A90 : C801A503; +0A91 : 00008067; +0A92 : 00002020; +0A93 : 00202020; +0A94 : 00000020; +0A95 : 0000202D; +0A96 : 007C2020; +0A97 : 00000A7C; +0A98 : 00000D0A; +0A99 : 61746F74; +0A9A : 2020206C; +0A9B : 0000203A; +0A9C : 2F646461; +0A9D : 20627573; +0A9E : 0000203A; +0A9F : 206C756D; +0AA0 : 20202020; +0AA1 : 0000203A; +0AA2 : 20766964; +0AA3 : 20202020; +0AA4 : 0000203A; +0AA5 : 2020646C; +0AA6 : 20202020; +0AA7 : 0000203A; +0AA8 : 20207473; +0AA9 : 20202020; +0AAA : 0000203A; +0AAB : 20706D6A; +0AAC : 20202020; +0AAD : 0000203A; +0AAE : 2020206A; +0AAF : 20202020; +0AB0 : 0000203A; +0AB1 : 69756C61; +0AB2 : 20202020; +0AB3 : 0000203A; +0AB4 : 20756C61; +0AB5 : 20202020; +0AB6 : 0000203A; +0AB7 : 0000000A; +0AB8 : 0000202C; +0AB9 : 20642020; +0ABA : 6464613C; +0ABB : 2D203E72; +0ABC : 6964202D; +0ABD : 616C7073; +0ABE : 656D2079; +0ABF : 79726F6D; +0AC0 : 00000A20; +0AC1 : 20622020; +0AC2 : 7561623C; +0AC3 : 74617264; +0AC4 : 2D203E65; +0AC5 : 6573202D; +0AC6 : 61622074; +0AC7 : 61726475; +0AC8 : 0A206574; +0AC9 : 00000000; +0ACA : 20722020; +0ACB : 6464613C; +0ACC : 3C203E72; +0ACD : 74646977; +0ACE : 2D203E68; +0ACF : 6572202D; +0AD0 : 6D206461; +0AD1 : 726F6D65; +0AD2 : 6F772079; +0AD3 : 000A6472; +0AD4 : 20772020; +0AD5 : 6464613C; +0AD6 : 3C203E72; +0AD7 : 756C6176; +0AD8 : 3C203E65; +0AD9 : 74646977; +0ADA : 2D203E68; +0ADB : 7277202D; +0ADC : 20657469; +0ADD : 6F6D656D; +0ADE : 77207972; +0ADF : 0A64726F; +0AE0 : 00000000; +0AE1 : 20202020; +0AE2 : 74646977; +0AE3 : 2C313D68; +0AE4 : 6F203220; +0AE5 : 0A342072; +0AE6 : 00000000; +0AE7 : 0000003A; +0AE8 : 00003E3E; +0AE9 : 003A0D0A; +0AEA : 706C6568; +0AEB : 00000020; +0AEC : 72616863; +0AED : 00004020; +0AEE : 726F6873; +0AEF : 00402074; +0AF0 : 20746E69; +0AF1 : 00000040; +0AF2 : 00203D20; +0AF3 : 00000028; +0AF4 : 000D0A29; +0AF5 : 02020100; +0AF6 : 03030303; +0AF7 : 04040404; +0AF8 : 04040404; +0AF9 : 05050505; +0AFA : 05050505; +0AFB : 05050505; +0AFC : 05050505; +0AFD : 06060606; +0AFE : 06060606; +0AFF : 06060606; +0B00 : 06060606; +0B01 : 06060606; +0B02 : 06060606; +0B03 : 06060606; +0B04 : 06060606; +0B05 : 07070707; +0B06 : 07070707; +0B07 : 07070707; +0B08 : 07070707; +0B09 : 07070707; +0B0A : 07070707; +0B0B : 07070707; +0B0C : 07070707; +0B0D : 07070707; +0B0E : 07070707; +0B0F : 07070707; +0B10 : 07070707; +0B11 : 07070707; +0B12 : 07070707; +0B13 : 07070707; +0B14 : 07070707; +0B15 : 08080808; +0B16 : 08080808; +0B17 : 08080808; +0B18 : 08080808; +0B19 : 08080808; +0B1A : 08080808; +0B1B : 08080808; +0B1C : 08080808; +0B1D : 08080808; +0B1E : 08080808; +0B1F : 08080808; +0B20 : 08080808; +0B21 : 08080808; +0B22 : 08080808; +0B23 : 08080808; +0B24 : 08080808; +0B25 : 08080808; +0B26 : 08080808; +0B27 : 08080808; +0B28 : 08080808; +0B29 : 08080808; +0B2A : 08080808; +0B2B : 08080808; +0B2C : 08080808; +0B2D : 08080808; +0B2E : 08080808; +0B2F : 08080808; +0B30 : 08080808; +0B31 : 08080808; +0B32 : 08080808; +0B33 : 08080808; +0B34 : 08080808; 0B35 : CDCDCDCD; 0B36 : CDCDCDCD; 0B37 : CDCDCDCD; @@ -3076,322 +3076,322 @@ BEGIN 0BFD : CDCDCDCD; 0BFE : CDCDCDCD; 0BFF : CDCDCDCD; -0C00 : CDCDCDCD; -0C01 : CDCDCDCD; -0C02 : CDCDCDCD; -0C03 : CDCDCDCD; -0C04 : CDCDCDCD; -0C05 : CDCDCDCD; -0C06 : CDCDCDCD; -0C07 : CDCDCDCD; -0C08 : CDCDCDCD; -0C09 : CDCDCDCD; -0C0A : CDCDCDCD; -0C0B : CDCDCDCD; -0C0C : CDCDCDCD; -0C0D : CDCDCDCD; -0C0E : CDCDCDCD; -0C0F : CDCDCDCD; -0C10 : CDCDCDCD; -0C11 : CDCDCDCD; -0C12 : CDCDCDCD; -0C13 : CDCDCDCD; -0C14 : CDCDCDCD; -0C15 : CDCDCDCD; -0C16 : CDCDCDCD; -0C17 : CDCDCDCD; -0C18 : CDCDCDCD; -0C19 : CDCDCDCD; -0C1A : CDCDCDCD; -0C1B : CDCDCDCD; -0C1C : CDCDCDCD; -0C1D : CDCDCDCD; -0C1E : CDCDCDCD; -0C1F : CDCDCDCD; -0C20 : CDCDCDCD; -0C21 : CDCDCDCD; -0C22 : CDCDCDCD; -0C23 : CDCDCDCD; -0C24 : CDCDCDCD; -0C25 : CDCDCDCD; -0C26 : CDCDCDCD; -0C27 : CDCDCDCD; -0C28 : CDCDCDCD; -0C29 : CDCDCDCD; -0C2A : CDCDCDCD; -0C2B : CDCDCDCD; -0C2C : CDCDCDCD; -0C2D : CDCDCDCD; -0C2E : CDCDCDCD; -0C2F : CDCDCDCD; -0C30 : CDCDCDCD; -0C31 : CDCDCDCD; -0C32 : CDCDCDCD; -0C33 : CDCDCDCD; -0C34 : CDCDCDCD; -0C35 : CDCDCDCD; -0C36 : CDCDCDCD; -0C37 : CDCDCDCD; -0C38 : CDCDCDCD; -0C39 : CDCDCDCD; -0C3A : CDCDCDCD; -0C3B : CDCDCDCD; -0C3C : CDCDCDCD; -0C3D : CDCDCDCD; -0C3E : CDCDCDCD; -0C3F : CDCDCDCD; -0C40 : CDCDCDCD; -0C41 : CDCDCDCD; -0C42 : CDCDCDCD; -0C43 : CDCDCDCD; -0C44 : CDCDCDCD; -0C45 : CDCDCDCD; -0C46 : CDCDCDCD; -0C47 : CDCDCDCD; -0C48 : CDCDCDCD; -0C49 : CDCDCDCD; -0C4A : CDCDCDCD; -0C4B : CDCDCDCD; -0C4C : CDCDCDCD; -0C4D : CDCDCDCD; -0C4E : CDCDCDCD; -0C4F : CDCDCDCD; -0C50 : CDCDCDCD; -0C51 : CDCDCDCD; -0C52 : CDCDCDCD; -0C53 : CDCDCDCD; -0C54 : CDCDCDCD; -0C55 : CDCDCDCD; -0C56 : CDCDCDCD; -0C57 : CDCDCDCD; -0C58 : CDCDCDCD; -0C59 : CDCDCDCD; -0C5A : CDCDCDCD; -0C5B : CDCDCDCD; -0C5C : CDCDCDCD; -0C5D : CDCDCDCD; -0C5E : CDCDCDCD; -0C5F : CDCDCDCD; -0C60 : CDCDCDCD; -0C61 : CDCDCDCD; -0C62 : CDCDCDCD; -0C63 : CDCDCDCD; -0C64 : CDCDCDCD; -0C65 : CDCDCDCD; -0C66 : CDCDCDCD; -0C67 : CDCDCDCD; -0C68 : CDCDCDCD; -0C69 : CDCDCDCD; -0C6A : CDCDCDCD; -0C6B : CDCDCDCD; -0C6C : CDCDCDCD; -0C6D : CDCDCDCD; -0C6E : CDCDCDCD; -0C6F : CDCDCDCD; -0C70 : CDCDCDCD; -0C71 : CDCDCDCD; -0C72 : CDCDCDCD; -0C73 : CDCDCDCD; -0C74 : CDCDCDCD; -0C75 : CDCDCDCD; -0C76 : CDCDCDCD; -0C77 : CDCDCDCD; -0C78 : CDCDCDCD; -0C79 : CDCDCDCD; -0C7A : CDCDCDCD; -0C7B : CDCDCDCD; -0C7C : CDCDCDCD; -0C7D : CDCDCDCD; -0C7E : CDCDCDCD; -0C7F : CDCDCDCD; -0C80 : CDCDCDCD; -0C81 : CDCDCDCD; -0C82 : CDCDCDCD; -0C83 : CDCDCDCD; -0C84 : CDCDCDCD; -0C85 : CDCDCDCD; -0C86 : CDCDCDCD; -0C87 : CDCDCDCD; -0C88 : CDCDCDCD; -0C89 : CDCDCDCD; -0C8A : CDCDCDCD; -0C8B : CDCDCDCD; -0C8C : CDCDCDCD; -0C8D : CDCDCDCD; -0C8E : CDCDCDCD; -0C8F : CDCDCDCD; -0C90 : CDCDCDCD; -0C91 : CDCDCDCD; -0C92 : CDCDCDCD; -0C93 : CDCDCDCD; -0C94 : CDCDCDCD; -0C95 : CDCDCDCD; -0C96 : CDCDCDCD; -0C97 : CDCDCDCD; -0C98 : CDCDCDCD; -0C99 : CDCDCDCD; -0C9A : CDCDCDCD; -0C9B : CDCDCDCD; -0C9C : CDCDCDCD; -0C9D : CDCDCDCD; -0C9E : CDCDCDCD; -0C9F : CDCDCDCD; -0CA0 : CDCDCDCD; -0CA1 : CDCDCDCD; -0CA2 : CDCDCDCD; -0CA3 : CDCDCDCD; -0CA4 : CDCDCDCD; -0CA5 : CDCDCDCD; -0CA6 : CDCDCDCD; -0CA7 : CDCDCDCD; -0CA8 : CDCDCDCD; -0CA9 : CDCDCDCD; -0CAA : CDCDCDCD; -0CAB : CDCDCDCD; -0CAC : CDCDCDCD; -0CAD : CDCDCDCD; -0CAE : CDCDCDCD; -0CAF : CDCDCDCD; -0CB0 : CDCDCDCD; -0CB1 : CDCDCDCD; -0CB2 : CDCDCDCD; -0CB3 : CDCDCDCD; -0CB4 : CDCDCDCD; -0CB5 : CDCDCDCD; -0CB6 : CDCDCDCD; -0CB7 : CDCDCDCD; -0CB8 : CDCDCDCD; -0CB9 : CDCDCDCD; -0CBA : CDCDCDCD; -0CBB : CDCDCDCD; -0CBC : CDCDCDCD; -0CBD : CDCDCDCD; -0CBE : CDCDCDCD; -0CBF : CDCDCDCD; -0CC0 : CDCDCDCD; -0CC1 : CDCDCDCD; -0CC2 : CDCDCDCD; -0CC3 : CDCDCDCD; -0CC4 : CDCDCDCD; -0CC5 : CDCDCDCD; -0CC6 : CDCDCDCD; -0CC7 : CDCDCDCD; -0CC8 : CDCDCDCD; -0CC9 : CDCDCDCD; -0CCA : CDCDCDCD; -0CCB : CDCDCDCD; -0CCC : CDCDCDCD; -0CCD : CDCDCDCD; -0CCE : CDCDCDCD; -0CCF : CDCDCDCD; -0CD0 : CDCDCDCD; -0CD1 : CDCDCDCD; -0CD2 : CDCDCDCD; -0CD3 : CDCDCDCD; -0CD4 : CDCDCDCD; -0CD5 : CDCDCDCD; -0CD6 : CDCDCDCD; -0CD7 : CDCDCDCD; -0CD8 : CDCDCDCD; -0CD9 : CDCDCDCD; -0CDA : CDCDCDCD; -0CDB : CDCDCDCD; -0CDC : CDCDCDCD; -0CDD : CDCDCDCD; -0CDE : CDCDCDCD; -0CDF : CDCDCDCD; -0CE0 : CDCDCDCD; -0CE1 : CDCDCDCD; -0CE2 : CDCDCDCD; -0CE3 : CDCDCDCD; -0CE4 : CDCDCDCD; -0CE5 : CDCDCDCD; -0CE6 : CDCDCDCD; -0CE7 : CDCDCDCD; -0CE8 : CDCDCDCD; -0CE9 : CDCDCDCD; -0CEA : CDCDCDCD; -0CEB : CDCDCDCD; -0CEC : CDCDCDCD; -0CED : CDCDCDCD; -0CEE : CDCDCDCD; -0CEF : CDCDCDCD; -0CF0 : CDCDCDCD; -0CF1 : CDCDCDCD; -0CF2 : CDCDCDCD; -0CF3 : CDCDCDCD; -0CF4 : CDCDCDCD; -0CF5 : CDCDCDCD; -0CF6 : CDCDCDCD; -0CF7 : CDCDCDCD; -0CF8 : CDCDCDCD; -0CF9 : CDCDCDCD; -0CFA : CDCDCDCD; -0CFB : CDCDCDCD; -0CFC : CDCDCDCD; -0CFD : CDCDCDCD; -0CFE : CDCDCDCD; -0CFF : CDCDCDCD; -0D00 : CDCDCDCD; -0D01 : CDCDCDCD; -0D02 : CDCDCDCD; -0D03 : CDCDCDCD; -0D04 : CDCDCDCD; -0D05 : CDCDCDCD; -0D06 : CDCDCDCD; -0D07 : CDCDCDCD; -0D08 : CDCDCDCD; -0D09 : CDCDCDCD; -0D0A : CDCDCDCD; -0D0B : CDCDCDCD; -0D0C : CDCDCDCD; -0D0D : CDCDCDCD; -0D0E : CDCDCDCD; -0D0F : CDCDCDCD; -0D10 : CDCDCDCD; -0D11 : CDCDCDCD; -0D12 : CDCDCDCD; -0D13 : CDCDCDCD; -0D14 : CDCDCDCD; -0D15 : CDCDCDCD; -0D16 : CDCDCDCD; -0D17 : CDCDCDCD; -0D18 : CDCDCDCD; -0D19 : CDCDCDCD; -0D1A : CDCDCDCD; -0D1B : CDCDCDCD; -0D1C : CDCDCDCD; -0D1D : CDCDCDCD; -0D1E : CDCDCDCD; -0D1F : CDCDCDCD; -0D20 : CDCDCDCD; -0D21 : CDCDCDCD; -0D22 : CDCDCDCD; -0D23 : CDCDCDCD; -0D24 : CDCDCDCD; -0D25 : CDCDCDCD; -0D26 : CDCDCDCD; -0D27 : CDCDCDCD; -0D28 : CDCDCDCD; -0D29 : CDCDCDCD; -0D2A : CDCDCDCD; -0D2B : CDCDCDCD; -0D2C : CDCDCDCD; -0D2D : CDCDCDCD; -0D2E : CDCDCDCD; -0D2F : CDCDCDCD; -0D30 : CDCDCDCD; -0D31 : CDCDCDCD; -0D32 : CDCDCDCD; -0D33 : CDCDCDCD; -0D34 : CDCDCDCD; -0D35 : CDCDCDCD; -0D36 : CDCDCDCD; -0D37 : CDCDCDCD; -0D38 : CDCDCDCD; -0D39 : CDCDCDCD; -0D3A : CDCDCDCD; -0D3B : CDCDCDCD; +0C00 : 00000010; +0C01 : 00000000; +0C02 : 00527A03; +0C03 : 01017C01; +0C04 : 00020D1B; +0C05 : 00000010; +0C06 : 00000018; +0C07 : FFFFE92C; +0C08 : 00000478; +0C09 : 00000000; +0C0A : 00000010; +0C0B : 0000002C; +0C0C : FFFFED90; +0C0D : 00000450; +0C0E : 00000000; +0C0F : 00000010; +0C10 : 00000040; +0C11 : FFFFF1CC; +0C12 : 00000430; +0C13 : 00000000; +0C14 : 00000000; +0C15 : 00000074; +0C16 : 0000011C; +0C17 : 000000D8; +0C18 : 00000DCC; +0C19 : 00000E48; +0C1A : 00000EC4; +0C1B : 00000F40; +0C1C : 00000FBC; +0C1D : 00001038; +0C1E : 000010B4; +0C1F : 00001130; +0C20 : 000011AC; +0C21 : 00001228; +0C22 : 00002A64; +0C23 : 00002A70; +0C24 : 00002A7C; +0C25 : 00002A88; +0C26 : 00002A94; +0C27 : 00002AA0; +0C28 : 00002AAC; +0C29 : 00002AB8; +0C2A : 00002AC4; +0C2B : 00002AD0; +0C2C : 00000000; +0C2D : 0000339C; +0C2E : 00003404; +0C2F : 0000346C; +0C30 : 00000000; +0C31 : 00000000; +0C32 : 00000000; +0C33 : 00000000; +0C34 : 00000000; +0C35 : 00000000; +0C36 : 00000000; +0C37 : 00000000; +0C38 : 00000000; +0C39 : 00000000; +0C3A : 00000000; +0C3B : 00000000; +0C3C : 00000000; +0C3D : 00000000; +0C3E : 00000000; +0C3F : 00000000; +0C40 : 00000000; +0C41 : 00000000; +0C42 : 00000000; +0C43 : 00000000; +0C44 : 00000000; +0C45 : 00000000; +0C46 : 00000000; +0C47 : 00000000; +0C48 : 00000000; +0C49 : 00000000; +0C4A : 00000000; +0C4B : 00000000; +0C4C : 00000000; +0C4D : 00000000; +0C4E : 00000000; +0C4F : 00000000; +0C50 : 00000000; +0C51 : 00000000; +0C52 : 00000000; +0C53 : 00000000; +0C54 : 00000000; +0C55 : 00000000; +0C56 : 00000001; +0C57 : 00000000; +0C58 : ABCD330E; +0C59 : E66D1234; +0C5A : 0005DEEC; +0C5B : 0000000B; +0C5C : 00000000; +0C5D : 00000000; +0C5E : 00000000; +0C5F : 00000000; +0C60 : 00000000; +0C61 : 00000000; +0C62 : 00000000; +0C63 : 00000000; +0C64 : 00000000; +0C65 : 00000000; +0C66 : 00000000; +0C67 : 00000000; +0C68 : 00000000; +0C69 : 00000000; +0C6A : 00000000; +0C6B : 00000000; +0C6C : 00000000; +0C6D : 00000000; +0C6E : 00000000; +0C6F : 00000000; +0C70 : 00000000; +0C71 : 00000000; +0C72 : 00000000; +0C73 : 00000000; +0C74 : 00000000; +0C75 : 00000000; +0C76 : 00000000; +0C77 : 00000000; +0C78 : 00000000; +0C79 : 00000000; +0C7A : 00000000; +0C7B : 00000000; +0C7C : 00000000; +0C7D : 00000000; +0C7E : 00000000; +0C7F : 00000000; +0C80 : 00000000; +0C81 : 00000000; +0C82 : 00000000; +0C83 : 00000000; +0C84 : 00000000; +0C85 : 00000000; +0C86 : 00000000; +0C87 : 00000000; +0C88 : 00000000; +0C89 : 00000000; +0C8A : 00000000; +0C8B : 00000000; +0C8C : 00000000; +0C8D : 00000000; +0C8E : 00000000; +0C8F : 00000000; +0C90 : 00000000; +0C91 : 00000000; +0C92 : 00000000; +0C93 : 00000000; +0C94 : 00000000; +0C95 : 00000000; +0C96 : 00000000; +0C97 : 00000000; +0C98 : 00000000; +0C99 : 00000000; +0C9A : 00000000; +0C9B : 00000000; +0C9C : 00000000; +0C9D : 00000000; +0C9E : 00000000; +0C9F : 00000000; +0CA0 : 00000000; +0CA1 : 00000000; +0CA2 : 00000000; +0CA3 : 00000000; +0CA4 : 00000000; +0CA5 : 00000000; +0CA6 : 00000000; +0CA7 : 00000000; +0CA8 : 00000000; +0CA9 : 00000000; +0CAA : 00000000; +0CAB : 00000000; +0CAC : 00000000; +0CAD : 00000000; +0CAE : 00000000; +0CAF : 00000000; +0CB0 : 00000000; +0CB1 : 00000000; +0CB2 : 00000000; +0CB3 : 00000000; +0CB4 : 00000000; +0CB5 : 00000000; +0CB6 : 00000000; +0CB7 : 00000000; +0CB8 : 00000000; +0CB9 : 00000000; +0CBA : 00000000; +0CBB : 00000000; +0CBC : 00000000; +0CBD : 00000000; +0CBE : 00000000; +0CBF : 00000000; +0CC0 : 00000000; +0CC1 : 00000000; +0CC2 : 00000000; +0CC3 : 00000000; +0CC4 : 00000000; +0CC5 : 00000000; +0CC6 : 00000000; +0CC7 : 00000000; +0CC8 : 00000000; +0CC9 : 00000000; +0CCA : 00000000; +0CCB : 00000000; +0CCC : 00000000; +0CCD : 00000000; +0CCE : 00000000; +0CCF : 00000000; +0CD0 : 00000000; +0CD1 : 00000000; +0CD2 : 00000000; +0CD3 : 00000000; +0CD4 : 00000000; +0CD5 : 00000000; +0CD6 : 00000000; +0CD7 : 00000000; +0CD8 : 00000000; +0CD9 : 00000000; +0CDA : 00000000; +0CDB : 00000000; +0CDC : 00000000; +0CDD : 00000000; +0CDE : 00000000; +0CDF : 00000000; +0CE0 : 00000000; +0CE1 : 00000000; +0CE2 : 00000000; +0CE3 : 00000000; +0CE4 : 00000000; +0CE5 : 00000000; +0CE6 : 00000000; +0CE7 : 00000000; +0CE8 : 00000000; +0CE9 : 00000000; +0CEA : 00000000; +0CEB : 00000000; +0CEC : 00000000; +0CED : 00000000; +0CEE : 00000000; +0CEF : 00000000; +0CF0 : 00000000; +0CF1 : 00000000; +0CF2 : 00000000; +0CF3 : 00000000; +0CF4 : 00000000; +0CF5 : 00000000; +0CF6 : 00000000; +0CF7 : 00000000; +0CF8 : 00000000; +0CF9 : 00000000; +0CFA : 00000000; +0CFB : 00000000; +0CFC : 00000000; +0CFD : 00000000; +0CFE : 00000000; +0CFF : 00000000; +0D00 : 00000000; +0D01 : 00000000; +0D02 : 00000000; +0D03 : 00000000; +0D04 : 00000000; +0D05 : 00000000; +0D06 : 00000000; +0D07 : 00000000; +0D08 : 00000000; +0D09 : 00000000; +0D0A : 00000000; +0D0B : 00000000; +0D0C : 00000000; +0D0D : 00000000; +0D0E : 00000000; +0D0F : 00000000; +0D10 : 00000000; +0D11 : 00000000; +0D12 : 00000000; +0D13 : 00000000; +0D14 : 00000000; +0D15 : 00000000; +0D16 : 00000000; +0D17 : 00000000; +0D18 : 00000000; +0D19 : 00000000; +0D1A : 00000000; +0D1B : 00000000; +0D1C : 00000000; +0D1D : 00000000; +0D1E : 00000000; +0D1F : 00000000; +0D20 : 00000000; +0D21 : 00000000; +0D22 : 00000000; +0D23 : 00000000; +0D24 : 00000000; +0D25 : 00000000; +0D26 : 00000000; +0D27 : 00000000; +0D28 : 00000000; +0D29 : 00000000; +0D2A : 00000000; +0D2B : 00000000; +0D2C : 00000000; +0D2D : 00000000; +0D2E : 00000000; +0D2F : 00000000; +0D30 : 00000000; +0D31 : 00000000; +0D32 : 00000000; +0D33 : 00000000; +0D34 : 00000000; +0D35 : 00000000; +0D36 : 000030B0; +0D37 : F0000100; +0D38 : 000030B0; +0D39 : 000030B0; +0D3A : F0000100; +0D3B : 000030B0; 0D3C : CDCDCDCD; 0D3D : CDCDCDCD; 0D3E : CDCDCDCD; diff --git a/examples/hdl4se_riscv/test_code/test.txt b/examples/hdl4se_riscv/test_code/test.txt index 87d68538a9626cbb60628b07b6a958556e820acc..f020a1755d0be0fc3a248a538b10b13d10591b40 100644 --- a/examples/hdl4se_riscv/test_code/test.txt +++ b/examples/hdl4se_riscv/test_code/test.txt @@ -7,46 +7,46 @@ Disassembly of section .text: 00000074 : 74: 00000793 addi x15,x0,0 78: 00078863 beq x15,x0,88 - 7c: 00002537 lui x10,0x2 - 80: 99050513 addi x10,x10,-1648 # 1990 <__libc_fini_array> - 84: 1690106f jal x0,19ec + 7c: 00003537 lui x10,0x3 + 80: 90850513 addi x10,x10,-1784 # 2908 <__libc_fini_array> + 84: 0e10206f jal x0,2964 88: 00008067 jalr x0,0(x1) 0000008c <_start>: - 8c: 00002197 auipc x3,0x2 - 90: 7ac18193 addi x3,x3,1964 # 2838 <__global_pointer$> - 94: c3418513 addi x10,x3,-972 # 246c <_uartstate> - 98: c5818613 addi x12,x3,-936 # 2490 <__BSS_END__> + 8c: 00003197 auipc x3,0x3 + 90: 7d418193 addi x3,x3,2004 # 3860 <__global_pointer$> + 94: c8418513 addi x10,x3,-892 # 34e4 <_uartstate> + 98: ca818613 addi x12,x3,-856 # 3508 <__BSS_END__> 9c: 40a60633 sub x12,x12,x10 a0: 00000593 addi x11,x0,0 - a4: 6f0010ef jal x1,1794 - a8: 00002517 auipc x10,0x2 - ac: 94450513 addi x10,x10,-1724 # 19ec + a4: 668020ef jal x1,270c + a8: 00003517 auipc x10,0x3 + ac: 8bc50513 addi x10,x10,-1860 # 2964 b0: 00050863 beq x10,x0,c0 <_start+0x34> - b4: 00002517 auipc x10,0x2 - b8: 8dc50513 addi x10,x10,-1828 # 1990 <__libc_fini_array> - bc: 131010ef jal x1,19ec - c0: 638010ef jal x1,16f8 <__libc_init_array> + b4: 00003517 auipc x10,0x3 + b8: 85450513 addi x10,x10,-1964 # 2908 <__libc_fini_array> + bc: 0a9020ef jal x1,2964 + c0: 5b0020ef jal x1,2670 <__libc_init_array> c4: 00012503 lw x10,0(x2) c8: 00410593 addi x11,x2,4 cc: 00000613 addi x12,x0,0 - d0: 535000ef jal x1,e04
- d4: 5f40106f jal x0,16c8 + d0: 388010ef jal x1,1458
+ d4: 56c0206f jal x0,2640 000000d8 <__do_global_dtors_aux>: d8: ff010113 addi x2,x2,-16 dc: 00812423 sw x8,8(x2) - e0: c3c1c783 lbu x15,-964(x3) # 2474 + e0: c8c1c783 lbu x15,-884(x3) # 34ec e4: 00112623 sw x1,12(x2) e8: 02079263 bne x15,x0,10c <__do_global_dtors_aux+0x34> ec: 00000793 addi x15,x0,0 f0: 00078a63 beq x15,x0,104 <__do_global_dtors_aux+0x2c> - f4: 00002537 lui x10,0x2 - f8: 00050513 addi x10,x10,0 # 2000 <__EH_FRAME_BEGIN__> + f4: 00003537 lui x10,0x3 + f8: 00050513 addi x10,x10,0 # 3000 <__EH_FRAME_BEGIN__> fc: 00000097 auipc x1,0x0 100: 000000e7 jalr x1,0(x0) # 0 104: 00100793 addi x15,x0,1 - 108: c2f18e23 sb x15,-964(x3) # 2474 + 108: c8f18623 sb x15,-884(x3) # 34ec 10c: 00c12083 lw x1,12(x2) 110: 00812403 lw x8,8(x2) 114: 01010113 addi x2,x2,16 @@ -55,9 +55,9 @@ Disassembly of section .text: 0000011c : 11c: 00000793 addi x15,x0,0 120: 00078c63 beq x15,x0,138 - 124: 00002537 lui x10,0x2 - 128: c4018593 addi x11,x3,-960 # 2478 - 12c: 00050513 addi x10,x10,0 # 2000 <__EH_FRAME_BEGIN__> + 124: 00003537 lui x10,0x3 + 128: c9018593 addi x11,x3,-880 # 34f0 + 12c: 00050513 addi x10,x10,0 # 3000 <__EH_FRAME_BEGIN__> 130: 00000317 auipc x6,0x0 134: 00000067 jalr x0,0(x0) # 0 138: 00008067 jalr x0,0(x1) @@ -66,11 +66,11 @@ Disassembly of section .text: 13c: ff010113 addi x2,x2,-16 140: 00812623 sw x8,12(x2) 144: 01010413 addi x8,x2,16 - 148: c2c1a783 lw x15,-980(x3) # 2464 <_uartaddr> + 148: c7c1a783 lw x15,-900(x3) # 34dc <_uartaddr> 14c: 00878793 addi x15,x15,8 150: 0007a703 lw x14,0(x15) - 154: c2e1aa23 sw x14,-972(x3) # 246c <_uartstate> - 158: c341a783 lw x15,-972(x3) # 246c <_uartstate> + 154: c8e1a223 sw x14,-892(x3) # 34e4 <_uartstate> + 158: c841a783 lw x15,-892(x3) # 34e4 <_uartstate> 15c: 0017f793 andi x15,x15,1 160: 0017b793 sltiu x15,x15,1 164: 0ff7f793 andi x15,x15,255 @@ -83,16 +83,16 @@ Disassembly of section .text: 178: ff010113 addi x2,x2,-16 17c: 00812623 sw x8,12(x2) 180: 01010413 addi x8,x2,16 - 184: c2c1a783 lw x15,-980(x3) # 2464 <_uartaddr> + 184: c7c1a783 lw x15,-900(x3) # 34dc <_uartaddr> 188: 00878793 addi x15,x15,8 18c: 0007a703 lw x14,0(x15) - 190: c2e1aa23 sw x14,-972(x3) # 246c <_uartstate> - 194: c341a703 lw x14,-972(x3) # 246c <_uartstate> + 190: c8e1a223 sw x14,-892(x3) # 34e4 <_uartstate> + 194: c841a703 lw x14,-892(x3) # 34e4 <_uartstate> 198: 000107b7 lui x15,0x10 19c: 00f777b3 and x15,x14,x15 1a0: 0017b793 sltiu x15,x15,1 1a4: 0ff7f793 andi x15,x15,255 - 1a8: 00078513 addi x10,x15,0 # 10000 <__global_pointer$+0xd7c8> + 1a8: 00078513 addi x10,x15,0 # 10000 <__global_pointer$+0xc7a0> 1ac: 00c12403 lw x8,12(x2) 1b0: 01010113 addi x2,x2,16 1b4: 00008067 jalr x0,0(x1) @@ -102,14 +102,14 @@ Disassembly of section .text: 1bc: 00812e23 sw x8,28(x2) 1c0: 02010413 addi x8,x2,32 1c4: fea42623 sw x10,-20(x8) - 1c8: c2c1a783 lw x15,-980(x3) # 2464 <_uartaddr> + 1c8: c7c1a783 lw x15,-900(x3) # 34dc <_uartaddr> 1cc: 00878793 addi x15,x15,8 1d0: 0007a703 lw x14,0(x15) - 1d4: c2e1aa23 sw x14,-972(x3) # 246c <_uartstate> - 1d8: c341a783 lw x15,-972(x3) # 246c <_uartstate> + 1d4: c8e1a223 sw x14,-892(x3) # 34e4 <_uartstate> + 1d8: c841a783 lw x15,-892(x3) # 34e4 <_uartstate> 1dc: 0017f793 andi x15,x15,1 1e0: 00079e63 bne x15,x0,1fc <_putchar+0x44> - 1e4: c2c1a783 lw x15,-980(x3) # 2464 <_uartaddr> + 1e4: c7c1a783 lw x15,-900(x3) # 34dc <_uartaddr> 1e8: 00478793 addi x15,x15,4 1ec: fec42703 lw x14,-20(x8) 1f0: 00e7a023 sw x14,0(x15) @@ -125,16 +125,16 @@ Disassembly of section .text: 210: ff010113 addi x2,x2,-16 214: 00812623 sw x8,12(x2) 218: 01010413 addi x8,x2,16 - 21c: c2c1a783 lw x15,-980(x3) # 2464 <_uartaddr> + 21c: c7c1a783 lw x15,-900(x3) # 34dc <_uartaddr> 220: 00878793 addi x15,x15,8 224: 0007a703 lw x14,0(x15) - 228: c2e1aa23 sw x14,-972(x3) # 246c <_uartstate> - 22c: c341a703 lw x14,-972(x3) # 246c <_uartstate> + 228: c8e1a223 sw x14,-892(x3) # 34e4 <_uartstate> + 22c: c841a703 lw x14,-892(x3) # 34e4 <_uartstate> 230: 000107b7 lui x15,0x10 234: 00f777b3 and x15,x14,x15 238: 00079863 bne x15,x0,248 <_getchar+0x38> - 23c: c2c1a783 lw x15,-980(x3) # 2464 <_uartaddr> - 240: 0007a783 lw x15,0(x15) # 10000 <__global_pointer$+0xd7c8> + 23c: c7c1a783 lw x15,-900(x3) # 34dc <_uartaddr> + 240: 0007a783 lw x15,0(x15) # 10000 <__global_pointer$+0xc7a0> 244: 0080006f jal x0,24c <_getchar+0x3c> 248: fff00793 addi x15,x0,-1 24c: 00078513 addi x10,x15,0 @@ -228,1872 +228,3010 @@ Disassembly of section .text: 0000039c <_d2s>: 39c: fc010113 addi x2,x2,-64 - 3a0: 02812e23 sw x8,60(x2) - 3a4: 04010413 addi x8,x2,64 - 3a8: fca42623 sw x10,-52(x8) - 3ac: fcb42423 sw x11,-56(x8) - 3b0: fe042423 sw x0,-24(x8) - 3b4: fe042223 sw x0,-28(x8) - 3b8: fc842783 lw x15,-56(x8) - 3bc: 0407de63 bge x15,x0,418 <_d2s+0x7c> - 3c0: fc842783 lw x15,-56(x8) - 3c4: 40f007b3 sub x15,x0,x15 - 3c8: fcf42423 sw x15,-56(x8) - 3cc: fe042223 sw x0,-28(x8) - 3d0: 0480006f jal x0,418 <_d2s+0x7c> - 3d4: fc842703 lw x14,-56(x8) - 3d8: 00a00793 addi x15,x0,10 - 3dc: 02f767b3 rem x15,x14,x15 - 3e0: 0ff7f713 andi x14,x15,255 - 3e4: fe842783 lw x15,-24(x8) - 3e8: 00178693 addi x13,x15,1 - 3ec: fed42423 sw x13,-24(x8) - 3f0: 00078693 addi x13,x15,0 - 3f4: fcc42783 lw x15,-52(x8) - 3f8: 00d787b3 add x15,x15,x13 - 3fc: 03070713 addi x14,x14,48 - 400: 0ff77713 andi x14,x14,255 - 404: 00e78023 sb x14,0(x15) - 408: fc842703 lw x14,-56(x8) - 40c: 00a00793 addi x15,x0,10 - 410: 02f747b3 div x15,x14,x15 - 414: fcf42423 sw x15,-56(x8) - 418: fc842783 lw x15,-56(x8) - 41c: faf04ce3 blt x0,x15,3d4 <_d2s+0x38> - 420: fe442783 lw x15,-28(x8) - 424: 02078263 beq x15,x0,448 <_d2s+0xac> - 428: fe842783 lw x15,-24(x8) - 42c: 00178713 addi x14,x15,1 - 430: fee42423 sw x14,-24(x8) - 434: 00078713 addi x14,x15,0 - 438: fcc42783 lw x15,-52(x8) - 43c: 00e787b3 add x15,x15,x14 - 440: 02d00713 addi x14,x0,45 - 444: 00e78023 sb x14,0(x15) - 448: fe042623 sw x0,-20(x8) - 44c: 06c0006f jal x0,4b8 <_d2s+0x11c> - 450: fe842783 lw x15,-24(x8) - 454: fff78713 addi x14,x15,-1 - 458: fec42783 lw x15,-20(x8) - 45c: 40f707b3 sub x15,x14,x15 - 460: fef42023 sw x15,-32(x8) - 464: fec42783 lw x15,-20(x8) - 468: fcc42703 lw x14,-52(x8) - 46c: 00f707b3 add x15,x14,x15 - 470: 0007c783 lbu x15,0(x15) - 474: fcf40fa3 sb x15,-33(x8) - 478: fe042783 lw x15,-32(x8) - 47c: fcc42703 lw x14,-52(x8) - 480: 00f70733 add x14,x14,x15 - 484: fec42783 lw x15,-20(x8) - 488: fcc42683 lw x13,-52(x8) - 48c: 00f687b3 add x15,x13,x15 - 490: 00074703 lbu x14,0(x14) - 494: 00e78023 sb x14,0(x15) - 498: fe042783 lw x15,-32(x8) - 49c: fcc42703 lw x14,-52(x8) - 4a0: 00f707b3 add x15,x14,x15 - 4a4: fdf44703 lbu x14,-33(x8) - 4a8: 00e78023 sb x14,0(x15) - 4ac: fec42783 lw x15,-20(x8) - 4b0: 00178793 addi x15,x15,1 - 4b4: fef42623 sw x15,-20(x8) - 4b8: fe842783 lw x15,-24(x8) - 4bc: 01f7d713 srli x14,x15,0x1f - 4c0: 00f707b3 add x15,x14,x15 - 4c4: 4017d793 srai x15,x15,0x1 - 4c8: 00078713 addi x14,x15,0 - 4cc: fec42783 lw x15,-20(x8) - 4d0: f8e7c0e3 blt x15,x14,450 <_d2s+0xb4> - 4d4: fe842783 lw x15,-24(x8) - 4d8: fcc42703 lw x14,-52(x8) - 4dc: 00f707b3 add x15,x14,x15 - 4e0: 00078023 sb x0,0(x15) - 4e4: fe842783 lw x15,-24(x8) - 4e8: 00078513 addi x10,x15,0 - 4ec: 03c12403 lw x8,60(x2) - 4f0: 04010113 addi x2,x2,64 - 4f4: 00008067 jalr x0,0(x1) + 3a0: 02112e23 sw x1,60(x2) + 3a4: 02812c23 sw x8,56(x2) + 3a8: 04010413 addi x8,x2,64 + 3ac: fca42623 sw x10,-52(x8) + 3b0: fcb42023 sw x11,-64(x8) + 3b4: fcc42223 sw x12,-60(x8) + 3b8: fe042423 sw x0,-24(x8) + 3bc: fe042223 sw x0,-28(x8) + 3c0: fc442783 lw x15,-60(x8) + 3c4: 0207dc63 bge x15,x0,3fc <_d2s+0x60> + 3c8: 00000613 addi x12,x0,0 + 3cc: 00000693 addi x13,x0,0 + 3d0: fc042503 lw x10,-64(x8) + 3d4: fc442583 lw x11,-60(x8) + 3d8: 40a60733 sub x14,x12,x10 + 3dc: 00070813 addi x16,x14,0 + 3e0: 01063833 sltu x16,x12,x16 + 3e4: 40b687b3 sub x15,x13,x11 + 3e8: 410786b3 sub x13,x15,x16 + 3ec: 00068793 addi x15,x13,0 + 3f0: fce42023 sw x14,-64(x8) + 3f4: fcf42223 sw x15,-60(x8) + 3f8: fe042223 sw x0,-28(x8) + 3fc: fc042783 lw x15,-64(x8) + 400: fc442703 lw x14,-60(x8) + 404: 00e7e7b3 or x15,x15,x14 + 408: 0a079063 bne x15,x0,4a8 <_d2s+0x10c> + 40c: fe842783 lw x15,-24(x8) + 410: 00178713 addi x14,x15,1 + 414: fee42423 sw x14,-24(x8) + 418: 00078713 addi x14,x15,0 + 41c: fcc42783 lw x15,-52(x8) + 420: 00e787b3 add x15,x15,x14 + 424: 03000713 addi x14,x0,48 + 428: 00e78023 sb x14,0(x15) + 42c: 0bc0006f jal x0,4e8 <_d2s+0x14c> + 430: fc042703 lw x14,-64(x8) + 434: fc442783 lw x15,-60(x8) + 438: 00a00613 addi x12,x0,10 + 43c: 00000693 addi x13,x0,0 + 440: 00070513 addi x10,x14,0 + 444: 00078593 addi x11,x15,0 + 448: 179010ef jal x1,1dc0 <__moddi3> + 44c: 00050713 addi x14,x10,0 + 450: 00058793 addi x15,x11,0 + 454: 0ff77713 andi x14,x14,255 + 458: fe842783 lw x15,-24(x8) + 45c: 00178693 addi x13,x15,1 + 460: fed42423 sw x13,-24(x8) + 464: 00078693 addi x13,x15,0 + 468: fcc42783 lw x15,-52(x8) + 46c: 00d787b3 add x15,x15,x13 + 470: 03070713 addi x14,x14,48 + 474: 0ff77713 andi x14,x14,255 + 478: 00e78023 sb x14,0(x15) + 47c: fc042703 lw x14,-64(x8) + 480: fc442783 lw x15,-60(x8) + 484: 00a00613 addi x12,x0,10 + 488: 00000693 addi x13,x0,0 + 48c: 00070513 addi x10,x14,0 + 490: 00078593 addi x11,x15,0 + 494: 4b4010ef jal x1,1948 <__divdi3> + 498: 00050713 addi x14,x10,0 + 49c: 00058793 addi x15,x11,0 + 4a0: fce42023 sw x14,-64(x8) + 4a4: fcf42223 sw x15,-60(x8) + 4a8: fc442783 lw x15,-60(x8) + 4ac: f8f042e3 blt x0,x15,430 <_d2s+0x94> + 4b0: fc442783 lw x15,-60(x8) + 4b4: 00079663 bne x15,x0,4c0 <_d2s+0x124> + 4b8: fc042783 lw x15,-64(x8) + 4bc: f6079ae3 bne x15,x0,430 <_d2s+0x94> + 4c0: fe442783 lw x15,-28(x8) + 4c4: 02078263 beq x15,x0,4e8 <_d2s+0x14c> + 4c8: fe842783 lw x15,-24(x8) + 4cc: 00178713 addi x14,x15,1 + 4d0: fee42423 sw x14,-24(x8) + 4d4: 00078713 addi x14,x15,0 + 4d8: fcc42783 lw x15,-52(x8) + 4dc: 00e787b3 add x15,x15,x14 + 4e0: 02d00713 addi x14,x0,45 + 4e4: 00e78023 sb x14,0(x15) + 4e8: fe042623 sw x0,-20(x8) + 4ec: 06c0006f jal x0,558 <_d2s+0x1bc> + 4f0: fe842783 lw x15,-24(x8) + 4f4: fff78713 addi x14,x15,-1 + 4f8: fec42783 lw x15,-20(x8) + 4fc: 40f707b3 sub x15,x14,x15 + 500: fef42023 sw x15,-32(x8) + 504: fec42783 lw x15,-20(x8) + 508: fcc42703 lw x14,-52(x8) + 50c: 00f707b3 add x15,x14,x15 + 510: 0007c783 lbu x15,0(x15) + 514: fcf40fa3 sb x15,-33(x8) + 518: fe042783 lw x15,-32(x8) + 51c: fcc42703 lw x14,-52(x8) + 520: 00f70733 add x14,x14,x15 + 524: fec42783 lw x15,-20(x8) + 528: fcc42683 lw x13,-52(x8) + 52c: 00f687b3 add x15,x13,x15 + 530: 00074703 lbu x14,0(x14) + 534: 00e78023 sb x14,0(x15) + 538: fe042783 lw x15,-32(x8) + 53c: fcc42703 lw x14,-52(x8) + 540: 00f707b3 add x15,x14,x15 + 544: fdf44703 lbu x14,-33(x8) + 548: 00e78023 sb x14,0(x15) + 54c: fec42783 lw x15,-20(x8) + 550: 00178793 addi x15,x15,1 + 554: fef42623 sw x15,-20(x8) + 558: fe842783 lw x15,-24(x8) + 55c: 01f7d713 srli x14,x15,0x1f + 560: 00f707b3 add x15,x14,x15 + 564: 4017d793 srai x15,x15,0x1 + 568: 00078713 addi x14,x15,0 + 56c: fec42783 lw x15,-20(x8) + 570: f8e7c0e3 blt x15,x14,4f0 <_d2s+0x154> + 574: fe842783 lw x15,-24(x8) + 578: fcc42703 lw x14,-52(x8) + 57c: 00f707b3 add x15,x14,x15 + 580: 00078023 sb x0,0(x15) + 584: fe842783 lw x15,-24(x8) + 588: 00078513 addi x10,x15,0 + 58c: 03c12083 lw x1,60(x2) + 590: 03812403 lw x8,56(x2) + 594: 04010113 addi x2,x2,64 + 598: 00008067 jalr x0,0(x1) -000004f8 <_h2s>: - 4f8: fb010113 addi x2,x2,-80 - 4fc: 04812623 sw x8,76(x2) - 500: 05010413 addi x8,x2,80 - 504: fca42623 sw x10,-52(x8) - 508: fcb42023 sw x11,-64(x8) - 50c: fcc42223 sw x12,-60(x8) - 510: fcd42423 sw x13,-56(x8) - 514: 00070793 addi x15,x14,0 - 518: faf40fa3 sb x15,-65(x8) - 51c: fe042423 sw x0,-24(x8) - 520: 0900006f jal x0,5b0 <_h2s+0xb8> - 524: fc042783 lw x15,-64(x8) - 528: 00f7f793 andi x15,x15,15 - 52c: fcf42e23 sw x15,-36(x8) - 530: fdc42703 lw x14,-36(x8) - 534: 00900793 addi x15,x0,9 - 538: 02e7c463 blt x15,x14,560 <_h2s+0x68> - 53c: fdc42783 lw x15,-36(x8) - 540: 0ff7f713 andi x14,x15,255 - 544: fe842783 lw x15,-24(x8) - 548: fcc42683 lw x13,-52(x8) - 54c: 00f687b3 add x15,x13,x15 - 550: 03070713 addi x14,x14,48 - 554: 0ff77713 andi x14,x14,255 - 558: 00e78023 sb x14,0(x15) - 55c: 0240006f jal x0,580 <_h2s+0x88> - 560: fdc42783 lw x15,-36(x8) - 564: 0ff7f713 andi x14,x15,255 - 568: fe842783 lw x15,-24(x8) - 56c: fcc42683 lw x13,-52(x8) - 570: 00f687b3 add x15,x13,x15 - 574: 05770713 addi x14,x14,87 - 578: 0ff77713 andi x14,x14,255 - 57c: 00e78023 sb x14,0(x15) - 580: fc442783 lw x15,-60(x8) - 584: 01c79793 slli x15,x15,0x1c - 588: fc042703 lw x14,-64(x8) - 58c: 00475813 srli x16,x14,0x4 - 590: 0107e833 or x16,x15,x16 - 594: fc442783 lw x15,-60(x8) - 598: 0047d893 srli x17,x15,0x4 - 59c: fd042023 sw x16,-64(x8) - 5a0: fd142223 sw x17,-60(x8) - 5a4: fe842783 lw x15,-24(x8) - 5a8: 00178793 addi x15,x15,1 - 5ac: fef42423 sw x15,-24(x8) - 5b0: fc042783 lw x15,-64(x8) - 5b4: fc442703 lw x14,-60(x8) - 5b8: 00e7e7b3 or x15,x15,x14 - 5bc: f60794e3 bne x15,x0,524 <_h2s+0x2c> - 5c0: 0240006f jal x0,5e4 <_h2s+0xec> - 5c4: fe842783 lw x15,-24(x8) - 5c8: 00178713 addi x14,x15,1 - 5cc: fee42423 sw x14,-24(x8) - 5d0: 00078713 addi x14,x15,0 - 5d4: fcc42783 lw x15,-52(x8) - 5d8: 00e787b3 add x15,x15,x14 - 5dc: fbf44703 lbu x14,-65(x8) - 5e0: 00e78023 sb x14,0(x15) - 5e4: fe842703 lw x14,-24(x8) - 5e8: fc842783 lw x15,-56(x8) - 5ec: fcf74ce3 blt x14,x15,5c4 <_h2s+0xcc> - 5f0: fe042623 sw x0,-20(x8) - 5f4: 06c0006f jal x0,660 <_h2s+0x168> - 5f8: fe842783 lw x15,-24(x8) - 5fc: fff78713 addi x14,x15,-1 - 600: fec42783 lw x15,-20(x8) - 604: 40f707b3 sub x15,x14,x15 - 608: fef42223 sw x15,-28(x8) - 60c: fec42783 lw x15,-20(x8) - 610: fcc42703 lw x14,-52(x8) - 614: 00f707b3 add x15,x14,x15 - 618: 0007c783 lbu x15,0(x15) - 61c: fef401a3 sb x15,-29(x8) - 620: fe442783 lw x15,-28(x8) - 624: fcc42703 lw x14,-52(x8) - 628: 00f70733 add x14,x14,x15 - 62c: fec42783 lw x15,-20(x8) - 630: fcc42683 lw x13,-52(x8) - 634: 00f687b3 add x15,x13,x15 - 638: 00074703 lbu x14,0(x14) - 63c: 00e78023 sb x14,0(x15) - 640: fe442783 lw x15,-28(x8) - 644: fcc42703 lw x14,-52(x8) - 648: 00f707b3 add x15,x14,x15 - 64c: fe344703 lbu x14,-29(x8) - 650: 00e78023 sb x14,0(x15) - 654: fec42783 lw x15,-20(x8) - 658: 00178793 addi x15,x15,1 - 65c: fef42623 sw x15,-20(x8) - 660: fe842783 lw x15,-24(x8) - 664: 01f7d713 srli x14,x15,0x1f - 668: 00f707b3 add x15,x14,x15 - 66c: 4017d793 srai x15,x15,0x1 - 670: 00078713 addi x14,x15,0 - 674: fec42783 lw x15,-20(x8) - 678: f8e7c0e3 blt x15,x14,5f8 <_h2s+0x100> - 67c: fe842783 lw x15,-24(x8) - 680: fcc42703 lw x14,-52(x8) - 684: 00f707b3 add x15,x14,x15 - 688: 00078023 sb x0,0(x15) - 68c: fe842783 lw x15,-24(x8) - 690: 00078513 addi x10,x15,0 - 694: 04c12403 lw x8,76(x2) - 698: 05010113 addi x2,x2,80 - 69c: 00008067 jalr x0,0(x1) +0000059c <_h2s>: + 59c: fb010113 addi x2,x2,-80 + 5a0: 04812623 sw x8,76(x2) + 5a4: 05010413 addi x8,x2,80 + 5a8: fca42623 sw x10,-52(x8) + 5ac: fcb42023 sw x11,-64(x8) + 5b0: fcc42223 sw x12,-60(x8) + 5b4: fcd42423 sw x13,-56(x8) + 5b8: 00070793 addi x15,x14,0 + 5bc: faf40fa3 sb x15,-65(x8) + 5c0: fe042423 sw x0,-24(x8) + 5c4: 0900006f jal x0,654 <_h2s+0xb8> + 5c8: fc042783 lw x15,-64(x8) + 5cc: 00f7f793 andi x15,x15,15 + 5d0: fcf42e23 sw x15,-36(x8) + 5d4: fdc42703 lw x14,-36(x8) + 5d8: 00900793 addi x15,x0,9 + 5dc: 02e7c463 blt x15,x14,604 <_h2s+0x68> + 5e0: fdc42783 lw x15,-36(x8) + 5e4: 0ff7f713 andi x14,x15,255 + 5e8: fe842783 lw x15,-24(x8) + 5ec: fcc42683 lw x13,-52(x8) + 5f0: 00f687b3 add x15,x13,x15 + 5f4: 03070713 addi x14,x14,48 + 5f8: 0ff77713 andi x14,x14,255 + 5fc: 00e78023 sb x14,0(x15) + 600: 0240006f jal x0,624 <_h2s+0x88> + 604: fdc42783 lw x15,-36(x8) + 608: 0ff7f713 andi x14,x15,255 + 60c: fe842783 lw x15,-24(x8) + 610: fcc42683 lw x13,-52(x8) + 614: 00f687b3 add x15,x13,x15 + 618: 05770713 addi x14,x14,87 + 61c: 0ff77713 andi x14,x14,255 + 620: 00e78023 sb x14,0(x15) + 624: fc442783 lw x15,-60(x8) + 628: 01c79793 slli x15,x15,0x1c + 62c: fc042703 lw x14,-64(x8) + 630: 00475813 srli x16,x14,0x4 + 634: 0107e833 or x16,x15,x16 + 638: fc442783 lw x15,-60(x8) + 63c: 0047d893 srli x17,x15,0x4 + 640: fd042023 sw x16,-64(x8) + 644: fd142223 sw x17,-60(x8) + 648: fe842783 lw x15,-24(x8) + 64c: 00178793 addi x15,x15,1 + 650: fef42423 sw x15,-24(x8) + 654: fc042783 lw x15,-64(x8) + 658: fc442703 lw x14,-60(x8) + 65c: 00e7e7b3 or x15,x15,x14 + 660: f60794e3 bne x15,x0,5c8 <_h2s+0x2c> + 664: 0240006f jal x0,688 <_h2s+0xec> + 668: fe842783 lw x15,-24(x8) + 66c: 00178713 addi x14,x15,1 + 670: fee42423 sw x14,-24(x8) + 674: 00078713 addi x14,x15,0 + 678: fcc42783 lw x15,-52(x8) + 67c: 00e787b3 add x15,x15,x14 + 680: fbf44703 lbu x14,-65(x8) + 684: 00e78023 sb x14,0(x15) + 688: fe842703 lw x14,-24(x8) + 68c: fc842783 lw x15,-56(x8) + 690: fcf74ce3 blt x14,x15,668 <_h2s+0xcc> + 694: fe042623 sw x0,-20(x8) + 698: 06c0006f jal x0,704 <_h2s+0x168> + 69c: fe842783 lw x15,-24(x8) + 6a0: fff78713 addi x14,x15,-1 + 6a4: fec42783 lw x15,-20(x8) + 6a8: 40f707b3 sub x15,x14,x15 + 6ac: fef42223 sw x15,-28(x8) + 6b0: fec42783 lw x15,-20(x8) + 6b4: fcc42703 lw x14,-52(x8) + 6b8: 00f707b3 add x15,x14,x15 + 6bc: 0007c783 lbu x15,0(x15) + 6c0: fef401a3 sb x15,-29(x8) + 6c4: fe442783 lw x15,-28(x8) + 6c8: fcc42703 lw x14,-52(x8) + 6cc: 00f70733 add x14,x14,x15 + 6d0: fec42783 lw x15,-20(x8) + 6d4: fcc42683 lw x13,-52(x8) + 6d8: 00f687b3 add x15,x13,x15 + 6dc: 00074703 lbu x14,0(x14) + 6e0: 00e78023 sb x14,0(x15) + 6e4: fe442783 lw x15,-28(x8) + 6e8: fcc42703 lw x14,-52(x8) + 6ec: 00f707b3 add x15,x14,x15 + 6f0: fe344703 lbu x14,-29(x8) + 6f4: 00e78023 sb x14,0(x15) + 6f8: fec42783 lw x15,-20(x8) + 6fc: 00178793 addi x15,x15,1 + 700: fef42623 sw x15,-20(x8) + 704: fe842783 lw x15,-24(x8) + 708: 01f7d713 srli x14,x15,0x1f + 70c: 00f707b3 add x15,x14,x15 + 710: 4017d793 srai x15,x15,0x1 + 714: 00078713 addi x14,x15,0 + 718: fec42783 lw x15,-20(x8) + 71c: f8e7c0e3 blt x15,x14,69c <_h2s+0x100> + 720: fe842783 lw x15,-24(x8) + 724: fcc42703 lw x14,-52(x8) + 728: 00f707b3 add x15,x14,x15 + 72c: 00078023 sb x0,0(x15) + 730: fe842783 lw x15,-24(x8) + 734: 00078513 addi x10,x15,0 + 738: 04c12403 lw x8,76(x2) + 73c: 05010113 addi x2,x2,80 + 740: 00008067 jalr x0,0(x1) -000006a0 <_s2d>: - 6a0: fd010113 addi x2,x2,-48 - 6a4: 02812623 sw x8,44(x2) - 6a8: 03010413 addi x8,x2,48 - 6ac: fca42e23 sw x10,-36(x8) - 6b0: fcb42c23 sw x11,-40(x8) - 6b4: fe042623 sw x0,-20(x8) - 6b8: fe042423 sw x0,-24(x8) - 6bc: 00100793 addi x15,x0,1 - 6c0: fef42223 sw x15,-28(x8) - 6c4: 08c0006f jal x0,750 <_s2d+0xb0> - 6c8: fdc42783 lw x15,-36(x8) - 6cc: 0007c783 lbu x15,0(x15) - 6d0: fef42023 sw x15,-32(x8) - 6d4: fe042703 lw x14,-32(x8) - 6d8: 02f00793 addi x15,x0,47 - 6dc: 04e7d263 bge x15,x14,720 <_s2d+0x80> - 6e0: fe042703 lw x14,-32(x8) - 6e4: 03900793 addi x15,x0,57 - 6e8: 02e7cc63 blt x15,x14,720 <_s2d+0x80> - 6ec: fe842703 lw x14,-24(x8) - 6f0: 00070793 addi x15,x14,0 - 6f4: 00279793 slli x15,x15,0x2 - 6f8: 00e787b3 add x15,x15,x14 - 6fc: 00179793 slli x15,x15,0x1 - 700: 00078713 addi x14,x15,0 - 704: fe042783 lw x15,-32(x8) - 708: 00f707b3 add x15,x14,x15 - 70c: fd078793 addi x15,x15,-48 - 710: fef42423 sw x15,-24(x8) - 714: 00100793 addi x15,x0,1 - 718: fef42623 sw x15,-20(x8) - 71c: 0280006f jal x0,744 <_s2d+0xa4> - 720: fec42783 lw x15,-20(x8) - 724: 02079e63 bne x15,x0,760 <_s2d+0xc0> - 728: fe042703 lw x14,-32(x8) - 72c: 02d00793 addi x15,x0,45 - 730: 00f71a63 bne x14,x15,744 <_s2d+0xa4> - 734: fff00793 addi x15,x0,-1 - 738: fef42223 sw x15,-28(x8) - 73c: 00100793 addi x15,x0,1 - 740: fef42623 sw x15,-20(x8) - 744: fdc42783 lw x15,-36(x8) - 748: 00178793 addi x15,x15,1 - 74c: fcf42e23 sw x15,-36(x8) - 750: fdc42783 lw x15,-36(x8) - 754: 0007c783 lbu x15,0(x15) - 758: f60798e3 bne x15,x0,6c8 <_s2d+0x28> - 75c: 0080006f jal x0,764 <_s2d+0xc4> - 760: 00000013 addi x0,x0,0 - 764: fe842703 lw x14,-24(x8) - 768: fe442783 lw x15,-28(x8) - 76c: 02f707b3 mul x15,x14,x15 - 770: fef42423 sw x15,-24(x8) - 774: fd842783 lw x15,-40(x8) - 778: 00078863 beq x15,x0,788 <_s2d+0xe8> - 77c: fd842783 lw x15,-40(x8) - 780: fdc42703 lw x14,-36(x8) - 784: 00e7a023 sw x14,0(x15) - 788: fe842783 lw x15,-24(x8) - 78c: 00078513 addi x10,x15,0 - 790: 02c12403 lw x8,44(x2) - 794: 03010113 addi x2,x2,48 - 798: 00008067 jalr x0,0(x1) - -0000079c <_s2h>: - 79c: fd010113 addi x2,x2,-48 - 7a0: 02812623 sw x8,44(x2) - 7a4: 03010413 addi x8,x2,48 - 7a8: fca42e23 sw x10,-36(x8) - 7ac: fcb42c23 sw x11,-40(x8) - 7b0: fe042623 sw x0,-20(x8) - 7b4: fe042423 sw x0,-24(x8) - 7b8: 0e00006f jal x0,898 <_s2h+0xfc> - 7bc: fdc42783 lw x15,-36(x8) - 7c0: 0007c783 lbu x15,0(x15) - 7c4: fef42223 sw x15,-28(x8) - 7c8: fe442703 lw x14,-28(x8) - 7cc: 02f00793 addi x15,x0,47 - 7d0: 02e7da63 bge x15,x14,804 <_s2h+0x68> - 7d4: fe442703 lw x14,-28(x8) - 7d8: 03900793 addi x15,x0,57 - 7dc: 02e7c463 blt x15,x14,804 <_s2h+0x68> +00000744 <_s2d>: + 744: fd010113 addi x2,x2,-48 + 748: 02812623 sw x8,44(x2) + 74c: 03010413 addi x8,x2,48 + 750: fca42e23 sw x10,-36(x8) + 754: fcb42c23 sw x11,-40(x8) + 758: fe042623 sw x0,-20(x8) + 75c: fe042423 sw x0,-24(x8) + 760: 00100793 addi x15,x0,1 + 764: fef42223 sw x15,-28(x8) + 768: 08c0006f jal x0,7f4 <_s2d+0xb0> + 76c: fdc42783 lw x15,-36(x8) + 770: 0007c783 lbu x15,0(x15) + 774: fef42023 sw x15,-32(x8) + 778: fe042703 lw x14,-32(x8) + 77c: 02f00793 addi x15,x0,47 + 780: 04e7d263 bge x15,x14,7c4 <_s2d+0x80> + 784: fe042703 lw x14,-32(x8) + 788: 03900793 addi x15,x0,57 + 78c: 02e7cc63 blt x15,x14,7c4 <_s2d+0x80> + 790: fe842703 lw x14,-24(x8) + 794: 00070793 addi x15,x14,0 + 798: 00279793 slli x15,x15,0x2 + 79c: 00e787b3 add x15,x15,x14 + 7a0: 00179793 slli x15,x15,0x1 + 7a4: 00078713 addi x14,x15,0 + 7a8: fe042783 lw x15,-32(x8) + 7ac: 00f707b3 add x15,x14,x15 + 7b0: fd078793 addi x15,x15,-48 + 7b4: fef42423 sw x15,-24(x8) + 7b8: 00100793 addi x15,x0,1 + 7bc: fef42623 sw x15,-20(x8) + 7c0: 0280006f jal x0,7e8 <_s2d+0xa4> + 7c4: fec42783 lw x15,-20(x8) + 7c8: 02079e63 bne x15,x0,804 <_s2d+0xc0> + 7cc: fe042703 lw x14,-32(x8) + 7d0: 02d00793 addi x15,x0,45 + 7d4: 00f71a63 bne x14,x15,7e8 <_s2d+0xa4> + 7d8: fff00793 addi x15,x0,-1 + 7dc: fef42223 sw x15,-28(x8) 7e0: 00100793 addi x15,x0,1 7e4: fef42623 sw x15,-20(x8) - 7e8: fe842783 lw x15,-24(x8) - 7ec: 00479713 slli x14,x15,0x4 - 7f0: fe442783 lw x15,-28(x8) - 7f4: 00f707b3 add x15,x14,x15 - 7f8: fd078793 addi x15,x15,-48 - 7fc: fef42423 sw x15,-24(x8) - 800: 08c0006f jal x0,88c <_s2h+0xf0> - 804: fe442703 lw x14,-28(x8) - 808: 06000793 addi x15,x0,96 - 80c: 02e7da63 bge x15,x14,840 <_s2h+0xa4> - 810: fe442703 lw x14,-28(x8) - 814: 06600793 addi x15,x0,102 - 818: 02e7c463 blt x15,x14,840 <_s2h+0xa4> - 81c: 00100793 addi x15,x0,1 - 820: fef42623 sw x15,-20(x8) - 824: fe842783 lw x15,-24(x8) - 828: 00479713 slli x14,x15,0x4 - 82c: fe442783 lw x15,-28(x8) - 830: 00f707b3 add x15,x14,x15 - 834: fa978793 addi x15,x15,-87 - 838: fef42423 sw x15,-24(x8) - 83c: 0500006f jal x0,88c <_s2h+0xf0> - 840: fe442703 lw x14,-28(x8) - 844: 04000793 addi x15,x0,64 - 848: 02e7da63 bge x15,x14,87c <_s2h+0xe0> - 84c: fe442703 lw x14,-28(x8) - 850: 04600793 addi x15,x0,70 - 854: 02e7c463 blt x15,x14,87c <_s2h+0xe0> - 858: 00100793 addi x15,x0,1 - 85c: fef42623 sw x15,-20(x8) - 860: fe842783 lw x15,-24(x8) - 864: 00479713 slli x14,x15,0x4 - 868: fe442783 lw x15,-28(x8) - 86c: 00f707b3 add x15,x14,x15 - 870: fc978793 addi x15,x15,-55 - 874: fef42423 sw x15,-24(x8) - 878: 0140006f jal x0,88c <_s2h+0xf0> - 87c: fec42783 lw x15,-20(x8) - 880: 02079463 bne x15,x0,8a8 <_s2h+0x10c> + 7e8: fdc42783 lw x15,-36(x8) + 7ec: 00178793 addi x15,x15,1 + 7f0: fcf42e23 sw x15,-36(x8) + 7f4: fdc42783 lw x15,-36(x8) + 7f8: 0007c783 lbu x15,0(x15) + 7fc: f60798e3 bne x15,x0,76c <_s2d+0x28> + 800: 0080006f jal x0,808 <_s2d+0xc4> + 804: 00000013 addi x0,x0,0 + 808: fe842703 lw x14,-24(x8) + 80c: fe442783 lw x15,-28(x8) + 810: 02f707b3 mul x15,x14,x15 + 814: fef42423 sw x15,-24(x8) + 818: fd842783 lw x15,-40(x8) + 81c: 00078863 beq x15,x0,82c <_s2d+0xe8> + 820: fd842783 lw x15,-40(x8) + 824: fdc42703 lw x14,-36(x8) + 828: 00e7a023 sw x14,0(x15) + 82c: fe842783 lw x15,-24(x8) + 830: 00078513 addi x10,x15,0 + 834: 02c12403 lw x8,44(x2) + 838: 03010113 addi x2,x2,48 + 83c: 00008067 jalr x0,0(x1) + +00000840 <_s2h>: + 840: fd010113 addi x2,x2,-48 + 844: 02812623 sw x8,44(x2) + 848: 03010413 addi x8,x2,48 + 84c: fca42e23 sw x10,-36(x8) + 850: fcb42c23 sw x11,-40(x8) + 854: fe042623 sw x0,-20(x8) + 858: fe042423 sw x0,-24(x8) + 85c: 0e00006f jal x0,93c <_s2h+0xfc> + 860: fdc42783 lw x15,-36(x8) + 864: 0007c783 lbu x15,0(x15) + 868: fef42223 sw x15,-28(x8) + 86c: fe442703 lw x14,-28(x8) + 870: 02f00793 addi x15,x0,47 + 874: 02e7da63 bge x15,x14,8a8 <_s2h+0x68> + 878: fe442703 lw x14,-28(x8) + 87c: 03900793 addi x15,x0,57 + 880: 02e7c463 blt x15,x14,8a8 <_s2h+0x68> 884: 00100793 addi x15,x0,1 888: fef42623 sw x15,-20(x8) - 88c: fdc42783 lw x15,-36(x8) - 890: 00178793 addi x15,x15,1 - 894: fcf42e23 sw x15,-36(x8) - 898: fdc42783 lw x15,-36(x8) - 89c: 0007c783 lbu x15,0(x15) - 8a0: f0079ee3 bne x15,x0,7bc <_s2h+0x20> - 8a4: 0080006f jal x0,8ac <_s2h+0x110> - 8a8: 00000013 addi x0,x0,0 - 8ac: fd842783 lw x15,-40(x8) - 8b0: 00078863 beq x15,x0,8c0 <_s2h+0x124> - 8b4: fd842783 lw x15,-40(x8) - 8b8: fdc42703 lw x14,-36(x8) - 8bc: 00e7a023 sw x14,0(x15) - 8c0: fe842783 lw x15,-24(x8) - 8c4: 00078513 addi x10,x15,0 - 8c8: 02c12403 lw x8,44(x2) - 8cc: 03010113 addi x2,x2,48 - 8d0: 00008067 jalr x0,0(x1) - -000008d4 <_strcat>: - 8d4: fd010113 addi x2,x2,-48 - 8d8: 02812623 sw x8,44(x2) - 8dc: 03010413 addi x8,x2,48 - 8e0: fca42e23 sw x10,-36(x8) - 8e4: fcb42c23 sw x11,-40(x8) - 8e8: fdc42783 lw x15,-36(x8) - 8ec: fef42623 sw x15,-20(x8) - 8f0: 0100006f jal x0,900 <_strcat+0x2c> - 8f4: fdc42783 lw x15,-36(x8) - 8f8: 00178793 addi x15,x15,1 - 8fc: fcf42e23 sw x15,-36(x8) - 900: fdc42783 lw x15,-36(x8) - 904: 0007c783 lbu x15,0(x15) - 908: fe0796e3 bne x15,x0,8f4 <_strcat+0x20> - 90c: 0240006f jal x0,930 <_strcat+0x5c> - 910: fd842703 lw x14,-40(x8) - 914: 00170793 addi x15,x14,1 - 918: fcf42c23 sw x15,-40(x8) - 91c: fdc42783 lw x15,-36(x8) - 920: 00178693 addi x13,x15,1 - 924: fcd42e23 sw x13,-36(x8) - 928: 00074703 lbu x14,0(x14) - 92c: 00e78023 sb x14,0(x15) - 930: fd842783 lw x15,-40(x8) - 934: 0007c783 lbu x15,0(x15) - 938: fc079ce3 bne x15,x0,910 <_strcat+0x3c> + 88c: fe842783 lw x15,-24(x8) + 890: 00479713 slli x14,x15,0x4 + 894: fe442783 lw x15,-28(x8) + 898: 00f707b3 add x15,x14,x15 + 89c: fd078793 addi x15,x15,-48 + 8a0: fef42423 sw x15,-24(x8) + 8a4: 08c0006f jal x0,930 <_s2h+0xf0> + 8a8: fe442703 lw x14,-28(x8) + 8ac: 06000793 addi x15,x0,96 + 8b0: 02e7da63 bge x15,x14,8e4 <_s2h+0xa4> + 8b4: fe442703 lw x14,-28(x8) + 8b8: 06600793 addi x15,x0,102 + 8bc: 02e7c463 blt x15,x14,8e4 <_s2h+0xa4> + 8c0: 00100793 addi x15,x0,1 + 8c4: fef42623 sw x15,-20(x8) + 8c8: fe842783 lw x15,-24(x8) + 8cc: 00479713 slli x14,x15,0x4 + 8d0: fe442783 lw x15,-28(x8) + 8d4: 00f707b3 add x15,x14,x15 + 8d8: fa978793 addi x15,x15,-87 + 8dc: fef42423 sw x15,-24(x8) + 8e0: 0500006f jal x0,930 <_s2h+0xf0> + 8e4: fe442703 lw x14,-28(x8) + 8e8: 04000793 addi x15,x0,64 + 8ec: 02e7da63 bge x15,x14,920 <_s2h+0xe0> + 8f0: fe442703 lw x14,-28(x8) + 8f4: 04600793 addi x15,x0,70 + 8f8: 02e7c463 blt x15,x14,920 <_s2h+0xe0> + 8fc: 00100793 addi x15,x0,1 + 900: fef42623 sw x15,-20(x8) + 904: fe842783 lw x15,-24(x8) + 908: 00479713 slli x14,x15,0x4 + 90c: fe442783 lw x15,-28(x8) + 910: 00f707b3 add x15,x14,x15 + 914: fc978793 addi x15,x15,-55 + 918: fef42423 sw x15,-24(x8) + 91c: 0140006f jal x0,930 <_s2h+0xf0> + 920: fec42783 lw x15,-20(x8) + 924: 02079463 bne x15,x0,94c <_s2h+0x10c> + 928: 00100793 addi x15,x0,1 + 92c: fef42623 sw x15,-20(x8) + 930: fdc42783 lw x15,-36(x8) + 934: 00178793 addi x15,x15,1 + 938: fcf42e23 sw x15,-36(x8) 93c: fdc42783 lw x15,-36(x8) - 940: 00078023 sb x0,0(x15) - 944: fdc42703 lw x14,-36(x8) - 948: fec42783 lw x15,-20(x8) - 94c: 40f707b3 sub x15,x14,x15 - 950: 00078513 addi x10,x15,0 - 954: 02c12403 lw x8,44(x2) - 958: 03010113 addi x2,x2,48 - 95c: 00008067 jalr x0,0(x1) + 940: 0007c783 lbu x15,0(x15) + 944: f0079ee3 bne x15,x0,860 <_s2h+0x20> + 948: 0080006f jal x0,950 <_s2h+0x110> + 94c: 00000013 addi x0,x0,0 + 950: fd842783 lw x15,-40(x8) + 954: 00078863 beq x15,x0,964 <_s2h+0x124> + 958: fd842783 lw x15,-40(x8) + 95c: fdc42703 lw x14,-36(x8) + 960: 00e7a023 sw x14,0(x15) + 964: fe842783 lw x15,-24(x8) + 968: 00078513 addi x10,x15,0 + 96c: 02c12403 lw x8,44(x2) + 970: 03010113 addi x2,x2,48 + 974: 00008067 jalr x0,0(x1) -00000960 <_strncmp>: - 960: fd010113 addi x2,x2,-48 - 964: 02812623 sw x8,44(x2) - 968: 03010413 addi x8,x2,48 - 96c: fca42e23 sw x10,-36(x8) - 970: fcb42c23 sw x11,-40(x8) - 974: fcc42a23 sw x12,-44(x8) - 978: fe042623 sw x0,-20(x8) - 97c: 0500006f jal x0,9cc <_strncmp+0x6c> - 980: fdc42783 lw x15,-36(x8) - 984: 00178713 addi x14,x15,1 - 988: fce42e23 sw x14,-36(x8) - 98c: 0007c703 lbu x14,0(x15) - 990: fd842783 lw x15,-40(x8) - 994: 00178693 addi x13,x15,1 - 998: fcd42c23 sw x13,-40(x8) - 99c: 0007c783 lbu x15,0(x15) - 9a0: 00f70663 beq x14,x15,9ac <_strncmp+0x4c> - 9a4: 00100793 addi x15,x0,1 - 9a8: 0400006f jal x0,9e8 <_strncmp+0x88> - 9ac: fec42783 lw x15,-20(x8) - 9b0: 00178793 addi x15,x15,1 - 9b4: fef42623 sw x15,-20(x8) - 9b8: fec42703 lw x14,-20(x8) - 9bc: fd442783 lw x15,-44(x8) - 9c0: 00f74663 blt x14,x15,9cc <_strncmp+0x6c> - 9c4: 00000793 addi x15,x0,0 - 9c8: 0200006f jal x0,9e8 <_strncmp+0x88> - 9cc: fdc42783 lw x15,-36(x8) - 9d0: 0007c783 lbu x15,0(x15) - 9d4: 00078863 beq x15,x0,9e4 <_strncmp+0x84> - 9d8: fd842783 lw x15,-40(x8) - 9dc: 0007c783 lbu x15,0(x15) - 9e0: fa0790e3 bne x15,x0,980 <_strncmp+0x20> - 9e4: 00000793 addi x15,x0,0 - 9e8: 00078513 addi x10,x15,0 - 9ec: 02c12403 lw x8,44(x2) - 9f0: 03010113 addi x2,x2,48 - 9f4: 00008067 jalr x0,0(x1) +00000978 <_strcat>: + 978: fd010113 addi x2,x2,-48 + 97c: 02812623 sw x8,44(x2) + 980: 03010413 addi x8,x2,48 + 984: fca42e23 sw x10,-36(x8) + 988: fcb42c23 sw x11,-40(x8) + 98c: fdc42783 lw x15,-36(x8) + 990: fef42623 sw x15,-20(x8) + 994: 0100006f jal x0,9a4 <_strcat+0x2c> + 998: fdc42783 lw x15,-36(x8) + 99c: 00178793 addi x15,x15,1 + 9a0: fcf42e23 sw x15,-36(x8) + 9a4: fdc42783 lw x15,-36(x8) + 9a8: 0007c783 lbu x15,0(x15) + 9ac: fe0796e3 bne x15,x0,998 <_strcat+0x20> + 9b0: 0240006f jal x0,9d4 <_strcat+0x5c> + 9b4: fd842703 lw x14,-40(x8) + 9b8: 00170793 addi x15,x14,1 + 9bc: fcf42c23 sw x15,-40(x8) + 9c0: fdc42783 lw x15,-36(x8) + 9c4: 00178693 addi x13,x15,1 + 9c8: fcd42e23 sw x13,-36(x8) + 9cc: 00074703 lbu x14,0(x14) + 9d0: 00e78023 sb x14,0(x15) + 9d4: fd842783 lw x15,-40(x8) + 9d8: 0007c783 lbu x15,0(x15) + 9dc: fc079ce3 bne x15,x0,9b4 <_strcat+0x3c> + 9e0: fdc42783 lw x15,-36(x8) + 9e4: 00078023 sb x0,0(x15) + 9e8: fdc42703 lw x14,-36(x8) + 9ec: fec42783 lw x15,-20(x8) + 9f0: 40f707b3 sub x15,x14,x15 + 9f4: 00078513 addi x10,x15,0 + 9f8: 02c12403 lw x8,44(x2) + 9fc: 03010113 addi x2,x2,48 + a00: 00008067 jalr x0,0(x1) -000009f8 <_buadrateset>: - 9f8: fe010113 addi x2,x2,-32 - 9fc: 00812e23 sw x8,28(x2) - a00: 02010413 addi x8,x2,32 - a04: fea42623 sw x10,-20(x8) - a08: 05f5e7b7 lui x15,0x5f5e - a0c: 10078713 addi x14,x15,256 # 5f5e100 <__global_pointer$+0x5f5b8c8> - a10: fec42783 lw x15,-20(x8) - a14: 02f74733 div x14,x14,x15 - a18: c2c1a783 lw x15,-980(x3) # 2464 <_uartaddr> - a1c: 01078793 addi x15,x15,16 - a20: 00e7a023 sw x14,0(x15) - a24: 00000793 addi x15,x0,0 - a28: 00078513 addi x10,x15,0 - a2c: 01c12403 lw x8,28(x2) - a30: 02010113 addi x2,x2,32 - a34: 00008067 jalr x0,0(x1) - -00000a38 : - a38: ec010113 addi x2,x2,-320 - a3c: 12112e23 sw x1,316(x2) - a40: 12812c23 sw x8,312(x2) - a44: 13212a23 sw x18,308(x2) - a48: 13312823 sw x19,304(x2) - a4c: 13412623 sw x20,300(x2) - a50: 13512423 sw x21,296(x2) - a54: 14010413 addi x8,x2,320 - a58: c381a783 lw x15,-968(x3) # 2470 - a5c: ff07f793 andi x15,x15,-16 - a60: fcf42a23 sw x15,-44(x8) - a64: fc042c23 sw x0,-40(x8) - a68: 1f80006f jal x0,c60 - a6c: fd442783 lw x15,-44(x8) - a70: 00078913 addi x18,x15,0 - a74: 00000993 addi x19,x0,0 - a78: ecc40793 addi x15,x8,-308 - a7c: 03000713 addi x14,x0,48 - a80: 00800693 addi x13,x0,8 - a84: 00090593 addi x11,x18,0 - a88: 00098613 addi x12,x19,0 +00000a04 <_strncmp>: + a04: fd010113 addi x2,x2,-48 + a08: 02812623 sw x8,44(x2) + a0c: 03010413 addi x8,x2,48 + a10: fca42e23 sw x10,-36(x8) + a14: fcb42c23 sw x11,-40(x8) + a18: fcc42a23 sw x12,-44(x8) + a1c: fe042623 sw x0,-20(x8) + a20: 0500006f jal x0,a70 <_strncmp+0x6c> + a24: fdc42783 lw x15,-36(x8) + a28: 00178713 addi x14,x15,1 + a2c: fce42e23 sw x14,-36(x8) + a30: 0007c703 lbu x14,0(x15) + a34: fd842783 lw x15,-40(x8) + a38: 00178693 addi x13,x15,1 + a3c: fcd42c23 sw x13,-40(x8) + a40: 0007c783 lbu x15,0(x15) + a44: 00f70663 beq x14,x15,a50 <_strncmp+0x4c> + a48: 00100793 addi x15,x0,1 + a4c: 0400006f jal x0,a8c <_strncmp+0x88> + a50: fec42783 lw x15,-20(x8) + a54: 00178793 addi x15,x15,1 + a58: fef42623 sw x15,-20(x8) + a5c: fec42703 lw x14,-20(x8) + a60: fd442783 lw x15,-44(x8) + a64: 00f74663 blt x14,x15,a70 <_strncmp+0x6c> + a68: 00000793 addi x15,x0,0 + a6c: 0200006f jal x0,a8c <_strncmp+0x88> + a70: fdc42783 lw x15,-36(x8) + a74: 0007c783 lbu x15,0(x15) + a78: 00078863 beq x15,x0,a88 <_strncmp+0x84> + a7c: fd842783 lw x15,-40(x8) + a80: 0007c783 lbu x15,0(x15) + a84: fa0790e3 bne x15,x0,a24 <_strncmp+0x20> + a88: 00000793 addi x15,x0,0 a8c: 00078513 addi x10,x15,0 - a90: a69ff0ef jal x1,4f8 <_h2s> - a94: ecc40713 addi x14,x8,-308 - a98: 000027b7 lui x15,0x2 - a9c: ad078593 addi x11,x15,-1328 # 1ad0 <__errno+0x8> - aa0: 00070513 addi x10,x14,0 - aa4: e31ff0ef jal x1,8d4 <_strcat> - aa8: fc042e23 sw x0,-36(x8) - aac: 0c00006f jal x0,b6c - ab0: fd442783 lw x15,-44(x8) - ab4: fcf42623 sw x15,-52(x8) - ab8: fdc42703 lw x14,-36(x8) - abc: fd442783 lw x15,-44(x8) - ac0: 00f70733 add x14,x14,x15 - ac4: c381a783 lw x15,-968(x3) # 2470 - ac8: 00f77e63 bgeu x14,x15,ae4 - acc: ecc40713 addi x14,x8,-308 - ad0: 000027b7 lui x15,0x2 - ad4: ad478593 addi x11,x15,-1324 # 1ad4 <__errno+0xc> - ad8: 00070513 addi x10,x14,0 - adc: df9ff0ef jal x1,8d4 <_strcat> - ae0: 0600006f jal x0,b40 - ae4: fdc42783 lw x15,-36(x8) - ae8: fcc42703 lw x14,-52(x8) - aec: 00f707b3 add x15,x14,x15 - af0: 0007c783 lbu x15,0(x15) - af4: 00078a13 addi x20,x15,0 - af8: 00000a93 addi x21,x0,0 - afc: ec440793 addi x15,x8,-316 - b00: 03000713 addi x14,x0,48 - b04: 00200693 addi x13,x0,2 - b08: 000a0593 addi x11,x20,0 - b0c: 000a8613 addi x12,x21,0 - b10: 00078513 addi x10,x15,0 - b14: 9e5ff0ef jal x1,4f8 <_h2s> - b18: ec440713 addi x14,x8,-316 - b1c: 000027b7 lui x15,0x2 - b20: ad878593 addi x11,x15,-1320 # 1ad8 <__errno+0x10> - b24: 00070513 addi x10,x14,0 - b28: dadff0ef jal x1,8d4 <_strcat> - b2c: ec440713 addi x14,x8,-316 - b30: ecc40793 addi x15,x8,-308 - b34: 00070593 addi x11,x14,0 - b38: 00078513 addi x10,x15,0 - b3c: d99ff0ef jal x1,8d4 <_strcat> - b40: fdc42703 lw x14,-36(x8) - b44: 00700793 addi x15,x0,7 - b48: 00f71c63 bne x14,x15,b60 - b4c: ecc40713 addi x14,x8,-308 - b50: 000027b7 lui x15,0x2 - b54: adc78593 addi x11,x15,-1316 # 1adc <__errno+0x14> - b58: 00070513 addi x10,x14,0 - b5c: d79ff0ef jal x1,8d4 <_strcat> - b60: fdc42783 lw x15,-36(x8) - b64: 00178793 addi x15,x15,1 - b68: fcf42e23 sw x15,-36(x8) - b6c: fdc42703 lw x14,-36(x8) - b70: 00f00793 addi x15,x0,15 - b74: f2e7dee3 bge x15,x14,ab0 - b78: ecc40713 addi x14,x8,-308 - b7c: 000027b7 lui x15,0x2 - b80: ae078593 addi x11,x15,-1312 # 1ae0 <__errno+0x18> - b84: 00070513 addi x10,x14,0 - b88: d4dff0ef jal x1,8d4 <_strcat> - b8c: fc042e23 sw x0,-36(x8) - b90: 0800006f jal x0,c10 - b94: fd442783 lw x15,-44(x8) - b98: fcf42823 sw x15,-48(x8) - b9c: fdc42783 lw x15,-36(x8) - ba0: fd042703 lw x14,-48(x8) - ba4: 00f707b3 add x15,x14,x15 - ba8: 0007c703 lbu x14,0(x15) - bac: 01f00793 addi x15,x0,31 - bb0: 02e7fa63 bgeu x15,x14,be4 - bb4: fdc42783 lw x15,-36(x8) - bb8: fd042703 lw x14,-48(x8) - bbc: 00f707b3 add x15,x14,x15 - bc0: 0007c703 lbu x14,0(x15) - bc4: 07e00793 addi x15,x0,126 - bc8: 00e7ee63 bltu x15,x14,be4 - bcc: fdc42783 lw x15,-36(x8) - bd0: fd042703 lw x14,-48(x8) - bd4: 00f707b3 add x15,x14,x15 - bd8: 0007c783 lbu x15,0(x15) - bdc: ecf40023 sb x15,-320(x8) - be0: 00c0006f jal x0,bec - be4: 02e00793 addi x15,x0,46 - be8: ecf40023 sb x15,-320(x8) - bec: ec0400a3 sb x0,-319(x8) - bf0: ec040713 addi x14,x8,-320 - bf4: ecc40793 addi x15,x8,-308 - bf8: 00070593 addi x11,x14,0 - bfc: 00078513 addi x10,x15,0 - c00: cd5ff0ef jal x1,8d4 <_strcat> + a90: 02c12403 lw x8,44(x2) + a94: 03010113 addi x2,x2,48 + a98: 00008067 jalr x0,0(x1) + +00000a9c <_buadrateset>: + a9c: fe010113 addi x2,x2,-32 + aa0: 00812e23 sw x8,28(x2) + aa4: 02010413 addi x8,x2,32 + aa8: fea42623 sw x10,-20(x8) + aac: 05f5e7b7 lui x15,0x5f5e + ab0: 10078713 addi x14,x15,256 # 5f5e100 <__global_pointer$+0x5f5a8a0> + ab4: fec42783 lw x15,-20(x8) + ab8: 02f74733 div x14,x14,x15 + abc: c7c1a783 lw x15,-900(x3) # 34dc <_uartaddr> + ac0: 01078793 addi x15,x15,16 + ac4: 00e7a023 sw x14,0(x15) + ac8: 00000793 addi x15,x0,0 + acc: 00078513 addi x10,x15,0 + ad0: 01c12403 lw x8,28(x2) + ad4: 02010113 addi x2,x2,32 + ad8: 00008067 jalr x0,0(x1) + +00000adc : + adc: ec010113 addi x2,x2,-320 + ae0: 12112e23 sw x1,316(x2) + ae4: 12812c23 sw x8,312(x2) + ae8: 13212a23 sw x18,308(x2) + aec: 13312823 sw x19,304(x2) + af0: 13412623 sw x20,300(x2) + af4: 13512423 sw x21,296(x2) + af8: 14010413 addi x8,x2,320 + afc: c881a783 lw x15,-888(x3) # 34e8 + b00: ff07f793 andi x15,x15,-16 + b04: fcf42a23 sw x15,-44(x8) + b08: fc042c23 sw x0,-40(x8) + b0c: 1f80006f jal x0,d04 + b10: fd442783 lw x15,-44(x8) + b14: 00078913 addi x18,x15,0 + b18: 00000993 addi x19,x0,0 + b1c: ecc40793 addi x15,x8,-308 + b20: 03000713 addi x14,x0,48 + b24: 00800693 addi x13,x0,8 + b28: 00090593 addi x11,x18,0 + b2c: 00098613 addi x12,x19,0 + b30: 00078513 addi x10,x15,0 + b34: a69ff0ef jal x1,59c <_h2s> + b38: ecc40713 addi x14,x8,-308 + b3c: 000037b7 lui x15,0x3 + b40: a4878593 addi x11,x15,-1464 # 2a48 <__errno+0x8> + b44: 00070513 addi x10,x14,0 + b48: e31ff0ef jal x1,978 <_strcat> + b4c: fc042e23 sw x0,-36(x8) + b50: 0c00006f jal x0,c10 + b54: fd442783 lw x15,-44(x8) + b58: fcf42623 sw x15,-52(x8) + b5c: fdc42703 lw x14,-36(x8) + b60: fd442783 lw x15,-44(x8) + b64: 00f70733 add x14,x14,x15 + b68: c881a783 lw x15,-888(x3) # 34e8 + b6c: 00f77e63 bgeu x14,x15,b88 + b70: ecc40713 addi x14,x8,-308 + b74: 000037b7 lui x15,0x3 + b78: a4c78593 addi x11,x15,-1460 # 2a4c <__errno+0xc> + b7c: 00070513 addi x10,x14,0 + b80: df9ff0ef jal x1,978 <_strcat> + b84: 0600006f jal x0,be4 + b88: fdc42783 lw x15,-36(x8) + b8c: fcc42703 lw x14,-52(x8) + b90: 00f707b3 add x15,x14,x15 + b94: 0007c783 lbu x15,0(x15) + b98: 00078a13 addi x20,x15,0 + b9c: 00000a93 addi x21,x0,0 + ba0: ec440793 addi x15,x8,-316 + ba4: 03000713 addi x14,x0,48 + ba8: 00200693 addi x13,x0,2 + bac: 000a0593 addi x11,x20,0 + bb0: 000a8613 addi x12,x21,0 + bb4: 00078513 addi x10,x15,0 + bb8: 9e5ff0ef jal x1,59c <_h2s> + bbc: ec440713 addi x14,x8,-316 + bc0: 000037b7 lui x15,0x3 + bc4: a5078593 addi x11,x15,-1456 # 2a50 <__errno+0x10> + bc8: 00070513 addi x10,x14,0 + bcc: dadff0ef jal x1,978 <_strcat> + bd0: ec440713 addi x14,x8,-316 + bd4: ecc40793 addi x15,x8,-308 + bd8: 00070593 addi x11,x14,0 + bdc: 00078513 addi x10,x15,0 + be0: d99ff0ef jal x1,978 <_strcat> + be4: fdc42703 lw x14,-36(x8) + be8: 00700793 addi x15,x0,7 + bec: 00f71c63 bne x14,x15,c04 + bf0: ecc40713 addi x14,x8,-308 + bf4: 000037b7 lui x15,0x3 + bf8: a5478593 addi x11,x15,-1452 # 2a54 <__errno+0x14> + bfc: 00070513 addi x10,x14,0 + c00: d79ff0ef jal x1,978 <_strcat> c04: fdc42783 lw x15,-36(x8) c08: 00178793 addi x15,x15,1 c0c: fcf42e23 sw x15,-36(x8) c10: fdc42703 lw x14,-36(x8) c14: 00f00793 addi x15,x0,15 - c18: f6e7dee3 bge x15,x14,b94 + c18: f2e7dee3 bge x15,x14,b54 c1c: ecc40713 addi x14,x8,-308 - c20: 000027b7 lui x15,0x2 - c24: ae478593 addi x11,x15,-1308 # 1ae4 <__errno+0x1c> + c20: 000037b7 lui x15,0x3 + c24: a5878593 addi x11,x15,-1448 # 2a58 <__errno+0x18> c28: 00070513 addi x10,x14,0 - c2c: ca9ff0ef jal x1,8d4 <_strcat> - c30: ecc40793 addi x15,x8,-308 - c34: 00078513 addi x10,x15,0 - c38: e24ff0ef jal x1,25c <_puts> - c3c: fd442783 lw x15,-44(x8) - c40: 01078793 addi x15,x15,16 - c44: fcf42a23 sw x15,-44(x8) - c48: fd442783 lw x15,-44(x8) - c4c: 0ff7f793 andi x15,x15,255 - c50: 02078063 beq x15,x0,c70 - c54: fd842783 lw x15,-40(x8) - c58: 00178793 addi x15,x15,1 - c5c: fcf42c23 sw x15,-40(x8) - c60: fd842703 lw x14,-40(x8) - c64: 00f00793 addi x15,x0,15 - c68: e0e7d2e3 bge x15,x14,a6c - c6c: 0080006f jal x0,c74 - c70: 00000013 addi x0,x0,0 - c74: 000027b7 lui x15,0x2 - c78: ae878513 addi x10,x15,-1304 # 1ae8 <__errno+0x20> - c7c: de0ff0ef jal x1,25c <_puts> - c80: fd442703 lw x14,-44(x8) - c84: c2e1ac23 sw x14,-968(x3) # 2470 - c88: 00000013 addi x0,x0,0 - c8c: 13c12083 lw x1,316(x2) - c90: 13812403 lw x8,312(x2) - c94: 13412903 lw x18,308(x2) - c98: 13012983 lw x19,304(x2) - c9c: 12c12a03 lw x20,300(x2) - ca0: 12812a83 lw x21,296(x2) - ca4: 14010113 addi x2,x2,320 - ca8: 00008067 jalr x0,0(x1) + c2c: d4dff0ef jal x1,978 <_strcat> + c30: fc042e23 sw x0,-36(x8) + c34: 0800006f jal x0,cb4 + c38: fd442783 lw x15,-44(x8) + c3c: fcf42823 sw x15,-48(x8) + c40: fdc42783 lw x15,-36(x8) + c44: fd042703 lw x14,-48(x8) + c48: 00f707b3 add x15,x14,x15 + c4c: 0007c703 lbu x14,0(x15) + c50: 01f00793 addi x15,x0,31 + c54: 02e7fa63 bgeu x15,x14,c88 + c58: fdc42783 lw x15,-36(x8) + c5c: fd042703 lw x14,-48(x8) + c60: 00f707b3 add x15,x14,x15 + c64: 0007c703 lbu x14,0(x15) + c68: 07e00793 addi x15,x0,126 + c6c: 00e7ee63 bltu x15,x14,c88 + c70: fdc42783 lw x15,-36(x8) + c74: fd042703 lw x14,-48(x8) + c78: 00f707b3 add x15,x14,x15 + c7c: 0007c783 lbu x15,0(x15) + c80: ecf40023 sb x15,-320(x8) + c84: 00c0006f jal x0,c90 + c88: 02e00793 addi x15,x0,46 + c8c: ecf40023 sb x15,-320(x8) + c90: ec0400a3 sb x0,-319(x8) + c94: ec040713 addi x14,x8,-320 + c98: ecc40793 addi x15,x8,-308 + c9c: 00070593 addi x11,x14,0 + ca0: 00078513 addi x10,x15,0 + ca4: cd5ff0ef jal x1,978 <_strcat> + ca8: fdc42783 lw x15,-36(x8) + cac: 00178793 addi x15,x15,1 + cb0: fcf42e23 sw x15,-36(x8) + cb4: fdc42703 lw x14,-36(x8) + cb8: 00f00793 addi x15,x0,15 + cbc: f6e7dee3 bge x15,x14,c38 + cc0: ecc40713 addi x14,x8,-308 + cc4: 000037b7 lui x15,0x3 + cc8: a5c78593 addi x11,x15,-1444 # 2a5c <__errno+0x1c> + ccc: 00070513 addi x10,x14,0 + cd0: ca9ff0ef jal x1,978 <_strcat> + cd4: ecc40793 addi x15,x8,-308 + cd8: 00078513 addi x10,x15,0 + cdc: d80ff0ef jal x1,25c <_puts> + ce0: fd442783 lw x15,-44(x8) + ce4: 01078793 addi x15,x15,16 + ce8: fcf42a23 sw x15,-44(x8) + cec: fd442783 lw x15,-44(x8) + cf0: 0ff7f793 andi x15,x15,255 + cf4: 02078063 beq x15,x0,d14 + cf8: fd842783 lw x15,-40(x8) + cfc: 00178793 addi x15,x15,1 + d00: fcf42c23 sw x15,-40(x8) + d04: fd842703 lw x14,-40(x8) + d08: 00f00793 addi x15,x0,15 + d0c: e0e7d2e3 bge x15,x14,b10 + d10: 0080006f jal x0,d18 + d14: 00000013 addi x0,x0,0 + d18: 000037b7 lui x15,0x3 + d1c: a6078513 addi x10,x15,-1440 # 2a60 <__errno+0x20> + d20: d3cff0ef jal x1,25c <_puts> + d24: fd442703 lw x14,-44(x8) + d28: c8e1a423 sw x14,-888(x3) # 34e8 + d2c: 00000013 addi x0,x0,0 + d30: 13c12083 lw x1,316(x2) + d34: 13812403 lw x8,312(x2) + d38: 13412903 lw x18,308(x2) + d3c: 13012983 lw x19,304(x2) + d40: 12c12a03 lw x20,300(x2) + d44: 12812a83 lw x21,296(x2) + d48: 14010113 addi x2,x2,320 + d4c: 00008067 jalr x0,0(x1) + +00000d50 : + d50: fe010113 addi x2,x2,-32 + d54: 00812e23 sw x8,28(x2) + d58: 02010413 addi x8,x2,32 + d5c: c00065f3 csrrsi x11,cycle,0 + d60: feb42623 sw x11,-20(x8) + d64: c80065f3 csrrsi x11,cycleh,0 + d68: feb42423 sw x11,-24(x8) + d6c: fe842583 lw x11,-24(x8) + d70: feb42023 sw x11,-32(x8) + d74: fe042223 sw x0,-28(x8) + d78: fe042583 lw x11,-32(x8) + d7c: 00059893 slli x17,x11,0x0 + d80: 00000813 addi x16,x0,0 + d84: ff042023 sw x16,-32(x8) + d88: ff142223 sw x17,-28(x8) + d8c: fec42583 lw x11,-20(x8) + d90: 00058713 addi x14,x11,0 + d94: 00000793 addi x15,x0,0 + d98: fe042583 lw x11,-32(x8) + d9c: 00e5e633 or x12,x11,x14 + da0: fe442583 lw x11,-28(x8) + da4: 00f5e6b3 or x13,x11,x15 + da8: fec42023 sw x12,-32(x8) + dac: fed42223 sw x13,-28(x8) + db0: fe042703 lw x14,-32(x8) + db4: fe442783 lw x15,-28(x8) + db8: 00070513 addi x10,x14,0 + dbc: 00078593 addi x11,x15,0 + dc0: 01c12403 lw x8,28(x2) + dc4: 02010113 addi x2,x2,32 + dc8: 00008067 jalr x0,0(x1) -00000cac : - cac: fe010113 addi x2,x2,-32 - cb0: 00812e23 sw x8,28(x2) - cb4: 02010413 addi x8,x2,32 - cb8: c00065f3 csrrsi x11,cycle,0 - cbc: feb42623 sw x11,-20(x8) - cc0: c80065f3 csrrsi x11,cycleh,0 - cc4: feb42423 sw x11,-24(x8) - cc8: fe842583 lw x11,-24(x8) - ccc: feb42023 sw x11,-32(x8) - cd0: fe042223 sw x0,-28(x8) - cd4: fe042583 lw x11,-32(x8) - cd8: 00059893 slli x17,x11,0x0 - cdc: 00000813 addi x16,x0,0 - ce0: ff042023 sw x16,-32(x8) - ce4: ff142223 sw x17,-28(x8) - ce8: fec42583 lw x11,-20(x8) - cec: 00058713 addi x14,x11,0 - cf0: 00000793 addi x15,x0,0 - cf4: fe042583 lw x11,-32(x8) - cf8: 00e5e633 or x12,x11,x14 - cfc: fe442583 lw x11,-28(x8) - d00: 00f5e6b3 or x13,x11,x15 - d04: fec42023 sw x12,-32(x8) - d08: fed42223 sw x13,-28(x8) - d0c: fe042703 lw x14,-32(x8) - d10: fe442783 lw x15,-28(x8) - d14: 00070513 addi x10,x14,0 - d18: 00078593 addi x11,x15,0 - d1c: 01c12403 lw x8,28(x2) - d20: 02010113 addi x2,x2,32 - d24: 00008067 jalr x0,0(x1) +00000dcc : + dcc: fe010113 addi x2,x2,-32 + dd0: 00812e23 sw x8,28(x2) + dd4: 02010413 addi x8,x2,32 + dd8: c02065f3 csrrsi x11,instret,0 + ddc: feb42623 sw x11,-20(x8) + de0: c82065f3 csrrsi x11,instreth,0 + de4: feb42423 sw x11,-24(x8) + de8: fe842583 lw x11,-24(x8) + dec: feb42023 sw x11,-32(x8) + df0: fe042223 sw x0,-28(x8) + df4: fe042583 lw x11,-32(x8) + df8: 00059893 slli x17,x11,0x0 + dfc: 00000813 addi x16,x0,0 + e00: ff042023 sw x16,-32(x8) + e04: ff142223 sw x17,-28(x8) + e08: fec42583 lw x11,-20(x8) + e0c: 00058713 addi x14,x11,0 + e10: 00000793 addi x15,x0,0 + e14: fe042583 lw x11,-32(x8) + e18: 00e5e633 or x12,x11,x14 + e1c: fe442583 lw x11,-28(x8) + e20: 00f5e6b3 or x13,x11,x15 + e24: fec42023 sw x12,-32(x8) + e28: fed42223 sw x13,-28(x8) + e2c: fe042703 lw x14,-32(x8) + e30: fe442783 lw x15,-28(x8) + e34: 00070513 addi x10,x14,0 + e38: 00078593 addi x11,x15,0 + e3c: 01c12403 lw x8,28(x2) + e40: 02010113 addi x2,x2,32 + e44: 00008067 jalr x0,0(x1) -00000d28 : - d28: fe010113 addi x2,x2,-32 - d2c: 00812e23 sw x8,28(x2) - d30: 02010413 addi x8,x2,32 - d34: c02065f3 csrrsi x11,instret,0 - d38: feb42623 sw x11,-20(x8) - d3c: c82065f3 csrrsi x11,instreth,0 - d40: feb42423 sw x11,-24(x8) - d44: fe842583 lw x11,-24(x8) - d48: feb42023 sw x11,-32(x8) - d4c: fe042223 sw x0,-28(x8) - d50: fe042583 lw x11,-32(x8) - d54: 00059893 slli x17,x11,0x0 - d58: 00000813 addi x16,x0,0 - d5c: ff042023 sw x16,-32(x8) - d60: ff142223 sw x17,-28(x8) - d64: fec42583 lw x11,-20(x8) - d68: 00058713 addi x14,x11,0 - d6c: 00000793 addi x15,x0,0 - d70: fe042583 lw x11,-32(x8) - d74: 00e5e633 or x12,x11,x14 - d78: fe442583 lw x11,-28(x8) - d7c: 00f5e6b3 or x13,x11,x15 - d80: fec42023 sw x12,-32(x8) - d84: fed42223 sw x13,-28(x8) - d88: fe042703 lw x14,-32(x8) - d8c: fe442783 lw x15,-28(x8) - d90: 00070513 addi x10,x14,0 - d94: 00078593 addi x11,x15,0 - d98: 01c12403 lw x8,28(x2) - d9c: 02010113 addi x2,x2,32 - da0: 00008067 jalr x0,0(x1) +00000e48 : + e48: fe010113 addi x2,x2,-32 + e4c: 00812e23 sw x8,28(x2) + e50: 02010413 addi x8,x2,32 + e54: c20065f3 csrrsi x11,0xc20,0 + e58: feb42623 sw x11,-20(x8) + e5c: ca0065f3 csrrsi x11,0xca0,0 + e60: feb42423 sw x11,-24(x8) + e64: fe842583 lw x11,-24(x8) + e68: feb42023 sw x11,-32(x8) + e6c: fe042223 sw x0,-28(x8) + e70: fe042583 lw x11,-32(x8) + e74: 00059893 slli x17,x11,0x0 + e78: 00000813 addi x16,x0,0 + e7c: ff042023 sw x16,-32(x8) + e80: ff142223 sw x17,-28(x8) + e84: fec42583 lw x11,-20(x8) + e88: 00058713 addi x14,x11,0 + e8c: 00000793 addi x15,x0,0 + e90: fe042583 lw x11,-32(x8) + e94: 00e5e633 or x12,x11,x14 + e98: fe442583 lw x11,-28(x8) + e9c: 00f5e6b3 or x13,x11,x15 + ea0: fec42023 sw x12,-32(x8) + ea4: fed42223 sw x13,-28(x8) + ea8: fe042703 lw x14,-32(x8) + eac: fe442783 lw x15,-28(x8) + eb0: 00070513 addi x10,x14,0 + eb4: 00078593 addi x11,x15,0 + eb8: 01c12403 lw x8,28(x2) + ebc: 02010113 addi x2,x2,32 + ec0: 00008067 jalr x0,0(x1) -00000da4 : - da4: ff010113 addi x2,x2,-16 - da8: 00112623 sw x1,12(x2) - dac: 00812423 sw x8,8(x2) - db0: 01010413 addi x8,x2,16 - db4: 000027b7 lui x15,0x2 - db8: aec78513 addi x10,x15,-1300 # 1aec <__errno+0x24> - dbc: ca0ff0ef jal x1,25c <_puts> - dc0: 000027b7 lui x15,0x2 - dc4: b0c78513 addi x10,x15,-1268 # 1b0c <__errno+0x44> - dc8: c94ff0ef jal x1,25c <_puts> - dcc: 000027b7 lui x15,0x2 - dd0: b3078513 addi x10,x15,-1232 # 1b30 <__errno+0x68> - dd4: c88ff0ef jal x1,25c <_puts> - dd8: 000027b7 lui x15,0x2 - ddc: b5878513 addi x10,x15,-1192 # 1b58 <__errno+0x90> - de0: c7cff0ef jal x1,25c <_puts> - de4: 000027b7 lui x15,0x2 - de8: b8c78513 addi x10,x15,-1140 # 1b8c <__errno+0xc4> - dec: c70ff0ef jal x1,25c <_puts> - df0: 00000013 addi x0,x0,0 - df4: 00c12083 lw x1,12(x2) - df8: 00812403 lw x8,8(x2) - dfc: 01010113 addi x2,x2,16 - e00: 00008067 jalr x0,0(x1) +00000ec4 : + ec4: fe010113 addi x2,x2,-32 + ec8: 00812e23 sw x8,28(x2) + ecc: 02010413 addi x8,x2,32 + ed0: c21065f3 csrrsi x11,0xc21,0 + ed4: feb42623 sw x11,-20(x8) + ed8: ca1065f3 csrrsi x11,0xca1,0 + edc: feb42423 sw x11,-24(x8) + ee0: fe842583 lw x11,-24(x8) + ee4: feb42023 sw x11,-32(x8) + ee8: fe042223 sw x0,-28(x8) + eec: fe042583 lw x11,-32(x8) + ef0: 00059893 slli x17,x11,0x0 + ef4: 00000813 addi x16,x0,0 + ef8: ff042023 sw x16,-32(x8) + efc: ff142223 sw x17,-28(x8) + f00: fec42583 lw x11,-20(x8) + f04: 00058713 addi x14,x11,0 + f08: 00000793 addi x15,x0,0 + f0c: fe042583 lw x11,-32(x8) + f10: 00e5e633 or x12,x11,x14 + f14: fe442583 lw x11,-28(x8) + f18: 00f5e6b3 or x13,x11,x15 + f1c: fec42023 sw x12,-32(x8) + f20: fed42223 sw x13,-28(x8) + f24: fe042703 lw x14,-32(x8) + f28: fe442783 lw x15,-28(x8) + f2c: 00070513 addi x10,x14,0 + f30: 00078593 addi x11,x15,0 + f34: 01c12403 lw x8,28(x2) + f38: 02010113 addi x2,x2,32 + f3c: 00008067 jalr x0,0(x1) -00000e04
: - e04: e8010113 addi x2,x2,-384 - e08: 16112e23 sw x1,380(x2) - e0c: 16812c23 sw x8,376(x2) - e10: 17212a23 sw x18,372(x2) - e14: 17312823 sw x19,368(x2) - e18: 17412623 sw x20,364(x2) - e1c: 17512423 sw x21,360(x2) - e20: 17612223 sw x22,356(x2) - e24: 17712023 sw x23,352(x2) - e28: 18010413 addi x8,x2,384 - e2c: e8a42623 sw x10,-372(x8) - e30: e8b42423 sw x11,-376(x8) - e34: f00007b7 lui x15,0xf0000 - e38: fcf42c23 sw x15,-40(x8) - e3c: f00007b7 lui x15,0xf0000 - e40: 01078793 addi x15,x15,16 # f0000010 <__global_pointer$+0xefffd7d8> - e44: fcf42a23 sw x15,-44(x8) - e48: 0001c7b7 lui x15,0x1c - e4c: 20078513 addi x10,x15,512 # 1c200 <__global_pointer$+0x199c8> - e50: ba9ff0ef jal x1,9f8 <_buadrateset> - e54: fc042823 sw x0,-48(x8) - e58: fc042623 sw x0,-52(x8) - e5c: e51ff0ef jal x1,cac - e60: 00050913 addi x18,x10,0 - e64: 00058993 addi x19,x11,0 - e68: ec1ff0ef jal x1,d28 - e6c: 00050713 addi x14,x10,0 - e70: 00058793 addi x15,x11,0 - e74: 06400613 addi x12,x0,100 - e78: 00000693 addi x13,x0,0 - e7c: 00070513 addi x10,x14,0 - e80: 00078593 addi x11,x15,0 - e84: 414000ef jal x1,1298 <__udivdi3> - e88: 00050713 addi x14,x10,0 - e8c: 00058793 addi x15,x11,0 - e90: 00070613 addi x12,x14,0 - e94: 00078693 addi x13,x15,0 - e98: 00090513 addi x10,x18,0 - e9c: 00098593 addi x11,x19,0 - ea0: 3f8000ef jal x1,1298 <__udivdi3> - ea4: 00050713 addi x14,x10,0 - ea8: 00058793 addi x15,x11,0 - eac: fce42423 sw x14,-56(x8) - eb0: a8cff0ef jal x1,13c <_canputchar> - eb4: 00050793 addi x15,x10,0 - eb8: 08078e63 beq x15,x0,f54 - ebc: df1ff0ef jal x1,cac - ec0: e9040793 addi x15,x8,-368 - ec4: 03000713 addi x14,x0,48 - ec8: 00800693 addi x13,x0,8 - ecc: 00058613 addi x12,x11,0 - ed0: 00050593 addi x11,x10,0 - ed4: 00078513 addi x10,x15,0 - ed8: e20ff0ef jal x1,4f8 <_h2s> - edc: e9040793 addi x15,x8,-368 - ee0: 00078513 addi x10,x15,0 - ee4: b78ff0ef jal x1,25c <_puts> - ee8: 000027b7 lui x15,0x2 - eec: ba478513 addi x10,x15,-1116 # 1ba4 <__errno+0xdc> - ef0: b6cff0ef jal x1,25c <_puts> - ef4: e35ff0ef jal x1,d28 - ef8: e9040793 addi x15,x8,-368 - efc: 03000713 addi x14,x0,48 - f00: 00800693 addi x13,x0,8 - f04: 00058613 addi x12,x11,0 - f08: 00050593 addi x11,x10,0 - f0c: 00078513 addi x10,x15,0 - f10: de8ff0ef jal x1,4f8 <_h2s> - f14: e9040793 addi x15,x8,-368 - f18: 00078513 addi x10,x15,0 - f1c: b40ff0ef jal x1,25c <_puts> - f20: 000027b7 lui x15,0x2 - f24: ba478513 addi x10,x15,-1116 # 1ba4 <__errno+0xdc> - f28: b34ff0ef jal x1,25c <_puts> - f2c: e9040793 addi x15,x8,-368 - f30: fc842583 lw x11,-56(x8) - f34: 00078513 addi x10,x15,0 - f38: c64ff0ef jal x1,39c <_d2s> - f3c: e9040793 addi x15,x8,-368 - f40: 00078513 addi x10,x15,0 - f44: b18ff0ef jal x1,25c <_puts> - f48: 000027b7 lui x15,0x2 - f4c: ba878513 addi x10,x15,-1112 # 1ba8 <__errno+0xe0> - f50: b0cff0ef jal x1,25c <_puts> - f54: a24ff0ef jal x1,178 <_haschar> - f58: 00050793 addi x15,x10,0 - f5c: fe078ce3 beq x15,x0,f54 - f60: e9040793 addi x15,x8,-368 - f64: 0ff00593 addi x11,x0,255 - f68: 00078513 addi x10,x15,0 - f6c: b58ff0ef jal x1,2c4 <_gets> - f70: 00000013 addi x0,x0,0 - f74: 000027b7 lui x15,0x2 - f78: bac78513 addi x10,x15,-1108 # 1bac <__errno+0xe4> - f7c: ae0ff0ef jal x1,25c <_puts> - f80: e9040793 addi x15,x8,-368 - f84: 00078513 addi x10,x15,0 - f88: ad4ff0ef jal x1,25c <_puts> - f8c: 000027b7 lui x15,0x2 - f90: bb078513 addi x10,x15,-1104 # 1bb0 <__errno+0xe8> - f94: ac8ff0ef jal x1,25c <_puts> - f98: e9040713 addi x14,x8,-368 - f9c: 00400613 addi x12,x0,4 - fa0: 000027b7 lui x15,0x2 - fa4: bb478593 addi x11,x15,-1100 # 1bb4 <__errno+0xec> +00000f40 : + f40: fe010113 addi x2,x2,-32 + f44: 00812e23 sw x8,28(x2) + f48: 02010413 addi x8,x2,32 + f4c: c22065f3 csrrsi x11,0xc22,0 + f50: feb42623 sw x11,-20(x8) + f54: ca2065f3 csrrsi x11,0xca2,0 + f58: feb42423 sw x11,-24(x8) + f5c: fe842583 lw x11,-24(x8) + f60: feb42023 sw x11,-32(x8) + f64: fe042223 sw x0,-28(x8) + f68: fe042583 lw x11,-32(x8) + f6c: 00059893 slli x17,x11,0x0 + f70: 00000813 addi x16,x0,0 + f74: ff042023 sw x16,-32(x8) + f78: ff142223 sw x17,-28(x8) + f7c: fec42583 lw x11,-20(x8) + f80: 00058713 addi x14,x11,0 + f84: 00000793 addi x15,x0,0 + f88: fe042583 lw x11,-32(x8) + f8c: 00e5e633 or x12,x11,x14 + f90: fe442583 lw x11,-28(x8) + f94: 00f5e6b3 or x13,x11,x15 + f98: fec42023 sw x12,-32(x8) + f9c: fed42223 sw x13,-28(x8) + fa0: fe042703 lw x14,-32(x8) + fa4: fe442783 lw x15,-28(x8) fa8: 00070513 addi x10,x14,0 - fac: 9b5ff0ef jal x1,960 <_strncmp> - fb0: 00050793 addi x15,x10,0 - fb4: 00079663 bne x15,x0,fc0 - fb8: dedff0ef jal x1,da4 - fbc: ea1ff06f jal x0,e5c - fc0: e9044703 lbu x14,-368(x8) - fc4: 06200793 addi x15,x0,98 - fc8: 02f71c63 bne x14,x15,1000 - fcc: e9040793 addi x15,x8,-368 - fd0: 00278793 addi x15,x15,2 - fd4: 00000593 addi x11,x0,0 - fd8: 00078513 addi x10,x15,0 - fdc: ec4ff0ef jal x1,6a0 <_s2d> - fe0: faa42623 sw x10,-84(x8) - fe4: fac42783 lw x15,-84(x8) - fe8: 00f05863 bge x0,x15,ff8 - fec: fac42503 lw x10,-84(x8) - ff0: a09ff0ef jal x1,9f8 <_buadrateset> - ff4: e69ff06f jal x0,e5c - ff8: dadff0ef jal x1,da4 - ffc: e61ff06f jal x0,e5c - 1000: e9044703 lbu x14,-368(x8) - 1004: 06400793 addi x15,x0,100 - 1008: 02f71a63 bne x14,x15,103c - 100c: e9040793 addi x15,x8,-368 - 1010: 00278793 addi x15,x15,2 - 1014: 00000593 addi x11,x0,0 - 1018: 00078513 addi x10,x15,0 - 101c: f80ff0ef jal x1,79c <_s2h> - 1020: faa42823 sw x10,-80(x8) - 1024: fb042783 lw x15,-80(x8) - 1028: 00f05663 bge x0,x15,1034 - 102c: fb042703 lw x14,-80(x8) - 1030: c2e1ac23 sw x14,-968(x3) # 2470 - 1034: a05ff0ef jal x1,a38 - 1038: e25ff06f jal x0,e5c - 103c: e9044703 lbu x14,-368(x8) - 1040: 07700793 addi x15,x0,119 - 1044: 0af71c63 bne x14,x15,10fc - 1048: e9040793 addi x15,x8,-368 - 104c: 00278793 addi x15,x15,2 - 1050: f9440713 addi x14,x8,-108 - 1054: 00070593 addi x11,x14,0 - 1058: 00078513 addi x10,x15,0 - 105c: f40ff0ef jal x1,79c <_s2h> - 1060: faa42e23 sw x10,-68(x8) - 1064: f9442783 lw x15,-108(x8) - 1068: f9440713 addi x14,x8,-108 - 106c: 00070593 addi x11,x14,0 - 1070: 00078513 addi x10,x15,0 - 1074: f28ff0ef jal x1,79c <_s2h> - 1078: faa42c23 sw x10,-72(x8) - 107c: f9442783 lw x15,-108(x8) - 1080: f9440713 addi x14,x8,-108 - 1084: 00070593 addi x11,x14,0 - 1088: 00078513 addi x10,x15,0 - 108c: f10ff0ef jal x1,79c <_s2h> - 1090: faa42a23 sw x10,-76(x8) - 1094: fb442703 lw x14,-76(x8) - 1098: 00100793 addi x15,x0,1 - 109c: 00f71c63 bne x14,x15,10b4 - 10a0: fbc42783 lw x15,-68(x8) - 10a4: fb842703 lw x14,-72(x8) - 10a8: 0ff77713 andi x14,x14,255 - 10ac: 00e78023 sb x14,0(x15) - 10b0: dadff06f jal x0,e5c - 10b4: fb442703 lw x14,-76(x8) - 10b8: 00200793 addi x15,x0,2 - 10bc: 00f71e63 bne x14,x15,10d8 - 10c0: fbc42783 lw x15,-68(x8) - 10c4: fb842703 lw x14,-72(x8) - 10c8: 01071713 slli x14,x14,0x10 - 10cc: 41075713 srai x14,x14,0x10 - 10d0: 00e79023 sh x14,0(x15) - 10d4: d89ff06f jal x0,e5c - 10d8: fb442703 lw x14,-76(x8) - 10dc: 00400793 addi x15,x0,4 - 10e0: 00f71a63 bne x14,x15,10f4 - 10e4: fbc42783 lw x15,-68(x8) - 10e8: fb842703 lw x14,-72(x8) - 10ec: 00e7a023 sw x14,0(x15) - 10f0: d6dff06f jal x0,e5c - 10f4: cb1ff0ef jal x1,da4 - 10f8: d65ff06f jal x0,e5c - 10fc: e9044703 lbu x14,-368(x8) - 1100: 07200793 addi x15,x0,114 - 1104: d4f71ce3 bne x14,x15,e5c - 1108: fc042e23 sw x0,-36(x8) - 110c: e9040793 addi x15,x8,-368 - 1110: 00278793 addi x15,x15,2 - 1114: f9040713 addi x14,x8,-112 - 1118: 00070593 addi x11,x14,0 - 111c: 00078513 addi x10,x15,0 - 1120: e7cff0ef jal x1,79c <_s2h> - 1124: fca42223 sw x10,-60(x8) - 1128: f9042783 lw x15,-112(x8) - 112c: f9040713 addi x14,x8,-112 - 1130: 00070593 addi x11,x14,0 - 1134: 00078513 addi x10,x15,0 - 1138: e64ff0ef jal x1,79c <_s2h> - 113c: fca42023 sw x10,-64(x8) - 1140: fc042703 lw x14,-64(x8) - 1144: 00100793 addi x15,x0,1 - 1148: 02f71063 bne x14,x15,1168 - 114c: fc442783 lw x15,-60(x8) - 1150: 0007c783 lbu x15,0(x15) - 1154: fcf42e23 sw x15,-36(x8) - 1158: 000027b7 lui x15,0x2 - 115c: bbc78513 addi x10,x15,-1092 # 1bbc <__errno+0xf4> - 1160: 8fcff0ef jal x1,25c <_puts> - 1164: 0580006f jal x0,11bc - 1168: fc042703 lw x14,-64(x8) - 116c: 00200793 addi x15,x0,2 - 1170: 02f71063 bne x14,x15,1190 - 1174: fc442783 lw x15,-60(x8) - 1178: 00079783 lh x15,0(x15) - 117c: fcf42e23 sw x15,-36(x8) - 1180: 000027b7 lui x15,0x2 - 1184: bc478513 addi x10,x15,-1084 # 1bc4 <__errno+0xfc> - 1188: 8d4ff0ef jal x1,25c <_puts> - 118c: 0300006f jal x0,11bc - 1190: fc042703 lw x14,-64(x8) - 1194: 00400793 addi x15,x0,4 - 1198: 02f71063 bne x14,x15,11b8 - 119c: fc442783 lw x15,-60(x8) - 11a0: 0007a783 lw x15,0(x15) - 11a4: fcf42e23 sw x15,-36(x8) - 11a8: 000027b7 lui x15,0x2 - 11ac: bcc78513 addi x10,x15,-1076 # 1bcc <__errno+0x104> - 11b0: 8acff0ef jal x1,25c <_puts> - 11b4: 0080006f jal x0,11bc - 11b8: bedff0ef jal x1,da4 - 11bc: fc042703 lw x14,-64(x8) - 11c0: 00100793 addi x15,x0,1 - 11c4: 00f70e63 beq x14,x15,11e0 - 11c8: fc042703 lw x14,-64(x8) - 11cc: 00200793 addi x15,x0,2 - 11d0: 00f70863 beq x14,x15,11e0 - 11d4: fc042703 lw x14,-64(x8) - 11d8: 00400793 addi x15,x0,4 - 11dc: c8f710e3 bne x14,x15,e5c - 11e0: fc442783 lw x15,-60(x8) - 11e4: 00078a13 addi x20,x15,0 - 11e8: 41f7d793 srai x15,x15,0x1f - 11ec: 00078a93 addi x21,x15,0 - 11f0: e9040793 addi x15,x8,-368 - 11f4: 03000713 addi x14,x0,48 - 11f8: 00800693 addi x13,x0,8 - 11fc: 000a0593 addi x11,x20,0 - 1200: 000a8613 addi x12,x21,0 - 1204: 00078513 addi x10,x15,0 - 1208: af0ff0ef jal x1,4f8 <_h2s> - 120c: e9040793 addi x15,x8,-368 - 1210: 00078513 addi x10,x15,0 - 1214: 848ff0ef jal x1,25c <_puts> - 1218: 000027b7 lui x15,0x2 - 121c: bd478513 addi x10,x15,-1068 # 1bd4 <__errno+0x10c> - 1220: 83cff0ef jal x1,25c <_puts> - 1224: e9040793 addi x15,x8,-368 - 1228: fdc42583 lw x11,-36(x8) - 122c: 00078513 addi x10,x15,0 - 1230: 96cff0ef jal x1,39c <_d2s> - 1234: e9040793 addi x15,x8,-368 - 1238: 00078513 addi x10,x15,0 - 123c: 820ff0ef jal x1,25c <_puts> - 1240: 000027b7 lui x15,0x2 - 1244: bd878513 addi x10,x15,-1064 # 1bd8 <__errno+0x110> - 1248: 814ff0ef jal x1,25c <_puts> - 124c: fdc42783 lw x15,-36(x8) - 1250: 00078b13 addi x22,x15,0 - 1254: 41f7d793 srai x15,x15,0x1f - 1258: 00078b93 addi x23,x15,0 - 125c: fc042783 lw x15,-64(x8) - 1260: 00179693 slli x13,x15,0x1 - 1264: e9040793 addi x15,x8,-368 - 1268: 03000713 addi x14,x0,48 - 126c: 000b0593 addi x11,x22,0 - 1270: 000b8613 addi x12,x23,0 - 1274: 00078513 addi x10,x15,0 - 1278: a80ff0ef jal x1,4f8 <_h2s> - 127c: e9040793 addi x15,x8,-368 - 1280: 00078513 addi x10,x15,0 - 1284: fd9fe0ef jal x1,25c <_puts> - 1288: 000027b7 lui x15,0x2 - 128c: bdc78513 addi x10,x15,-1060 # 1bdc <__errno+0x114> - 1290: fcdfe0ef jal x1,25c <_puts> - 1294: bc9ff06f jal x0,e5c + fac: 00078593 addi x11,x15,0 + fb0: 01c12403 lw x8,28(x2) + fb4: 02010113 addi x2,x2,32 + fb8: 00008067 jalr x0,0(x1) + +00000fbc : + fbc: fe010113 addi x2,x2,-32 + fc0: 00812e23 sw x8,28(x2) + fc4: 02010413 addi x8,x2,32 + fc8: c23065f3 csrrsi x11,0xc23,0 + fcc: feb42623 sw x11,-20(x8) + fd0: ca3065f3 csrrsi x11,0xca3,0 + fd4: feb42423 sw x11,-24(x8) + fd8: fe842583 lw x11,-24(x8) + fdc: feb42023 sw x11,-32(x8) + fe0: fe042223 sw x0,-28(x8) + fe4: fe042583 lw x11,-32(x8) + fe8: 00059893 slli x17,x11,0x0 + fec: 00000813 addi x16,x0,0 + ff0: ff042023 sw x16,-32(x8) + ff4: ff142223 sw x17,-28(x8) + ff8: fec42583 lw x11,-20(x8) + ffc: 00058713 addi x14,x11,0 + 1000: 00000793 addi x15,x0,0 + 1004: fe042583 lw x11,-32(x8) + 1008: 00e5e633 or x12,x11,x14 + 100c: fe442583 lw x11,-28(x8) + 1010: 00f5e6b3 or x13,x11,x15 + 1014: fec42023 sw x12,-32(x8) + 1018: fed42223 sw x13,-28(x8) + 101c: fe042703 lw x14,-32(x8) + 1020: fe442783 lw x15,-28(x8) + 1024: 00070513 addi x10,x14,0 + 1028: 00078593 addi x11,x15,0 + 102c: 01c12403 lw x8,28(x2) + 1030: 02010113 addi x2,x2,32 + 1034: 00008067 jalr x0,0(x1) + +00001038 : + 1038: fe010113 addi x2,x2,-32 + 103c: 00812e23 sw x8,28(x2) + 1040: 02010413 addi x8,x2,32 + 1044: c24065f3 csrrsi x11,0xc24,0 + 1048: feb42623 sw x11,-20(x8) + 104c: ca4065f3 csrrsi x11,0xca4,0 + 1050: feb42423 sw x11,-24(x8) + 1054: fe842583 lw x11,-24(x8) + 1058: feb42023 sw x11,-32(x8) + 105c: fe042223 sw x0,-28(x8) + 1060: fe042583 lw x11,-32(x8) + 1064: 00059893 slli x17,x11,0x0 + 1068: 00000813 addi x16,x0,0 + 106c: ff042023 sw x16,-32(x8) + 1070: ff142223 sw x17,-28(x8) + 1074: fec42583 lw x11,-20(x8) + 1078: 00058713 addi x14,x11,0 + 107c: 00000793 addi x15,x0,0 + 1080: fe042583 lw x11,-32(x8) + 1084: 00e5e633 or x12,x11,x14 + 1088: fe442583 lw x11,-28(x8) + 108c: 00f5e6b3 or x13,x11,x15 + 1090: fec42023 sw x12,-32(x8) + 1094: fed42223 sw x13,-28(x8) + 1098: fe042703 lw x14,-32(x8) + 109c: fe442783 lw x15,-28(x8) + 10a0: 00070513 addi x10,x14,0 + 10a4: 00078593 addi x11,x15,0 + 10a8: 01c12403 lw x8,28(x2) + 10ac: 02010113 addi x2,x2,32 + 10b0: 00008067 jalr x0,0(x1) + +000010b4 : + 10b4: fe010113 addi x2,x2,-32 + 10b8: 00812e23 sw x8,28(x2) + 10bc: 02010413 addi x8,x2,32 + 10c0: c25065f3 csrrsi x11,0xc25,0 + 10c4: feb42623 sw x11,-20(x8) + 10c8: ca5065f3 csrrsi x11,0xca5,0 + 10cc: feb42423 sw x11,-24(x8) + 10d0: fe842583 lw x11,-24(x8) + 10d4: feb42023 sw x11,-32(x8) + 10d8: fe042223 sw x0,-28(x8) + 10dc: fe042583 lw x11,-32(x8) + 10e0: 00059893 slli x17,x11,0x0 + 10e4: 00000813 addi x16,x0,0 + 10e8: ff042023 sw x16,-32(x8) + 10ec: ff142223 sw x17,-28(x8) + 10f0: fec42583 lw x11,-20(x8) + 10f4: 00058713 addi x14,x11,0 + 10f8: 00000793 addi x15,x0,0 + 10fc: fe042583 lw x11,-32(x8) + 1100: 00e5e633 or x12,x11,x14 + 1104: fe442583 lw x11,-28(x8) + 1108: 00f5e6b3 or x13,x11,x15 + 110c: fec42023 sw x12,-32(x8) + 1110: fed42223 sw x13,-28(x8) + 1114: fe042703 lw x14,-32(x8) + 1118: fe442783 lw x15,-28(x8) + 111c: 00070513 addi x10,x14,0 + 1120: 00078593 addi x11,x15,0 + 1124: 01c12403 lw x8,28(x2) + 1128: 02010113 addi x2,x2,32 + 112c: 00008067 jalr x0,0(x1) + +00001130 : + 1130: fe010113 addi x2,x2,-32 + 1134: 00812e23 sw x8,28(x2) + 1138: 02010413 addi x8,x2,32 + 113c: c26065f3 csrrsi x11,0xc26,0 + 1140: feb42623 sw x11,-20(x8) + 1144: ca6065f3 csrrsi x11,0xca6,0 + 1148: feb42423 sw x11,-24(x8) + 114c: fe842583 lw x11,-24(x8) + 1150: feb42023 sw x11,-32(x8) + 1154: fe042223 sw x0,-28(x8) + 1158: fe042583 lw x11,-32(x8) + 115c: 00059893 slli x17,x11,0x0 + 1160: 00000813 addi x16,x0,0 + 1164: ff042023 sw x16,-32(x8) + 1168: ff142223 sw x17,-28(x8) + 116c: fec42583 lw x11,-20(x8) + 1170: 00058713 addi x14,x11,0 + 1174: 00000793 addi x15,x0,0 + 1178: fe042583 lw x11,-32(x8) + 117c: 00e5e633 or x12,x11,x14 + 1180: fe442583 lw x11,-28(x8) + 1184: 00f5e6b3 or x13,x11,x15 + 1188: fec42023 sw x12,-32(x8) + 118c: fed42223 sw x13,-28(x8) + 1190: fe042703 lw x14,-32(x8) + 1194: fe442783 lw x15,-28(x8) + 1198: 00070513 addi x10,x14,0 + 119c: 00078593 addi x11,x15,0 + 11a0: 01c12403 lw x8,28(x2) + 11a4: 02010113 addi x2,x2,32 + 11a8: 00008067 jalr x0,0(x1) + +000011ac : + 11ac: fe010113 addi x2,x2,-32 + 11b0: 00812e23 sw x8,28(x2) + 11b4: 02010413 addi x8,x2,32 + 11b8: c27065f3 csrrsi x11,0xc27,0 + 11bc: feb42623 sw x11,-20(x8) + 11c0: ca7065f3 csrrsi x11,0xca7,0 + 11c4: feb42423 sw x11,-24(x8) + 11c8: fe842583 lw x11,-24(x8) + 11cc: feb42023 sw x11,-32(x8) + 11d0: fe042223 sw x0,-28(x8) + 11d4: fe042583 lw x11,-32(x8) + 11d8: 00059893 slli x17,x11,0x0 + 11dc: 00000813 addi x16,x0,0 + 11e0: ff042023 sw x16,-32(x8) + 11e4: ff142223 sw x17,-28(x8) + 11e8: fec42583 lw x11,-20(x8) + 11ec: 00058713 addi x14,x11,0 + 11f0: 00000793 addi x15,x0,0 + 11f4: fe042583 lw x11,-32(x8) + 11f8: 00e5e633 or x12,x11,x14 + 11fc: fe442583 lw x11,-28(x8) + 1200: 00f5e6b3 or x13,x11,x15 + 1204: fec42023 sw x12,-32(x8) + 1208: fed42223 sw x13,-28(x8) + 120c: fe042703 lw x14,-32(x8) + 1210: fe442783 lw x15,-28(x8) + 1214: 00070513 addi x10,x14,0 + 1218: 00078593 addi x11,x15,0 + 121c: 01c12403 lw x8,28(x2) + 1220: 02010113 addi x2,x2,32 + 1224: 00008067 jalr x0,0(x1) + +00001228 : + 1228: fe010113 addi x2,x2,-32 + 122c: 00812e23 sw x8,28(x2) + 1230: 02010413 addi x8,x2,32 + 1234: c28065f3 csrrsi x11,0xc28,0 + 1238: feb42623 sw x11,-20(x8) + 123c: ca8065f3 csrrsi x11,0xca8,0 + 1240: feb42423 sw x11,-24(x8) + 1244: fe842583 lw x11,-24(x8) + 1248: feb42023 sw x11,-32(x8) + 124c: fe042223 sw x0,-28(x8) + 1250: fe042583 lw x11,-32(x8) + 1254: 00059893 slli x17,x11,0x0 + 1258: 00000813 addi x16,x0,0 + 125c: ff042023 sw x16,-32(x8) + 1260: ff142223 sw x17,-28(x8) + 1264: fec42583 lw x11,-20(x8) + 1268: 00058713 addi x14,x11,0 + 126c: 00000793 addi x15,x0,0 + 1270: fe042583 lw x11,-32(x8) + 1274: 00e5e633 or x12,x11,x14 + 1278: fe442583 lw x11,-28(x8) + 127c: 00f5e6b3 or x13,x11,x15 + 1280: fec42023 sw x12,-32(x8) + 1284: fed42223 sw x13,-28(x8) + 1288: fe042703 lw x14,-32(x8) + 128c: fe442783 lw x15,-28(x8) + 1290: 00070513 addi x10,x14,0 + 1294: 00078593 addi x11,x15,0 + 1298: 01c12403 lw x8,28(x2) + 129c: 02010113 addi x2,x2,32 + 12a0: 00008067 jalr x0,0(x1) + +000012a4 : + 12a4: fb010113 addi x2,x2,-80 + 12a8: 04112623 sw x1,76(x2) + 12ac: 04812423 sw x8,72(x2) + 12b0: 05010413 addi x8,x2,80 + 12b4: b19ff0ef jal x1,dcc + 12b8: fea42023 sw x10,-32(x8) + 12bc: feb42223 sw x11,-28(x8) + 12c0: fe042623 sw x0,-20(x8) + 12c4: 1080006f jal x0,13cc + 12c8: 000037b7 lui x15,0x3 + 12cc: adc78513 addi x10,x15,-1316 # 2adc <__errno+0x9c> + 12d0: f8dfe0ef jal x1,25c <_puts> + 12d4: 000037b7 lui x15,0x3 + 12d8: 08878713 addi x14,x15,136 # 3088 + 12dc: fec42783 lw x15,-20(x8) + 12e0: 00279793 slli x15,x15,0x2 + 12e4: 00f707b3 add x15,x14,x15 + 12e8: 0007a783 lw x15,0(x15) + 12ec: 00078513 addi x10,x15,0 + 12f0: f6dfe0ef jal x1,25c <_puts> + 12f4: 000037b7 lui x15,0x3 + 12f8: 06078713 addi x14,x15,96 # 3060 + 12fc: fec42783 lw x15,-20(x8) + 1300: 00279793 slli x15,x15,0x2 + 1304: 00f707b3 add x15,x14,x15 + 1308: 0007a783 lw x15,0(x15) + 130c: 000780e7 jalr x1,0(x15) + 1310: fca42c23 sw x10,-40(x8) + 1314: fcb42e23 sw x11,-36(x8) + 1318: fd842703 lw x14,-40(x8) + 131c: fdc42783 lw x15,-36(x8) + 1320: fb040693 addi x13,x8,-80 + 1324: 00070593 addi x11,x14,0 + 1328: 00078613 addi x12,x15,0 + 132c: 00068513 addi x10,x13,0 + 1330: 86cff0ef jal x1,39c <_d2s> + 1334: fb040793 addi x15,x8,-80 + 1338: 00078513 addi x10,x15,0 + 133c: f21fe0ef jal x1,25c <_puts> + 1340: 000037b7 lui x15,0x3 + 1344: ae078513 addi x10,x15,-1312 # 2ae0 <__errno+0xa0> + 1348: f15fe0ef jal x1,25c <_puts> + 134c: fe042703 lw x14,-32(x8) + 1350: fe442783 lw x15,-28(x8) + 1354: 00002637 lui x12,0x2 + 1358: 71060613 addi x12,x12,1808 # 2710 + 135c: 00000693 addi x13,x0,0 + 1360: 00070513 addi x10,x14,0 + 1364: 00078593 addi x11,x15,0 + 1368: 6a9000ef jal x1,2210 <__udivdi3> + 136c: 00050713 addi x14,x10,0 + 1370: 00058793 addi x15,x11,0 + 1374: 00070613 addi x12,x14,0 + 1378: 00078693 addi x13,x15,0 + 137c: fd842503 lw x10,-40(x8) + 1380: fdc42583 lw x11,-36(x8) + 1384: 68d000ef jal x1,2210 <__udivdi3> + 1388: 00050713 addi x14,x10,0 + 138c: 00058793 addi x15,x11,0 + 1390: fce42c23 sw x14,-40(x8) + 1394: fcf42e23 sw x15,-36(x8) + 1398: fd842703 lw x14,-40(x8) + 139c: fdc42783 lw x15,-36(x8) + 13a0: fb040693 addi x13,x8,-80 + 13a4: 00070593 addi x11,x14,0 + 13a8: 00078613 addi x12,x15,0 + 13ac: 00068513 addi x10,x13,0 + 13b0: fedfe0ef jal x1,39c <_d2s> + 13b4: fb040793 addi x15,x8,-80 + 13b8: 00078513 addi x10,x15,0 + 13bc: ea1fe0ef jal x1,25c <_puts> + 13c0: fec42783 lw x15,-20(x8) + 13c4: 00178793 addi x15,x15,1 + 13c8: fef42623 sw x15,-20(x8) + 13cc: fec42703 lw x14,-20(x8) + 13d0: 00900793 addi x15,x0,9 + 13d4: eee7dae3 bge x15,x14,12c8 + 13d8: 000037b7 lui x15,0x3 + 13dc: a6078513 addi x10,x15,-1440 # 2a60 <__errno+0x20> + 13e0: e7dfe0ef jal x1,25c <_puts> + 13e4: 00000013 addi x0,x0,0 + 13e8: 04c12083 lw x1,76(x2) + 13ec: 04812403 lw x8,72(x2) + 13f0: 05010113 addi x2,x2,80 + 13f4: 00008067 jalr x0,0(x1) + +000013f8 : + 13f8: ff010113 addi x2,x2,-16 + 13fc: 00112623 sw x1,12(x2) + 1400: 00812423 sw x8,8(x2) + 1404: 01010413 addi x8,x2,16 + 1408: 000037b7 lui x15,0x3 + 140c: ae478513 addi x10,x15,-1308 # 2ae4 <__errno+0xa4> + 1410: e4dfe0ef jal x1,25c <_puts> + 1414: 000037b7 lui x15,0x3 + 1418: b0478513 addi x10,x15,-1276 # 2b04 <__errno+0xc4> + 141c: e41fe0ef jal x1,25c <_puts> + 1420: 000037b7 lui x15,0x3 + 1424: b2878513 addi x10,x15,-1240 # 2b28 <__errno+0xe8> + 1428: e35fe0ef jal x1,25c <_puts> + 142c: 000037b7 lui x15,0x3 + 1430: b5078513 addi x10,x15,-1200 # 2b50 <__errno+0x110> + 1434: e29fe0ef jal x1,25c <_puts> + 1438: 000037b7 lui x15,0x3 + 143c: b8478513 addi x10,x15,-1148 # 2b84 <__errno+0x144> + 1440: e1dfe0ef jal x1,25c <_puts> + 1444: 00000013 addi x0,x0,0 + 1448: 00c12083 lw x1,12(x2) + 144c: 00812403 lw x8,8(x2) + 1450: 01010113 addi x2,x2,16 + 1454: 00008067 jalr x0,0(x1) + +00001458
: + 1458: e7010113 addi x2,x2,-400 + 145c: 18112623 sw x1,396(x2) + 1460: 18812423 sw x8,392(x2) + 1464: 19212223 sw x18,388(x2) + 1468: 19312023 sw x19,384(x2) + 146c: 17412e23 sw x20,380(x2) + 1470: 17512c23 sw x21,376(x2) + 1474: 17612a23 sw x22,372(x2) + 1478: 17712823 sw x23,368(x2) + 147c: 17812623 sw x24,364(x2) + 1480: 17912423 sw x25,360(x2) + 1484: 17a12223 sw x26,356(x2) + 1488: 17b12023 sw x27,352(x2) + 148c: 19010413 addi x8,x2,400 + 1490: e6a42e23 sw x10,-388(x8) + 1494: e6b42c23 sw x11,-392(x8) + 1498: f00007b7 lui x15,0xf0000 + 149c: fcf42423 sw x15,-56(x8) + 14a0: f00007b7 lui x15,0xf0000 + 14a4: 01078793 addi x15,x15,16 # f0000010 <__global_pointer$+0xefffc7b0> + 14a8: fcf42223 sw x15,-60(x8) + 14ac: 0001c7b7 lui x15,0x1c + 14b0: 20078513 addi x10,x15,512 # 1c200 <__global_pointer$+0x189a0> + 14b4: de8ff0ef jal x1,a9c <_buadrateset> + 14b8: fc042023 sw x0,-64(x8) + 14bc: fa042e23 sw x0,-68(x8) + 14c0: 891ff0ef jal x1,d50 + 14c4: 00050913 addi x18,x10,0 + 14c8: 00058993 addi x19,x11,0 + 14cc: 901ff0ef jal x1,dcc + 14d0: 00050713 addi x14,x10,0 + 14d4: 00058793 addi x15,x11,0 + 14d8: 06400613 addi x12,x0,100 + 14dc: 00000693 addi x13,x0,0 + 14e0: 00070513 addi x10,x14,0 + 14e4: 00078593 addi x11,x15,0 + 14e8: 529000ef jal x1,2210 <__udivdi3> + 14ec: 00050713 addi x14,x10,0 + 14f0: 00058793 addi x15,x11,0 + 14f4: 00070613 addi x12,x14,0 + 14f8: 00078693 addi x13,x15,0 + 14fc: 00090513 addi x10,x18,0 + 1500: 00098593 addi x11,x19,0 + 1504: 50d000ef jal x1,2210 <__udivdi3> + 1508: 00050713 addi x14,x10,0 + 150c: 00058793 addi x15,x11,0 + 1510: fae42c23 sw x14,-72(x8) + 1514: c29fe0ef jal x1,13c <_canputchar> + 1518: 00050793 addi x15,x10,0 + 151c: 0a078863 beq x15,x0,15cc + 1520: 831ff0ef jal x1,d50 + 1524: e8040793 addi x15,x8,-384 + 1528: 03000713 addi x14,x0,48 + 152c: 00800693 addi x13,x0,8 + 1530: 00058613 addi x12,x11,0 + 1534: 00050593 addi x11,x10,0 + 1538: 00078513 addi x10,x15,0 + 153c: 860ff0ef jal x1,59c <_h2s> + 1540: e8040793 addi x15,x8,-384 + 1544: 00078513 addi x10,x15,0 + 1548: d15fe0ef jal x1,25c <_puts> + 154c: 000037b7 lui x15,0x3 + 1550: b9c78513 addi x10,x15,-1124 # 2b9c <__errno+0x15c> + 1554: d09fe0ef jal x1,25c <_puts> + 1558: 875ff0ef jal x1,dcc + 155c: e8040793 addi x15,x8,-384 + 1560: 03000713 addi x14,x0,48 + 1564: 00800693 addi x13,x0,8 + 1568: 00058613 addi x12,x11,0 + 156c: 00050593 addi x11,x10,0 + 1570: 00078513 addi x10,x15,0 + 1574: 828ff0ef jal x1,59c <_h2s> + 1578: e8040793 addi x15,x8,-384 + 157c: 00078513 addi x10,x15,0 + 1580: cddfe0ef jal x1,25c <_puts> + 1584: 000037b7 lui x15,0x3 + 1588: b9c78513 addi x10,x15,-1124 # 2b9c <__errno+0x15c> + 158c: cd1fe0ef jal x1,25c <_puts> + 1590: fb842783 lw x15,-72(x8) + 1594: 00078a13 addi x20,x15,0 + 1598: 41f7d793 srai x15,x15,0x1f + 159c: 00078a93 addi x21,x15,0 + 15a0: e8040793 addi x15,x8,-384 + 15a4: 000a0593 addi x11,x20,0 + 15a8: 000a8613 addi x12,x21,0 + 15ac: 00078513 addi x10,x15,0 + 15b0: dedfe0ef jal x1,39c <_d2s> + 15b4: e8040793 addi x15,x8,-384 + 15b8: 00078513 addi x10,x15,0 + 15bc: ca1fe0ef jal x1,25c <_puts> + 15c0: 000037b7 lui x15,0x3 + 15c4: ba078513 addi x10,x15,-1120 # 2ba0 <__errno+0x160> + 15c8: c95fe0ef jal x1,25c <_puts> + 15cc: badfe0ef jal x1,178 <_haschar> + 15d0: 00050793 addi x15,x10,0 + 15d4: fe078ce3 beq x15,x0,15cc + 15d8: e8040793 addi x15,x8,-384 + 15dc: 0ff00593 addi x11,x0,255 + 15e0: 00078513 addi x10,x15,0 + 15e4: ce1fe0ef jal x1,2c4 <_gets> + 15e8: 00000013 addi x0,x0,0 + 15ec: 000037b7 lui x15,0x3 + 15f0: ba478513 addi x10,x15,-1116 # 2ba4 <__errno+0x164> + 15f4: c69fe0ef jal x1,25c <_puts> + 15f8: e8040793 addi x15,x8,-384 + 15fc: 00078513 addi x10,x15,0 + 1600: c5dfe0ef jal x1,25c <_puts> + 1604: 000037b7 lui x15,0x3 + 1608: a6078513 addi x10,x15,-1440 # 2a60 <__errno+0x20> + 160c: c51fe0ef jal x1,25c <_puts> + 1610: e8040713 addi x14,x8,-384 + 1614: 00400613 addi x12,x0,4 + 1618: 000037b7 lui x15,0x3 + 161c: ba878593 addi x11,x15,-1112 # 2ba8 <__errno+0x168> + 1620: 00070513 addi x10,x14,0 + 1624: be0ff0ef jal x1,a04 <_strncmp> + 1628: 00050793 addi x15,x10,0 + 162c: 00079663 bne x15,x0,1638 + 1630: dc9ff0ef jal x1,13f8 + 1634: 3000006f jal x0,1934 + 1638: e8044703 lbu x14,-384(x8) + 163c: 06c00793 addi x15,x0,108 + 1640: 00f71663 bne x14,x15,164c + 1644: c61ff0ef jal x1,12a4 + 1648: 2ec0006f jal x0,1934 + 164c: e8044703 lbu x14,-384(x8) + 1650: 06200793 addi x15,x0,98 + 1654: 02f71c63 bne x14,x15,168c + 1658: e8040793 addi x15,x8,-384 + 165c: 00278793 addi x15,x15,2 + 1660: 00000593 addi x11,x0,0 + 1664: 00078513 addi x10,x15,0 + 1668: 8dcff0ef jal x1,744 <_s2d> + 166c: f8a42e23 sw x10,-100(x8) + 1670: f9c42783 lw x15,-100(x8) + 1674: 00f05863 bge x0,x15,1684 + 1678: f9c42503 lw x10,-100(x8) + 167c: c20ff0ef jal x1,a9c <_buadrateset> + 1680: 2b40006f jal x0,1934 + 1684: d75ff0ef jal x1,13f8 + 1688: 2ac0006f jal x0,1934 + 168c: e8044703 lbu x14,-384(x8) + 1690: 06400793 addi x15,x0,100 + 1694: 02f71a63 bne x14,x15,16c8 + 1698: e8040793 addi x15,x8,-384 + 169c: 00278793 addi x15,x15,2 + 16a0: 00000593 addi x11,x0,0 + 16a4: 00078513 addi x10,x15,0 + 16a8: 998ff0ef jal x1,840 <_s2h> + 16ac: faa42023 sw x10,-96(x8) + 16b0: fa042783 lw x15,-96(x8) + 16b4: 00f05663 bge x0,x15,16c0 + 16b8: fa042703 lw x14,-96(x8) + 16bc: c8e1a423 sw x14,-888(x3) # 34e8 + 16c0: c1cff0ef jal x1,adc + 16c4: 2700006f jal x0,1934 + 16c8: e8044703 lbu x14,-384(x8) + 16cc: 07700793 addi x15,x0,119 + 16d0: 0af71c63 bne x14,x15,1788 + 16d4: e8040793 addi x15,x8,-384 + 16d8: 00278793 addi x15,x15,2 + 16dc: f8440713 addi x14,x8,-124 + 16e0: 00070593 addi x11,x14,0 + 16e4: 00078513 addi x10,x15,0 + 16e8: 958ff0ef jal x1,840 <_s2h> + 16ec: faa42623 sw x10,-84(x8) + 16f0: f8442783 lw x15,-124(x8) + 16f4: f8440713 addi x14,x8,-124 + 16f8: 00070593 addi x11,x14,0 + 16fc: 00078513 addi x10,x15,0 + 1700: 940ff0ef jal x1,840 <_s2h> + 1704: faa42423 sw x10,-88(x8) + 1708: f8442783 lw x15,-124(x8) + 170c: f8440713 addi x14,x8,-124 + 1710: 00070593 addi x11,x14,0 + 1714: 00078513 addi x10,x15,0 + 1718: 928ff0ef jal x1,840 <_s2h> + 171c: faa42223 sw x10,-92(x8) + 1720: fa442703 lw x14,-92(x8) + 1724: 00100793 addi x15,x0,1 + 1728: 00f71c63 bne x14,x15,1740 + 172c: fac42783 lw x15,-84(x8) + 1730: fa842703 lw x14,-88(x8) + 1734: 0ff77713 andi x14,x14,255 + 1738: 00e78023 sb x14,0(x15) + 173c: 1f80006f jal x0,1934 + 1740: fa442703 lw x14,-92(x8) + 1744: 00200793 addi x15,x0,2 + 1748: 00f71e63 bne x14,x15,1764 + 174c: fac42783 lw x15,-84(x8) + 1750: fa842703 lw x14,-88(x8) + 1754: 01071713 slli x14,x14,0x10 + 1758: 41075713 srai x14,x14,0x10 + 175c: 00e79023 sh x14,0(x15) + 1760: 1d40006f jal x0,1934 + 1764: fa442703 lw x14,-92(x8) + 1768: 00400793 addi x15,x0,4 + 176c: 00f71a63 bne x14,x15,1780 + 1770: fac42783 lw x15,-84(x8) + 1774: fa842703 lw x14,-88(x8) + 1778: 00e7a023 sw x14,0(x15) + 177c: 1b80006f jal x0,1934 + 1780: c79ff0ef jal x1,13f8 + 1784: 1b00006f jal x0,1934 + 1788: e8044703 lbu x14,-384(x8) + 178c: 07200793 addi x15,x0,114 + 1790: 1af71263 bne x14,x15,1934 + 1794: fc042623 sw x0,-52(x8) + 1798: e8040793 addi x15,x8,-384 + 179c: 00278793 addi x15,x15,2 + 17a0: f8040713 addi x14,x8,-128 + 17a4: 00070593 addi x11,x14,0 + 17a8: 00078513 addi x10,x15,0 + 17ac: 894ff0ef jal x1,840 <_s2h> + 17b0: faa42a23 sw x10,-76(x8) + 17b4: f8042783 lw x15,-128(x8) + 17b8: f8040713 addi x14,x8,-128 + 17bc: 00070593 addi x11,x14,0 + 17c0: 00078513 addi x10,x15,0 + 17c4: 87cff0ef jal x1,840 <_s2h> + 17c8: faa42823 sw x10,-80(x8) + 17cc: fb042703 lw x14,-80(x8) + 17d0: 00100793 addi x15,x0,1 + 17d4: 02f71063 bne x14,x15,17f4 + 17d8: fb442783 lw x15,-76(x8) + 17dc: 0007c783 lbu x15,0(x15) + 17e0: fcf42623 sw x15,-52(x8) + 17e4: 000037b7 lui x15,0x3 + 17e8: bb078513 addi x10,x15,-1104 # 2bb0 <__errno+0x170> + 17ec: a71fe0ef jal x1,25c <_puts> + 17f0: 0580006f jal x0,1848 + 17f4: fb042703 lw x14,-80(x8) + 17f8: 00200793 addi x15,x0,2 + 17fc: 02f71063 bne x14,x15,181c + 1800: fb442783 lw x15,-76(x8) + 1804: 00079783 lh x15,0(x15) + 1808: fcf42623 sw x15,-52(x8) + 180c: 000037b7 lui x15,0x3 + 1810: bb878513 addi x10,x15,-1096 # 2bb8 <__errno+0x178> + 1814: a49fe0ef jal x1,25c <_puts> + 1818: 0300006f jal x0,1848 + 181c: fb042703 lw x14,-80(x8) + 1820: 00400793 addi x15,x0,4 + 1824: 02f71063 bne x14,x15,1844 + 1828: fb442783 lw x15,-76(x8) + 182c: 0007a783 lw x15,0(x15) + 1830: fcf42623 sw x15,-52(x8) + 1834: 000037b7 lui x15,0x3 + 1838: bc078513 addi x10,x15,-1088 # 2bc0 <__errno+0x180> + 183c: a21fe0ef jal x1,25c <_puts> + 1840: 0080006f jal x0,1848 + 1844: bb5ff0ef jal x1,13f8 + 1848: fb042703 lw x14,-80(x8) + 184c: 00100793 addi x15,x0,1 + 1850: 00f70e63 beq x14,x15,186c + 1854: fb042703 lw x14,-80(x8) + 1858: 00200793 addi x15,x0,2 + 185c: 00f70863 beq x14,x15,186c + 1860: fb042703 lw x14,-80(x8) + 1864: 00400793 addi x15,x0,4 + 1868: 0cf71663 bne x14,x15,1934 + 186c: fb442783 lw x15,-76(x8) + 1870: 00078b13 addi x22,x15,0 + 1874: 41f7d793 srai x15,x15,0x1f + 1878: 00078b93 addi x23,x15,0 + 187c: e8040793 addi x15,x8,-384 + 1880: 03000713 addi x14,x0,48 + 1884: 00800693 addi x13,x0,8 + 1888: 000b0593 addi x11,x22,0 + 188c: 000b8613 addi x12,x23,0 + 1890: 00078513 addi x10,x15,0 + 1894: d09fe0ef jal x1,59c <_h2s> + 1898: e8040793 addi x15,x8,-384 + 189c: 00078513 addi x10,x15,0 + 18a0: 9bdfe0ef jal x1,25c <_puts> + 18a4: 000037b7 lui x15,0x3 + 18a8: bc878513 addi x10,x15,-1080 # 2bc8 <__errno+0x188> + 18ac: 9b1fe0ef jal x1,25c <_puts> + 18b0: fcc42783 lw x15,-52(x8) + 18b4: 00078c13 addi x24,x15,0 + 18b8: 41f7d793 srai x15,x15,0x1f + 18bc: 00078c93 addi x25,x15,0 + 18c0: e8040793 addi x15,x8,-384 + 18c4: 000c0593 addi x11,x24,0 + 18c8: 000c8613 addi x12,x25,0 + 18cc: 00078513 addi x10,x15,0 + 18d0: acdfe0ef jal x1,39c <_d2s> + 18d4: e8040793 addi x15,x8,-384 + 18d8: 00078513 addi x10,x15,0 + 18dc: 981fe0ef jal x1,25c <_puts> + 18e0: 000037b7 lui x15,0x3 + 18e4: bcc78513 addi x10,x15,-1076 # 2bcc <__errno+0x18c> + 18e8: 975fe0ef jal x1,25c <_puts> + 18ec: fcc42783 lw x15,-52(x8) + 18f0: 00078d13 addi x26,x15,0 + 18f4: 41f7d793 srai x15,x15,0x1f + 18f8: 00078d93 addi x27,x15,0 + 18fc: fb042783 lw x15,-80(x8) + 1900: 00179693 slli x13,x15,0x1 + 1904: e8040793 addi x15,x8,-384 + 1908: 03000713 addi x14,x0,48 + 190c: 000d0593 addi x11,x26,0 + 1910: 000d8613 addi x12,x27,0 + 1914: 00078513 addi x10,x15,0 + 1918: c85fe0ef jal x1,59c <_h2s> + 191c: e8040793 addi x15,x8,-384 + 1920: 00078513 addi x10,x15,0 + 1924: 939fe0ef jal x1,25c <_puts> + 1928: 000037b7 lui x15,0x3 + 192c: bd078513 addi x10,x15,-1072 # 2bd0 <__errno+0x190> + 1930: 92dfe0ef jal x1,25c <_puts> + 1934: 00000013 addi x0,x0,0 + 1938: 805fe0ef jal x1,13c <_canputchar> + 193c: 00050793 addi x15,x10,0 + 1940: fe078ce3 beq x15,x0,1938 + 1944: b7dff06f jal x0,14c0 + +00001948 <__divdi3>: + 1948: 00050313 addi x6,x10,0 + 194c: 00058e13 addi x28,x11,0 + 1950: 00000893 addi x17,x0,0 + 1954: 0005dc63 bge x11,x0,196c <__divdi3+0x24> + 1958: 00a037b3 sltu x15,x0,x10 + 195c: 40b00e33 sub x28,x0,x11 + 1960: 40fe0e33 sub x28,x28,x15 + 1964: 40a00333 sub x6,x0,x10 + 1968: fff00893 addi x17,x0,-1 + 196c: 0006dc63 bge x13,x0,1984 <__divdi3+0x3c> + 1970: 00c037b3 sltu x15,x0,x12 + 1974: 40d006b3 sub x13,x0,x13 + 1978: fff8c893 xori x17,x17,-1 + 197c: 40f686b3 sub x13,x13,x15 + 1980: 40c00633 sub x12,x0,x12 + 1984: 00060713 addi x14,x12,0 + 1988: 00030813 addi x16,x6,0 # 130 + 198c: 000e0793 addi x15,x28,0 + 1990: 28069c63 bne x13,x0,1c28 <__divdi3+0x2e0> + 1994: 000035b7 lui x11,0x3 + 1998: bd458593 addi x11,x11,-1068 # 2bd4 <__clz_tab> + 199c: 0ece7663 bgeu x28,x12,1a88 <__divdi3+0x140> + 19a0: 000106b7 lui x13,0x10 + 19a4: 0cd67863 bgeu x12,x13,1a74 <__divdi3+0x12c> + 19a8: 10063693 sltiu x13,x12,256 + 19ac: 0016c693 xori x13,x13,1 + 19b0: 00369693 slli x13,x13,0x3 + 19b4: 00d65533 srl x10,x12,x13 + 19b8: 00a585b3 add x11,x11,x10 + 19bc: 0005c583 lbu x11,0(x11) + 19c0: 02000513 addi x10,x0,32 + 19c4: 00d586b3 add x13,x11,x13 + 19c8: 40d505b3 sub x11,x10,x13 + 19cc: 00d50c63 beq x10,x13,19e4 <__divdi3+0x9c> + 19d0: 00be17b3 sll x15,x28,x11 + 19d4: 00d356b3 srl x13,x6,x13 + 19d8: 00b61733 sll x14,x12,x11 + 19dc: 00f6e7b3 or x15,x13,x15 + 19e0: 00b31833 sll x16,x6,x11 + 19e4: 01075593 srli x11,x14,0x10 + 19e8: 02b7de33 divu x28,x15,x11 + 19ec: 01071613 slli x12,x14,0x10 + 19f0: 01065613 srli x12,x12,0x10 + 19f4: 02b7f7b3 remu x15,x15,x11 + 19f8: 000e0513 addi x10,x28,0 + 19fc: 03c60333 mul x6,x12,x28 + 1a00: 01079693 slli x13,x15,0x10 + 1a04: 01085793 srli x15,x16,0x10 + 1a08: 00d7e7b3 or x15,x15,x13 + 1a0c: 0067fe63 bgeu x15,x6,1a28 <__divdi3+0xe0> + 1a10: 00e787b3 add x15,x15,x14 + 1a14: fffe0513 addi x10,x28,-1 + 1a18: 00e7e863 bltu x15,x14,1a28 <__divdi3+0xe0> + 1a1c: 0067f663 bgeu x15,x6,1a28 <__divdi3+0xe0> + 1a20: ffee0513 addi x10,x28,-2 + 1a24: 00e787b3 add x15,x15,x14 + 1a28: 406787b3 sub x15,x15,x6 + 1a2c: 02b7d333 divu x6,x15,x11 + 1a30: 01081813 slli x16,x16,0x10 + 1a34: 01085813 srli x16,x16,0x10 + 1a38: 02b7f7b3 remu x15,x15,x11 + 1a3c: 026606b3 mul x13,x12,x6 + 1a40: 01079793 slli x15,x15,0x10 + 1a44: 00f86833 or x16,x16,x15 + 1a48: 00030793 addi x15,x6,0 + 1a4c: 00d87c63 bgeu x16,x13,1a64 <__divdi3+0x11c> + 1a50: 01070833 add x16,x14,x16 + 1a54: fff30793 addi x15,x6,-1 + 1a58: 00e86663 bltu x16,x14,1a64 <__divdi3+0x11c> + 1a5c: 00d87463 bgeu x16,x13,1a64 <__divdi3+0x11c> + 1a60: ffe30793 addi x15,x6,-2 + 1a64: 01051513 slli x10,x10,0x10 + 1a68: 00f56533 or x10,x10,x15 + 1a6c: 00000593 addi x11,x0,0 + 1a70: 0e00006f jal x0,1b50 <__divdi3+0x208> + 1a74: 01000537 lui x10,0x1000 + 1a78: 01000693 addi x13,x0,16 + 1a7c: f2a66ce3 bltu x12,x10,19b4 <__divdi3+0x6c> + 1a80: 01800693 addi x13,x0,24 + 1a84: f31ff06f jal x0,19b4 <__divdi3+0x6c> + 1a88: 00061463 bne x12,x0,1a90 <__divdi3+0x148> + 1a8c: 00100073 ebreak + 1a90: 000107b7 lui x15,0x10 + 1a94: 0cf67a63 bgeu x12,x15,1b68 <__divdi3+0x220> + 1a98: 10063693 sltiu x13,x12,256 + 1a9c: 0016c693 xori x13,x13,1 + 1aa0: 00369693 slli x13,x13,0x3 + 1aa4: 00d657b3 srl x15,x12,x13 + 1aa8: 00f585b3 add x11,x11,x15 + 1aac: 0005c783 lbu x15,0(x11) + 1ab0: 00d787b3 add x15,x15,x13 + 1ab4: 02000693 addi x13,x0,32 + 1ab8: 40f685b3 sub x11,x13,x15 + 1abc: 0cf69063 bne x13,x15,1b7c <__divdi3+0x234> + 1ac0: 40ce07b3 sub x15,x28,x12 + 1ac4: 00100593 addi x11,x0,1 + 1ac8: 01075313 srli x6,x14,0x10 + 1acc: 0267deb3 divu x29,x15,x6 + 1ad0: 01071613 slli x12,x14,0x10 + 1ad4: 01065613 srli x12,x12,0x10 + 1ad8: 01085693 srli x13,x16,0x10 + 1adc: 0267f7b3 remu x15,x15,x6 + 1ae0: 000e8513 addi x10,x29,0 + 1ae4: 03d60e33 mul x28,x12,x29 + 1ae8: 01079793 slli x15,x15,0x10 + 1aec: 00f6e7b3 or x15,x13,x15 + 1af0: 01c7fe63 bgeu x15,x28,1b0c <__divdi3+0x1c4> + 1af4: 00e787b3 add x15,x15,x14 + 1af8: fffe8513 addi x10,x29,-1 + 1afc: 00e7e863 bltu x15,x14,1b0c <__divdi3+0x1c4> + 1b00: 01c7f663 bgeu x15,x28,1b0c <__divdi3+0x1c4> + 1b04: ffee8513 addi x10,x29,-2 + 1b08: 00e787b3 add x15,x15,x14 + 1b0c: 41c787b3 sub x15,x15,x28 + 1b10: 0267de33 divu x28,x15,x6 + 1b14: 01081813 slli x16,x16,0x10 + 1b18: 01085813 srli x16,x16,0x10 + 1b1c: 0267f7b3 remu x15,x15,x6 + 1b20: 03c606b3 mul x13,x12,x28 + 1b24: 01079793 slli x15,x15,0x10 + 1b28: 00f86833 or x16,x16,x15 + 1b2c: 000e0793 addi x15,x28,0 + 1b30: 00d87c63 bgeu x16,x13,1b48 <__divdi3+0x200> + 1b34: 01070833 add x16,x14,x16 + 1b38: fffe0793 addi x15,x28,-1 + 1b3c: 00e86663 bltu x16,x14,1b48 <__divdi3+0x200> + 1b40: 00d87463 bgeu x16,x13,1b48 <__divdi3+0x200> + 1b44: ffee0793 addi x15,x28,-2 + 1b48: 01051513 slli x10,x10,0x10 + 1b4c: 00f56533 or x10,x10,x15 + 1b50: 00088a63 beq x17,x0,1b64 <__divdi3+0x21c> + 1b54: 00a037b3 sltu x15,x0,x10 + 1b58: 40b005b3 sub x11,x0,x11 + 1b5c: 40f585b3 sub x11,x11,x15 + 1b60: 40a00533 sub x10,x0,x10 + 1b64: 00008067 jalr x0,0(x1) + 1b68: 010007b7 lui x15,0x1000 + 1b6c: 01000693 addi x13,x0,16 + 1b70: f2f66ae3 bltu x12,x15,1aa4 <__divdi3+0x15c> + 1b74: 01800693 addi x13,x0,24 + 1b78: f2dff06f jal x0,1aa4 <__divdi3+0x15c> + 1b7c: 00b61733 sll x14,x12,x11 + 1b80: 00fe56b3 srl x13,x28,x15 + 1b84: 01075513 srli x10,x14,0x10 + 1b88: 00be1e33 sll x28,x28,x11 + 1b8c: 00f357b3 srl x15,x6,x15 + 1b90: 01c7e7b3 or x15,x15,x28 + 1b94: 02a6de33 divu x28,x13,x10 + 1b98: 01071613 slli x12,x14,0x10 + 1b9c: 01065613 srli x12,x12,0x10 + 1ba0: 00b31833 sll x16,x6,x11 + 1ba4: 02a6f6b3 remu x13,x13,x10 + 1ba8: 03c60333 mul x6,x12,x28 + 1bac: 01069593 slli x11,x13,0x10 + 1bb0: 0107d693 srli x13,x15,0x10 + 1bb4: 00b6e6b3 or x13,x13,x11 + 1bb8: 000e0593 addi x11,x28,0 + 1bbc: 0066fe63 bgeu x13,x6,1bd8 <__divdi3+0x290> + 1bc0: 00e686b3 add x13,x13,x14 + 1bc4: fffe0593 addi x11,x28,-1 + 1bc8: 00e6e863 bltu x13,x14,1bd8 <__divdi3+0x290> + 1bcc: 0066f663 bgeu x13,x6,1bd8 <__divdi3+0x290> + 1bd0: ffee0593 addi x11,x28,-2 + 1bd4: 00e686b3 add x13,x13,x14 + 1bd8: 406686b3 sub x13,x13,x6 + 1bdc: 02a6d333 divu x6,x13,x10 + 1be0: 01079793 slli x15,x15,0x10 + 1be4: 0107d793 srli x15,x15,0x10 + 1be8: 02a6f6b3 remu x13,x13,x10 + 1bec: 02660633 mul x12,x12,x6 + 1bf0: 01069693 slli x13,x13,0x10 + 1bf4: 00d7e7b3 or x15,x15,x13 + 1bf8: 00030693 addi x13,x6,0 + 1bfc: 00c7fe63 bgeu x15,x12,1c18 <__divdi3+0x2d0> + 1c00: 00e787b3 add x15,x15,x14 + 1c04: fff30693 addi x13,x6,-1 + 1c08: 00e7e863 bltu x15,x14,1c18 <__divdi3+0x2d0> + 1c0c: 00c7f663 bgeu x15,x12,1c18 <__divdi3+0x2d0> + 1c10: ffe30693 addi x13,x6,-2 + 1c14: 00e787b3 add x15,x15,x14 + 1c18: 01059593 slli x11,x11,0x10 + 1c1c: 40c787b3 sub x15,x15,x12 + 1c20: 00d5e5b3 or x11,x11,x13 + 1c24: ea5ff06f jal x0,1ac8 <__divdi3+0x180> + 1c28: 18de6663 bltu x28,x13,1db4 <__divdi3+0x46c> + 1c2c: 000107b7 lui x15,0x10 + 1c30: 04f6f463 bgeu x13,x15,1c78 <__divdi3+0x330> + 1c34: 1006b713 sltiu x14,x13,256 + 1c38: 00174713 xori x14,x14,1 + 1c3c: 00371713 slli x14,x14,0x3 + 1c40: 000037b7 lui x15,0x3 + 1c44: 00e6d5b3 srl x11,x13,x14 + 1c48: bd478793 addi x15,x15,-1068 # 2bd4 <__clz_tab> + 1c4c: 00b787b3 add x15,x15,x11 + 1c50: 0007c783 lbu x15,0(x15) + 1c54: 00e787b3 add x15,x15,x14 + 1c58: 02000713 addi x14,x0,32 + 1c5c: 40f705b3 sub x11,x14,x15 + 1c60: 02f71663 bne x14,x15,1c8c <__divdi3+0x344> + 1c64: 00100513 addi x10,x0,1 + 1c68: efc6e4e3 bltu x13,x28,1b50 <__divdi3+0x208> + 1c6c: 00c33533 sltu x10,x6,x12 + 1c70: 00154513 xori x10,x10,1 + 1c74: eddff06f jal x0,1b50 <__divdi3+0x208> + 1c78: 010007b7 lui x15,0x1000 + 1c7c: 01000713 addi x14,x0,16 + 1c80: fcf6e0e3 bltu x13,x15,1c40 <__divdi3+0x2f8> + 1c84: 01800713 addi x14,x0,24 + 1c88: fb9ff06f jal x0,1c40 <__divdi3+0x2f8> + 1c8c: 00f65733 srl x14,x12,x15 + 1c90: 00b696b3 sll x13,x13,x11 + 1c94: 00d766b3 or x13,x14,x13 + 1c98: 00fe5733 srl x14,x28,x15 + 1c9c: 00be1e33 sll x28,x28,x11 + 1ca0: 00f357b3 srl x15,x6,x15 + 1ca4: 01c7e7b3 or x15,x15,x28 + 1ca8: 0106de13 srli x28,x13,0x10 + 1cac: 03c75f33 divu x30,x14,x28 + 1cb0: 01069813 slli x16,x13,0x10 + 1cb4: 01085813 srli x16,x16,0x10 + 1cb8: 00b61633 sll x12,x12,x11 + 1cbc: 03c77733 remu x14,x14,x28 + 1cc0: 03e80eb3 mul x29,x16,x30 + 1cc4: 01071513 slli x10,x14,0x10 + 1cc8: 0107d713 srli x14,x15,0x10 + 1ccc: 00a76733 or x14,x14,x10 + 1cd0: 000f0513 addi x10,x30,0 + 1cd4: 01d77e63 bgeu x14,x29,1cf0 <__divdi3+0x3a8> + 1cd8: 00d70733 add x14,x14,x13 + 1cdc: ffff0513 addi x10,x30,-1 + 1ce0: 00d76863 bltu x14,x13,1cf0 <__divdi3+0x3a8> + 1ce4: 01d77663 bgeu x14,x29,1cf0 <__divdi3+0x3a8> + 1ce8: ffef0513 addi x10,x30,-2 + 1cec: 00d70733 add x14,x14,x13 + 1cf0: 41d70733 sub x14,x14,x29 + 1cf4: 03c75eb3 divu x29,x14,x28 + 1cf8: 01079793 slli x15,x15,0x10 + 1cfc: 0107d793 srli x15,x15,0x10 + 1d00: 03c77733 remu x14,x14,x28 + 1d04: 03d80833 mul x16,x16,x29 + 1d08: 01071713 slli x14,x14,0x10 + 1d0c: 00e7e7b3 or x15,x15,x14 + 1d10: 000e8713 addi x14,x29,0 + 1d14: 0107fe63 bgeu x15,x16,1d30 <__divdi3+0x3e8> + 1d18: 00d787b3 add x15,x15,x13 + 1d1c: fffe8713 addi x14,x29,-1 + 1d20: 00d7e863 bltu x15,x13,1d30 <__divdi3+0x3e8> + 1d24: 0107f663 bgeu x15,x16,1d30 <__divdi3+0x3e8> + 1d28: ffee8713 addi x14,x29,-2 + 1d2c: 00d787b3 add x15,x15,x13 + 1d30: 01051513 slli x10,x10,0x10 + 1d34: 00010eb7 lui x29,0x10 + 1d38: 00e56533 or x10,x10,x14 + 1d3c: fffe8693 addi x13,x29,-1 # ffff <__global_pointer$+0xc79f> + 1d40: 00d57733 and x14,x10,x13 + 1d44: 410787b3 sub x15,x15,x16 + 1d48: 00d676b3 and x13,x12,x13 + 1d4c: 01055813 srli x16,x10,0x10 + 1d50: 01065613 srli x12,x12,0x10 + 1d54: 02d70e33 mul x28,x14,x13 + 1d58: 02d806b3 mul x13,x16,x13 + 1d5c: 02c70733 mul x14,x14,x12 + 1d60: 02c80833 mul x16,x16,x12 + 1d64: 00d70633 add x12,x14,x13 + 1d68: 010e5713 srli x14,x28,0x10 + 1d6c: 00c70733 add x14,x14,x12 + 1d70: 00d77463 bgeu x14,x13,1d78 <__divdi3+0x430> + 1d74: 01d80833 add x16,x16,x29 + 1d78: 01075693 srli x13,x14,0x10 + 1d7c: 010686b3 add x13,x13,x16 + 1d80: 02d7e663 bltu x15,x13,1dac <__divdi3+0x464> + 1d84: ced794e3 bne x15,x13,1a6c <__divdi3+0x124> + 1d88: 000107b7 lui x15,0x10 + 1d8c: fff78793 addi x15,x15,-1 # ffff <__global_pointer$+0xc79f> + 1d90: 00f77733 and x14,x14,x15 + 1d94: 01071713 slli x14,x14,0x10 + 1d98: 00fe7e33 and x28,x28,x15 + 1d9c: 00b31333 sll x6,x6,x11 + 1da0: 01c70733 add x14,x14,x28 + 1da4: 00000593 addi x11,x0,0 + 1da8: dae374e3 bgeu x6,x14,1b50 <__divdi3+0x208> + 1dac: fff50513 addi x10,x10,-1 # ffffff <__global_pointer$+0xffc79f> + 1db0: cbdff06f jal x0,1a6c <__divdi3+0x124> + 1db4: 00000593 addi x11,x0,0 + 1db8: 00000513 addi x10,x0,0 + 1dbc: d95ff06f jal x0,1b50 <__divdi3+0x208> + +00001dc0 <__moddi3>: + 1dc0: 00058e13 addi x28,x11,0 + 1dc4: 00000813 addi x16,x0,0 + 1dc8: 0005dc63 bge x11,x0,1de0 <__moddi3+0x20> + 1dcc: 00a037b3 sltu x15,x0,x10 + 1dd0: 40b005b3 sub x11,x0,x11 + 1dd4: 40f58e33 sub x28,x11,x15 + 1dd8: 40a00533 sub x10,x0,x10 + 1ddc: fff00813 addi x16,x0,-1 + 1de0: 0006da63 bge x13,x0,1df4 <__moddi3+0x34> + 1de4: 00c037b3 sltu x15,x0,x12 + 1de8: 40d006b3 sub x13,x0,x13 + 1dec: 40f686b3 sub x13,x13,x15 + 1df0: 40c00633 sub x12,x0,x12 + 1df4: 00060893 addi x17,x12,0 + 1df8: 00050793 addi x15,x10,0 + 1dfc: 000e0593 addi x11,x28,0 + 1e00: 24069463 bne x13,x0,2048 <__moddi3+0x288> + 1e04: 00003737 lui x14,0x3 + 1e08: bd470713 addi x14,x14,-1068 # 2bd4 <__clz_tab> + 1e0c: 0ece7663 bgeu x28,x12,1ef8 <__moddi3+0x138> + 1e10: 000106b7 lui x13,0x10 + 1e14: 0cd67863 bgeu x12,x13,1ee4 <__moddi3+0x124> + 1e18: 10063693 sltiu x13,x12,256 + 1e1c: 0016c693 xori x13,x13,1 + 1e20: 00369693 slli x13,x13,0x3 + 1e24: 00d65333 srl x6,x12,x13 + 1e28: 00670733 add x14,x14,x6 + 1e2c: 00074703 lbu x14,0(x14) + 1e30: 00d70733 add x14,x14,x13 + 1e34: 02000693 addi x13,x0,32 + 1e38: 40e68333 sub x6,x13,x14 + 1e3c: 00e68c63 beq x13,x14,1e54 <__moddi3+0x94> + 1e40: 006e15b3 sll x11,x28,x6 + 1e44: 00e55733 srl x14,x10,x14 + 1e48: 006618b3 sll x17,x12,x6 + 1e4c: 00b765b3 or x11,x14,x11 + 1e50: 006517b3 sll x15,x10,x6 + 1e54: 0108d693 srli x13,x17,0x10 + 1e58: 02d5d733 divu x14,x11,x13 + 1e5c: 01089513 slli x10,x17,0x10 + 1e60: 01055513 srli x10,x10,0x10 + 1e64: 02d5f5b3 remu x11,x11,x13 + 1e68: 02a70733 mul x14,x14,x10 + 1e6c: 01059613 slli x12,x11,0x10 + 1e70: 0107d593 srli x11,x15,0x10 + 1e74: 00c5e5b3 or x11,x11,x12 + 1e78: 00e5fa63 bgeu x11,x14,1e8c <__moddi3+0xcc> + 1e7c: 011585b3 add x11,x11,x17 + 1e80: 0115e663 bltu x11,x17,1e8c <__moddi3+0xcc> + 1e84: 00e5f463 bgeu x11,x14,1e8c <__moddi3+0xcc> + 1e88: 011585b3 add x11,x11,x17 + 1e8c: 40e585b3 sub x11,x11,x14 + 1e90: 02d5d733 divu x14,x11,x13 + 1e94: 01079793 slli x15,x15,0x10 + 1e98: 0107d793 srli x15,x15,0x10 + 1e9c: 02d5f5b3 remu x11,x11,x13 + 1ea0: 02a70733 mul x14,x14,x10 + 1ea4: 01059593 slli x11,x11,0x10 + 1ea8: 00b7e7b3 or x15,x15,x11 + 1eac: 00e7fa63 bgeu x15,x14,1ec0 <__moddi3+0x100> + 1eb0: 011787b3 add x15,x15,x17 + 1eb4: 0117e663 bltu x15,x17,1ec0 <__moddi3+0x100> + 1eb8: 00e7f463 bgeu x15,x14,1ec0 <__moddi3+0x100> + 1ebc: 011787b3 add x15,x15,x17 + 1ec0: 40e787b3 sub x15,x15,x14 + 1ec4: 0067d533 srl x10,x15,x6 + 1ec8: 00000593 addi x11,x0,0 + 1ecc: 00080a63 beq x16,x0,1ee0 <__moddi3+0x120> + 1ed0: 00a037b3 sltu x15,x0,x10 + 1ed4: 40b005b3 sub x11,x0,x11 + 1ed8: 40f585b3 sub x11,x11,x15 + 1edc: 40a00533 sub x10,x0,x10 + 1ee0: 00008067 jalr x0,0(x1) + 1ee4: 01000337 lui x6,0x1000 + 1ee8: 01000693 addi x13,x0,16 + 1eec: f2666ce3 bltu x12,x6,1e24 <__moddi3+0x64> + 1ef0: 01800693 addi x13,x0,24 + 1ef4: f31ff06f jal x0,1e24 <__moddi3+0x64> + 1ef8: 00061463 bne x12,x0,1f00 <__moddi3+0x140> + 1efc: 00100073 ebreak + 1f00: 000106b7 lui x13,0x10 + 1f04: 0ad67263 bgeu x12,x13,1fa8 <__moddi3+0x1e8> + 1f08: 10063693 sltiu x13,x12,256 + 1f0c: 0016c693 xori x13,x13,1 + 1f10: 00369693 slli x13,x13,0x3 + 1f14: 00d655b3 srl x11,x12,x13 + 1f18: 00b70733 add x14,x14,x11 + 1f1c: 00074703 lbu x14,0(x14) + 1f20: 00d70733 add x14,x14,x13 + 1f24: 02000693 addi x13,x0,32 + 1f28: 40e68333 sub x6,x13,x14 + 1f2c: 08e69863 bne x13,x14,1fbc <__moddi3+0x1fc> + 1f30: 40ce0733 sub x14,x28,x12 + 1f34: 0108d593 srli x11,x17,0x10 + 1f38: 02b75633 divu x12,x14,x11 + 1f3c: 01089513 slli x10,x17,0x10 + 1f40: 01055513 srli x10,x10,0x10 + 1f44: 0107d693 srli x13,x15,0x10 + 1f48: 02b77733 remu x14,x14,x11 + 1f4c: 02a60633 mul x12,x12,x10 + 1f50: 01071713 slli x14,x14,0x10 + 1f54: 00e6e733 or x14,x13,x14 + 1f58: 00c77a63 bgeu x14,x12,1f6c <__moddi3+0x1ac> + 1f5c: 01170733 add x14,x14,x17 + 1f60: 01176663 bltu x14,x17,1f6c <__moddi3+0x1ac> + 1f64: 00c77463 bgeu x14,x12,1f6c <__moddi3+0x1ac> + 1f68: 01170733 add x14,x14,x17 + 1f6c: 40c70733 sub x14,x14,x12 + 1f70: 02b756b3 divu x13,x14,x11 + 1f74: 01079793 slli x15,x15,0x10 + 1f78: 0107d793 srli x15,x15,0x10 + 1f7c: 02b77733 remu x14,x14,x11 + 1f80: 02a686b3 mul x13,x13,x10 + 1f84: 01071713 slli x14,x14,0x10 + 1f88: 00e7e7b3 or x15,x15,x14 + 1f8c: 00d7fa63 bgeu x15,x13,1fa0 <__moddi3+0x1e0> + 1f90: 011787b3 add x15,x15,x17 + 1f94: 0117e663 bltu x15,x17,1fa0 <__moddi3+0x1e0> + 1f98: 00d7f463 bgeu x15,x13,1fa0 <__moddi3+0x1e0> + 1f9c: 011787b3 add x15,x15,x17 + 1fa0: 40d787b3 sub x15,x15,x13 + 1fa4: f21ff06f jal x0,1ec4 <__moddi3+0x104> + 1fa8: 010005b7 lui x11,0x1000 + 1fac: 01000693 addi x13,x0,16 + 1fb0: f6b662e3 bltu x12,x11,1f14 <__moddi3+0x154> + 1fb4: 01800693 addi x13,x0,24 + 1fb8: f5dff06f jal x0,1f14 <__moddi3+0x154> + 1fbc: 006618b3 sll x17,x12,x6 + 1fc0: 00ee56b3 srl x13,x28,x14 + 1fc4: 006e15b3 sll x11,x28,x6 + 1fc8: 00e55733 srl x14,x10,x14 + 1fcc: 006517b3 sll x15,x10,x6 + 1fd0: 0108d513 srli x10,x17,0x10 + 1fd4: 00b76733 or x14,x14,x11 + 1fd8: 02a6d5b3 divu x11,x13,x10 + 1fdc: 01089e13 slli x28,x17,0x10 + 1fe0: 010e5e13 srli x28,x28,0x10 + 1fe4: 02a6f6b3 remu x13,x13,x10 + 1fe8: 03c585b3 mul x11,x11,x28 + 1fec: 01069613 slli x12,x13,0x10 + 1ff0: 01075693 srli x13,x14,0x10 + 1ff4: 00c6e6b3 or x13,x13,x12 + 1ff8: 00b6fa63 bgeu x13,x11,200c <__moddi3+0x24c> + 1ffc: 011686b3 add x13,x13,x17 + 2000: 0116e663 bltu x13,x17,200c <__moddi3+0x24c> + 2004: 00b6f463 bgeu x13,x11,200c <__moddi3+0x24c> + 2008: 011686b3 add x13,x13,x17 + 200c: 40b686b3 sub x13,x13,x11 + 2010: 02a6d633 divu x12,x13,x10 + 2014: 01071713 slli x14,x14,0x10 + 2018: 01075713 srli x14,x14,0x10 + 201c: 02a6f6b3 remu x13,x13,x10 + 2020: 03c60633 mul x12,x12,x28 + 2024: 01069693 slli x13,x13,0x10 + 2028: 00d76733 or x14,x14,x13 + 202c: 00c77a63 bgeu x14,x12,2040 <__moddi3+0x280> + 2030: 01170733 add x14,x14,x17 + 2034: 01176663 bltu x14,x17,2040 <__moddi3+0x280> + 2038: 00c77463 bgeu x14,x12,2040 <__moddi3+0x280> + 203c: 01170733 add x14,x14,x17 + 2040: 40c70733 sub x14,x14,x12 + 2044: ef1ff06f jal x0,1f34 <__moddi3+0x174> + 2048: e8de62e3 bltu x28,x13,1ecc <__moddi3+0x10c> + 204c: 00010737 lui x14,0x10 + 2050: 04e6fc63 bgeu x13,x14,20a8 <__moddi3+0x2e8> + 2054: 1006b713 sltiu x14,x13,256 + 2058: 00174713 xori x14,x14,1 + 205c: 00371713 slli x14,x14,0x3 + 2060: 000038b7 lui x17,0x3 + 2064: 00e6d333 srl x6,x13,x14 + 2068: bd488893 addi x17,x17,-1068 # 2bd4 <__clz_tab> + 206c: 006888b3 add x17,x17,x6 + 2070: 0008c303 lbu x6,0(x17) + 2074: 00e30333 add x6,x6,x14 + 2078: 02000713 addi x14,x0,32 + 207c: 406708b3 sub x17,x14,x6 + 2080: 02671e63 bne x14,x6,20bc <__moddi3+0x2fc> + 2084: 01c6e463 bltu x13,x28,208c <__moddi3+0x2cc> + 2088: 00c56c63 bltu x10,x12,20a0 <__moddi3+0x2e0> + 208c: 40c50633 sub x12,x10,x12 + 2090: 40de06b3 sub x13,x28,x13 + 2094: 00c535b3 sltu x11,x10,x12 + 2098: 40b685b3 sub x11,x13,x11 + 209c: 00060793 addi x15,x12,0 + 20a0: 00078513 addi x10,x15,0 + 20a4: e29ff06f jal x0,1ecc <__moddi3+0x10c> + 20a8: 010008b7 lui x17,0x1000 + 20ac: 01000713 addi x14,x0,16 + 20b0: fb16e8e3 bltu x13,x17,2060 <__moddi3+0x2a0> + 20b4: 01800713 addi x14,x0,24 + 20b8: fa9ff06f jal x0,2060 <__moddi3+0x2a0> + 20bc: 006657b3 srl x15,x12,x6 + 20c0: 011696b3 sll x13,x13,x17 + 20c4: 00d7e6b3 or x13,x15,x13 + 20c8: 006e5733 srl x14,x28,x6 + 20cc: 0106de93 srli x29,x13,0x10 + 20d0: 03d75fb3 divu x31,x14,x29 + 20d4: 011e15b3 sll x11,x28,x17 + 20d8: 01069e13 slli x28,x13,0x10 + 20dc: 010e5e13 srli x28,x28,0x10 + 20e0: 006557b3 srl x15,x10,x6 + 20e4: 00b7e5b3 or x11,x15,x11 + 20e8: 0105d793 srli x15,x11,0x10 + 20ec: 01161633 sll x12,x12,x17 + 20f0: 01151533 sll x10,x10,x17 + 20f4: 03d77733 remu x14,x14,x29 + 20f8: 03fe0f33 mul x30,x28,x31 + 20fc: 01071713 slli x14,x14,0x10 + 2100: 00e7e7b3 or x15,x15,x14 + 2104: 000f8713 addi x14,x31,0 + 2108: 01e7fe63 bgeu x15,x30,2124 <__moddi3+0x364> + 210c: 00d787b3 add x15,x15,x13 + 2110: ffff8713 addi x14,x31,-1 + 2114: 00d7e863 bltu x15,x13,2124 <__moddi3+0x364> + 2118: 01e7f663 bgeu x15,x30,2124 <__moddi3+0x364> + 211c: ffef8713 addi x14,x31,-2 + 2120: 00d787b3 add x15,x15,x13 + 2124: 41e787b3 sub x15,x15,x30 + 2128: 03d7df33 divu x30,x15,x29 + 212c: 01059593 slli x11,x11,0x10 + 2130: 0105d593 srli x11,x11,0x10 + 2134: 03d7f7b3 remu x15,x15,x29 + 2138: 03ee0e33 mul x28,x28,x30 + 213c: 01079793 slli x15,x15,0x10 + 2140: 00f5e5b3 or x11,x11,x15 + 2144: 000f0793 addi x15,x30,0 + 2148: 01c5fe63 bgeu x11,x28,2164 <__moddi3+0x3a4> + 214c: 00d585b3 add x11,x11,x13 + 2150: ffff0793 addi x15,x30,-1 + 2154: 00d5e863 bltu x11,x13,2164 <__moddi3+0x3a4> + 2158: 01c5f663 bgeu x11,x28,2164 <__moddi3+0x3a4> + 215c: ffef0793 addi x15,x30,-2 + 2160: 00d585b3 add x11,x11,x13 + 2164: 01071713 slli x14,x14,0x10 + 2168: 00010fb7 lui x31,0x10 + 216c: 00f76733 or x14,x14,x15 + 2170: 41c585b3 sub x11,x11,x28 + 2174: ffff8e13 addi x28,x31,-1 # ffff <__global_pointer$+0xc79f> + 2178: 01c777b3 and x15,x14,x28 + 217c: 01065e93 srli x29,x12,0x10 + 2180: 01075713 srli x14,x14,0x10 + 2184: 01c67e33 and x28,x12,x28 + 2188: 03c78f33 mul x30,x15,x28 + 218c: 03c70e33 mul x28,x14,x28 + 2190: 03d787b3 mul x15,x15,x29 + 2194: 03d70733 mul x14,x14,x29 + 2198: 01c78eb3 add x29,x15,x28 + 219c: 010f5793 srli x15,x30,0x10 + 21a0: 01d787b3 add x15,x15,x29 + 21a4: 01c7f463 bgeu x15,x28,21ac <__moddi3+0x3ec> + 21a8: 01f70733 add x14,x14,x31 + 21ac: 0107de13 srli x28,x15,0x10 + 21b0: 00ee0733 add x14,x28,x14 + 21b4: 00010e37 lui x28,0x10 + 21b8: fffe0e13 addi x28,x28,-1 # ffff <__global_pointer$+0xc79f> + 21bc: 01c7f7b3 and x15,x15,x28 + 21c0: 01079793 slli x15,x15,0x10 + 21c4: 01cf7f33 and x30,x30,x28 + 21c8: 01e787b3 add x15,x15,x30 + 21cc: 00e5e663 bltu x11,x14,21d8 <__moddi3+0x418> + 21d0: 00e59e63 bne x11,x14,21ec <__moddi3+0x42c> + 21d4: 00f57c63 bgeu x10,x15,21ec <__moddi3+0x42c> + 21d8: 40c78633 sub x12,x15,x12 + 21dc: 00c7b7b3 sltu x15,x15,x12 + 21e0: 00d787b3 add x15,x15,x13 + 21e4: 40f70733 sub x14,x14,x15 + 21e8: 00060793 addi x15,x12,0 + 21ec: 40f507b3 sub x15,x10,x15 + 21f0: 00f53533 sltu x10,x10,x15 + 21f4: 40e585b3 sub x11,x11,x14 + 21f8: 40a585b3 sub x11,x11,x10 + 21fc: 00659333 sll x6,x11,x6 + 2200: 0117d7b3 srl x15,x15,x17 + 2204: 00f36533 or x10,x6,x15 + 2208: 0115d5b3 srl x11,x11,x17 + 220c: cc1ff06f jal x0,1ecc <__moddi3+0x10c> -00001298 <__udivdi3>: - 1298: 00050313 addi x6,x10,0 - 129c: 00058893 addi x17,x11,0 - 12a0: 00060713 addi x14,x12,0 - 12a4: 00050813 addi x16,x10,0 - 12a8: 00058793 addi x15,x11,0 - 12ac: 28069263 bne x13,x0,1530 <__udivdi3+0x298> - 12b0: 000025b7 lui x11,0x2 - 12b4: be058593 addi x11,x11,-1056 # 1be0 <__clz_tab> - 12b8: 0ec8f663 bgeu x17,x12,13a4 <__udivdi3+0x10c> - 12bc: 000106b7 lui x13,0x10 - 12c0: 0cd67863 bgeu x12,x13,1390 <__udivdi3+0xf8> - 12c4: 10063693 sltiu x13,x12,256 - 12c8: 0016c693 xori x13,x13,1 - 12cc: 00369693 slli x13,x13,0x3 - 12d0: 00d65533 srl x10,x12,x13 - 12d4: 00a585b3 add x11,x11,x10 - 12d8: 0005c583 lbu x11,0(x11) - 12dc: 02000513 addi x10,x0,32 - 12e0: 00d586b3 add x13,x11,x13 - 12e4: 40d505b3 sub x11,x10,x13 - 12e8: 00d50c63 beq x10,x13,1300 <__udivdi3+0x68> - 12ec: 00b897b3 sll x15,x17,x11 - 12f0: 00d356b3 srl x13,x6,x13 - 12f4: 00b61733 sll x14,x12,x11 - 12f8: 00f6e7b3 or x15,x13,x15 - 12fc: 00b31833 sll x16,x6,x11 - 1300: 01075593 srli x11,x14,0x10 - 1304: 02b7d333 divu x6,x15,x11 - 1308: 01071613 slli x12,x14,0x10 - 130c: 01065613 srli x12,x12,0x10 - 1310: 02b7f7b3 remu x15,x15,x11 - 1314: 00030513 addi x10,x6,0 # 130 - 1318: 026608b3 mul x17,x12,x6 - 131c: 01079693 slli x13,x15,0x10 - 1320: 01085793 srli x15,x16,0x10 - 1324: 00d7e7b3 or x15,x15,x13 - 1328: 0117fe63 bgeu x15,x17,1344 <__udivdi3+0xac> - 132c: 00e787b3 add x15,x15,x14 - 1330: fff30513 addi x10,x6,-1 - 1334: 00e7e863 bltu x15,x14,1344 <__udivdi3+0xac> - 1338: 0117f663 bgeu x15,x17,1344 <__udivdi3+0xac> - 133c: ffe30513 addi x10,x6,-2 - 1340: 00e787b3 add x15,x15,x14 - 1344: 411787b3 sub x15,x15,x17 - 1348: 02b7d8b3 divu x17,x15,x11 - 134c: 01081813 slli x16,x16,0x10 - 1350: 01085813 srli x16,x16,0x10 - 1354: 02b7f7b3 remu x15,x15,x11 - 1358: 031606b3 mul x13,x12,x17 - 135c: 01079793 slli x15,x15,0x10 - 1360: 00f86833 or x16,x16,x15 - 1364: 00088793 addi x15,x17,0 - 1368: 00d87c63 bgeu x16,x13,1380 <__udivdi3+0xe8> - 136c: 01070833 add x16,x14,x16 - 1370: fff88793 addi x15,x17,-1 - 1374: 00e86663 bltu x16,x14,1380 <__udivdi3+0xe8> - 1378: 00d87463 bgeu x16,x13,1380 <__udivdi3+0xe8> - 137c: ffe88793 addi x15,x17,-2 - 1380: 01051513 slli x10,x10,0x10 - 1384: 00f56533 or x10,x10,x15 - 1388: 00000593 addi x11,x0,0 - 138c: 00008067 jalr x0,0(x1) - 1390: 01000537 lui x10,0x1000 - 1394: 01000693 addi x13,x0,16 - 1398: f2a66ce3 bltu x12,x10,12d0 <__udivdi3+0x38> - 139c: 01800693 addi x13,x0,24 - 13a0: f31ff06f jal x0,12d0 <__udivdi3+0x38> - 13a4: 00061463 bne x12,x0,13ac <__udivdi3+0x114> - 13a8: 00100073 ebreak - 13ac: 000107b7 lui x15,0x10 - 13b0: 0cf67063 bgeu x12,x15,1470 <__udivdi3+0x1d8> - 13b4: 10063693 sltiu x13,x12,256 - 13b8: 0016c693 xori x13,x13,1 - 13bc: 00369693 slli x13,x13,0x3 - 13c0: 00d657b3 srl x15,x12,x13 - 13c4: 00f585b3 add x11,x11,x15 - 13c8: 0005c783 lbu x15,0(x11) - 13cc: 00d787b3 add x15,x15,x13 - 13d0: 02000693 addi x13,x0,32 - 13d4: 40f685b3 sub x11,x13,x15 - 13d8: 0af69663 bne x13,x15,1484 <__udivdi3+0x1ec> - 13dc: 40c887b3 sub x15,x17,x12 - 13e0: 00100593 addi x11,x0,1 - 13e4: 01075893 srli x17,x14,0x10 - 13e8: 0317de33 divu x28,x15,x17 - 13ec: 01071613 slli x12,x14,0x10 - 13f0: 01065613 srli x12,x12,0x10 - 13f4: 01085693 srli x13,x16,0x10 - 13f8: 0317f7b3 remu x15,x15,x17 - 13fc: 000e0513 addi x10,x28,0 - 1400: 03c60333 mul x6,x12,x28 - 1404: 01079793 slli x15,x15,0x10 - 1408: 00f6e7b3 or x15,x13,x15 - 140c: 0067fe63 bgeu x15,x6,1428 <__udivdi3+0x190> - 1410: 00e787b3 add x15,x15,x14 - 1414: fffe0513 addi x10,x28,-1 - 1418: 00e7e863 bltu x15,x14,1428 <__udivdi3+0x190> - 141c: 0067f663 bgeu x15,x6,1428 <__udivdi3+0x190> - 1420: ffee0513 addi x10,x28,-2 - 1424: 00e787b3 add x15,x15,x14 - 1428: 406787b3 sub x15,x15,x6 - 142c: 0317d333 divu x6,x15,x17 - 1430: 01081813 slli x16,x16,0x10 - 1434: 01085813 srli x16,x16,0x10 - 1438: 0317f7b3 remu x15,x15,x17 - 143c: 026606b3 mul x13,x12,x6 - 1440: 01079793 slli x15,x15,0x10 - 1444: 00f86833 or x16,x16,x15 - 1448: 00030793 addi x15,x6,0 - 144c: 00d87c63 bgeu x16,x13,1464 <__udivdi3+0x1cc> - 1450: 01070833 add x16,x14,x16 - 1454: fff30793 addi x15,x6,-1 - 1458: 00e86663 bltu x16,x14,1464 <__udivdi3+0x1cc> - 145c: 00d87463 bgeu x16,x13,1464 <__udivdi3+0x1cc> - 1460: ffe30793 addi x15,x6,-2 - 1464: 01051513 slli x10,x10,0x10 - 1468: 00f56533 or x10,x10,x15 - 146c: 00008067 jalr x0,0(x1) - 1470: 010007b7 lui x15,0x1000 - 1474: 01000693 addi x13,x0,16 - 1478: f4f664e3 bltu x12,x15,13c0 <__udivdi3+0x128> - 147c: 01800693 addi x13,x0,24 - 1480: f41ff06f jal x0,13c0 <__udivdi3+0x128> - 1484: 00b61733 sll x14,x12,x11 - 1488: 00f8d6b3 srl x13,x17,x15 - 148c: 01075513 srli x10,x14,0x10 - 1490: 00f357b3 srl x15,x6,x15 - 1494: 00b31833 sll x16,x6,x11 - 1498: 02a6d333 divu x6,x13,x10 - 149c: 01071613 slli x12,x14,0x10 - 14a0: 00b898b3 sll x17,x17,x11 - 14a4: 01065613 srli x12,x12,0x10 - 14a8: 0117e7b3 or x15,x15,x17 - 14ac: 02a6f6b3 remu x13,x13,x10 - 14b0: 026608b3 mul x17,x12,x6 - 14b4: 01069593 slli x11,x13,0x10 - 14b8: 0107d693 srli x13,x15,0x10 - 14bc: 00b6e6b3 or x13,x13,x11 - 14c0: 00030593 addi x11,x6,0 - 14c4: 0116fe63 bgeu x13,x17,14e0 <__udivdi3+0x248> - 14c8: 00e686b3 add x13,x13,x14 - 14cc: fff30593 addi x11,x6,-1 - 14d0: 00e6e863 bltu x13,x14,14e0 <__udivdi3+0x248> - 14d4: 0116f663 bgeu x13,x17,14e0 <__udivdi3+0x248> - 14d8: ffe30593 addi x11,x6,-2 - 14dc: 00e686b3 add x13,x13,x14 - 14e0: 411686b3 sub x13,x13,x17 - 14e4: 02a6d8b3 divu x17,x13,x10 - 14e8: 01079793 slli x15,x15,0x10 - 14ec: 0107d793 srli x15,x15,0x10 - 14f0: 02a6f6b3 remu x13,x13,x10 - 14f4: 03160633 mul x12,x12,x17 - 14f8: 01069693 slli x13,x13,0x10 - 14fc: 00d7e7b3 or x15,x15,x13 - 1500: 00088693 addi x13,x17,0 - 1504: 00c7fe63 bgeu x15,x12,1520 <__udivdi3+0x288> - 1508: 00e787b3 add x15,x15,x14 - 150c: fff88693 addi x13,x17,-1 - 1510: 00e7e863 bltu x15,x14,1520 <__udivdi3+0x288> - 1514: 00c7f663 bgeu x15,x12,1520 <__udivdi3+0x288> - 1518: ffe88693 addi x13,x17,-2 - 151c: 00e787b3 add x15,x15,x14 - 1520: 01059593 slli x11,x11,0x10 - 1524: 40c787b3 sub x15,x15,x12 - 1528: 00d5e5b3 or x11,x11,x13 - 152c: eb9ff06f jal x0,13e4 <__udivdi3+0x14c> - 1530: 18d5e663 bltu x11,x13,16bc <__udivdi3+0x424> - 1534: 000107b7 lui x15,0x10 - 1538: 04f6f463 bgeu x13,x15,1580 <__udivdi3+0x2e8> - 153c: 1006b713 sltiu x14,x13,256 - 1540: 00174713 xori x14,x14,1 - 1544: 00371713 slli x14,x14,0x3 - 1548: 000027b7 lui x15,0x2 - 154c: 00e6d5b3 srl x11,x13,x14 - 1550: be078793 addi x15,x15,-1056 # 1be0 <__clz_tab> - 1554: 00b787b3 add x15,x15,x11 - 1558: 0007c783 lbu x15,0(x15) - 155c: 00e787b3 add x15,x15,x14 - 1560: 02000713 addi x14,x0,32 - 1564: 40f705b3 sub x11,x14,x15 - 1568: 02f71663 bne x14,x15,1594 <__udivdi3+0x2fc> - 156c: 00100513 addi x10,x0,1 - 1570: e116eee3 bltu x13,x17,138c <__udivdi3+0xf4> - 1574: 00c33533 sltu x10,x6,x12 - 1578: 00154513 xori x10,x10,1 - 157c: 00008067 jalr x0,0(x1) - 1580: 010007b7 lui x15,0x1000 - 1584: 01000713 addi x14,x0,16 - 1588: fcf6e0e3 bltu x13,x15,1548 <__udivdi3+0x2b0> - 158c: 01800713 addi x14,x0,24 - 1590: fb9ff06f jal x0,1548 <__udivdi3+0x2b0> - 1594: 00f65733 srl x14,x12,x15 - 1598: 00b696b3 sll x13,x13,x11 - 159c: 00d766b3 or x13,x14,x13 - 15a0: 00f8d733 srl x14,x17,x15 - 15a4: 00b898b3 sll x17,x17,x11 - 15a8: 00f357b3 srl x15,x6,x15 - 15ac: 0117e7b3 or x15,x15,x17 - 15b0: 0106d893 srli x17,x13,0x10 - 15b4: 03175eb3 divu x29,x14,x17 - 15b8: 01069813 slli x16,x13,0x10 - 15bc: 01085813 srli x16,x16,0x10 - 15c0: 00b61633 sll x12,x12,x11 - 15c4: 03177733 remu x14,x14,x17 - 15c8: 03d80e33 mul x28,x16,x29 - 15cc: 01071513 slli x10,x14,0x10 - 15d0: 0107d713 srli x14,x15,0x10 - 15d4: 00a76733 or x14,x14,x10 - 15d8: 000e8513 addi x10,x29,0 - 15dc: 01c77e63 bgeu x14,x28,15f8 <__udivdi3+0x360> - 15e0: 00d70733 add x14,x14,x13 - 15e4: fffe8513 addi x10,x29,-1 - 15e8: 00d76863 bltu x14,x13,15f8 <__udivdi3+0x360> - 15ec: 01c77663 bgeu x14,x28,15f8 <__udivdi3+0x360> - 15f0: ffee8513 addi x10,x29,-2 - 15f4: 00d70733 add x14,x14,x13 - 15f8: 41c70733 sub x14,x14,x28 - 15fc: 03175e33 divu x28,x14,x17 - 1600: 01079793 slli x15,x15,0x10 - 1604: 0107d793 srli x15,x15,0x10 - 1608: 03177733 remu x14,x14,x17 - 160c: 03c80833 mul x16,x16,x28 - 1610: 01071713 slli x14,x14,0x10 - 1614: 00e7e7b3 or x15,x15,x14 - 1618: 000e0713 addi x14,x28,0 - 161c: 0107fe63 bgeu x15,x16,1638 <__udivdi3+0x3a0> - 1620: 00d787b3 add x15,x15,x13 - 1624: fffe0713 addi x14,x28,-1 - 1628: 00d7e863 bltu x15,x13,1638 <__udivdi3+0x3a0> - 162c: 0107f663 bgeu x15,x16,1638 <__udivdi3+0x3a0> - 1630: ffee0713 addi x14,x28,-2 - 1634: 00d787b3 add x15,x15,x13 - 1638: 01051513 slli x10,x10,0x10 - 163c: 00010e37 lui x28,0x10 - 1640: 00e56533 or x10,x10,x14 - 1644: fffe0693 addi x13,x28,-1 # ffff <__global_pointer$+0xd7c7> - 1648: 00d57733 and x14,x10,x13 - 164c: 410787b3 sub x15,x15,x16 - 1650: 00d676b3 and x13,x12,x13 - 1654: 01055813 srli x16,x10,0x10 - 1658: 01065613 srli x12,x12,0x10 - 165c: 02d708b3 mul x17,x14,x13 - 1660: 02d806b3 mul x13,x16,x13 - 1664: 02c70733 mul x14,x14,x12 - 1668: 02c80833 mul x16,x16,x12 - 166c: 00d70633 add x12,x14,x13 - 1670: 0108d713 srli x14,x17,0x10 - 1674: 00c70733 add x14,x14,x12 - 1678: 00d77463 bgeu x14,x13,1680 <__udivdi3+0x3e8> - 167c: 01c80833 add x16,x16,x28 - 1680: 01075693 srli x13,x14,0x10 - 1684: 010686b3 add x13,x13,x16 - 1688: 02d7e663 bltu x15,x13,16b4 <__udivdi3+0x41c> - 168c: ced79ee3 bne x15,x13,1388 <__udivdi3+0xf0> - 1690: 000107b7 lui x15,0x10 - 1694: fff78793 addi x15,x15,-1 # ffff <__global_pointer$+0xd7c7> - 1698: 00f77733 and x14,x14,x15 - 169c: 01071713 slli x14,x14,0x10 - 16a0: 00f8f8b3 and x17,x17,x15 - 16a4: 00b31333 sll x6,x6,x11 - 16a8: 01170733 add x14,x14,x17 - 16ac: 00000593 addi x11,x0,0 - 16b0: cce37ee3 bgeu x6,x14,138c <__udivdi3+0xf4> - 16b4: fff50513 addi x10,x10,-1 # ffffff <__global_pointer$+0xffd7c7> - 16b8: cd1ff06f jal x0,1388 <__udivdi3+0xf0> - 16bc: 00000593 addi x11,x0,0 - 16c0: 00000513 addi x10,x0,0 - 16c4: 00008067 jalr x0,0(x1) +00002210 <__udivdi3>: + 2210: 00050313 addi x6,x10,0 + 2214: 00058893 addi x17,x11,0 # 1000000 <__global_pointer$+0xffc7a0> + 2218: 00060713 addi x14,x12,0 + 221c: 00050813 addi x16,x10,0 + 2220: 00058793 addi x15,x11,0 + 2224: 28069263 bne x13,x0,24a8 <__udivdi3+0x298> + 2228: 000035b7 lui x11,0x3 + 222c: bd458593 addi x11,x11,-1068 # 2bd4 <__clz_tab> + 2230: 0ec8f663 bgeu x17,x12,231c <__udivdi3+0x10c> + 2234: 000106b7 lui x13,0x10 + 2238: 0cd67863 bgeu x12,x13,2308 <__udivdi3+0xf8> + 223c: 10063693 sltiu x13,x12,256 + 2240: 0016c693 xori x13,x13,1 + 2244: 00369693 slli x13,x13,0x3 + 2248: 00d65533 srl x10,x12,x13 + 224c: 00a585b3 add x11,x11,x10 + 2250: 0005c583 lbu x11,0(x11) + 2254: 02000513 addi x10,x0,32 + 2258: 00d586b3 add x13,x11,x13 + 225c: 40d505b3 sub x11,x10,x13 + 2260: 00d50c63 beq x10,x13,2278 <__udivdi3+0x68> + 2264: 00b897b3 sll x15,x17,x11 + 2268: 00d356b3 srl x13,x6,x13 + 226c: 00b61733 sll x14,x12,x11 + 2270: 00f6e7b3 or x15,x13,x15 + 2274: 00b31833 sll x16,x6,x11 + 2278: 01075593 srli x11,x14,0x10 + 227c: 02b7d333 divu x6,x15,x11 + 2280: 01071613 slli x12,x14,0x10 + 2284: 01065613 srli x12,x12,0x10 + 2288: 02b7f7b3 remu x15,x15,x11 + 228c: 00030513 addi x10,x6,0 # 1000000 <__global_pointer$+0xffc7a0> + 2290: 026608b3 mul x17,x12,x6 + 2294: 01079693 slli x13,x15,0x10 + 2298: 01085793 srli x15,x16,0x10 + 229c: 00d7e7b3 or x15,x15,x13 + 22a0: 0117fe63 bgeu x15,x17,22bc <__udivdi3+0xac> + 22a4: 00e787b3 add x15,x15,x14 + 22a8: fff30513 addi x10,x6,-1 + 22ac: 00e7e863 bltu x15,x14,22bc <__udivdi3+0xac> + 22b0: 0117f663 bgeu x15,x17,22bc <__udivdi3+0xac> + 22b4: ffe30513 addi x10,x6,-2 + 22b8: 00e787b3 add x15,x15,x14 + 22bc: 411787b3 sub x15,x15,x17 + 22c0: 02b7d8b3 divu x17,x15,x11 + 22c4: 01081813 slli x16,x16,0x10 + 22c8: 01085813 srli x16,x16,0x10 + 22cc: 02b7f7b3 remu x15,x15,x11 + 22d0: 031606b3 mul x13,x12,x17 + 22d4: 01079793 slli x15,x15,0x10 + 22d8: 00f86833 or x16,x16,x15 + 22dc: 00088793 addi x15,x17,0 # 1000000 <__global_pointer$+0xffc7a0> + 22e0: 00d87c63 bgeu x16,x13,22f8 <__udivdi3+0xe8> + 22e4: 01070833 add x16,x14,x16 + 22e8: fff88793 addi x15,x17,-1 + 22ec: 00e86663 bltu x16,x14,22f8 <__udivdi3+0xe8> + 22f0: 00d87463 bgeu x16,x13,22f8 <__udivdi3+0xe8> + 22f4: ffe88793 addi x15,x17,-2 + 22f8: 01051513 slli x10,x10,0x10 + 22fc: 00f56533 or x10,x10,x15 + 2300: 00000593 addi x11,x0,0 + 2304: 00008067 jalr x0,0(x1) + 2308: 01000537 lui x10,0x1000 + 230c: 01000693 addi x13,x0,16 + 2310: f2a66ce3 bltu x12,x10,2248 <__udivdi3+0x38> + 2314: 01800693 addi x13,x0,24 + 2318: f31ff06f jal x0,2248 <__udivdi3+0x38> + 231c: 00061463 bne x12,x0,2324 <__udivdi3+0x114> + 2320: 00100073 ebreak + 2324: 000107b7 lui x15,0x10 + 2328: 0cf67063 bgeu x12,x15,23e8 <__udivdi3+0x1d8> + 232c: 10063693 sltiu x13,x12,256 + 2330: 0016c693 xori x13,x13,1 + 2334: 00369693 slli x13,x13,0x3 + 2338: 00d657b3 srl x15,x12,x13 + 233c: 00f585b3 add x11,x11,x15 + 2340: 0005c783 lbu x15,0(x11) + 2344: 00d787b3 add x15,x15,x13 + 2348: 02000693 addi x13,x0,32 + 234c: 40f685b3 sub x11,x13,x15 + 2350: 0af69663 bne x13,x15,23fc <__udivdi3+0x1ec> + 2354: 40c887b3 sub x15,x17,x12 + 2358: 00100593 addi x11,x0,1 + 235c: 01075893 srli x17,x14,0x10 + 2360: 0317de33 divu x28,x15,x17 + 2364: 01071613 slli x12,x14,0x10 + 2368: 01065613 srli x12,x12,0x10 + 236c: 01085693 srli x13,x16,0x10 + 2370: 0317f7b3 remu x15,x15,x17 + 2374: 000e0513 addi x10,x28,0 + 2378: 03c60333 mul x6,x12,x28 + 237c: 01079793 slli x15,x15,0x10 + 2380: 00f6e7b3 or x15,x13,x15 + 2384: 0067fe63 bgeu x15,x6,23a0 <__udivdi3+0x190> + 2388: 00e787b3 add x15,x15,x14 + 238c: fffe0513 addi x10,x28,-1 + 2390: 00e7e863 bltu x15,x14,23a0 <__udivdi3+0x190> + 2394: 0067f663 bgeu x15,x6,23a0 <__udivdi3+0x190> + 2398: ffee0513 addi x10,x28,-2 + 239c: 00e787b3 add x15,x15,x14 + 23a0: 406787b3 sub x15,x15,x6 + 23a4: 0317d333 divu x6,x15,x17 + 23a8: 01081813 slli x16,x16,0x10 + 23ac: 01085813 srli x16,x16,0x10 + 23b0: 0317f7b3 remu x15,x15,x17 + 23b4: 026606b3 mul x13,x12,x6 + 23b8: 01079793 slli x15,x15,0x10 + 23bc: 00f86833 or x16,x16,x15 + 23c0: 00030793 addi x15,x6,0 + 23c4: 00d87c63 bgeu x16,x13,23dc <__udivdi3+0x1cc> + 23c8: 01070833 add x16,x14,x16 + 23cc: fff30793 addi x15,x6,-1 + 23d0: 00e86663 bltu x16,x14,23dc <__udivdi3+0x1cc> + 23d4: 00d87463 bgeu x16,x13,23dc <__udivdi3+0x1cc> + 23d8: ffe30793 addi x15,x6,-2 + 23dc: 01051513 slli x10,x10,0x10 + 23e0: 00f56533 or x10,x10,x15 + 23e4: 00008067 jalr x0,0(x1) + 23e8: 010007b7 lui x15,0x1000 + 23ec: 01000693 addi x13,x0,16 + 23f0: f4f664e3 bltu x12,x15,2338 <__udivdi3+0x128> + 23f4: 01800693 addi x13,x0,24 + 23f8: f41ff06f jal x0,2338 <__udivdi3+0x128> + 23fc: 00b61733 sll x14,x12,x11 + 2400: 00f8d6b3 srl x13,x17,x15 + 2404: 01075513 srli x10,x14,0x10 + 2408: 00f357b3 srl x15,x6,x15 + 240c: 00b31833 sll x16,x6,x11 + 2410: 02a6d333 divu x6,x13,x10 + 2414: 01071613 slli x12,x14,0x10 + 2418: 00b898b3 sll x17,x17,x11 + 241c: 01065613 srli x12,x12,0x10 + 2420: 0117e7b3 or x15,x15,x17 + 2424: 02a6f6b3 remu x13,x13,x10 + 2428: 026608b3 mul x17,x12,x6 + 242c: 01069593 slli x11,x13,0x10 + 2430: 0107d693 srli x13,x15,0x10 + 2434: 00b6e6b3 or x13,x13,x11 + 2438: 00030593 addi x11,x6,0 + 243c: 0116fe63 bgeu x13,x17,2458 <__udivdi3+0x248> + 2440: 00e686b3 add x13,x13,x14 + 2444: fff30593 addi x11,x6,-1 + 2448: 00e6e863 bltu x13,x14,2458 <__udivdi3+0x248> + 244c: 0116f663 bgeu x13,x17,2458 <__udivdi3+0x248> + 2450: ffe30593 addi x11,x6,-2 + 2454: 00e686b3 add x13,x13,x14 + 2458: 411686b3 sub x13,x13,x17 + 245c: 02a6d8b3 divu x17,x13,x10 + 2460: 01079793 slli x15,x15,0x10 + 2464: 0107d793 srli x15,x15,0x10 + 2468: 02a6f6b3 remu x13,x13,x10 + 246c: 03160633 mul x12,x12,x17 + 2470: 01069693 slli x13,x13,0x10 + 2474: 00d7e7b3 or x15,x15,x13 + 2478: 00088693 addi x13,x17,0 + 247c: 00c7fe63 bgeu x15,x12,2498 <__udivdi3+0x288> + 2480: 00e787b3 add x15,x15,x14 + 2484: fff88693 addi x13,x17,-1 + 2488: 00e7e863 bltu x15,x14,2498 <__udivdi3+0x288> + 248c: 00c7f663 bgeu x15,x12,2498 <__udivdi3+0x288> + 2490: ffe88693 addi x13,x17,-2 + 2494: 00e787b3 add x15,x15,x14 + 2498: 01059593 slli x11,x11,0x10 + 249c: 40c787b3 sub x15,x15,x12 + 24a0: 00d5e5b3 or x11,x11,x13 + 24a4: eb9ff06f jal x0,235c <__udivdi3+0x14c> + 24a8: 18d5e663 bltu x11,x13,2634 <__udivdi3+0x424> + 24ac: 000107b7 lui x15,0x10 + 24b0: 04f6f463 bgeu x13,x15,24f8 <__udivdi3+0x2e8> + 24b4: 1006b713 sltiu x14,x13,256 + 24b8: 00174713 xori x14,x14,1 + 24bc: 00371713 slli x14,x14,0x3 + 24c0: 000037b7 lui x15,0x3 + 24c4: 00e6d5b3 srl x11,x13,x14 + 24c8: bd478793 addi x15,x15,-1068 # 2bd4 <__clz_tab> + 24cc: 00b787b3 add x15,x15,x11 + 24d0: 0007c783 lbu x15,0(x15) + 24d4: 00e787b3 add x15,x15,x14 + 24d8: 02000713 addi x14,x0,32 + 24dc: 40f705b3 sub x11,x14,x15 + 24e0: 02f71663 bne x14,x15,250c <__udivdi3+0x2fc> + 24e4: 00100513 addi x10,x0,1 + 24e8: e116eee3 bltu x13,x17,2304 <__udivdi3+0xf4> + 24ec: 00c33533 sltu x10,x6,x12 + 24f0: 00154513 xori x10,x10,1 + 24f4: 00008067 jalr x0,0(x1) + 24f8: 010007b7 lui x15,0x1000 + 24fc: 01000713 addi x14,x0,16 + 2500: fcf6e0e3 bltu x13,x15,24c0 <__udivdi3+0x2b0> + 2504: 01800713 addi x14,x0,24 + 2508: fb9ff06f jal x0,24c0 <__udivdi3+0x2b0> + 250c: 00f65733 srl x14,x12,x15 + 2510: 00b696b3 sll x13,x13,x11 + 2514: 00d766b3 or x13,x14,x13 + 2518: 00f8d733 srl x14,x17,x15 + 251c: 00b898b3 sll x17,x17,x11 + 2520: 00f357b3 srl x15,x6,x15 + 2524: 0117e7b3 or x15,x15,x17 + 2528: 0106d893 srli x17,x13,0x10 + 252c: 03175eb3 divu x29,x14,x17 + 2530: 01069813 slli x16,x13,0x10 + 2534: 01085813 srli x16,x16,0x10 + 2538: 00b61633 sll x12,x12,x11 + 253c: 03177733 remu x14,x14,x17 + 2540: 03d80e33 mul x28,x16,x29 + 2544: 01071513 slli x10,x14,0x10 + 2548: 0107d713 srli x14,x15,0x10 + 254c: 00a76733 or x14,x14,x10 + 2550: 000e8513 addi x10,x29,0 + 2554: 01c77e63 bgeu x14,x28,2570 <__udivdi3+0x360> + 2558: 00d70733 add x14,x14,x13 + 255c: fffe8513 addi x10,x29,-1 + 2560: 00d76863 bltu x14,x13,2570 <__udivdi3+0x360> + 2564: 01c77663 bgeu x14,x28,2570 <__udivdi3+0x360> + 2568: ffee8513 addi x10,x29,-2 + 256c: 00d70733 add x14,x14,x13 + 2570: 41c70733 sub x14,x14,x28 + 2574: 03175e33 divu x28,x14,x17 + 2578: 01079793 slli x15,x15,0x10 + 257c: 0107d793 srli x15,x15,0x10 + 2580: 03177733 remu x14,x14,x17 + 2584: 03c80833 mul x16,x16,x28 + 2588: 01071713 slli x14,x14,0x10 + 258c: 00e7e7b3 or x15,x15,x14 + 2590: 000e0713 addi x14,x28,0 + 2594: 0107fe63 bgeu x15,x16,25b0 <__udivdi3+0x3a0> + 2598: 00d787b3 add x15,x15,x13 + 259c: fffe0713 addi x14,x28,-1 + 25a0: 00d7e863 bltu x15,x13,25b0 <__udivdi3+0x3a0> + 25a4: 0107f663 bgeu x15,x16,25b0 <__udivdi3+0x3a0> + 25a8: ffee0713 addi x14,x28,-2 + 25ac: 00d787b3 add x15,x15,x13 + 25b0: 01051513 slli x10,x10,0x10 + 25b4: 00010e37 lui x28,0x10 + 25b8: 00e56533 or x10,x10,x14 + 25bc: fffe0693 addi x13,x28,-1 # ffff <__global_pointer$+0xc79f> + 25c0: 00d57733 and x14,x10,x13 + 25c4: 410787b3 sub x15,x15,x16 + 25c8: 00d676b3 and x13,x12,x13 + 25cc: 01055813 srli x16,x10,0x10 + 25d0: 01065613 srli x12,x12,0x10 + 25d4: 02d708b3 mul x17,x14,x13 + 25d8: 02d806b3 mul x13,x16,x13 + 25dc: 02c70733 mul x14,x14,x12 + 25e0: 02c80833 mul x16,x16,x12 + 25e4: 00d70633 add x12,x14,x13 + 25e8: 0108d713 srli x14,x17,0x10 + 25ec: 00c70733 add x14,x14,x12 + 25f0: 00d77463 bgeu x14,x13,25f8 <__udivdi3+0x3e8> + 25f4: 01c80833 add x16,x16,x28 + 25f8: 01075693 srli x13,x14,0x10 + 25fc: 010686b3 add x13,x13,x16 + 2600: 02d7e663 bltu x15,x13,262c <__udivdi3+0x41c> + 2604: ced79ee3 bne x15,x13,2300 <__udivdi3+0xf0> + 2608: 000107b7 lui x15,0x10 + 260c: fff78793 addi x15,x15,-1 # ffff <__global_pointer$+0xc79f> + 2610: 00f77733 and x14,x14,x15 + 2614: 01071713 slli x14,x14,0x10 + 2618: 00f8f8b3 and x17,x17,x15 + 261c: 00b31333 sll x6,x6,x11 + 2620: 01170733 add x14,x14,x17 + 2624: 00000593 addi x11,x0,0 + 2628: cce37ee3 bgeu x6,x14,2304 <__udivdi3+0xf4> + 262c: fff50513 addi x10,x10,-1 # ffffff <__global_pointer$+0xffc79f> + 2630: cd1ff06f jal x0,2300 <__udivdi3+0xf0> + 2634: 00000593 addi x11,x0,0 + 2638: 00000513 addi x10,x0,0 + 263c: 00008067 jalr x0,0(x1) -000016c8 : - 16c8: ff010113 addi x2,x2,-16 - 16cc: 00000593 addi x11,x0,0 - 16d0: 00812423 sw x8,8(x2) - 16d4: 00112623 sw x1,12(x2) - 16d8: 00050413 addi x8,x10,0 - 16dc: 194000ef jal x1,1870 <__call_exitprocs> - 16e0: c281a503 lw x10,-984(x3) # 2460 <_global_impure_ptr> - 16e4: 03c52783 lw x15,60(x10) - 16e8: 00078463 beq x15,x0,16f0 - 16ec: 000780e7 jalr x1,0(x15) - 16f0: 00040513 addi x10,x8,0 - 16f4: 3a4000ef jal x1,1a98 <_exit> +00002640 : + 2640: ff010113 addi x2,x2,-16 + 2644: 00000593 addi x11,x0,0 + 2648: 00812423 sw x8,8(x2) + 264c: 00112623 sw x1,12(x2) + 2650: 00050413 addi x8,x10,0 + 2654: 194000ef jal x1,27e8 <__call_exitprocs> + 2658: c781a503 lw x10,-904(x3) # 34d8 <_global_impure_ptr> + 265c: 03c52783 lw x15,60(x10) + 2660: 00078463 beq x15,x0,2668 + 2664: 000780e7 jalr x1,0(x15) + 2668: 00040513 addi x10,x8,0 + 266c: 3a4000ef jal x1,2a10 <_exit> -000016f8 <__libc_init_array>: - 16f8: ff010113 addi x2,x2,-16 - 16fc: 00812423 sw x8,8(x2) - 1700: 01212023 sw x18,0(x2) - 1704: 00002437 lui x8,0x2 - 1708: 00002937 lui x18,0x2 - 170c: 02c40793 addi x15,x8,44 # 202c <__init_array_start> - 1710: 02c90913 addi x18,x18,44 # 202c <__init_array_start> - 1714: 40f90933 sub x18,x18,x15 - 1718: 00112623 sw x1,12(x2) - 171c: 00912223 sw x9,4(x2) - 1720: 40295913 srai x18,x18,0x2 - 1724: 02090063 beq x18,x0,1744 <__libc_init_array+0x4c> - 1728: 02c40413 addi x8,x8,44 - 172c: 00000493 addi x9,x0,0 - 1730: 00042783 lw x15,0(x8) - 1734: 00148493 addi x9,x9,1 - 1738: 00440413 addi x8,x8,4 - 173c: 000780e7 jalr x1,0(x15) - 1740: fe9918e3 bne x18,x9,1730 <__libc_init_array+0x38> - 1744: 00002437 lui x8,0x2 - 1748: 00002937 lui x18,0x2 - 174c: 02c40793 addi x15,x8,44 # 202c <__init_array_start> - 1750: 03490913 addi x18,x18,52 # 2034 <__do_global_dtors_aux_fini_array_entry> - 1754: 40f90933 sub x18,x18,x15 - 1758: 40295913 srai x18,x18,0x2 - 175c: 02090063 beq x18,x0,177c <__libc_init_array+0x84> - 1760: 02c40413 addi x8,x8,44 - 1764: 00000493 addi x9,x0,0 - 1768: 00042783 lw x15,0(x8) - 176c: 00148493 addi x9,x9,1 - 1770: 00440413 addi x8,x8,4 - 1774: 000780e7 jalr x1,0(x15) - 1778: fe9918e3 bne x18,x9,1768 <__libc_init_array+0x70> - 177c: 00c12083 lw x1,12(x2) - 1780: 00812403 lw x8,8(x2) - 1784: 00412483 lw x9,4(x2) - 1788: 00012903 lw x18,0(x2) - 178c: 01010113 addi x2,x2,16 - 1790: 00008067 jalr x0,0(x1) +00002670 <__libc_init_array>: + 2670: ff010113 addi x2,x2,-16 + 2674: 00812423 sw x8,8(x2) + 2678: 01212023 sw x18,0(x2) + 267c: 00003437 lui x8,0x3 + 2680: 00003937 lui x18,0x3 + 2684: 05440793 addi x15,x8,84 # 3054 <__init_array_start> + 2688: 05490913 addi x18,x18,84 # 3054 <__init_array_start> + 268c: 40f90933 sub x18,x18,x15 + 2690: 00112623 sw x1,12(x2) + 2694: 00912223 sw x9,4(x2) + 2698: 40295913 srai x18,x18,0x2 + 269c: 02090063 beq x18,x0,26bc <__libc_init_array+0x4c> + 26a0: 05440413 addi x8,x8,84 + 26a4: 00000493 addi x9,x0,0 + 26a8: 00042783 lw x15,0(x8) + 26ac: 00148493 addi x9,x9,1 + 26b0: 00440413 addi x8,x8,4 + 26b4: 000780e7 jalr x1,0(x15) + 26b8: fe9918e3 bne x18,x9,26a8 <__libc_init_array+0x38> + 26bc: 00003437 lui x8,0x3 + 26c0: 00003937 lui x18,0x3 + 26c4: 05440793 addi x15,x8,84 # 3054 <__init_array_start> + 26c8: 05c90913 addi x18,x18,92 # 305c <__do_global_dtors_aux_fini_array_entry> + 26cc: 40f90933 sub x18,x18,x15 + 26d0: 40295913 srai x18,x18,0x2 + 26d4: 02090063 beq x18,x0,26f4 <__libc_init_array+0x84> + 26d8: 05440413 addi x8,x8,84 + 26dc: 00000493 addi x9,x0,0 + 26e0: 00042783 lw x15,0(x8) + 26e4: 00148493 addi x9,x9,1 + 26e8: 00440413 addi x8,x8,4 + 26ec: 000780e7 jalr x1,0(x15) + 26f0: fe9918e3 bne x18,x9,26e0 <__libc_init_array+0x70> + 26f4: 00c12083 lw x1,12(x2) + 26f8: 00812403 lw x8,8(x2) + 26fc: 00412483 lw x9,4(x2) + 2700: 00012903 lw x18,0(x2) + 2704: 01010113 addi x2,x2,16 + 2708: 00008067 jalr x0,0(x1) -00001794 : - 1794: 00f00313 addi x6,x0,15 - 1798: 00050713 addi x14,x10,0 - 179c: 02c37e63 bgeu x6,x12,17d8 - 17a0: 00f77793 andi x15,x14,15 - 17a4: 0a079063 bne x15,x0,1844 - 17a8: 08059263 bne x11,x0,182c - 17ac: ff067693 andi x13,x12,-16 - 17b0: 00f67613 andi x12,x12,15 - 17b4: 00e686b3 add x13,x13,x14 - 17b8: 00b72023 sw x11,0(x14) - 17bc: 00b72223 sw x11,4(x14) - 17c0: 00b72423 sw x11,8(x14) - 17c4: 00b72623 sw x11,12(x14) - 17c8: 01070713 addi x14,x14,16 - 17cc: fed766e3 bltu x14,x13,17b8 - 17d0: 00061463 bne x12,x0,17d8 - 17d4: 00008067 jalr x0,0(x1) - 17d8: 40c306b3 sub x13,x6,x12 - 17dc: 00269693 slli x13,x13,0x2 - 17e0: 00000297 auipc x5,0x0 - 17e4: 005686b3 add x13,x13,x5 - 17e8: 00c68067 jalr x0,12(x13) # 1000c <__global_pointer$+0xd7d4> - 17ec: 00b70723 sb x11,14(x14) - 17f0: 00b706a3 sb x11,13(x14) - 17f4: 00b70623 sb x11,12(x14) - 17f8: 00b705a3 sb x11,11(x14) - 17fc: 00b70523 sb x11,10(x14) - 1800: 00b704a3 sb x11,9(x14) - 1804: 00b70423 sb x11,8(x14) - 1808: 00b703a3 sb x11,7(x14) - 180c: 00b70323 sb x11,6(x14) - 1810: 00b702a3 sb x11,5(x14) - 1814: 00b70223 sb x11,4(x14) - 1818: 00b701a3 sb x11,3(x14) - 181c: 00b70123 sb x11,2(x14) - 1820: 00b700a3 sb x11,1(x14) - 1824: 00b70023 sb x11,0(x14) - 1828: 00008067 jalr x0,0(x1) - 182c: 0ff5f593 andi x11,x11,255 - 1830: 00859693 slli x13,x11,0x8 - 1834: 00d5e5b3 or x11,x11,x13 - 1838: 01059693 slli x13,x11,0x10 - 183c: 00d5e5b3 or x11,x11,x13 - 1840: f6dff06f jal x0,17ac - 1844: 00279693 slli x13,x15,0x2 - 1848: 00000297 auipc x5,0x0 - 184c: 005686b3 add x13,x13,x5 - 1850: 00008293 addi x5,x1,0 - 1854: fa0680e7 jalr x1,-96(x13) - 1858: 00028093 addi x1,x5,0 # 1848 - 185c: ff078793 addi x15,x15,-16 - 1860: 40f70733 sub x14,x14,x15 - 1864: 00f60633 add x12,x12,x15 - 1868: f6c378e3 bgeu x6,x12,17d8 - 186c: f3dff06f jal x0,17a8 +0000270c : + 270c: 00f00313 addi x6,x0,15 + 2710: 00050713 addi x14,x10,0 + 2714: 02c37e63 bgeu x6,x12,2750 + 2718: 00f77793 andi x15,x14,15 + 271c: 0a079063 bne x15,x0,27bc + 2720: 08059263 bne x11,x0,27a4 + 2724: ff067693 andi x13,x12,-16 + 2728: 00f67613 andi x12,x12,15 + 272c: 00e686b3 add x13,x13,x14 + 2730: 00b72023 sw x11,0(x14) # 10000 <__global_pointer$+0xc7a0> + 2734: 00b72223 sw x11,4(x14) + 2738: 00b72423 sw x11,8(x14) + 273c: 00b72623 sw x11,12(x14) + 2740: 01070713 addi x14,x14,16 + 2744: fed766e3 bltu x14,x13,2730 + 2748: 00061463 bne x12,x0,2750 + 274c: 00008067 jalr x0,0(x1) + 2750: 40c306b3 sub x13,x6,x12 + 2754: 00269693 slli x13,x13,0x2 + 2758: 00000297 auipc x5,0x0 + 275c: 005686b3 add x13,x13,x5 + 2760: 00c68067 jalr x0,12(x13) # 1000c <__global_pointer$+0xc7ac> + 2764: 00b70723 sb x11,14(x14) + 2768: 00b706a3 sb x11,13(x14) + 276c: 00b70623 sb x11,12(x14) + 2770: 00b705a3 sb x11,11(x14) + 2774: 00b70523 sb x11,10(x14) + 2778: 00b704a3 sb x11,9(x14) + 277c: 00b70423 sb x11,8(x14) + 2780: 00b703a3 sb x11,7(x14) + 2784: 00b70323 sb x11,6(x14) + 2788: 00b702a3 sb x11,5(x14) + 278c: 00b70223 sb x11,4(x14) + 2790: 00b701a3 sb x11,3(x14) + 2794: 00b70123 sb x11,2(x14) + 2798: 00b700a3 sb x11,1(x14) + 279c: 00b70023 sb x11,0(x14) + 27a0: 00008067 jalr x0,0(x1) + 27a4: 0ff5f593 andi x11,x11,255 + 27a8: 00859693 slli x13,x11,0x8 + 27ac: 00d5e5b3 or x11,x11,x13 + 27b0: 01059693 slli x13,x11,0x10 + 27b4: 00d5e5b3 or x11,x11,x13 + 27b8: f6dff06f jal x0,2724 + 27bc: 00279693 slli x13,x15,0x2 + 27c0: 00000297 auipc x5,0x0 + 27c4: 005686b3 add x13,x13,x5 + 27c8: 00008293 addi x5,x1,0 + 27cc: fa0680e7 jalr x1,-96(x13) + 27d0: 00028093 addi x1,x5,0 # 27c0 + 27d4: ff078793 addi x15,x15,-16 + 27d8: 40f70733 sub x14,x14,x15 + 27dc: 00f60633 add x12,x12,x15 + 27e0: f6c378e3 bgeu x6,x12,2750 + 27e4: f3dff06f jal x0,2720 -00001870 <__call_exitprocs>: - 1870: fd010113 addi x2,x2,-48 - 1874: 01412c23 sw x20,24(x2) - 1878: c281aa03 lw x20,-984(x3) # 2460 <_global_impure_ptr> - 187c: 03212023 sw x18,32(x2) - 1880: 02112623 sw x1,44(x2) - 1884: 148a2903 lw x18,328(x20) - 1888: 02812423 sw x8,40(x2) - 188c: 02912223 sw x9,36(x2) - 1890: 01312e23 sw x19,28(x2) - 1894: 01512a23 sw x21,20(x2) - 1898: 01612823 sw x22,16(x2) - 189c: 01712623 sw x23,12(x2) - 18a0: 01812423 sw x24,8(x2) - 18a4: 04090063 beq x18,x0,18e4 <__call_exitprocs+0x74> - 18a8: 00050b13 addi x22,x10,0 - 18ac: 00058b93 addi x23,x11,0 - 18b0: 00100a93 addi x21,x0,1 - 18b4: fff00993 addi x19,x0,-1 - 18b8: 00492483 lw x9,4(x18) - 18bc: fff48413 addi x8,x9,-1 - 18c0: 02044263 blt x8,x0,18e4 <__call_exitprocs+0x74> - 18c4: 00249493 slli x9,x9,0x2 - 18c8: 009904b3 add x9,x18,x9 - 18cc: 040b8463 beq x23,x0,1914 <__call_exitprocs+0xa4> - 18d0: 1044a783 lw x15,260(x9) - 18d4: 05778063 beq x15,x23,1914 <__call_exitprocs+0xa4> - 18d8: fff40413 addi x8,x8,-1 - 18dc: ffc48493 addi x9,x9,-4 - 18e0: ff3416e3 bne x8,x19,18cc <__call_exitprocs+0x5c> - 18e4: 02c12083 lw x1,44(x2) - 18e8: 02812403 lw x8,40(x2) - 18ec: 02412483 lw x9,36(x2) - 18f0: 02012903 lw x18,32(x2) - 18f4: 01c12983 lw x19,28(x2) - 18f8: 01812a03 lw x20,24(x2) - 18fc: 01412a83 lw x21,20(x2) - 1900: 01012b03 lw x22,16(x2) - 1904: 00c12b83 lw x23,12(x2) - 1908: 00812c03 lw x24,8(x2) - 190c: 03010113 addi x2,x2,48 - 1910: 00008067 jalr x0,0(x1) - 1914: 00492783 lw x15,4(x18) - 1918: 0044a683 lw x13,4(x9) - 191c: fff78793 addi x15,x15,-1 - 1920: 04878e63 beq x15,x8,197c <__call_exitprocs+0x10c> - 1924: 0004a223 sw x0,4(x9) - 1928: fa0688e3 beq x13,x0,18d8 <__call_exitprocs+0x68> - 192c: 18892783 lw x15,392(x18) - 1930: 008a9733 sll x14,x21,x8 - 1934: 00492c03 lw x24,4(x18) - 1938: 00f777b3 and x15,x14,x15 - 193c: 02079263 bne x15,x0,1960 <__call_exitprocs+0xf0> - 1940: 000680e7 jalr x1,0(x13) - 1944: 00492703 lw x14,4(x18) - 1948: 148a2783 lw x15,328(x20) - 194c: 01871463 bne x14,x24,1954 <__call_exitprocs+0xe4> - 1950: f92784e3 beq x15,x18,18d8 <__call_exitprocs+0x68> - 1954: f80788e3 beq x15,x0,18e4 <__call_exitprocs+0x74> - 1958: 00078913 addi x18,x15,0 - 195c: f5dff06f jal x0,18b8 <__call_exitprocs+0x48> - 1960: 18c92783 lw x15,396(x18) - 1964: 0844a583 lw x11,132(x9) - 1968: 00f77733 and x14,x14,x15 - 196c: 00071c63 bne x14,x0,1984 <__call_exitprocs+0x114> - 1970: 000b0513 addi x10,x22,0 - 1974: 000680e7 jalr x1,0(x13) - 1978: fcdff06f jal x0,1944 <__call_exitprocs+0xd4> - 197c: 00892223 sw x8,4(x18) - 1980: fa9ff06f jal x0,1928 <__call_exitprocs+0xb8> - 1984: 00058513 addi x10,x11,0 - 1988: 000680e7 jalr x1,0(x13) - 198c: fb9ff06f jal x0,1944 <__call_exitprocs+0xd4> +000027e8 <__call_exitprocs>: + 27e8: fd010113 addi x2,x2,-48 + 27ec: 01412c23 sw x20,24(x2) + 27f0: c781aa03 lw x20,-904(x3) # 34d8 <_global_impure_ptr> + 27f4: 03212023 sw x18,32(x2) + 27f8: 02112623 sw x1,44(x2) + 27fc: 148a2903 lw x18,328(x20) + 2800: 02812423 sw x8,40(x2) + 2804: 02912223 sw x9,36(x2) + 2808: 01312e23 sw x19,28(x2) + 280c: 01512a23 sw x21,20(x2) + 2810: 01612823 sw x22,16(x2) + 2814: 01712623 sw x23,12(x2) + 2818: 01812423 sw x24,8(x2) + 281c: 04090063 beq x18,x0,285c <__call_exitprocs+0x74> + 2820: 00050b13 addi x22,x10,0 + 2824: 00058b93 addi x23,x11,0 + 2828: 00100a93 addi x21,x0,1 + 282c: fff00993 addi x19,x0,-1 + 2830: 00492483 lw x9,4(x18) + 2834: fff48413 addi x8,x9,-1 + 2838: 02044263 blt x8,x0,285c <__call_exitprocs+0x74> + 283c: 00249493 slli x9,x9,0x2 + 2840: 009904b3 add x9,x18,x9 + 2844: 040b8463 beq x23,x0,288c <__call_exitprocs+0xa4> + 2848: 1044a783 lw x15,260(x9) + 284c: 05778063 beq x15,x23,288c <__call_exitprocs+0xa4> + 2850: fff40413 addi x8,x8,-1 + 2854: ffc48493 addi x9,x9,-4 + 2858: ff3416e3 bne x8,x19,2844 <__call_exitprocs+0x5c> + 285c: 02c12083 lw x1,44(x2) + 2860: 02812403 lw x8,40(x2) + 2864: 02412483 lw x9,36(x2) + 2868: 02012903 lw x18,32(x2) + 286c: 01c12983 lw x19,28(x2) + 2870: 01812a03 lw x20,24(x2) + 2874: 01412a83 lw x21,20(x2) + 2878: 01012b03 lw x22,16(x2) + 287c: 00c12b83 lw x23,12(x2) + 2880: 00812c03 lw x24,8(x2) + 2884: 03010113 addi x2,x2,48 + 2888: 00008067 jalr x0,0(x1) + 288c: 00492783 lw x15,4(x18) + 2890: 0044a683 lw x13,4(x9) + 2894: fff78793 addi x15,x15,-1 + 2898: 04878e63 beq x15,x8,28f4 <__call_exitprocs+0x10c> + 289c: 0004a223 sw x0,4(x9) + 28a0: fa0688e3 beq x13,x0,2850 <__call_exitprocs+0x68> + 28a4: 18892783 lw x15,392(x18) + 28a8: 008a9733 sll x14,x21,x8 + 28ac: 00492c03 lw x24,4(x18) + 28b0: 00f777b3 and x15,x14,x15 + 28b4: 02079263 bne x15,x0,28d8 <__call_exitprocs+0xf0> + 28b8: 000680e7 jalr x1,0(x13) + 28bc: 00492703 lw x14,4(x18) + 28c0: 148a2783 lw x15,328(x20) + 28c4: 01871463 bne x14,x24,28cc <__call_exitprocs+0xe4> + 28c8: f92784e3 beq x15,x18,2850 <__call_exitprocs+0x68> + 28cc: f80788e3 beq x15,x0,285c <__call_exitprocs+0x74> + 28d0: 00078913 addi x18,x15,0 + 28d4: f5dff06f jal x0,2830 <__call_exitprocs+0x48> + 28d8: 18c92783 lw x15,396(x18) + 28dc: 0844a583 lw x11,132(x9) + 28e0: 00f77733 and x14,x14,x15 + 28e4: 00071c63 bne x14,x0,28fc <__call_exitprocs+0x114> + 28e8: 000b0513 addi x10,x22,0 + 28ec: 000680e7 jalr x1,0(x13) + 28f0: fcdff06f jal x0,28bc <__call_exitprocs+0xd4> + 28f4: 00892223 sw x8,4(x18) + 28f8: fa9ff06f jal x0,28a0 <__call_exitprocs+0xb8> + 28fc: 00058513 addi x10,x11,0 + 2900: 000680e7 jalr x1,0(x13) + 2904: fb9ff06f jal x0,28bc <__call_exitprocs+0xd4> -00001990 <__libc_fini_array>: - 1990: ff010113 addi x2,x2,-16 - 1994: 00812423 sw x8,8(x2) - 1998: 000027b7 lui x15,0x2 - 199c: 00002437 lui x8,0x2 - 19a0: 03478793 addi x15,x15,52 # 2034 <__do_global_dtors_aux_fini_array_entry> - 19a4: 03840413 addi x8,x8,56 # 2038 - 19a8: 40f40433 sub x8,x8,x15 - 19ac: 00912223 sw x9,4(x2) - 19b0: 00112623 sw x1,12(x2) - 19b4: 40245493 srai x9,x8,0x2 - 19b8: 02048063 beq x9,x0,19d8 <__libc_fini_array+0x48> - 19bc: ffc40413 addi x8,x8,-4 - 19c0: 00f40433 add x8,x8,x15 - 19c4: 00042783 lw x15,0(x8) - 19c8: fff48493 addi x9,x9,-1 - 19cc: ffc40413 addi x8,x8,-4 - 19d0: 000780e7 jalr x1,0(x15) - 19d4: fe0498e3 bne x9,x0,19c4 <__libc_fini_array+0x34> - 19d8: 00c12083 lw x1,12(x2) - 19dc: 00812403 lw x8,8(x2) - 19e0: 00412483 lw x9,4(x2) - 19e4: 01010113 addi x2,x2,16 - 19e8: 00008067 jalr x0,0(x1) +00002908 <__libc_fini_array>: + 2908: ff010113 addi x2,x2,-16 + 290c: 00812423 sw x8,8(x2) + 2910: 000037b7 lui x15,0x3 + 2914: 00003437 lui x8,0x3 + 2918: 05c78793 addi x15,x15,92 # 305c <__do_global_dtors_aux_fini_array_entry> + 291c: 06040413 addi x8,x8,96 # 3060 + 2920: 40f40433 sub x8,x8,x15 + 2924: 00912223 sw x9,4(x2) + 2928: 00112623 sw x1,12(x2) + 292c: 40245493 srai x9,x8,0x2 + 2930: 02048063 beq x9,x0,2950 <__libc_fini_array+0x48> + 2934: ffc40413 addi x8,x8,-4 + 2938: 00f40433 add x8,x8,x15 + 293c: 00042783 lw x15,0(x8) + 2940: fff48493 addi x9,x9,-1 + 2944: ffc40413 addi x8,x8,-4 + 2948: 000780e7 jalr x1,0(x15) + 294c: fe0498e3 bne x9,x0,293c <__libc_fini_array+0x34> + 2950: 00c12083 lw x1,12(x2) + 2954: 00812403 lw x8,8(x2) + 2958: 00412483 lw x9,4(x2) + 295c: 01010113 addi x2,x2,16 + 2960: 00008067 jalr x0,0(x1) -000019ec : - 19ec: 00050593 addi x11,x10,0 - 19f0: 00000693 addi x13,x0,0 - 19f4: 00000613 addi x12,x0,0 - 19f8: 00000513 addi x10,x0,0 - 19fc: 0040006f jal x0,1a00 <__register_exitproc> +00002964 : + 2964: 00050593 addi x11,x10,0 + 2968: 00000693 addi x13,x0,0 + 296c: 00000613 addi x12,x0,0 + 2970: 00000513 addi x10,x0,0 + 2974: 0040006f jal x0,2978 <__register_exitproc> -00001a00 <__register_exitproc>: - 1a00: c281a703 lw x14,-984(x3) # 2460 <_global_impure_ptr> - 1a04: 14872783 lw x15,328(x14) - 1a08: 04078c63 beq x15,x0,1a60 <__register_exitproc+0x60> - 1a0c: 0047a703 lw x14,4(x15) - 1a10: 01f00813 addi x16,x0,31 - 1a14: 06e84e63 blt x16,x14,1a90 <__register_exitproc+0x90> - 1a18: 00271813 slli x16,x14,0x2 - 1a1c: 02050663 beq x10,x0,1a48 <__register_exitproc+0x48> - 1a20: 01078333 add x6,x15,x16 - 1a24: 08c32423 sw x12,136(x6) - 1a28: 1887a883 lw x17,392(x15) - 1a2c: 00100613 addi x12,x0,1 - 1a30: 00e61633 sll x12,x12,x14 - 1a34: 00c8e8b3 or x17,x17,x12 - 1a38: 1917a423 sw x17,392(x15) - 1a3c: 10d32423 sw x13,264(x6) - 1a40: 00200693 addi x13,x0,2 - 1a44: 02d50463 beq x10,x13,1a6c <__register_exitproc+0x6c> - 1a48: 00170713 addi x14,x14,1 - 1a4c: 00e7a223 sw x14,4(x15) - 1a50: 010787b3 add x15,x15,x16 - 1a54: 00b7a423 sw x11,8(x15) - 1a58: 00000513 addi x10,x0,0 - 1a5c: 00008067 jalr x0,0(x1) - 1a60: 14c70793 addi x15,x14,332 - 1a64: 14f72423 sw x15,328(x14) - 1a68: fa5ff06f jal x0,1a0c <__register_exitproc+0xc> - 1a6c: 18c7a683 lw x13,396(x15) - 1a70: 00170713 addi x14,x14,1 - 1a74: 00e7a223 sw x14,4(x15) - 1a78: 00c6e6b3 or x13,x13,x12 - 1a7c: 18d7a623 sw x13,396(x15) - 1a80: 010787b3 add x15,x15,x16 - 1a84: 00b7a423 sw x11,8(x15) - 1a88: 00000513 addi x10,x0,0 - 1a8c: 00008067 jalr x0,0(x1) - 1a90: fff00513 addi x10,x0,-1 - 1a94: 00008067 jalr x0,0(x1) +00002978 <__register_exitproc>: + 2978: c781a703 lw x14,-904(x3) # 34d8 <_global_impure_ptr> + 297c: 14872783 lw x15,328(x14) + 2980: 04078c63 beq x15,x0,29d8 <__register_exitproc+0x60> + 2984: 0047a703 lw x14,4(x15) + 2988: 01f00813 addi x16,x0,31 + 298c: 06e84e63 blt x16,x14,2a08 <__register_exitproc+0x90> + 2990: 00271813 slli x16,x14,0x2 + 2994: 02050663 beq x10,x0,29c0 <__register_exitproc+0x48> + 2998: 01078333 add x6,x15,x16 + 299c: 08c32423 sw x12,136(x6) + 29a0: 1887a883 lw x17,392(x15) + 29a4: 00100613 addi x12,x0,1 + 29a8: 00e61633 sll x12,x12,x14 + 29ac: 00c8e8b3 or x17,x17,x12 + 29b0: 1917a423 sw x17,392(x15) + 29b4: 10d32423 sw x13,264(x6) + 29b8: 00200693 addi x13,x0,2 + 29bc: 02d50463 beq x10,x13,29e4 <__register_exitproc+0x6c> + 29c0: 00170713 addi x14,x14,1 + 29c4: 00e7a223 sw x14,4(x15) + 29c8: 010787b3 add x15,x15,x16 + 29cc: 00b7a423 sw x11,8(x15) + 29d0: 00000513 addi x10,x0,0 + 29d4: 00008067 jalr x0,0(x1) + 29d8: 14c70793 addi x15,x14,332 + 29dc: 14f72423 sw x15,328(x14) + 29e0: fa5ff06f jal x0,2984 <__register_exitproc+0xc> + 29e4: 18c7a683 lw x13,396(x15) + 29e8: 00170713 addi x14,x14,1 + 29ec: 00e7a223 sw x14,4(x15) + 29f0: 00c6e6b3 or x13,x13,x12 + 29f4: 18d7a623 sw x13,396(x15) + 29f8: 010787b3 add x15,x15,x16 + 29fc: 00b7a423 sw x11,8(x15) + 2a00: 00000513 addi x10,x0,0 + 2a04: 00008067 jalr x0,0(x1) + 2a08: fff00513 addi x10,x0,-1 + 2a0c: 00008067 jalr x0,0(x1) -00001a98 <_exit>: - 1a98: 05d00893 addi x17,x0,93 - 1a9c: 00000073 ecall - 1aa0: 00054463 blt x10,x0,1aa8 <_exit+0x10> - 1aa4: 0000006f jal x0,1aa4 <_exit+0xc> - 1aa8: ff010113 addi x2,x2,-16 - 1aac: 00812423 sw x8,8(x2) - 1ab0: 00050413 addi x8,x10,0 - 1ab4: 00112623 sw x1,12(x2) - 1ab8: 40800433 sub x8,x0,x8 - 1abc: 00c000ef jal x1,1ac8 <__errno> - 1ac0: 00852023 sw x8,0(x10) - 1ac4: 0000006f jal x0,1ac4 <_exit+0x2c> +00002a10 <_exit>: + 2a10: 05d00893 addi x17,x0,93 + 2a14: 00000073 ecall + 2a18: 00054463 blt x10,x0,2a20 <_exit+0x10> + 2a1c: 0000006f jal x0,2a1c <_exit+0xc> + 2a20: ff010113 addi x2,x2,-16 + 2a24: 00812423 sw x8,8(x2) + 2a28: 00050413 addi x8,x10,0 + 2a2c: 00112623 sw x1,12(x2) + 2a30: 40800433 sub x8,x0,x8 + 2a34: 00c000ef jal x1,2a40 <__errno> + 2a38: 00852023 sw x8,0(x10) + 2a3c: 0000006f jal x0,2a3c <_exit+0x2c> -00001ac8 <__errno>: - 1ac8: c301a503 lw x10,-976(x3) # 2468 <_impure_ptr> - 1acc: 00008067 jalr x0,0(x1) +00002a40 <__errno>: + 2a40: c801a503 lw x10,-896(x3) # 34e0 <_impure_ptr> + 2a44: 00008067 jalr x0,0(x1) Disassembly of section .rodata: -00001ad0 <__clz_tab-0x110>: - 1ad0: 2020 c.fld f8,64(x8) - 1ad2: 0000 c.unimp - 1ad4: 2020 c.fld f8,64(x8) - 1ad6: 0020 c.addi4spn x8,x2,8 - 1ad8: 0020 c.addi4spn x8,x2,8 - 1ada: 0000 c.unimp - 1adc: 202d c.jal 1b06 <__errno+0x3e> - 1ade: 0000 c.unimp - 1ae0: 2020 c.fld f8,64(x8) - 1ae2: 007c c.addi4spn x15,x2,12 - 1ae4: 0a7c c.addi4spn x15,x2,284 - 1ae6: 0000 c.unimp - 1ae8: 000a c.slli x0,0x2 - 1aea: 0000 c.unimp - 1aec: 2020 c.fld f8,64(x8) - 1aee: 2064 c.fld f9,192(x8) - 1af0: 613c c.flw f15,64(x10) - 1af2: 6464 c.flw f9,76(x8) - 1af4: 3e72 c.fldsp f28,312(x2) - 1af6: 2d20 c.fld f8,88(x10) - 1af8: 202d c.jal 1b22 <__errno+0x5a> - 1afa: 6964 c.flw f9,84(x10) - 1afc: 616c7073 csrrci x0,0x616,24 - 1b00: 2079 c.jal 1b8e <__errno+0xc6> - 1b02: 656d c.lui x10,0x1b - 1b04: 6f6d c.lui x30,0x1b - 1b06: 7972 c.flwsp f18,60(x2) - 1b08: 0a20 c.addi4spn x8,x2,280 - 1b0a: 0000 c.unimp - 1b0c: 2020 c.fld f8,64(x8) - 1b0e: 2062 c.fldsp f0,24(x2) - 1b10: 623c c.flw f15,64(x12) - 1b12: 7561 c.lui x10,0xffff8 - 1b14: 7264 c.flw f9,100(x12) - 1b16: 7461 c.lui x8,0xffff8 - 1b18: 3e65 c.jal 16d0 - 1b1a: 2d20 c.fld f8,88(x10) - 1b1c: 202d c.jal 1b46 <__errno+0x7e> - 1b1e: 20746573 csrrsi x10,0x207,8 - 1b22: 6162 c.flwsp f2,24(x2) - 1b24: 6475 c.lui x8,0x1d - 1b26: 6172 c.flwsp f2,28(x2) - 1b28: 6574 c.flw f13,76(x10) - 1b2a: 0a20 c.addi4spn x8,x2,280 - 1b2c: 0000 c.unimp - 1b2e: 0000 c.unimp - 1b30: 2020 c.fld f8,64(x8) - 1b32: 2072 c.fldsp f0,280(x2) - 1b34: 613c c.flw f15,64(x10) - 1b36: 6464 c.flw f9,76(x8) - 1b38: 3e72 c.fldsp f28,312(x2) - 1b3a: 3c20 c.fld f8,120(x8) - 1b3c: 74646977 0x74646977 - 1b40: 3e68 c.fld f10,248(x12) - 1b42: 2d20 c.fld f8,88(x10) - 1b44: 202d c.jal 1b6e <__errno+0xa6> - 1b46: 6572 c.flwsp f10,28(x2) - 1b48: 6461 c.lui x8,0x18 - 1b4a: 6d20 c.flw f8,88(x10) - 1b4c: 6d65 c.lui x26,0x19 - 1b4e: 2079726f jal x4,99554 <__global_pointer$+0x96d1c> - 1b52: 64726f77 0x64726f77 - 1b56: 000a c.slli x0,0x2 - 1b58: 2020 c.fld f8,64(x8) - 1b5a: 613c2077 0x613c2077 - 1b5e: 6464 c.flw f9,76(x8) - 1b60: 3e72 c.fldsp f28,312(x2) - 1b62: 3c20 c.fld f8,120(x8) - 1b64: 6176 c.flwsp f2,92(x2) - 1b66: 756c c.flw f11,108(x10) - 1b68: 3e65 c.jal 1720 <__libc_init_array+0x28> - 1b6a: 3c20 c.fld f8,120(x8) - 1b6c: 74646977 0x74646977 - 1b70: 3e68 c.fld f10,248(x12) - 1b72: 2d20 c.fld f8,88(x10) - 1b74: 202d c.jal 1b9e <__errno+0xd6> - 1b76: 74697277 0x74697277 - 1b7a: 2065 c.jal 1c22 <__clz_tab+0x42> - 1b7c: 656d c.lui x10,0x1b - 1b7e: 6f6d c.lui x30,0x1b - 1b80: 7972 c.flwsp f18,60(x2) - 1b82: 7720 c.flw f8,104(x14) - 1b84: 0a64726f jal x4,48c2a <__global_pointer$+0x463f2> - 1b88: 0000 c.unimp - 1b8a: 0000 c.unimp - 1b8c: 2020 c.fld f8,64(x8) - 1b8e: 2020 c.fld f8,64(x8) - 1b90: 74646977 0x74646977 - 1b94: 3d68 c.fld f10,248(x10) - 1b96: 2c31 c.jal 1db2 <__clz_tab+0x1d2> - 1b98: 3220 c.fld f8,96(x12) - 1b9a: 6f20 c.flw f8,88(x14) - 1b9c: 2072 c.fldsp f0,280(x2) - 1b9e: 0a34 c.addi4spn x13,x2,280 - 1ba0: 0000 c.unimp - 1ba2: 0000 c.unimp - 1ba4: 003a c.slli x0,0xe - 1ba6: 0000 c.unimp - 1ba8: 3e3e c.fldsp f28,488(x2) - 1baa: 0000 c.unimp - 1bac: 0d0a c.slli x26,0x2 - 1bae: 003a c.slli x0,0xe - 1bb0: 0d0a c.slli x26,0x2 - 1bb2: 0000 c.unimp - 1bb4: 6568 c.flw f10,76(x10) - 1bb6: 706c c.flw f11,100(x8) - 1bb8: 0020 c.addi4spn x8,x2,8 - 1bba: 0000 c.unimp - 1bbc: 72616863 bltu x2,x6,22ec - 1bc0: 4020 c.lw x8,64(x8) - 1bc2: 0000 c.unimp - 1bc4: 726f6873 csrrsi x16,0x726,30 - 1bc8: 2074 c.fld f13,192(x8) - 1bca: 0040 c.addi4spn x8,x2,4 - 1bcc: 6e69 c.lui x28,0x1a - 1bce: 2074 c.fld f13,192(x8) - 1bd0: 0040 c.addi4spn x8,x2,4 - 1bd2: 0000 c.unimp - 1bd4: 3d20 c.fld f8,120(x10) - 1bd6: 0020 c.addi4spn x8,x2,8 - 1bd8: 0028 c.addi4spn x10,x2,8 - 1bda: 0000 c.unimp - 1bdc: 0a29 c.addi x20,10 - 1bde: 000d c.addi x0,3 +00002a48 <__clz_tab-0x18c>: + 2a48: 2020 c.fld f8,64(x8) + 2a4a: 0000 c.unimp + 2a4c: 2020 c.fld f8,64(x8) + 2a4e: 0020 c.addi4spn x8,x2,8 + 2a50: 0020 c.addi4spn x8,x2,8 + 2a52: 0000 c.unimp + 2a54: 202d c.jal 2a7e <__errno+0x3e> + 2a56: 0000 c.unimp + 2a58: 2020 c.fld f8,64(x8) + 2a5a: 007c c.addi4spn x15,x2,12 + 2a5c: 0a7c c.addi4spn x15,x2,284 + 2a5e: 0000 c.unimp + 2a60: 0d0a c.slli x26,0x2 + 2a62: 0000 c.unimp + 2a64: 6f74 c.flw f13,92(x14) + 2a66: 6174 c.flw f13,68(x10) + 2a68: 206c c.fld f11,192(x8) + 2a6a: 2020 c.fld f8,64(x8) + 2a6c: 203a c.fldsp f0,392(x2) + 2a6e: 0000 c.unimp + 2a70: 6461 c.lui x8,0x18 + 2a72: 2f64 c.fld f9,216(x14) + 2a74: 20627573 csrrci x10,0x206,4 + 2a78: 203a c.fldsp f0,392(x2) + 2a7a: 0000 c.unimp + 2a7c: 756d c.lui x10,0xffffb + 2a7e: 206c c.fld f11,192(x8) + 2a80: 2020 c.fld f8,64(x8) + 2a82: 2020 c.fld f8,64(x8) + 2a84: 203a c.fldsp f0,392(x2) + 2a86: 0000 c.unimp + 2a88: 6964 c.flw f9,84(x10) + 2a8a: 2076 c.fldsp f0,344(x2) + 2a8c: 2020 c.fld f8,64(x8) + 2a8e: 2020 c.fld f8,64(x8) + 2a90: 203a c.fldsp f0,392(x2) + 2a92: 0000 c.unimp + 2a94: 646c c.flw f11,76(x8) + 2a96: 2020 c.fld f8,64(x8) + 2a98: 2020 c.fld f8,64(x8) + 2a9a: 2020 c.fld f8,64(x8) + 2a9c: 203a c.fldsp f0,392(x2) + 2a9e: 0000 c.unimp + 2aa0: 20207473 csrrci x8,0x202,0 + 2aa4: 2020 c.fld f8,64(x8) + 2aa6: 2020 c.fld f8,64(x8) + 2aa8: 203a c.fldsp f0,392(x2) + 2aaa: 0000 c.unimp + 2aac: 6d6a c.flwsp f26,152(x2) + 2aae: 2070 c.fld f12,192(x8) + 2ab0: 2020 c.fld f8,64(x8) + 2ab2: 2020 c.fld f8,64(x8) + 2ab4: 203a c.fldsp f0,392(x2) + 2ab6: 0000 c.unimp + 2ab8: 206a c.fldsp f0,152(x2) + 2aba: 2020 c.fld f8,64(x8) + 2abc: 2020 c.fld f8,64(x8) + 2abe: 2020 c.fld f8,64(x8) + 2ac0: 203a c.fldsp f0,392(x2) + 2ac2: 0000 c.unimp + 2ac4: 6c61 c.lui x24,0x18 + 2ac6: 6975 c.lui x18,0x1d + 2ac8: 2020 c.fld f8,64(x8) + 2aca: 2020 c.fld f8,64(x8) + 2acc: 203a c.fldsp f0,392(x2) + 2ace: 0000 c.unimp + 2ad0: 6c61 c.lui x24,0x18 + 2ad2: 2075 c.jal 2b7e <__errno+0x13e> + 2ad4: 2020 c.fld f8,64(x8) + 2ad6: 2020 c.fld f8,64(x8) + 2ad8: 203a c.fldsp f0,392(x2) + 2ada: 0000 c.unimp + 2adc: 000a c.slli x0,0x2 + 2ade: 0000 c.unimp + 2ae0: 202c c.fld f11,64(x8) + 2ae2: 0000 c.unimp + 2ae4: 2020 c.fld f8,64(x8) + 2ae6: 2064 c.fld f9,192(x8) + 2ae8: 613c c.flw f15,64(x10) + 2aea: 6464 c.flw f9,76(x8) + 2aec: 3e72 c.fldsp f28,312(x2) + 2aee: 2d20 c.fld f8,88(x10) + 2af0: 202d c.jal 2b1a <__errno+0xda> + 2af2: 6964 c.flw f9,84(x10) + 2af4: 616c7073 csrrci x0,0x616,24 + 2af8: 2079 c.jal 2b86 <__errno+0x146> + 2afa: 656d c.lui x10,0x1b + 2afc: 6f6d c.lui x30,0x1b + 2afe: 7972 c.flwsp f18,60(x2) + 2b00: 0a20 c.addi4spn x8,x2,280 + 2b02: 0000 c.unimp + 2b04: 2020 c.fld f8,64(x8) + 2b06: 2062 c.fldsp f0,24(x2) + 2b08: 623c c.flw f15,64(x12) + 2b0a: 7561 c.lui x10,0xffff8 + 2b0c: 7264 c.flw f9,100(x12) + 2b0e: 7461 c.lui x8,0xffff8 + 2b10: 3e65 c.jal 26c8 <__libc_init_array+0x58> + 2b12: 2d20 c.fld f8,88(x10) + 2b14: 202d c.jal 2b3e <__errno+0xfe> + 2b16: 20746573 csrrsi x10,0x207,8 + 2b1a: 6162 c.flwsp f2,24(x2) + 2b1c: 6475 c.lui x8,0x1d + 2b1e: 6172 c.flwsp f2,28(x2) + 2b20: 6574 c.flw f13,76(x10) + 2b22: 0a20 c.addi4spn x8,x2,280 + 2b24: 0000 c.unimp + 2b26: 0000 c.unimp + 2b28: 2020 c.fld f8,64(x8) + 2b2a: 2072 c.fldsp f0,280(x2) + 2b2c: 613c c.flw f15,64(x10) + 2b2e: 6464 c.flw f9,76(x8) + 2b30: 3e72 c.fldsp f28,312(x2) + 2b32: 3c20 c.fld f8,120(x8) + 2b34: 74646977 0x74646977 + 2b38: 3e68 c.fld f10,248(x12) + 2b3a: 2d20 c.fld f8,88(x10) + 2b3c: 202d c.jal 2b66 <__errno+0x126> + 2b3e: 6572 c.flwsp f10,28(x2) + 2b40: 6461 c.lui x8,0x18 + 2b42: 6d20 c.flw f8,88(x10) + 2b44: 6d65 c.lui x26,0x19 + 2b46: 2079726f jal x4,9a54c <__global_pointer$+0x96cec> + 2b4a: 64726f77 0x64726f77 + 2b4e: 000a c.slli x0,0x2 + 2b50: 2020 c.fld f8,64(x8) + 2b52: 613c2077 0x613c2077 + 2b56: 6464 c.flw f9,76(x8) + 2b58: 3e72 c.fldsp f28,312(x2) + 2b5a: 3c20 c.fld f8,120(x8) + 2b5c: 6176 c.flwsp f2,92(x2) + 2b5e: 756c c.flw f11,108(x10) + 2b60: 3e65 c.jal 2718 + 2b62: 3c20 c.fld f8,120(x8) + 2b64: 74646977 0x74646977 + 2b68: 3e68 c.fld f10,248(x12) + 2b6a: 2d20 c.fld f8,88(x10) + 2b6c: 202d c.jal 2b96 <__errno+0x156> + 2b6e: 74697277 0x74697277 + 2b72: 2065 c.jal 2c1a <__clz_tab+0x46> + 2b74: 656d c.lui x10,0x1b + 2b76: 6f6d c.lui x30,0x1b + 2b78: 7972 c.flwsp f18,60(x2) + 2b7a: 7720 c.flw f8,104(x14) + 2b7c: 0a64726f jal x4,49c22 <__global_pointer$+0x463c2> + 2b80: 0000 c.unimp + 2b82: 0000 c.unimp + 2b84: 2020 c.fld f8,64(x8) + 2b86: 2020 c.fld f8,64(x8) + 2b88: 74646977 0x74646977 + 2b8c: 3d68 c.fld f10,248(x10) + 2b8e: 2c31 c.jal 2daa <__clz_tab+0x1d6> + 2b90: 3220 c.fld f8,96(x12) + 2b92: 6f20 c.flw f8,88(x14) + 2b94: 2072 c.fldsp f0,280(x2) + 2b96: 0a34 c.addi4spn x13,x2,280 + 2b98: 0000 c.unimp + 2b9a: 0000 c.unimp + 2b9c: 003a c.slli x0,0xe + 2b9e: 0000 c.unimp + 2ba0: 3e3e c.fldsp f28,488(x2) + 2ba2: 0000 c.unimp + 2ba4: 0d0a c.slli x26,0x2 + 2ba6: 003a c.slli x0,0xe + 2ba8: 6568 c.flw f10,76(x10) + 2baa: 706c c.flw f11,100(x8) + 2bac: 0020 c.addi4spn x8,x2,8 + 2bae: 0000 c.unimp + 2bb0: 72616863 bltu x2,x6,32e0 + 2bb4: 4020 c.lw x8,64(x8) + 2bb6: 0000 c.unimp + 2bb8: 726f6873 csrrsi x16,0x726,30 + 2bbc: 2074 c.fld f13,192(x8) + 2bbe: 0040 c.addi4spn x8,x2,4 + 2bc0: 6e69 c.lui x28,0x1a + 2bc2: 2074 c.fld f13,192(x8) + 2bc4: 0040 c.addi4spn x8,x2,4 + 2bc6: 0000 c.unimp + 2bc8: 3d20 c.fld f8,120(x10) + 2bca: 0020 c.addi4spn x8,x2,8 + 2bcc: 0028 c.addi4spn x10,x2,8 + 2bce: 0000 c.unimp + 2bd0: 0a29 c.addi x20,10 + 2bd2: 000d c.addi x0,3 -00001be0 <__clz_tab>: - 1be0: 0100 c.addi4spn x8,x2,128 - 1be2: 0202 c.slli64 x4 - 1be4: 03030303 lb x6,48(x6) - 1be8: 0404 c.addi4spn x9,x2,512 - 1bea: 0404 c.addi4spn x9,x2,512 - 1bec: 0404 c.addi4spn x9,x2,512 - 1bee: 0404 c.addi4spn x9,x2,512 - 1bf0: 0505 c.addi x10,1 - 1bf2: 0505 c.addi x10,1 - 1bf4: 0505 c.addi x10,1 - 1bf6: 0505 c.addi x10,1 - 1bf8: 0505 c.addi x10,1 - 1bfa: 0505 c.addi x10,1 - 1bfc: 0505 c.addi x10,1 - 1bfe: 0505 c.addi x10,1 - 1c00: 0606 c.slli x12,0x1 - 1c02: 0606 c.slli x12,0x1 - 1c04: 0606 c.slli x12,0x1 - 1c06: 0606 c.slli x12,0x1 - 1c08: 0606 c.slli x12,0x1 - 1c0a: 0606 c.slli x12,0x1 - 1c0c: 0606 c.slli x12,0x1 - 1c0e: 0606 c.slli x12,0x1 - 1c10: 0606 c.slli x12,0x1 - 1c12: 0606 c.slli x12,0x1 - 1c14: 0606 c.slli x12,0x1 - 1c16: 0606 c.slli x12,0x1 - 1c18: 0606 c.slli x12,0x1 - 1c1a: 0606 c.slli x12,0x1 - 1c1c: 0606 c.slli x12,0x1 - 1c1e: 0606 c.slli x12,0x1 - 1c20: 07070707 0x7070707 - 1c24: 07070707 0x7070707 - 1c28: 07070707 0x7070707 - 1c2c: 07070707 0x7070707 - 1c30: 07070707 0x7070707 - 1c34: 07070707 0x7070707 - 1c38: 07070707 0x7070707 - 1c3c: 07070707 0x7070707 - 1c40: 07070707 0x7070707 - 1c44: 07070707 0x7070707 - 1c48: 07070707 0x7070707 - 1c4c: 07070707 0x7070707 - 1c50: 07070707 0x7070707 - 1c54: 07070707 0x7070707 - 1c58: 07070707 0x7070707 - 1c5c: 07070707 0x7070707 - 1c60: 0808 c.addi4spn x10,x2,16 - 1c62: 0808 c.addi4spn x10,x2,16 - 1c64: 0808 c.addi4spn x10,x2,16 - 1c66: 0808 c.addi4spn x10,x2,16 - 1c68: 0808 c.addi4spn x10,x2,16 - 1c6a: 0808 c.addi4spn x10,x2,16 - 1c6c: 0808 c.addi4spn x10,x2,16 - 1c6e: 0808 c.addi4spn x10,x2,16 - 1c70: 0808 c.addi4spn x10,x2,16 - 1c72: 0808 c.addi4spn x10,x2,16 - 1c74: 0808 c.addi4spn x10,x2,16 - 1c76: 0808 c.addi4spn x10,x2,16 - 1c78: 0808 c.addi4spn x10,x2,16 - 1c7a: 0808 c.addi4spn x10,x2,16 - 1c7c: 0808 c.addi4spn x10,x2,16 - 1c7e: 0808 c.addi4spn x10,x2,16 - 1c80: 0808 c.addi4spn x10,x2,16 - 1c82: 0808 c.addi4spn x10,x2,16 - 1c84: 0808 c.addi4spn x10,x2,16 - 1c86: 0808 c.addi4spn x10,x2,16 - 1c88: 0808 c.addi4spn x10,x2,16 - 1c8a: 0808 c.addi4spn x10,x2,16 - 1c8c: 0808 c.addi4spn x10,x2,16 - 1c8e: 0808 c.addi4spn x10,x2,16 - 1c90: 0808 c.addi4spn x10,x2,16 - 1c92: 0808 c.addi4spn x10,x2,16 - 1c94: 0808 c.addi4spn x10,x2,16 - 1c96: 0808 c.addi4spn x10,x2,16 - 1c98: 0808 c.addi4spn x10,x2,16 - 1c9a: 0808 c.addi4spn x10,x2,16 - 1c9c: 0808 c.addi4spn x10,x2,16 - 1c9e: 0808 c.addi4spn x10,x2,16 - 1ca0: 0808 c.addi4spn x10,x2,16 - 1ca2: 0808 c.addi4spn x10,x2,16 - 1ca4: 0808 c.addi4spn x10,x2,16 - 1ca6: 0808 c.addi4spn x10,x2,16 - 1ca8: 0808 c.addi4spn x10,x2,16 - 1caa: 0808 c.addi4spn x10,x2,16 - 1cac: 0808 c.addi4spn x10,x2,16 - 1cae: 0808 c.addi4spn x10,x2,16 - 1cb0: 0808 c.addi4spn x10,x2,16 - 1cb2: 0808 c.addi4spn x10,x2,16 - 1cb4: 0808 c.addi4spn x10,x2,16 - 1cb6: 0808 c.addi4spn x10,x2,16 - 1cb8: 0808 c.addi4spn x10,x2,16 - 1cba: 0808 c.addi4spn x10,x2,16 - 1cbc: 0808 c.addi4spn x10,x2,16 - 1cbe: 0808 c.addi4spn x10,x2,16 - 1cc0: 0808 c.addi4spn x10,x2,16 - 1cc2: 0808 c.addi4spn x10,x2,16 - 1cc4: 0808 c.addi4spn x10,x2,16 - 1cc6: 0808 c.addi4spn x10,x2,16 - 1cc8: 0808 c.addi4spn x10,x2,16 - 1cca: 0808 c.addi4spn x10,x2,16 - 1ccc: 0808 c.addi4spn x10,x2,16 - 1cce: 0808 c.addi4spn x10,x2,16 - 1cd0: 0808 c.addi4spn x10,x2,16 - 1cd2: 0808 c.addi4spn x10,x2,16 - 1cd4: 0808 c.addi4spn x10,x2,16 - 1cd6: 0808 c.addi4spn x10,x2,16 - 1cd8: 0808 c.addi4spn x10,x2,16 - 1cda: 0808 c.addi4spn x10,x2,16 - 1cdc: 0808 c.addi4spn x10,x2,16 - 1cde: 0808 c.addi4spn x10,x2,16 +00002bd4 <__clz_tab>: + 2bd4: 0100 c.addi4spn x8,x2,128 + 2bd6: 0202 c.slli64 x4 + 2bd8: 03030303 lb x6,48(x6) + 2bdc: 0404 c.addi4spn x9,x2,512 + 2bde: 0404 c.addi4spn x9,x2,512 + 2be0: 0404 c.addi4spn x9,x2,512 + 2be2: 0404 c.addi4spn x9,x2,512 + 2be4: 0505 c.addi x10,1 + 2be6: 0505 c.addi x10,1 + 2be8: 0505 c.addi x10,1 + 2bea: 0505 c.addi x10,1 + 2bec: 0505 c.addi x10,1 + 2bee: 0505 c.addi x10,1 + 2bf0: 0505 c.addi x10,1 + 2bf2: 0505 c.addi x10,1 + 2bf4: 0606 c.slli x12,0x1 + 2bf6: 0606 c.slli x12,0x1 + 2bf8: 0606 c.slli x12,0x1 + 2bfa: 0606 c.slli x12,0x1 + 2bfc: 0606 c.slli x12,0x1 + 2bfe: 0606 c.slli x12,0x1 + 2c00: 0606 c.slli x12,0x1 + 2c02: 0606 c.slli x12,0x1 + 2c04: 0606 c.slli x12,0x1 + 2c06: 0606 c.slli x12,0x1 + 2c08: 0606 c.slli x12,0x1 + 2c0a: 0606 c.slli x12,0x1 + 2c0c: 0606 c.slli x12,0x1 + 2c0e: 0606 c.slli x12,0x1 + 2c10: 0606 c.slli x12,0x1 + 2c12: 0606 c.slli x12,0x1 + 2c14: 07070707 0x7070707 + 2c18: 07070707 0x7070707 + 2c1c: 07070707 0x7070707 + 2c20: 07070707 0x7070707 + 2c24: 07070707 0x7070707 + 2c28: 07070707 0x7070707 + 2c2c: 07070707 0x7070707 + 2c30: 07070707 0x7070707 + 2c34: 07070707 0x7070707 + 2c38: 07070707 0x7070707 + 2c3c: 07070707 0x7070707 + 2c40: 07070707 0x7070707 + 2c44: 07070707 0x7070707 + 2c48: 07070707 0x7070707 + 2c4c: 07070707 0x7070707 + 2c50: 07070707 0x7070707 + 2c54: 0808 c.addi4spn x10,x2,16 + 2c56: 0808 c.addi4spn x10,x2,16 + 2c58: 0808 c.addi4spn x10,x2,16 + 2c5a: 0808 c.addi4spn x10,x2,16 + 2c5c: 0808 c.addi4spn x10,x2,16 + 2c5e: 0808 c.addi4spn x10,x2,16 + 2c60: 0808 c.addi4spn x10,x2,16 + 2c62: 0808 c.addi4spn x10,x2,16 + 2c64: 0808 c.addi4spn x10,x2,16 + 2c66: 0808 c.addi4spn x10,x2,16 + 2c68: 0808 c.addi4spn x10,x2,16 + 2c6a: 0808 c.addi4spn x10,x2,16 + 2c6c: 0808 c.addi4spn x10,x2,16 + 2c6e: 0808 c.addi4spn x10,x2,16 + 2c70: 0808 c.addi4spn x10,x2,16 + 2c72: 0808 c.addi4spn x10,x2,16 + 2c74: 0808 c.addi4spn x10,x2,16 + 2c76: 0808 c.addi4spn x10,x2,16 + 2c78: 0808 c.addi4spn x10,x2,16 + 2c7a: 0808 c.addi4spn x10,x2,16 + 2c7c: 0808 c.addi4spn x10,x2,16 + 2c7e: 0808 c.addi4spn x10,x2,16 + 2c80: 0808 c.addi4spn x10,x2,16 + 2c82: 0808 c.addi4spn x10,x2,16 + 2c84: 0808 c.addi4spn x10,x2,16 + 2c86: 0808 c.addi4spn x10,x2,16 + 2c88: 0808 c.addi4spn x10,x2,16 + 2c8a: 0808 c.addi4spn x10,x2,16 + 2c8c: 0808 c.addi4spn x10,x2,16 + 2c8e: 0808 c.addi4spn x10,x2,16 + 2c90: 0808 c.addi4spn x10,x2,16 + 2c92: 0808 c.addi4spn x10,x2,16 + 2c94: 0808 c.addi4spn x10,x2,16 + 2c96: 0808 c.addi4spn x10,x2,16 + 2c98: 0808 c.addi4spn x10,x2,16 + 2c9a: 0808 c.addi4spn x10,x2,16 + 2c9c: 0808 c.addi4spn x10,x2,16 + 2c9e: 0808 c.addi4spn x10,x2,16 + 2ca0: 0808 c.addi4spn x10,x2,16 + 2ca2: 0808 c.addi4spn x10,x2,16 + 2ca4: 0808 c.addi4spn x10,x2,16 + 2ca6: 0808 c.addi4spn x10,x2,16 + 2ca8: 0808 c.addi4spn x10,x2,16 + 2caa: 0808 c.addi4spn x10,x2,16 + 2cac: 0808 c.addi4spn x10,x2,16 + 2cae: 0808 c.addi4spn x10,x2,16 + 2cb0: 0808 c.addi4spn x10,x2,16 + 2cb2: 0808 c.addi4spn x10,x2,16 + 2cb4: 0808 c.addi4spn x10,x2,16 + 2cb6: 0808 c.addi4spn x10,x2,16 + 2cb8: 0808 c.addi4spn x10,x2,16 + 2cba: 0808 c.addi4spn x10,x2,16 + 2cbc: 0808 c.addi4spn x10,x2,16 + 2cbe: 0808 c.addi4spn x10,x2,16 + 2cc0: 0808 c.addi4spn x10,x2,16 + 2cc2: 0808 c.addi4spn x10,x2,16 + 2cc4: 0808 c.addi4spn x10,x2,16 + 2cc6: 0808 c.addi4spn x10,x2,16 + 2cc8: 0808 c.addi4spn x10,x2,16 + 2cca: 0808 c.addi4spn x10,x2,16 + 2ccc: 0808 c.addi4spn x10,x2,16 + 2cce: 0808 c.addi4spn x10,x2,16 + 2cd0: 0808 c.addi4spn x10,x2,16 + 2cd2: 0808 c.addi4spn x10,x2,16 Disassembly of section .eh_frame: -00002000 <__EH_FRAME_BEGIN__>: - 2000: 0010 0x10 - 2002: 0000 c.unimp - 2004: 0000 c.unimp - 2006: 0000 c.unimp - 2008: 00527a03 0x527a03 - 200c: 7c01 c.lui x24,0xfffe0 - 200e: 0101 c.addi x2,0 - 2010: 00020d1b 0x20d1b - 2014: 0010 0x10 - 2016: 0000 c.unimp - 2018: 0018 0x18 - 201a: 0000 c.unimp - 201c: f27c c.fsw f15,100(x12) - 201e: ffff 0xffff - 2020: 0430 c.addi4spn x12,x2,520 - 2022: 0000 c.unimp - 2024: 0000 c.unimp +00003000 <__EH_FRAME_BEGIN__>: + 3000: 0010 0x10 + 3002: 0000 c.unimp + 3004: 0000 c.unimp + 3006: 0000 c.unimp + 3008: 00527a03 0x527a03 + 300c: 7c01 c.lui x24,0xfffe0 + 300e: 0101 c.addi x2,0 + 3010: 00020d1b 0x20d1b + 3014: 0010 0x10 + 3016: 0000 c.unimp + 3018: 0018 0x18 + 301a: 0000 c.unimp + 301c: e92c c.fsw f11,80(x10) + 301e: ffff 0xffff + 3020: 0478 c.addi4spn x14,x2,524 + 3022: 0000 c.unimp + 3024: 0000 c.unimp + 3026: 0000 c.unimp + 3028: 0010 0x10 + 302a: 0000 c.unimp + 302c: 002c c.addi4spn x11,x2,8 + 302e: 0000 c.unimp + 3030: ed90 c.fsw f12,24(x11) + 3032: ffff 0xffff + 3034: 0450 c.addi4spn x12,x2,516 + 3036: 0000 c.unimp + 3038: 0000 c.unimp + 303a: 0000 c.unimp + 303c: 0010 0x10 + 303e: 0000 c.unimp + 3040: 0040 c.addi4spn x8,x2,4 + 3042: 0000 c.unimp + 3044: f1cc c.fsw f11,36(x11) + 3046: ffff 0xffff + 3048: 0430 c.addi4spn x12,x2,520 + 304a: 0000 c.unimp + 304c: 0000 c.unimp ... -00002028 <__FRAME_END__>: - 2028: 0000 c.unimp +00003050 <__FRAME_END__>: + 3050: 0000 c.unimp ... Disassembly of section .init_array: -0000202c <__init_array_start>: - 202c: 0074 c.addi4spn x13,x2,12 +00003054 <__init_array_start>: + 3054: 0074 c.addi4spn x13,x2,12 ... -00002030 <__frame_dummy_init_array_entry>: - 2030: 011c c.addi4spn x15,x2,128 +00003058 <__frame_dummy_init_array_entry>: + 3058: 011c c.addi4spn x15,x2,128 ... Disassembly of section .fini_array: -00002034 <__do_global_dtors_aux_fini_array_entry>: - 2034: 00d8 c.addi4spn x14,x2,68 +0000305c <__do_global_dtors_aux_fini_array_entry>: + 305c: 00d8 c.addi4spn x14,x2,68 ... Disassembly of section .data: -00002038 : - 2038: 0000 c.unimp - 203a: 0000 c.unimp - 203c: 2324 c.fld f9,64(x14) - 203e: 0000 c.unimp - 2040: 238c c.fld f11,0(x15) - 2042: 0000 c.unimp - 2044: 23f4 c.fld f13,192(x15) +00003060 : + 3060: 0dcc c.addi4spn x11,x2,724 + 3062: 0000 c.unimp + 3064: 0e48 c.addi4spn x10,x2,788 + 3066: 0000 c.unimp + 3068: 0ec4 c.addi4spn x9,x2,852 + 306a: 0000 c.unimp + 306c: 0f40 c.addi4spn x8,x2,916 + 306e: 0000 c.unimp + 3070: 0fbc c.addi4spn x15,x2,984 + 3072: 0000 c.unimp + 3074: 1038 c.addi4spn x14,x2,40 + 3076: 0000 c.unimp + 3078: 10b4 c.addi4spn x13,x2,104 + 307a: 0000 c.unimp + 307c: 1130 c.addi4spn x12,x2,168 + 307e: 0000 c.unimp + 3080: 11ac c.addi4spn x11,x2,232 + 3082: 0000 c.unimp + 3084: 1228 c.addi4spn x10,x2,296 ... - 20de: 0000 c.unimp - 20e0: 0001 c.addi x0,0 - 20e2: 0000 c.unimp - 20e4: 0000 c.unimp - 20e6: 0000 c.unimp - 20e8: 330e c.fldsp f6,224(x2) - 20ea: abcd c.j 26dc <__BSS_END__+0x24c> - 20ec: 1234 c.addi4spn x13,x2,296 - 20ee: e66d c.bnez x12,21d8 - 20f0: deec c.sw x11,124(x13) - 20f2: 0005 c.addi x0,1 - 20f4: 0000000b 0xb + +00003088 : + 3088: 2a64 c.fld f9,208(x12) + 308a: 0000 c.unimp + 308c: 2a70 c.fld f12,208(x12) + 308e: 0000 c.unimp + 3090: 2a7c c.fld f15,208(x12) + 3092: 0000 c.unimp + 3094: 2a88 c.fld f10,16(x13) + 3096: 0000 c.unimp + 3098: 2a94 c.fld f13,16(x13) + 309a: 0000 c.unimp + 309c: 2aa0 c.fld f8,80(x13) + 309e: 0000 c.unimp + 30a0: 2aac c.fld f11,80(x13) + 30a2: 0000 c.unimp + 30a4: 2ab8 c.fld f14,80(x13) + 30a6: 0000 c.unimp + 30a8: 2ac4 c.fld f9,144(x13) + 30aa: 0000 c.unimp + 30ac: 2ad0 c.fld f12,144(x13) + ... + +000030b0 : + 30b0: 0000 c.unimp + 30b2: 0000 c.unimp + 30b4: 339c c.fld f15,32(x15) + 30b6: 0000 c.unimp + 30b8: 3404 c.fld f9,40(x8) + 30ba: 0000 c.unimp + 30bc: 346c c.fld f11,232(x8) + ... + 3156: 0000 c.unimp + 3158: 0001 c.addi x0,0 + 315a: 0000 c.unimp + 315c: 0000 c.unimp + 315e: 0000 c.unimp + 3160: 330e c.fldsp f6,224(x2) + 3162: abcd c.j 3754 <__BSS_END__+0x24c> + 3164: 1234 c.addi4spn x13,x2,296 + 3166: e66d c.bnez x12,3250 + 3168: deec c.sw x11,124(x13) + 316a: 0005 c.addi x0,1 + 316c: 0000000b 0xb ... Disassembly of section .sdata: -00002460 <_global_impure_ptr>: - 2460: 2038 c.fld f14,64(x8) +000034d8 <_global_impure_ptr>: + 34d8: 30b0 c.fld f12,96(x9) ... -00002464 <_uartaddr>: - 2464: 0100 c.addi4spn x8,x2,128 - 2466: f000 c.fsw f8,32(x8) +000034dc <_uartaddr>: + 34dc: 0100 c.addi4spn x8,x2,128 + 34de: f000 c.fsw f8,32(x8) -00002468 <_impure_ptr>: - 2468: 2038 c.fld f14,64(x8) +000034e0 <_impure_ptr>: + 34e0: 30b0 c.fld f12,96(x9) ... Disassembly of section .sbss: -0000246c <_uartstate>: - 246c: 0000 c.unimp +000034e4 <_uartstate>: + 34e4: 0000 c.unimp ... -00002470 : - 2470: 0000 c.unimp +000034e8 : + 34e8: 0000 c.unimp ... Disassembly of section .bss: -00002474 : - 2474: 0000 c.unimp +000034ec : + 34ec: 0000 c.unimp ... -00002478 : +000034f0 : ... Disassembly of section .comment: @@ -2123,7 +3261,7 @@ Disassembly of section .riscv.attributes: 16: 6932 c.flwsp f18,12(x2) 18: 7032 c.flwsp f0,44(x2) 1a: 5f30 c.lw x12,120(x14) - 1c: 326d c.jal fffff9c6 <__global_pointer$+0xffffd18e> + 1c: 326d c.jal fffff9c6 <__global_pointer$+0xffffc166> 1e: 3070 c.fld f12,224(x8) 20: 0800 c.addi4spn x8,x2,16 22: 0a01 c.addi x20,0 @@ -2141,992 +3279,2781 @@ Disassembly of section .debug_aranges: a: 0004 0x4 c: 0000 c.unimp e: 0000 c.unimp - 10: 1298 c.addi4spn x14,x2,352 + 10: 1948 c.addi4spn x10,x2,180 12: 0000 c.unimp - 14: 0430 c.addi4spn x12,x2,520 + 14: 0478 c.addi4spn x14,x2,524 ... 1e: 0000 c.unimp - 20: 0014 0x14 + 20: 001c 0x1c 22: 0000 c.unimp 24: 0002 c.slli64 x0 - 26: 0761 c.addi x14,24 - 28: 0000 c.unimp + 26: 00000793 addi x15,x0,0 2a: 0004 0x4 + 2c: 0000 c.unimp + 2e: 0000 c.unimp + 30: 1dc0 c.addi4spn x8,x2,756 + 32: 0000 c.unimp + 34: 0450 c.addi4spn x12,x2,516 + ... + 3e: 0000 c.unimp + 40: 001c 0x1c + 42: 0000 c.unimp + 44: 0002 c.slli64 x0 + 46: 00000f77 0xf77 + 4a: 0004 0x4 + 4c: 0000 c.unimp + 4e: 0000 c.unimp + 50: 2210 c.fld f12,0(x12) + 52: 0000 c.unimp + 54: 0430 c.addi4spn x12,x2,520 + ... + 5e: 0000 c.unimp + 60: 0014 0x14 + 62: 0000 c.unimp + 64: 0002 c.slli64 x0 + 66: 16d8 c.addi4spn x14,x2,868 + 68: 0000 c.unimp + 6a: 0004 0x4 ... Disassembly of section .debug_info: 00000000 <.debug_info>: - 0: 075d c.addi x14,23 - 2: 0000 c.unimp - 4: 0005 c.addi x0,1 - 6: 0401 c.addi x8,0 - 8: 0000 c.unimp - a: 0000 c.unimp - c: 8011 c.srli x8,0x4 - e: 0000 c.unimp - 10: 1d00 c.addi4spn x8,x2,688 - 12: 0064 c.addi4spn x9,x2,12 - 14: 0000 c.unimp - 16: 0000 c.unimp - 18: 0000 c.unimp - 1a: 1298 c.addi4spn x14,x2,352 - 1c: 0000 c.unimp - 1e: 0430 c.addi4spn x12,x2,520 - 20: 0000 c.unimp - 22: 0000 c.unimp - 24: 0000 c.unimp - 26: 0804 c.addi4spn x9,x2,16 - 28: 00004c07 flq f24,0(x0) # 0 - 2c: 1200 c.addi4spn x8,x2,288 - 2e: 0504 c.addi4spn x9,x2,640 - 30: 6e69 c.lui x28,0x1a - 32: 0074 c.addi4spn x13,x2,12 - 34: 0404 c.addi4spn x9,x2,512 - 36: 00005607 0x5607 - 3a: 0400 c.addi4spn x8,x2,512 - 3c: 0508 c.addi4spn x10,x2,640 - 3e: 003e c.slli x0,0xf - 40: 0000 c.unimp - 42: 1004 c.addi4spn x9,x2,32 - 44: bf04 c.fsd f9,56(x14) - 46: 0001 c.addi x0,0 - 48: 0400 c.addi4spn x8,x2,512 - 4a: 0601 c.addi x12,0 - 4c: 0074 c.addi4spn x13,x2,12 - 4e: 0000 c.unimp - 50: 0104 c.addi4spn x9,x2,128 - 52: 7208 c.flw f10,32(x12) - 54: 0000 c.unimp - 56: 0400 c.addi4spn x8,x2,512 - 58: 0502 c.slli64 x10 - 5a: 0020 c.addi4spn x8,x2,8 - 5c: 0000 c.unimp - 5e: 0204 c.addi4spn x9,x2,256 - 60: 00017107 0x17107 - 64: 0400 c.addi4spn x8,x2,512 - 66: 0504 c.addi4spn x9,x2,640 - 68: 00000043 fmadd.s f0,f0,f0,f0,rne - 6c: 0404 c.addi4spn x9,x2,512 - 6e: 00005107 0x5107 - 72: 0400 c.addi4spn x8,x2,512 - 74: 0801 c.addi x16,0 - 76: 0000007b 0x7b - 7a: 6006 c.flwsp f0,64(x2) - 7c: 0001 c.addi x0,0 - 7e: 7b00 c.flw f8,48(x14) - 80: 5016 0x5016 - 82: 0000 c.unimp - 84: 0900 c.addi4spn x8,x2,144 - 86: 007a c.slli x0,0x1e - 88: 0000 c.unimp - 8a: cc06 c.swsp x1,24(x2) - 8c: 0001 c.addi x0,0 - 8e: 8000 0x8000 - 90: 00002d0f 0x2d0f - 94: 0600 c.addi4spn x8,x2,768 - 96: 000001cb fnmsub.s f3,f0,f0,f0,rne - 9a: 1681 c.addi x13,-32 - 9c: 0034 c.addi4spn x13,x2,8 - 9e: 0000 c.unimp - a0: 8506 c.mv x10,x1 - a2: 0001 c.addi x0,0 - a4: 8400 0x8400 - a6: 00003b0f 0x3b0f - aa: 0600 c.addi4spn x8,x2,768 - ac: 0184 c.addi4spn x9,x2,192 - ae: 0000 c.unimp - b0: 1685 c.addi x13,-31 - b2: 0026 c.slli x0,0x9 - b4: 0000 c.unimp - b6: 0404 c.addi4spn x9,x2,512 - b8: 1a04 c.addi4spn x9,x2,304 - ba: 0000 c.unimp - bc: 0400 c.addi4spn x8,x2,512 - be: 0308 c.addi4spn x10,x2,384 - c0: 0012 c.slli x0,0x4 - c2: 0000 c.unimp - c4: 0804 c.addi4spn x9,x2,16 - c6: c404 c.sw x9,8(x8) - c8: 0001 c.addi x0,0 - ca: 0400 c.addi4spn x8,x2,512 - cc: 0310 c.addi4spn x12,x2,384 - ce: 0196 c.slli x3,0x5 - d0: 0000 c.unimp - d2: 2004 c.fld f9,0(x8) - d4: 0001b703 0x1b703 - d8: 1300 c.addi4spn x8,x2,416 - da: 0168 c.addi4spn x10,x2,140 - dc: 0000 c.unimp - de: 0208 c.addi4spn x10,x2,256 - e0: 01ed c.addi x3,27 - e2: 040a c.slli x8,0x2 - e4: 0001 c.addi x0,0 - e6: 1400 c.addi4spn x8,x2,544 - e8: 6f6c c.flw f11,92(x14) - ea: ed020077 0xed020077 - ee: 1a01 c.addi x20,-32 - f0: 008a c.slli x1,0x2 - f2: 0000 c.unimp - f4: 1500 c.addi4spn x8,x2,672 - f6: 00000063 beq x0,x0,f6 <__do_global_dtors_aux+0x1e> - fa: ed02 c.fswsp f0,152(x2) - fc: 1f01 c.addi x30,-32 - fe: 008a c.slli x1,0x2 - 100: 0000 c.unimp - 102: 0004 0x4 - 104: 0816 c.slli x16,0x5 - 106: f402 c.fswsp f0,40(x2) - 108: 0901 c.addi x18,0 - 10a: 0124 c.addi4spn x9,x2,136 - 10c: 0000 c.unimp - 10e: 730c c.flw f11,32(x14) - 110: f600 c.fsw f8,40(x12) - 112: 1301 c.addi x6,-32 - 114: 00d9 c.addi x1,22 - 116: 0000 c.unimp - 118: 6c0c c.flw f11,24(x8) - 11a: 006c c.addi4spn x11,x2,12 - 11c: a00a01f7 0xa00a01f7 - 120: 0000 c.unimp - 122: 0000 c.unimp - 124: 00000017 auipc x0,0x0 - 128: 0200 c.addi4spn x8,x2,256 - 12a: 01f8 c.addi4spn x14,x2,204 - 12c: 00010403 lb x8,0(x2) - 130: 0900 c.addi4spn x8,x2,144 - 132: 0124 c.addi4spn x9,x2,136 - 134: 0000 c.unimp - 136: 8518 0x8518 - 138: 0000 c.unimp - 13a: 4600 c.lw x8,8(x12) - 13c: 0001 c.addi x0,0 - 13e: 1900 c.addi4spn x8,x2,176 - 140: 0034 c.addi4spn x13,x2,8 - 142: 0000 c.unimp - 144: 00ff 0xff - 146: 3609 c.jal fffffc48 <__global_pointer$+0xffffd410> - 148: 0001 c.addi x0,0 - 14a: 1a00 c.addi4spn x8,x2,304 - 14c: 0068 c.addi4spn x10,x2,12 - 14e: 0000 c.unimp - 150: 0202 c.slli64 x4 - 152: 1602 c.slli x12,0x20 - 154: 0146 c.slli x2,0x11 - 156: 0000 c.unimp - 158: 0000081b 0x81b - 15c: 0100 c.addi4spn x8,x2,128 - 15e: 0512 c.slli x10,0x4 - 160: ab01 c.j 670 <_h2s+0x178> - 162: 0000 c.unimp - 164: 9800 0x9800 - 166: 0012 c.slli x0,0x4 - 168: 3000 c.fld f8,32(x8) - 16a: 0004 0x4 - 16c: 0100 c.addi4spn x8,x2,128 - 16e: 2e9c c.fld f15,24(x13) - 170: 0004 0x4 - 172: 0d00 c.addi4spn x8,x2,656 - 174: 006e c.slli x0,0x1b - 176: ab14 c.fsd f13,16(x14) - 178: 0000 c.unimp - 17a: 0c00 c.addi4spn x8,x2,528 - 17c: 0000 c.unimp - 17e: 0d00 c.addi4spn x8,x2,656 - 180: 0064 c.addi4spn x9,x2,12 - 182: ab1f 0000 ed00 0xed000000ab1f - 188: 0000 c.unimp - 18a: 1c00 c.addi4spn x8,x2,560 - 18c: 042e c.slli x8,0xb - 18e: 0000 c.unimp - 190: 1298 c.addi4spn x14,x2,352 - 192: 0000 c.unimp - 194: 000c 0xc - 196: 0000 c.unimp - 198: 1401 c.addi x8,-32 - 19a: 0a05 c.addi x20,1 - 19c: 500a 0x500a - 19e: 0004 0x4 - 1a0: 7e00 c.flw f8,56(x12) - 1a2: 0001 c.addi x0,0 - 1a4: 0a00 c.addi4spn x8,x2,272 - 1a6: 0448 c.addi4spn x10,x2,516 - 1a8: 0000 c.unimp - 1aa: 00000197 auipc x3,0x0 - 1ae: 400a 0x400a - 1b0: 0004 0x4 - 1b2: e800 c.fsw f8,16(x8) - 1b4: 0001 c.addi x0,0 - 1b6: 1d00 c.addi4spn x8,x2,688 - 1b8: 0028 c.addi4spn x10,x2,8 - 1ba: 0000 c.unimp - 1bc: 5908 c.lw x10,48(x10) - 1be: 0004 0x4 - 1c0: 0800 c.addi4spn x8,x2,16 - 1c2: 0464 c.addi4spn x9,x2,524 - 1c4: 0000 c.unimp - 1c6: 6f08 c.flw f10,24(x14) - 1c8: 0004 0x4 - 1ca: 0100 c.addi4spn x8,x2,128 - 1cc: 047a c.slli x8,0x1e - 1ce: 0000 c.unimp - 1d0: 02b9 c.addi x5,14 - 1d2: 0000 c.unimp - 1d4: 8501 c.srai64 x10 - 1d6: 0004 0x4 - 1d8: 3800 c.fld f8,48(x8) - 1da: 01000003 lb x0,16(x0) # 10 - 1de: 0490 c.addi4spn x12,x2,576 - 1e0: 0000 c.unimp - 1e2: 0000037b 0x37b - 1e6: 9b01 c.andi x14,-32 - 1e8: 0004 0x4 - 1ea: ef00 c.fsw f8,24(x14) - 1ec: 01000003 lb x0,16(x0) # 10 - 1f0: 04a6 c.slli x9,0x9 - 1f2: 0000 c.unimp - 1f4: 04b6 c.slli x9,0xd - 1f6: 0000 c.unimp - 1f8: b101 c.j fffffdf8 <__global_pointer$+0xffffd5c0> - 1fa: 0004 0x4 - 1fc: cd00 c.sw x8,24(x10) - 1fe: 0004 0x4 - 200: 0100 c.addi4spn x8,x2,128 - 202: 04bc c.addi4spn x15,x2,584 - 204: 0000 c.unimp - 206: 000004ef jal x9,206 <_putchar+0x4e> - 20a: c701 c.beqz x14,212 <_getchar+0x2> - 20c: 0004 0x4 - 20e: 1200 c.addi4spn x8,x2,288 - 210: 0005 c.addi x0,1 - 212: 0100 c.addi4spn x8,x2,128 - 214: 04d1 c.addi x9,20 - 216: 0000 c.unimp - 218: 0529 c.addi x10,10 - 21a: 0000 c.unimp - 21c: dc08 c.sw x10,56(x8) - 21e: 0004 0x4 - 220: 0700 c.addi4spn x8,x2,896 - 222: 000004e7 jalr x9,0(x0) # 0 - 226: 004d c.addi x0,19 - 228: 0000 c.unimp - 22a: 0241 c.addi x4,16 - 22c: 0000 c.unimp - 22e: ec01 c.bnez x8,246 <_getchar+0x36> - 230: 0004 0x4 - 232: 6100 c.flw f8,0(x10) - 234: 0005 c.addi x0,1 - 236: 0100 c.addi4spn x8,x2,128 - 238: 04f8 c.addi4spn x14,x2,588 - 23a: 0000 c.unimp - 23c: 0596 c.slli x11,0x5 - 23e: 0000 c.unimp - 240: 0e00 c.addi4spn x8,x2,784 - 242: 0505 c.addi x10,1 - 244: 0000 c.unimp - 246: 1300 c.addi4spn x8,x2,416 - 248: 0000 c.unimp - 24a: 0088 c.addi4spn x10,x2,64 - 24c: 0000 c.unimp - 24e: 0292 c.slli x5,0x4 - 250: 0000 c.unimp - 252: 0a01 c.addi x20,0 - 254: 0005 c.addi x0,1 - 256: a200 c.fsd f8,0(x12) - 258: 0005 c.addi x0,1 - 25a: 0100 c.addi4spn x8,x2,128 - 25c: 0516 c.slli x10,0x5 - 25e: 0000 c.unimp - 260: 05ae c.slli x11,0xb - 262: 0000 c.unimp - 264: 2201 c.jal 364 <_gets+0xa0> - 266: 0005 c.addi x0,1 - 268: ba00 c.fsd f8,48(x12) - 26a: 0005 c.addi x0,1 - 26c: 0100 c.addi4spn x8,x2,128 - 26e: 052e c.slli x10,0xb - 270: 0000 c.unimp - 272: 05d1 c.addi x11,20 - 274: 0000 c.unimp - 276: 3a01 c.jal fffffb86 <__global_pointer$+0xffffd34e> - 278: 0005 c.addi x0,1 - 27a: e800 c.fsw f8,16(x8) - 27c: 0005 c.addi x0,1 - 27e: 0100 c.addi4spn x8,x2,128 - 280: 0546 c.slli x10,0x11 - 282: 0000 c.unimp - 284: 062c c.addi4spn x11,x2,776 - 286: 0000 c.unimp - 288: 5201 c.li x4,-32 - 28a: 0005 c.addi x0,1 - 28c: 5300 c.lw x8,32(x14) - 28e: 0006 c.slli x0,0x1 - 290: 0000 c.unimp - 292: 00066107 0x66107 - 296: 6000 c.flw f8,0(x8) - 298: 0000 c.unimp - 29a: 5100 c.lw x8,32(x10) - 29c: 01000003 lb x0,16(x0) # 10 - 2a0: 0662 c.slli x12,0x18 - 2a2: 0000 c.unimp - 2a4: 067a c.slli x12,0x1e - 2a6: 0000 c.unimp - 2a8: 6d01 0x6d01 - 2aa: 0006 c.slli x0,0x1 - 2ac: 8600 0x8600 - 2ae: 0006 c.slli x0,0x1 - 2b0: 0700 c.addi4spn x8,x2,896 - 2b2: 0678 c.addi4spn x14,x2,780 - 2b4: 0000 c.unimp - 2b6: 00000073 ecall - 2ba: 02fe c.slli x5,0x1f - 2bc: 0000 c.unimp - 2be: 7d01 c.lui x26,0xfffe0 - 2c0: 0006 c.slli x0,0x1 - 2c2: c000 c.sw x8,0(x8) - 2c4: 0006 c.slli x0,0x1 - 2c6: 0100 c.addi4spn x8,x2,128 - 2c8: 0689 c.addi x13,2 - 2ca: 0000 c.unimp - 2cc: 06cc c.addi4spn x11,x2,836 - 2ce: 0000 c.unimp - 2d0: 9501 c.srai x10,0x20 - 2d2: 0006 c.slli x0,0x1 - 2d4: e900 c.fsw f8,16(x10) - 2d6: 0006 c.slli x0,0x1 - 2d8: 0100 c.addi4spn x8,x2,128 - 2da: 06a1 c.addi x13,8 - 2dc: 0000 c.unimp - 2de: 0700 c.addi4spn x8,x2,896 - 2e0: 0000 c.unimp - 2e2: ad01 c.j 8f2 <_strcat+0x1e> - 2e4: 0006 c.slli x0,0x1 - 2e6: 1700 c.addi4spn x8,x2,928 - 2e8: 01000007 0x1000007 - 2ec: 06b9 c.addi x13,14 - 2ee: 0000 c.unimp - 2f0: 0749 c.addi x14,18 - 2f2: 0000 c.unimp - 2f4: c501 c.beqz x10,2fc <_gets+0x38> - 2f6: 0006 c.slli x0,0x1 - 2f8: 7b00 c.flw f8,48(x14) - 2fa: 00000007 0x7 - 2fe: 0006d20f 0x6d20f - 302: 9800 0x9800 - 304: 0000 c.unimp - 306: 0100 c.addi4spn x8,x2,128 - 308: 000006d7 0x6d7 - 30c: 07a2 c.slli x15,0x8 - 30e: 0000 c.unimp - 310: e301 c.bnez x14,310 <_gets+0x4c> - 312: 0006 c.slli x0,0x1 - 314: ae00 c.fsd f8,24(x12) - 316: 01000007 0x1000007 - 31a: 000006ef jal x13,31a <_gets+0x56> - 31e: 000007e7 jalr x15,0(x0) # 0 - 322: fb01 c.bnez x14,232 <_getchar+0x22> - 324: 0006 c.slli x0,0x1 - 326: f300 c.fsw f8,32(x14) - 328: 01000007 0x1000007 - 32c: 00000707 0x707 - 330: 07ff 0x7ff - 332: 0000 c.unimp - 334: 1301 c.addi x6,-32 - 336: 4b000007 0x4b000007 - 33a: 0008 0x8 - 33c: 0100 c.addi4spn x8,x2,128 - 33e: 071f 0000 0857 0x8570000071f - 344: 0000 c.unimp - 346: 2b01 c.jal 856 <_s2h+0xba> - 348: 81000007 0x81000007 - 34c: 0008 0x8 - 34e: 0000 c.unimp - 350: 0700 c.addi4spn x8,x2,896 - 352: 055f 0000 00c6 0xc60000055f - 358: 0000 c.unimp - 35a: 0371 c.addi x6,28 - 35c: 0000 c.unimp - 35e: 6401 0x6401 - 360: 0005 c.addi x0,1 - 362: 8d00 0x8d00 - 364: 0008 0x8 - 366: 0100 c.addi4spn x8,x2,128 - 368: 0570 c.addi4spn x12,x2,652 - 36a: 0000 c.unimp - 36c: 08cd c.addi x17,19 - 36e: 0000 c.unimp - 370: 0e00 c.addi4spn x8,x2,784 - 372: 000005d7 0x5d7 - 376: 13e4 c.addi4spn x9,x2,492 - 378: 0000 c.unimp - 37a: 008c c.addi4spn x11,x2,64 - 37c: 0000 c.unimp - 37e: 03c2 c.slli x7,0x10 - 380: 0000 c.unimp - 382: dc01 c.beqz x8,29a <_puts+0x3e> - 384: 0005 c.addi x0,1 - 386: d900 c.sw x8,48(x10) - 388: 0008 0x8 - 38a: 0100 c.addi4spn x8,x2,128 - 38c: 05e8 c.addi4spn x10,x2,716 - 38e: 0000 c.unimp - 390: 08e5 c.addi x17,25 - 392: 0000 c.unimp - 394: f401 c.bnez x8,29c <_puts+0x40> - 396: 0005 c.addi x0,1 - 398: f100 c.fsw f8,32(x10) - 39a: 0008 0x8 - 39c: 0100 c.addi4spn x8,x2,128 - 39e: 0600 c.addi4spn x8,x2,768 - 3a0: 0000 c.unimp - 3a2: 0908 c.addi4spn x10,x2,144 - 3a4: 0000 c.unimp - 3a6: 0c01 c.addi x24,0 - 3a8: 0006 c.slli x0,0x1 - 3aa: 1f00 c.addi4spn x8,x2,944 - 3ac: 0009 c.addi x0,2 - 3ae: 0100 c.addi4spn x8,x2,128 - 3b0: 0618 c.addi4spn x14,x2,768 - 3b2: 0000 c.unimp - 3b4: 0951 c.addi x18,20 - 3b6: 0000 c.unimp - 3b8: 2401 c.jal 5b8 <_h2s+0xc0> - 3ba: 0006 c.slli x0,0x1 - 3bc: 7800 c.flw f8,48(x8) - 3be: 0009 c.addi x0,2 - 3c0: 0000 c.unimp - 3c2: 00057d07 0x57d07 - 3c6: d900 c.sw x8,48(x10) - 3c8: 0000 c.unimp - 3ca: 0f00 c.addi4spn x8,x2,912 - 3cc: 0004 0x4 - 3ce: 0100 c.addi4spn x8,x2,128 - 3d0: 0582 c.slli64 x11 - 3d2: 0000 c.unimp - 3d4: 099f 0000 8e01 0x8e010000099f - 3da: 0005 c.addi x0,1 - 3dc: ab00 c.fsd f8,16(x14) - 3de: 0009 c.addi x0,2 - 3e0: 0100 c.addi4spn x8,x2,128 - 3e2: 059a c.slli x11,0x6 - 3e4: 0000 c.unimp - 3e6: 09c8 c.addi4spn x10,x2,212 - 3e8: 0000 c.unimp - 3ea: a601 c.j 6ea <_s2d+0x4a> - 3ec: 0005 c.addi x0,1 - 3ee: df00 c.sw x8,56(x14) - 3f0: 0009 c.addi x0,2 - 3f2: 0100 c.addi4spn x8,x2,128 - 3f4: 05b2 c.slli x11,0xc - 3f6: 0000 c.unimp - 3f8: 09f6 c.slli x19,0x1d - 3fa: 0000 c.unimp - 3fc: be01 c.j ffffff0c <__global_pointer$+0xffffd6d4> - 3fe: 0005 c.addi x0,1 - 400: 2800 c.fld f8,16(x8) - 402: 000a c.slli x0,0x2 - 404: 0100 c.addi4spn x8,x2,128 - 406: 05ca c.slli x11,0x12 - 408: 0000 c.unimp - 40a: 0a5a c.slli x20,0x16 - 40c: 0000 c.unimp - 40e: 0f00 c.addi4spn x8,x2,912 - 410: 0631 c.addi x12,12 - 412: 0000 c.unimp - 414: 00fe c.slli x1,0x1f - 416: 0000 c.unimp - 418: 3601 c.jal ffffff18 <__global_pointer$+0xffffd6e0> - 41a: 0006 c.slli x0,0x1 - 41c: 8100 0x8100 - 41e: 000a c.slli x0,0x2 - 420: 0100 c.addi4spn x8,x2,128 - 422: 0642 c.slli x12,0x10 - 424: 0000 c.unimp - 426: 0a8d c.addi x21,3 - 428: 0000 c.unimp - 42a: 0000 c.unimp - 42c: 0000 c.unimp - 42e: aa1e c.fsdsp f7,272(x2) - 430: 0001 c.addi x0,0 - 432: 0100 c.addi4spn x8,x2,128 - 434: ab0103e3 beq x2,x16,fffffeda <__global_pointer$+0xffffd6a2> - 438: 0000 c.unimp - 43a: 0300 c.addi4spn x8,x2,384 - 43c: 075a c.slli x14,0x16 - 43e: 0000 c.unimp - 440: 17006e0b 0x17006e0b - 444: 000000ab 0xab - 448: 2200640b 0x2200640b - 44c: 000000ab 0xab - 450: 0070720b 0x70720b - 454: 5a2e c.lwsp x20,232(x2) - 456: 03000007 0x3000007 - 45a: 6e6e c.flwsp f28,216(x2) - 45c: e500 c.fsw f8,8(x10) - 45e: 01311103 lh x2,19(x2) - 462: 0000 c.unimp - 464: 00646403 0x646403 - 468: 03e6 c.slli x7,0x19 - 46a: 3111 c.jal 6e - 46c: 0001 c.addi x0,0 - 46e: 0300 c.addi4spn x8,x2,384 - 470: 7272 c.flwsp f4,60(x2) - 472: e700 c.fsw f8,8(x14) - 474: 01240b03 lb x22,18(x8) # 18012 <__global_pointer$+0x157da> - 478: 0000 c.unimp - 47a: 00306403 0x306403 - 47e: 03e8 c.addi4spn x10,x2,460 - 480: 950a c.add x10,x2 - 482: 0000 c.unimp - 484: 0300 c.addi4spn x8,x2,384 - 486: 3164 c.fld f9,224(x10) - 488: e800 c.fsw f8,16(x8) - 48a: 00950e03 lb x28,9(x10) # 1b009 <__global_pointer$+0x187d1> - 48e: 0000 c.unimp - 490: 00306e03 0x306e03 - 494: 03e8 c.addi4spn x10,x2,460 - 496: 9512 c.add x10,x4 - 498: 0000 c.unimp - 49a: 0300 c.addi4spn x8,x2,384 - 49c: 316e c.fldsp f2,248(x2) - 49e: e800 c.fsw f8,16(x8) - 4a0: 00951603 lh x12,9(x10) - 4a4: 0000 c.unimp - 4a6: 00326e03 0x326e03 - 4aa: 03e8 c.addi4spn x10,x2,460 - 4ac: 951a c.add x10,x6 - 4ae: 0000 c.unimp - 4b0: 0300 c.addi4spn x8,x2,384 - 4b2: 3071 c.jal fffffd3e <__global_pointer$+0xffffd506> - 4b4: e900 c.fsw f8,16(x10) - 4b6: 00950a03 lb x20,9(x10) - 4ba: 0000 c.unimp - 4bc: 00317103 0x317103 - 4c0: 03e9 c.addi x7,26 - 4c2: 950e c.add x10,x3 - 4c4: 0000 c.unimp - 4c6: 0300 c.addi4spn x8,x2,384 - 4c8: 0062 c.slli x0,0x18 - 4ca: 03ea c.slli x7,0x1a - 4cc: 950a c.add x10,x2 - 4ce: 0000 c.unimp - 4d0: 0300 c.addi4spn x8,x2,384 - 4d2: 6d62 c.flwsp f26,24(x2) - 4d4: ea00 c.fsw f8,16(x12) - 4d6: 00950d03 lb x26,9(x10) - 4da: 0000 c.unimp - 4dc: 00777703 0x777703 - 4e0: 311104b3 0x311104b3 - 4e4: 0001 c.addi x0,0 - 4e6: 0500 c.addi4spn x8,x2,640 - 4e8: 0505 c.addi x10,1 - 4ea: 0000 c.unimp - 4ec: a502 c.fsdsp f0,136(x2) - 4ee: 0001 c.addi x0,0 - 4f0: 1a00 c.addi4spn x8,x2,304 - 4f2: 0404 c.addi4spn x9,x2,512 - 4f4: 0095 c.addi x1,5 - 4f6: 0000 c.unimp - 4f8: 615f5f03 lhu x30,1557(x30) # 1b615 <__global_pointer$+0x18ddd> - 4fc: 1a00 c.addi4spn x8,x2,304 - 4fe: 0404 c.addi4spn x9,x2,512 - 500: 0095 c.addi x1,5 - 502: 0000 c.unimp - 504: 0500 c.addi4spn x8,x2,640 - 506: 055f 0000 2f02 0x2f020000055f - 50c: 0000 c.unimp - 50e: 2600 c.fld f8,8(x12) - 510: 0404 c.addi4spn x9,x2,512 - 512: 0095 c.addi x1,5 - 514: 0000 c.unimp - 516: 2a02 c.fldsp f20,0(x2) - 518: 0000 c.unimp - 51a: 2600 c.fld f8,8(x12) - 51c: 0404 c.addi4spn x9,x2,512 - 51e: 0095 c.addi x1,5 - 520: 0000 c.unimp - 522: 9102 c.jalr x2 - 524: 0001 c.addi x0,0 - 526: 2600 c.fld f8,8(x12) - 528: 0404 c.addi4spn x9,x2,512 - 52a: 0095 c.addi x1,5 - 52c: 0000 c.unimp - 52e: 8c02 c.jr x24 - 530: 0001 c.addi x0,0 - 532: 2600 c.fld f8,8(x12) - 534: 0404 c.addi4spn x9,x2,512 - 536: 0095 c.addi x1,5 - 538: 0000 c.unimp - 53a: d802 c.swsp x0,48(x2) - 53c: 0001 c.addi x0,0 - 53e: 2600 c.fld f8,8(x12) - 540: 0404 c.addi4spn x9,x2,512 - 542: 0095 c.addi x1,5 - 544: 0000 c.unimp - 546: d302 c.swsp x0,164(x2) - 548: 0001 c.addi x0,0 - 54a: 2600 c.fld f8,8(x12) - 54c: 0404 c.addi4spn x9,x2,512 - 54e: 0095 c.addi x1,5 - 550: 0000 c.unimp - 552: 6d5f5f03 lhu x30,1749(x30) - 556: 2600 c.fld f8,8(x12) - 558: 0404 c.addi4spn x9,x2,512 - 55a: 0095 c.addi x1,5 - 55c: 0000 c.unimp - 55e: 0500 c.addi4spn x8,x2,640 - 560: 057d c.addi x10,31 - 562: 0000 c.unimp - 564: a502 c.fsdsp f0,136(x2) - 566: 0001 c.addi x0,0 - 568: 3200 c.fld f8,32(x12) - 56a: 0404 c.addi4spn x9,x2,512 - 56c: 0095 c.addi x1,5 - 56e: 0000 c.unimp - 570: 615f5f03 lhu x30,1557(x30) - 574: 3200 c.fld f8,32(x12) - 576: 0404 c.addi4spn x9,x2,512 - 578: 0095 c.addi x1,5 - 57a: 0000 c.unimp - 57c: 0500 c.addi4spn x8,x2,640 - 57e: 000005d7 0x5d7 - 582: 2f02 c.fldsp f30,0(x2) - 584: 0000 c.unimp - 586: 4b00 c.lw x8,16(x14) - 588: 0804 c.addi4spn x9,x2,16 - 58a: 0095 c.addi x1,5 - 58c: 0000 c.unimp - 58e: 2a02 c.fldsp f20,0(x2) - 590: 0000 c.unimp - 592: 4b00 c.lw x8,16(x14) - 594: 0804 c.addi4spn x9,x2,16 - 596: 0095 c.addi x1,5 - 598: 0000 c.unimp - 59a: 9102 c.jalr x2 - 59c: 0001 c.addi x0,0 - 59e: 4b00 c.lw x8,16(x14) - 5a0: 0804 c.addi4spn x9,x2,16 - 5a2: 0095 c.addi x1,5 - 5a4: 0000 c.unimp - 5a6: 8c02 c.jr x24 - 5a8: 0001 c.addi x0,0 - 5aa: 4b00 c.lw x8,16(x14) - 5ac: 0804 c.addi4spn x9,x2,16 - 5ae: 0095 c.addi x1,5 - 5b0: 0000 c.unimp - 5b2: d802 c.swsp x0,48(x2) - 5b4: 0001 c.addi x0,0 - 5b6: 4b00 c.lw x8,16(x14) - 5b8: 0804 c.addi4spn x9,x2,16 - 5ba: 0095 c.addi x1,5 - 5bc: 0000 c.unimp - 5be: d302 c.swsp x0,164(x2) - 5c0: 0001 c.addi x0,0 - 5c2: 4b00 c.lw x8,16(x14) - 5c4: 0804 c.addi4spn x9,x2,16 - 5c6: 0095 c.addi x1,5 - 5c8: 0000 c.unimp - 5ca: 6d5f5f03 lhu x30,1749(x30) - 5ce: 4b00 c.lw x8,16(x14) - 5d0: 0804 c.addi4spn x9,x2,16 - 5d2: 0095 c.addi x1,5 - 5d4: 0000 c.unimp - 5d6: 0500 c.addi4spn x8,x2,640 - 5d8: 0631 c.addi x12,12 - 5da: 0000 c.unimp - 5dc: 2f02 c.fldsp f30,0(x2) - 5de: 0000 c.unimp - 5e0: 5000 c.lw x8,32(x8) - 5e2: 0404 c.addi4spn x9,x2,512 - 5e4: 0095 c.addi x1,5 - 5e6: 0000 c.unimp - 5e8: 2a02 c.fldsp f20,0(x2) - 5ea: 0000 c.unimp - 5ec: 5000 c.lw x8,32(x8) - 5ee: 0404 c.addi4spn x9,x2,512 - 5f0: 0095 c.addi x1,5 - 5f2: 0000 c.unimp - 5f4: 9102 c.jalr x2 - 5f6: 0001 c.addi x0,0 - 5f8: 5000 c.lw x8,32(x8) - 5fa: 0404 c.addi4spn x9,x2,512 - 5fc: 0095 c.addi x1,5 - 5fe: 0000 c.unimp - 600: 8c02 c.jr x24 - 602: 0001 c.addi x0,0 - 604: 5000 c.lw x8,32(x8) - 606: 0404 c.addi4spn x9,x2,512 - 608: 0095 c.addi x1,5 - 60a: 0000 c.unimp - 60c: d802 c.swsp x0,48(x2) - 60e: 0001 c.addi x0,0 - 610: 5000 c.lw x8,32(x8) - 612: 0404 c.addi4spn x9,x2,512 - 614: 0095 c.addi x1,5 - 616: 0000 c.unimp - 618: d302 c.swsp x0,164(x2) - 61a: 0001 c.addi x0,0 - 61c: 5000 c.lw x8,32(x8) - 61e: 0404 c.addi4spn x9,x2,512 - 620: 0095 c.addi x1,5 - 622: 0000 c.unimp - 624: 6d5f5f03 lhu x30,1749(x30) - 628: 5000 c.lw x8,32(x8) - 62a: 0404 c.addi4spn x9,x2,512 - 62c: 0095 c.addi x1,5 - 62e: 0000 c.unimp - 630: 0500 c.addi4spn x8,x2,640 - 632: 0000064f fnmadd.s f12,f0,f0,f0,rne - 636: a502 c.fsdsp f0,136(x2) - 638: 0001 c.addi x0,0 - 63a: 7300 c.flw f8,32(x14) - 63c: 0404 c.addi4spn x9,x2,512 - 63e: 0095 c.addi x1,5 - 640: 0000 c.unimp - 642: 615f5f03 lhu x30,1557(x30) - 646: 7300 c.flw f8,32(x14) - 648: 0404 c.addi4spn x9,x2,512 - 64a: 0095 c.addi x1,5 - 64c: 0000 c.unimp - 64e: 0500 c.addi4spn x8,x2,640 - 650: 0661 c.addi x12,24 - 652: 0000 c.unimp - 654: 785f5f03 lhu x30,1925(x30) - 658: 8100 0x8100 - 65a: 0504 c.addi4spn x9,x2,640 - 65c: 0095 c.addi x1,5 - 65e: 0000 c.unimp - 660: 1000 c.addi4spn x8,x2,32 - 662: 00316d03 0x316d03 - 666: 0491 c.addi x9,4 - 668: 0000950f 0x950f - 66c: 0300 c.addi4spn x8,x2,384 - 66e: 306d c.jal ffffff18 <__global_pointer$+0xffffd6e0> - 670: 9100 0x9100 - 672: 1304 c.addi4spn x9,x2,416 - 674: 0095 c.addi x1,5 - 676: 0000 c.unimp - 678: d205 c.beqz x12,598 <_h2s+0xa0> - 67a: 0006 c.slli x0,0x1 - 67c: 0200 c.addi4spn x8,x2,256 - 67e: 0000002f 0x2f - 682: 049c c.addi4spn x15,x2,576 - 684: 9508 0x9508 - 686: 0000 c.unimp - 688: 0200 c.addi4spn x8,x2,256 - 68a: 002a c.slli x0,0xa - 68c: 0000 c.unimp - 68e: 049c c.addi4spn x15,x2,576 - 690: 9508 0x9508 - 692: 0000 c.unimp - 694: 0200 c.addi4spn x8,x2,256 - 696: 0191 c.addi x3,4 - 698: 0000 c.unimp - 69a: 049c c.addi4spn x15,x2,576 - 69c: 9508 0x9508 - 69e: 0000 c.unimp - 6a0: 0200 c.addi4spn x8,x2,256 - 6a2: 018c c.addi4spn x11,x2,192 - 6a4: 0000 c.unimp - 6a6: 049c c.addi4spn x15,x2,576 - 6a8: 9508 0x9508 - 6aa: 0000 c.unimp - 6ac: 0200 c.addi4spn x8,x2,256 - 6ae: 01d8 c.addi4spn x14,x2,196 - 6b0: 0000 c.unimp - 6b2: 049c c.addi4spn x15,x2,576 - 6b4: 9508 0x9508 - 6b6: 0000 c.unimp - 6b8: 0200 c.addi4spn x8,x2,256 - 6ba: 000001d3 fadd.s f3,f0,f0,rne - 6be: 049c c.addi4spn x15,x2,576 - 6c0: 9508 0x9508 - 6c2: 0000 c.unimp - 6c4: 0300 c.addi4spn x8,x2,384 - 6c6: 5f5f 006d 049c 0x49c006d5f5f - 6cc: 9508 0x9508 - 6ce: 0000 c.unimp - 6d0: 0000 c.unimp - 6d2: 3805 c.jal ffffff02 <__global_pointer$+0xffffd6ca> - 6d4: 02000007 0x2000007 - 6d8: 014c c.addi4spn x11,x2,132 - 6da: 0000 c.unimp - 6dc: 049d c.addi x9,7 - 6de: 9508 0x9508 - 6e0: 0000 c.unimp - 6e2: 0200 c.addi4spn x8,x2,256 - 6e4: 0151 c.addi x2,20 - 6e6: 0000 c.unimp - 6e8: 049d c.addi x9,7 - 6ea: 9508 0x9508 - 6ec: 0000 c.unimp - 6ee: 0200 c.addi4spn x8,x2,256 - 6f0: 0156 c.slli x2,0x15 - 6f2: 0000 c.unimp - 6f4: 049d c.addi x9,7 - 6f6: 9508 0x9508 - 6f8: 0000 c.unimp - 6fa: 0200 c.addi4spn x8,x2,256 - 6fc: 0000015b 0x15b - 700: 049d c.addi x9,7 - 702: 9508 0x9508 - 704: 0000 c.unimp - 706: 0200 c.addi4spn x8,x2,256 - 708: 01e2 c.slli x3,0x18 - 70a: 0000 c.unimp - 70c: 049d c.addi x9,7 - 70e: 9508 0x9508 - 710: 0000 c.unimp - 712: 0200 c.addi4spn x8,x2,256 - 714: 0039 c.addi x0,14 - 716: 0000 c.unimp - 718: 049d c.addi x9,7 - 71a: 9508 0x9508 - 71c: 0000 c.unimp - 71e: 0200 c.addi4spn x8,x2,256 - 720: 01dd c.addi x3,23 - 722: 0000 c.unimp - 724: 049d c.addi x9,7 - 726: 9508 0x9508 - 728: 0000 c.unimp - 72a: 0200 c.addi4spn x8,x2,256 - 72c: 0034 c.addi4spn x13,x2,8 - 72e: 0000 c.unimp - 730: 049d c.addi x9,7 - 732: 9508 0x9508 - 734: 0000 c.unimp - 736: 0000 c.unimp - 738: 4a05 c.li x20,1 - 73a: 03000007 0x3000007 - 73e: 5f5f 0078 04a2 0x4a200785f5f - 744: 9505 c.srai x10,0x21 - 746: 0000 c.unimp - 748: 0000 c.unimp - 74a: 0310 c.addi4spn x12,x2,384 - 74c: 5f5f 0078 04aa 0x4aa00785f5f - 752: 9505 c.srai x10,0x21 - 754: 0000 c.unimp - 756: 0000 c.unimp - 758: 0000 c.unimp - 75a: 041f 00ab 0000 0xab041f - 760: d400 c.sw x8,40(x8) - 762: 0000 c.unimp - 764: 0500 c.addi4spn x8,x2,640 - 766: 0100 c.addi4spn x8,x2,128 - 768: a604 c.fsd f9,8(x12) - 76a: 0001 c.addi x0,0 - 76c: 0300 c.addi4spn x8,x2,384 - 76e: 000001e7 jalr x3,0(x0) # 0 - 772: 641d c.lui x8,0x7 - 774: 0000 c.unimp - 776: 0000 c.unimp - 778: 0000 c.unimp - 77a: 2700 c.fld f8,8(x14) - 77c: 04000007 0x4000007 - 780: 0504 c.addi4spn x9,x2,640 - 782: 6e69 c.lui x28,0x1a - 784: 0074 c.addi4spn x13,x2,12 - 786: 0401 c.addi x8,0 - 788: 00005607 0x5607 - 78c: 0100 c.addi4spn x8,x2,128 - 78e: 0508 c.addi4spn x10,x2,640 - 790: 003e c.slli x0,0xf - 792: 0000 c.unimp - 794: 1001 c.addi x0,-32 - 796: bf04 c.fsd f9,56(x14) - 798: 0001 c.addi x0,0 - 79a: 0100 c.addi4spn x8,x2,128 - 79c: 0601 c.addi x12,0 - 79e: 0074 c.addi4spn x13,x2,12 - 7a0: 0000 c.unimp - 7a2: 0101 c.addi x2,0 - 7a4: 7208 c.flw f10,32(x12) - 7a6: 0000 c.unimp - 7a8: 0100 c.addi4spn x8,x2,128 - 7aa: 0502 c.slli64 x10 - 7ac: 0020 c.addi4spn x8,x2,8 - 7ae: 0000 c.unimp - 7b0: 0201 c.addi x4,0 - 7b2: 00017107 0x17107 - 7b6: 0100 c.addi4spn x8,x2,128 - 7b8: 0504 c.addi4spn x9,x2,640 - 7ba: 00000043 fmadd.s f0,f0,f0,f0,rne - 7be: 0401 c.addi x8,0 - 7c0: 00005107 0x5107 - 7c4: 0100 c.addi4spn x8,x2,128 - 7c6: 0708 c.addi4spn x10,x2,896 - 7c8: 004c c.addi4spn x11,x2,4 - 7ca: 0000 c.unimp - 7cc: 0101 c.addi x2,0 - 7ce: 7b08 c.flw f10,48(x14) - 7d0: 0000 c.unimp - 7d2: 0500 c.addi4spn x8,x2,640 - 7d4: 0160 c.addi4spn x8,x2,140 - 7d6: 0000 c.unimp - 7d8: 7b01 c.lui x22,0xfffe0 - 7da: 4116 c.lwsp x2,68(x2) - 7dc: 0000 c.unimp - 7de: 0200 c.addi4spn x8,x2,256 - 7e0: 0072 c.slli x0,0x1c - 7e2: 0000 c.unimp - 7e4: 0401 c.addi x8,0 - 7e6: 1a04 c.addi4spn x9,x2,304 - 7e8: 0000 c.unimp - 7ea: 0100 c.addi4spn x8,x2,128 - 7ec: 0308 c.addi4spn x10,x2,384 - 7ee: 0012 c.slli x0,0x4 - 7f0: 0000 c.unimp - 7f2: 0801 c.addi x16,0 - 7f4: c404 c.sw x9,8(x8) - 7f6: 0001 c.addi x0,0 - 7f8: 0100 c.addi4spn x8,x2,128 - 7fa: 0310 c.addi4spn x12,x2,384 - 7fc: 0196 c.slli x3,0x5 - 7fe: 0000 c.unimp - 800: 2001 c.jal 800 <_s2h+0x64> - 802: 0001b703 0x1b703 - 806: 0600 c.addi4spn x8,x2,768 - 808: 007e c.slli x0,0x1f - 80a: 0000 c.unimp - 80c: 00b6 c.slli x1,0xd - 80e: 0000 c.unimp - 810: 00002507 flw f10,0(x0) # 0 - 814: ff00 c.fsw f8,56(x14) - 816: 0200 c.addi4spn x8,x2,256 - 818: 00a6 c.slli x1,0x9 - 81a: 0000 c.unimp - 81c: 6808 c.flw f10,16(x8) - 81e: 0000 c.unimp - 820: 0100 c.addi4spn x8,x2,128 - 822: 0202 c.slli64 x4 - 824: b616 c.fsdsp f5,296(x2) - 826: 0000 c.unimp - 828: 0900 c.addi4spn x8,x2,144 - 82a: 000000bb 0xbb - 82e: 9e02 c.jalr x28 - 830: 0f02 c.slli64 x30 - 832: 0305 c.addi x6,1 - 834: 1be0 c.addi4spn x8,x2,508 - 836: 0000 c.unimp - ... + 0: 0000078f 0x78f + 4: 0005 c.addi x0,1 + 6: 0401 c.addi x8,0 + 8: 0000 c.unimp + a: 0000 c.unimp + c: 7f12 c.flwsp f30,36(x2) + e: 0000 c.unimp + 10: 1d00 c.addi4spn x8,x2,688 + 12: 0064 c.addi4spn x9,x2,12 + 14: 0000 c.unimp + 16: 0000 c.unimp + 18: 0000 c.unimp + 1a: 1948 c.addi4spn x10,x2,180 + 1c: 0000 c.unimp + 1e: 0478 c.addi4spn x14,x2,524 + 20: 0000 c.unimp + 22: 0000 c.unimp + 24: 0000 c.unimp + 26: 0804 c.addi4spn x9,x2,16 + 28: 00004b07 flq f22,0(x0) # 0 + 2c: 1300 c.addi4spn x8,x2,416 + 2e: 0504 c.addi4spn x9,x2,640 + 30: 6e69 c.lui x28,0x1a + 32: 0074 c.addi4spn x13,x2,12 + 34: 0404 c.addi4spn x9,x2,512 + 36: 00005507 0x5507 + 3a: 0400 c.addi4spn x8,x2,512 + 3c: 0508 c.addi4spn x10,x2,640 + 3e: 003d c.addi x0,15 + 40: 0000 c.unimp + 42: 1004 c.addi4spn x9,x2,32 + 44: be04 c.fsd f9,56(x12) + 46: 0001 c.addi x0,0 + 48: 0400 c.addi4spn x8,x2,512 + 4a: 0601 c.addi x12,0 + 4c: 00000073 ecall + 50: 0104 c.addi4spn x9,x2,128 + 52: 7108 c.flw f10,32(x10) + 54: 0000 c.unimp + 56: 0400 c.addi4spn x8,x2,512 + 58: 0502 c.slli64 x10 + 5a: 0016 c.slli x0,0x5 + 5c: 0000 c.unimp + 5e: 0204 c.addi4spn x9,x2,256 + 60: 00017007 0x17007 + 64: 0400 c.addi4spn x8,x2,512 + 66: 0504 c.addi4spn x9,x2,640 + 68: 0042 c.slli x0,0x10 + 6a: 0000 c.unimp + 6c: 0404 c.addi4spn x9,x2,512 + 6e: 00005007 0x5007 + 72: 0400 c.addi4spn x8,x2,512 + 74: 0801 c.addi x16,0 + 76: 007a c.slli x0,0x1e + 78: 0000 c.unimp + 7a: 5f06 c.lwsp x30,96(x2) + 7c: 0001 c.addi x0,0 + 7e: 7b00 c.flw f8,48(x14) + 80: 5016 0x5016 + 82: 0000 c.unimp + 84: 0a00 c.addi4spn x8,x2,272 + 86: 007a c.slli x0,0x1e + 88: 0000 c.unimp + 8a: cb06 c.swsp x1,148(x2) + 8c: 0001 c.addi x0,0 + 8e: 8000 0x8000 + 90: 00002d0f 0x2d0f + 94: 0600 c.addi4spn x8,x2,768 + 96: 01ca c.slli x3,0x12 + 98: 0000 c.unimp + 9a: 1681 c.addi x13,-32 + 9c: 0034 c.addi4spn x13,x2,8 + 9e: 0000 c.unimp + a0: 8406 c.mv x8,x1 + a2: 0001 c.addi x0,0 + a4: 8400 0x8400 + a6: 00003b0f 0x3b0f + aa: 0600 c.addi4spn x8,x2,768 + ac: 00000183 lb x3,0(x0) # 0 + b0: 1685 c.addi x13,-31 + b2: 0026 c.slli x0,0x9 + b4: 0000 c.unimp + b6: 0404 c.addi4spn x9,x2,512 + b8: 1004 c.addi4spn x9,x2,32 + ba: 0000 c.unimp + bc: 0400 c.addi4spn x8,x2,512 + be: 0308 c.addi4spn x10,x2,384 + c0: 0008 0x8 + c2: 0000 c.unimp + c4: 0804 c.addi4spn x9,x2,16 + c6: c304 c.sw x9,0(x14) + c8: 0001 c.addi x0,0 + ca: 0400 c.addi4spn x8,x2,512 + cc: 0310 c.addi4spn x12,x2,384 + ce: 0195 c.addi x3,5 + d0: 0000 c.unimp + d2: 2004 c.fld f9,0(x8) + d4: 0001b603 0x1b603 + d8: 1400 c.addi4spn x8,x2,544 + da: 00000167 jalr x2,0(x0) # 0 + de: 0208 c.addi4spn x10,x2,256 + e0: 01ed c.addi x3,27 + e2: 040a c.slli x8,0x2 + e4: 0001 c.addi x0,0 + e6: 1500 c.addi4spn x8,x2,672 + e8: 6f6c c.flw f11,92(x14) + ea: ed020077 0xed020077 + ee: 1a01 c.addi x20,-32 + f0: 008a c.slli x1,0x2 + f2: 0000 c.unimp + f4: 1600 c.addi4spn x8,x2,800 + f6: 0062 c.slli x0,0x18 + f8: 0000 c.unimp + fa: ed02 c.fswsp f0,152(x2) + fc: 1f01 c.addi x30,-32 + fe: 008a c.slli x1,0x2 + 100: 0000 c.unimp + 102: 0004 0x4 + 104: f4020817 auipc x16,0xf4020 + 108: 0901 c.addi x18,0 + 10a: 0124 c.addi4spn x9,x2,136 + 10c: 0000 c.unimp + 10e: 730c c.flw f11,32(x14) + 110: f600 c.fsw f8,40(x12) + 112: 1301 c.addi x6,-32 + 114: 00d9 c.addi x1,22 + 116: 0000 c.unimp + 118: 6c0c c.flw f11,24(x8) + 11a: 006c c.addi4spn x11,x2,12 + 11c: a00a01f7 0xa00a01f7 + 120: 0000 c.unimp + 122: 0000 c.unimp + 124: 0018 0x18 + 126: 0000 c.unimp + 128: 0200 c.addi4spn x8,x2,256 + 12a: 01f8 c.addi4spn x14,x2,204 + 12c: 00010403 lb x8,0(x2) + 130: 0a00 c.addi4spn x8,x2,272 + 132: 0124 c.addi4spn x9,x2,136 + 134: 0000 c.unimp + 136: 8519 c.srai x10,0x6 + 138: 0000 c.unimp + 13a: 4600 c.lw x8,8(x12) + 13c: 0001 c.addi x0,0 + 13e: 1a00 c.addi4spn x8,x2,304 + 140: 0034 c.addi4spn x13,x2,8 + 142: 0000 c.unimp + 144: 00ff 0xff + 146: 360a c.fldsp f12,160(x2) + 148: 0001 c.addi x0,0 + 14a: 1b00 c.addi4spn x8,x2,432 + 14c: 00000067 jalr x0,0(x0) # 0 + 150: 0202 c.slli64 x4 + 152: 1602 c.slli x12,0x20 + 154: 0146 c.slli x2,0x11 + 156: 0000 c.unimp + 158: 341c c.fld f15,40(x8) + 15a: 0000 c.unimp + 15c: 0100 c.addi4spn x8,x2,128 + 15e: a00104bb 0xa00104bb + 162: 0000 c.unimp + 164: 4800 c.lw x8,16(x8) + 166: 0019 c.addi x0,6 + 168: 7800 c.flw f8,48(x8) + 16a: 0004 0x4 + 16c: 0100 c.addi4spn x8,x2,128 + 16e: 609c c.flw f15,0(x9) + 170: 0004 0x4 + 172: 0d00 c.addi4spn x8,x2,656 + 174: 0075 c.addi x0,29 + 176: a012 c.fsdsp f4,0(x2) + 178: 0000 c.unimp + 17a: 0c00 c.addi4spn x8,x2,528 + 17c: 0000 c.unimp + 17e: 0d00 c.addi4spn x8,x2,656 + 180: 0076 c.slli x0,0x1d + 182: a01c c.fsd f15,0(x8) + 184: 0000 c.unimp + 186: ed00 c.fsw f8,24(x10) + 188: 0000 c.unimp + 18a: 0800 c.addi4spn x8,x2,16 + 18c: 04bd0063 beq x26,x11,1cc <_putchar+0x14> + 190: 8a09 c.andi x12,2 + 192: 0000 c.unimp + 194: 0e00 c.addi4spn x8,x2,784 + 196: 0001 c.addi x0,0 + 198: 0800 c.addi4spn x8,x2,16 + 19a: 7575 c.lui x10,0xffffd + 19c: be00 c.fsd f8,56(x12) + 19e: 0b04 c.addi4spn x9,x2,400 + 1a0: 0124 c.addi4spn x9,x2,136 + 1a2: 0000 c.unimp + 1a4: 00000133 add x2,x0,x0 + 1a8: 7608 c.flw f10,40(x12) + 1aa: 0076 c.slli x0,0x1d + 1ac: 240b04bf 44000001 0x44000001240b04bf + 1b4: 0001 c.addi x0,0 + 1b6: 0800 c.addi4spn x8,x2,16 + 1b8: 04c00077 0x4c00077 + 1bc: a00a c.fsdsp f2,0(x2) + 1be: 0000 c.unimp + 1c0: 5500 c.lw x8,40(x10) + 1c2: 0001 c.addi x0,0 + 1c4: 1d00 c.addi4spn x8,x2,688 + 1c6: 0460 c.addi4spn x8,x2,524 + 1c8: 0000 c.unimp + 1ca: 1984 c.addi4spn x9,x2,240 + 1cc: 0000 c.unimp + 1ce: 000c 0xc + 1d0: 0000 c.unimp + 1d2: c901 c.beqz x10,1e2 <_putchar+0x2a> + 1d4: 0704 c.addi4spn x9,x2,896 + 1d6: 821e c.mv x4,x7 + 1d8: 0004 0x4 + 1da: 6600 c.flw f8,8(x12) + 1dc: 0001 c.addi x0,0 + 1de: 0e00 c.addi4spn x8,x2,784 + 1e0: 047a c.slli x8,0x1e + 1e2: 0000 c.unimp + 1e4: 720e c.flwsp f4,224(x2) + 1e6: 0004 0x4 + 1e8: 1f00 c.addi4spn x8,x2,944 + 1ea: 001f 0000 8b09 0x8b090000001f + 1f0: 0004 0x4 + 1f2: 0900 c.addi4spn x8,x2,144 + 1f4: 0496 c.slli x9,0x5 + 1f6: 0000 c.unimp + 1f8: a109 c.j 5fa <_h2s+0x5e> + 1fa: 0004 0x4 + 1fc: 0100 c.addi4spn x8,x2,128 + 1fe: 04ac c.addi4spn x11,x2,584 + 200: 0000 c.unimp + 202: 017f 0x17f + 204: 0000 c.unimp + 206: b701 c.j 106 <__do_global_dtors_aux+0x2e> + 208: 0004 0x4 + 20a: fe00 c.fsw f8,56(x12) + 20c: 0001 c.addi x0,0 + 20e: 0100 c.addi4spn x8,x2,128 + 210: 04c2 c.slli x9,0x10 + 212: 0000 c.unimp + 214: 020a c.slli x4,0x2 + 216: 0000 c.unimp + 218: cd01 c.beqz x10,230 <_getchar+0x20> + 21a: 0004 0x4 + 21c: 7300 c.flw f8,32(x14) + 21e: 0002 c.slli64 x0 + 220: 0100 c.addi4spn x8,x2,128 + 222: 04d8 c.addi4spn x14,x2,580 + 224: 0000 c.unimp + 226: 02b6 c.slli x5,0xd + 228: 0000 c.unimp + 22a: e301 c.bnez x14,22a <_getchar+0x1a> + 22c: 0004 0x4 + 22e: cd00 c.sw x8,24(x10) + 230: 0002 c.slli64 x0 + 232: 0100 c.addi4spn x8,x2,128 + 234: 04ee c.slli x9,0x1b + 236: 0000 c.unimp + 238: 02e4 c.addi4spn x9,x2,332 + 23a: 0000 c.unimp + 23c: f901 c.bnez x10,14c <_canputchar+0x10> + 23e: 0004 0x4 + 240: 0700 c.addi4spn x8,x2,896 + 242: 01000003 lb x0,16(x0) # 10 + 246: 00000503 lb x10,0(x0) # 0 + 24a: 031e c.slli x6,0x7 + 24c: 0000 c.unimp + 24e: 0e09 c.addi x28,2 + 250: 0005 c.addi x0,1 + 252: 0700 c.addi4spn x8,x2,896 + 254: 0519 c.addi x10,6 + 256: 0000 c.unimp + 258: 0000003b 0x3b + 25c: 00000273 0x273 + 260: 1e01 c.addi x28,-32 + 262: 0005 c.addi x0,1 + 264: 5600 c.lw x8,40(x12) + 266: 01000003 lb x0,16(x0) # 10 + 26a: 052a c.slli x10,0xa + 26c: 0000 c.unimp + 26e: 0000038b 0x38b + 272: 0f00 c.addi4spn x8,x2,912 + 274: 00000537 lui x10,0x0 + 278: 19e4 c.addi4spn x9,x2,252 + 27a: 0000 c.unimp + 27c: 0088 c.addi4spn x10,x2,64 + 27e: 0000 c.unimp + 280: 02c4 c.addi4spn x9,x2,324 + 282: 0000 c.unimp + 284: 3c01 c.jal fffffc94 <__global_pointer$+0xffffc434> + 286: 0005 c.addi x0,1 + 288: 9700 0x9700 + 28a: 01000003 lb x0,16(x0) # 10 + 28e: 0548 c.addi4spn x10,x2,644 + 290: 0000 c.unimp + 292: 000003a3 sb x0,7(x0) # 7 + 296: 5401 c.li x8,-32 + 298: 0005 c.addi x0,1 + 29a: af00 c.fsd f8,24(x14) + 29c: 01000003 lb x0,16(x0) # 10 + 2a0: 0560 c.addi4spn x8,x2,652 + 2a2: 0000 c.unimp + 2a4: 03c6 c.slli x7,0x11 + 2a6: 0000 c.unimp + 2a8: 6c01 0x6c01 + 2aa: 0005 c.addi x0,1 + 2ac: dd00 c.sw x8,56(x10) + 2ae: 01000003 lb x0,16(x0) # 10 + 2b2: 0578 c.addi4spn x14,x2,652 + 2b4: 0000 c.unimp + 2b6: 0421 c.addi x8,8 + 2b8: 0000 c.unimp + 2ba: 8401 c.srai64 x8 + 2bc: 0005 c.addi x0,1 + 2be: 4800 c.lw x8,16(x8) + 2c0: 0004 0x4 + 2c2: 0000 c.unimp + 2c4: 00069307 0x69307 + 2c8: 4e00 c.lw x8,24(x12) + 2ca: 0000 c.unimp + 2cc: 8300 0x8300 + 2ce: 01000003 lb x0,16(x0) # 10 + 2d2: 0694 c.addi4spn x13,x2,832 + 2d4: 0000 c.unimp + 2d6: 0000046f jal x8,2d6 <_gets+0x12> + 2da: 9f01 0x9f01 + 2dc: 0006 c.slli x0,0x1 + 2de: 7b00 c.flw f8,48(x14) + 2e0: 0004 0x4 + 2e2: 0700 c.addi4spn x8,x2,896 + 2e4: 06aa c.slli x13,0xa + 2e6: 0000 c.unimp + 2e8: 0061 c.addi x0,24 + 2ea: 0000 c.unimp + 2ec: 0330 c.addi4spn x12,x2,392 + 2ee: 0000 c.unimp + 2f0: af01 c.j a00 <_strcat+0x88> + 2f2: 0006 c.slli x0,0x1 + 2f4: b500 c.fsd f8,40(x10) + 2f6: 0004 0x4 + 2f8: 0100 c.addi4spn x8,x2,128 + 2fa: 000006bb 0x6bb + 2fe: 04c1 c.addi x9,16 + 300: 0000 c.unimp + 302: c701 c.beqz x14,30a <_gets+0x46> + 304: 0006 c.slli x0,0x1 + 306: de00 c.sw x8,56(x12) + 308: 0004 0x4 + 30a: 0100 c.addi4spn x8,x2,128 + 30c: 000006d3 fadd.s f13,f0,f0,rne + 310: 04f5 c.addi x9,29 + 312: 0000 c.unimp + 314: df01 c.beqz x14,22c <_getchar+0x1c> + 316: 0006 c.slli x0,0x1 + 318: 0c00 c.addi4spn x8,x2,528 + 31a: 0005 c.addi x0,1 + 31c: 0100 c.addi4spn x8,x2,128 + 31e: 000006eb 0x6eb + 322: 053e c.slli x10,0xf + 324: 0000 c.unimp + 326: f701 c.bnez x14,22e <_getchar+0x1e> + 328: 0006 c.slli x0,0x1 + 32a: 7000 c.flw f8,32(x8) + 32c: 0005 c.addi x0,1 + 32e: 0000 c.unimp + 330: 0410 c.addi4spn x12,x2,512 + 332: 86000007 0x86000007 + 336: 0000 c.unimp + 338: 0100 c.addi4spn x8,x2,128 + 33a: 0709 c.addi x14,2 + 33c: 0000 c.unimp + 33e: 00000597 auipc x11,0x0 + 342: 1501 c.addi x10,-32 + 344: a3000007 0xa3000007 + 348: 0005 c.addi x0,1 + 34a: 0100 c.addi4spn x8,x2,128 + 34c: 0721 c.addi x14,8 + 34e: 0000 c.unimp + 350: 05dc c.addi4spn x15,x2,708 + 352: 0000 c.unimp + 354: 2d01 c.jal 964 <_s2h+0x124> + 356: e8000007 0xe8000007 + 35a: 0005 c.addi x0,1 + 35c: 0100 c.addi4spn x8,x2,128 + 35e: 0739 c.addi x14,14 + 360: 0000 c.unimp + 362: 05f4 c.addi4spn x13,x2,716 + 364: 0000 c.unimp + 366: 4501 c.li x10,0 + 368: 40000007 0x40000007 + 36c: 0006 c.slli x0,0x1 + 36e: 0100 c.addi4spn x8,x2,128 + 370: 0751 c.addi x14,20 + 372: 0000 c.unimp + 374: 064c c.addi4spn x11,x2,772 + 376: 0000 c.unimp + 378: 5d01 c.li x26,-32 + 37a: 76000007 0x76000007 + 37e: 0006 c.slli x0,0x1 + 380: 0000 c.unimp + 382: 0700 c.addi4spn x8,x2,896 + 384: 0591 c.addi x11,4 + 386: 0000 c.unimp + 388: 00b4 c.addi4spn x13,x2,72 + 38a: 0000 c.unimp + 38c: 000003a3 sb x0,7(x0) # 7 + 390: 9601 c.srai x12,0x20 + 392: 0005 c.addi x0,1 + 394: 8200 0x8200 + 396: 0006 c.slli x0,0x1 + 398: 0100 c.addi4spn x8,x2,128 + 39a: 05a2 c.slli x11,0x8 + 39c: 0000 c.unimp + 39e: 06c2 c.slli x13,0x10 + 3a0: 0000 c.unimp + 3a2: 0f00 c.addi4spn x8,x2,912 + 3a4: 0609 c.addi x12,2 + 3a6: 0000 c.unimp + 3a8: 1ac8 c.addi4spn x10,x2,372 + 3aa: 0000 c.unimp + 3ac: 0088 c.addi4spn x10,x2,64 + 3ae: 0000 c.unimp + 3b0: 03f4 c.addi4spn x13,x2,460 + 3b2: 0000 c.unimp + 3b4: 0e01 c.addi x28,0 + 3b6: 0006 c.slli x0,0x1 + 3b8: ce00 c.sw x8,24(x12) + 3ba: 0006 c.slli x0,0x1 + 3bc: 0100 c.addi4spn x8,x2,128 + 3be: 061a c.slli x12,0x6 + 3c0: 0000 c.unimp + 3c2: 06da c.slli x13,0x16 + 3c4: 0000 c.unimp + 3c6: 2601 c.jal 6c6 <_h2s+0x12a> + 3c8: 0006 c.slli x0,0x1 + 3ca: e600 c.fsw f8,8(x12) + 3cc: 0006 c.slli x0,0x1 + 3ce: 0100 c.addi4spn x8,x2,128 + 3d0: 0632 c.slli x12,0xc + 3d2: 0000 c.unimp + 3d4: 06fd c.addi x13,31 + 3d6: 0000 c.unimp + 3d8: 3e01 c.jal fffffee8 <__global_pointer$+0xffffc688> + 3da: 0006 c.slli x0,0x1 + 3dc: 1400 c.addi4spn x8,x2,544 + 3de: 01000007 0x1000007 + 3e2: 064a c.slli x12,0x12 + 3e4: 0000 c.unimp + 3e6: 0746 c.slli x14,0x11 + 3e8: 0000 c.unimp + 3ea: 5601 c.li x12,-32 + 3ec: 0006 c.slli x0,0x1 + 3ee: 6d00 c.flw f8,24(x10) + 3f0: 00000007 0x7 + 3f4: 0005af07 flw f30,0(x11) # 33e <_gets+0x7a> + 3f8: c700 c.sw x8,8(x14) + 3fa: 0000 c.unimp + 3fc: 4100 c.lw x8,0(x10) + 3fe: 0004 0x4 + 400: 0100 c.addi4spn x8,x2,128 + 402: 05b4 c.addi4spn x13,x2,712 + 404: 0000 c.unimp + 406: 0794 c.addi4spn x13,x2,960 + 408: 0000 c.unimp + 40a: c001 c.beqz x8,40a <_d2s+0x6e> + 40c: 0005 c.addi x0,1 + 40e: a000 c.fsd f8,0(x8) + 410: 01000007 0x1000007 + 414: 05cc c.addi4spn x11,x2,708 + 416: 0000 c.unimp + 418: 07bd c.addi x15,15 + 41a: 0000 c.unimp + 41c: d801 c.beqz x8,32c <_gets+0x68> + 41e: 0005 c.addi x0,1 + 420: d400 c.sw x8,40(x8) + 422: 01000007 0x1000007 + 426: 05e4 c.addi4spn x9,x2,716 + 428: 0000 c.unimp + 42a: 000007eb 0x7eb + 42e: f001 c.bnez x8,32e <_gets+0x6a> + 430: 0005 c.addi x0,1 + 432: 1d00 c.addi4spn x8,x2,688 + 434: 0008 0x8 + 436: 0100 c.addi4spn x8,x2,128 + 438: 05fc c.addi4spn x15,x2,716 + 43a: 0000 c.unimp + 43c: 0000084f fnmadd.s f16,f0,f0,f0,rne + 440: 1000 c.addi4spn x8,x2,32 + 442: 00000663 beq x0,x0,44e <_d2s+0xb2> + 446: 000000e3 beq x0,x0,c46 + 44a: 6801 0x6801 + 44c: 0006 c.slli x0,0x1 + 44e: 7600 c.flw f8,40(x12) + 450: 0008 0x8 + 452: 0100 c.addi4spn x8,x2,128 + 454: 0674 c.addi4spn x13,x2,780 + 456: 0000 c.unimp + 458: 0882 c.slli64 x17 + 45a: 0000 c.unimp + 45c: 0000 c.unimp + 45e: 0000 c.unimp + 460: a920 c.fsd f8,80(x10) + 462: 0001 c.addi x0,0 + 464: 0100 c.addi4spn x8,x2,128 + 466: ab0103e3 beq x2,x16,ffffff0c <__global_pointer$+0xffffc6ac> + 46a: 0000 c.unimp + 46c: 0300 c.addi4spn x8,x2,384 + 46e: 078c c.addi4spn x11,x2,960 + 470: 0000 c.unimp + 472: 17006e0b 0x17006e0b + 476: 000000ab 0xab + 47a: 2200640b 0x2200640b + 47e: 000000ab 0xab + 482: 0070720b 0x70720b + 486: 8c2e c.mv x24,x11 + 488: 03000007 0x3000007 + 48c: 6e6e c.flwsp f28,216(x2) + 48e: e500 c.fsw f8,8(x10) + 490: 01311103 lh x2,19(x2) + 494: 0000 c.unimp + 496: 00646403 0x646403 + 49a: 03e6 c.slli x7,0x19 + 49c: 3111 c.jal a0 <_start+0x14> + 49e: 0001 c.addi x0,0 + 4a0: 0300 c.addi4spn x8,x2,384 + 4a2: 7272 c.flwsp f4,60(x2) + 4a4: e700 c.fsw f8,8(x14) + 4a6: 01240b03 lb x22,18(x8) # 18012 <__global_pointer$+0x147b2> + 4aa: 0000 c.unimp + 4ac: 00306403 0x306403 + 4b0: 03e8 c.addi4spn x10,x2,460 + 4b2: 950a c.add x10,x2 + 4b4: 0000 c.unimp + 4b6: 0300 c.addi4spn x8,x2,384 + 4b8: 3164 c.fld f9,224(x10) + 4ba: e800 c.fsw f8,16(x8) + 4bc: 00950e03 lb x28,9(x10) # 9 + 4c0: 0000 c.unimp + 4c2: 00306e03 0x306e03 + 4c6: 03e8 c.addi4spn x10,x2,460 + 4c8: 9512 c.add x10,x4 + 4ca: 0000 c.unimp + 4cc: 0300 c.addi4spn x8,x2,384 + 4ce: 316e c.fldsp f2,248(x2) + 4d0: e800 c.fsw f8,16(x8) + 4d2: 00951603 lh x12,9(x10) + 4d6: 0000 c.unimp + 4d8: 00326e03 0x326e03 + 4dc: 03e8 c.addi4spn x10,x2,460 + 4de: 951a c.add x10,x6 + 4e0: 0000 c.unimp + 4e2: 0300 c.addi4spn x8,x2,384 + 4e4: 3071 c.jal fffffd70 <__global_pointer$+0xffffc510> + 4e6: e900 c.fsw f8,16(x10) + 4e8: 00950a03 lb x20,9(x10) + 4ec: 0000 c.unimp + 4ee: 00317103 0x317103 + 4f2: 03e9 c.addi x7,26 + 4f4: 950e c.add x10,x3 + 4f6: 0000 c.unimp + 4f8: 0300 c.addi4spn x8,x2,384 + 4fa: 0062 c.slli x0,0x18 + 4fc: 03ea c.slli x7,0x1a + 4fe: 950a c.add x10,x2 + 500: 0000 c.unimp + 502: 0300 c.addi4spn x8,x2,384 + 504: 6d62 c.flwsp f26,24(x2) + 506: ea00 c.fsw f8,16(x12) + 508: 00950d03 lb x26,9(x10) + 50c: 0000 c.unimp + 50e: 00777703 0x777703 + 512: 311104b3 0x311104b3 + 516: 0001 c.addi x0,0 + 518: 0500 c.addi4spn x8,x2,640 + 51a: 00000537 lui x10,0x0 + 51e: a402 c.fsdsp f0,8(x2) + 520: 0001 c.addi x0,0 + 522: 1a00 c.addi4spn x8,x2,304 + 524: 0404 c.addi4spn x9,x2,512 + 526: 0095 c.addi x1,5 + 528: 0000 c.unimp + 52a: 615f5f03 lhu x30,1557(x30) # 1b615 <__global_pointer$+0x17db5> + 52e: 1a00 c.addi4spn x8,x2,304 + 530: 0404 c.addi4spn x9,x2,512 + 532: 0095 c.addi x1,5 + 534: 0000 c.unimp + 536: 0500 c.addi4spn x8,x2,640 + 538: 0591 c.addi x11,4 + 53a: 0000 c.unimp + 53c: 2502 c.fldsp f10,0(x2) + 53e: 0000 c.unimp + 540: 2600 c.fld f8,8(x12) + 542: 0404 c.addi4spn x9,x2,512 + 544: 0095 c.addi x1,5 + 546: 0000 c.unimp + 548: 2002 c.fldsp f0,0(x2) + 54a: 0000 c.unimp + 54c: 2600 c.fld f8,8(x12) + 54e: 0404 c.addi4spn x9,x2,512 + 550: 0095 c.addi x1,5 + 552: 0000 c.unimp + 554: 9002 c.ebreak + 556: 0001 c.addi x0,0 + 558: 2600 c.fld f8,8(x12) + 55a: 0404 c.addi4spn x9,x2,512 + 55c: 0095 c.addi x1,5 + 55e: 0000 c.unimp + 560: 8b02 c.jr x22 + 562: 0001 c.addi x0,0 + 564: 2600 c.fld f8,8(x12) + 566: 0404 c.addi4spn x9,x2,512 + 568: 0095 c.addi x1,5 + 56a: 0000 c.unimp + 56c: d702 c.swsp x0,172(x2) + 56e: 0001 c.addi x0,0 + 570: 2600 c.fld f8,8(x12) + 572: 0404 c.addi4spn x9,x2,512 + 574: 0095 c.addi x1,5 + 576: 0000 c.unimp + 578: d202 c.swsp x0,36(x2) + 57a: 0001 c.addi x0,0 + 57c: 2600 c.fld f8,8(x12) + 57e: 0404 c.addi4spn x9,x2,512 + 580: 0095 c.addi x1,5 + 582: 0000 c.unimp + 584: 6d5f5f03 lhu x30,1749(x30) + 588: 2600 c.fld f8,8(x12) + 58a: 0404 c.addi4spn x9,x2,512 + 58c: 0095 c.addi x1,5 + 58e: 0000 c.unimp + 590: 0500 c.addi4spn x8,x2,640 + 592: 000005af 0x5af + 596: a402 c.fsdsp f0,8(x2) + 598: 0001 c.addi x0,0 + 59a: 3200 c.fld f8,32(x12) + 59c: 0404 c.addi4spn x9,x2,512 + 59e: 0095 c.addi x1,5 + 5a0: 0000 c.unimp + 5a2: 615f5f03 lhu x30,1557(x30) + 5a6: 3200 c.fld f8,32(x12) + 5a8: 0404 c.addi4spn x9,x2,512 + 5aa: 0095 c.addi x1,5 + 5ac: 0000 c.unimp + 5ae: 0500 c.addi4spn x8,x2,640 + 5b0: 0609 c.addi x12,2 + 5b2: 0000 c.unimp + 5b4: 2502 c.fldsp f10,0(x2) + 5b6: 0000 c.unimp + 5b8: 4b00 c.lw x8,16(x14) + 5ba: 0804 c.addi4spn x9,x2,16 + 5bc: 0095 c.addi x1,5 + 5be: 0000 c.unimp + 5c0: 2002 c.fldsp f0,0(x2) + 5c2: 0000 c.unimp + 5c4: 4b00 c.lw x8,16(x14) + 5c6: 0804 c.addi4spn x9,x2,16 + 5c8: 0095 c.addi x1,5 + 5ca: 0000 c.unimp + 5cc: 9002 c.ebreak + 5ce: 0001 c.addi x0,0 + 5d0: 4b00 c.lw x8,16(x14) + 5d2: 0804 c.addi4spn x9,x2,16 + 5d4: 0095 c.addi x1,5 + 5d6: 0000 c.unimp + 5d8: 8b02 c.jr x22 + 5da: 0001 c.addi x0,0 + 5dc: 4b00 c.lw x8,16(x14) + 5de: 0804 c.addi4spn x9,x2,16 + 5e0: 0095 c.addi x1,5 + 5e2: 0000 c.unimp + 5e4: d702 c.swsp x0,172(x2) + 5e6: 0001 c.addi x0,0 + 5e8: 4b00 c.lw x8,16(x14) + 5ea: 0804 c.addi4spn x9,x2,16 + 5ec: 0095 c.addi x1,5 + 5ee: 0000 c.unimp + 5f0: d202 c.swsp x0,36(x2) + 5f2: 0001 c.addi x0,0 + 5f4: 4b00 c.lw x8,16(x14) + 5f6: 0804 c.addi4spn x9,x2,16 + 5f8: 0095 c.addi x1,5 + 5fa: 0000 c.unimp + 5fc: 6d5f5f03 lhu x30,1749(x30) + 600: 4b00 c.lw x8,16(x14) + 602: 0804 c.addi4spn x9,x2,16 + 604: 0095 c.addi x1,5 + 606: 0000 c.unimp + 608: 0500 c.addi4spn x8,x2,640 + 60a: 00000663 beq x0,x0,616 <_h2s+0x7a> + 60e: 2502 c.fldsp f10,0(x2) + 610: 0000 c.unimp + 612: 5000 c.lw x8,32(x8) + 614: 0404 c.addi4spn x9,x2,512 + 616: 0095 c.addi x1,5 + 618: 0000 c.unimp + 61a: 2002 c.fldsp f0,0(x2) + 61c: 0000 c.unimp + 61e: 5000 c.lw x8,32(x8) + 620: 0404 c.addi4spn x9,x2,512 + 622: 0095 c.addi x1,5 + 624: 0000 c.unimp + 626: 9002 c.ebreak + 628: 0001 c.addi x0,0 + 62a: 5000 c.lw x8,32(x8) + 62c: 0404 c.addi4spn x9,x2,512 + 62e: 0095 c.addi x1,5 + 630: 0000 c.unimp + 632: 8b02 c.jr x22 + 634: 0001 c.addi x0,0 + 636: 5000 c.lw x8,32(x8) + 638: 0404 c.addi4spn x9,x2,512 + 63a: 0095 c.addi x1,5 + 63c: 0000 c.unimp + 63e: d702 c.swsp x0,172(x2) + 640: 0001 c.addi x0,0 + 642: 5000 c.lw x8,32(x8) + 644: 0404 c.addi4spn x9,x2,512 + 646: 0095 c.addi x1,5 + 648: 0000 c.unimp + 64a: d202 c.swsp x0,36(x2) + 64c: 0001 c.addi x0,0 + 64e: 5000 c.lw x8,32(x8) + 650: 0404 c.addi4spn x9,x2,512 + 652: 0095 c.addi x1,5 + 654: 0000 c.unimp + 656: 6d5f5f03 lhu x30,1749(x30) + 65a: 5000 c.lw x8,32(x8) + 65c: 0404 c.addi4spn x9,x2,512 + 65e: 0095 c.addi x1,5 + 660: 0000 c.unimp + 662: 0500 c.addi4spn x8,x2,640 + 664: 0681 c.addi x13,0 + 666: 0000 c.unimp + 668: a402 c.fsdsp f0,8(x2) + 66a: 0001 c.addi x0,0 + 66c: 7300 c.flw f8,32(x14) + 66e: 0404 c.addi4spn x9,x2,512 + 670: 0095 c.addi x1,5 + 672: 0000 c.unimp + 674: 615f5f03 lhu x30,1557(x30) + 678: 7300 c.flw f8,32(x14) + 67a: 0404 c.addi4spn x9,x2,512 + 67c: 0095 c.addi x1,5 + 67e: 0000 c.unimp + 680: 0500 c.addi4spn x8,x2,640 + 682: 00000693 addi x13,x0,0 + 686: 785f5f03 lhu x30,1925(x30) + 68a: 8100 0x8100 + 68c: 0504 c.addi4spn x9,x2,640 + 68e: 0095 c.addi x1,5 + 690: 0000 c.unimp + 692: 1100 c.addi4spn x8,x2,160 + 694: 00316d03 0x316d03 + 698: 0491 c.addi x9,4 + 69a: 0000950f 0x950f + 69e: 0300 c.addi4spn x8,x2,384 + 6a0: 306d c.jal ffffff4a <__global_pointer$+0xffffc6ea> + 6a2: 9100 0x9100 + 6a4: 1304 c.addi4spn x9,x2,416 + 6a6: 0095 c.addi x1,5 + 6a8: 0000 c.unimp + 6aa: 0405 c.addi x8,1 + 6ac: 02000007 0x2000007 + 6b0: 0025 c.addi x0,9 + 6b2: 0000 c.unimp + 6b4: 049c c.addi4spn x15,x2,576 + 6b6: 9508 0x9508 + 6b8: 0000 c.unimp + 6ba: 0200 c.addi4spn x8,x2,256 + 6bc: 0020 c.addi4spn x8,x2,8 + 6be: 0000 c.unimp + 6c0: 049c c.addi4spn x15,x2,576 + 6c2: 9508 0x9508 + 6c4: 0000 c.unimp + 6c6: 0200 c.addi4spn x8,x2,256 + 6c8: 0190 c.addi4spn x12,x2,192 + 6ca: 0000 c.unimp + 6cc: 049c c.addi4spn x15,x2,576 + 6ce: 9508 0x9508 + 6d0: 0000 c.unimp + 6d2: 0200 c.addi4spn x8,x2,256 + 6d4: 0000018b 0x18b + 6d8: 049c c.addi4spn x15,x2,576 + 6da: 9508 0x9508 + 6dc: 0000 c.unimp + 6de: 0200 c.addi4spn x8,x2,256 + 6e0: 000001d7 0x1d7 + 6e4: 049c c.addi4spn x15,x2,576 + 6e6: 9508 0x9508 + 6e8: 0000 c.unimp + 6ea: 0200 c.addi4spn x8,x2,256 + 6ec: 01d2 c.slli x3,0x14 + 6ee: 0000 c.unimp + 6f0: 049c c.addi4spn x15,x2,576 + 6f2: 9508 0x9508 + 6f4: 0000 c.unimp + 6f6: 0300 c.addi4spn x8,x2,384 + 6f8: 5f5f 006d 049c 0x49c006d5f5f + 6fe: 9508 0x9508 + 700: 0000 c.unimp + 702: 0000 c.unimp + 704: 6a05 c.lui x20,0x1 + 706: 02000007 0x2000007 + 70a: 0000014b fnmsub.s f2,f0,f0,f0,rne + 70e: 049d c.addi x9,7 + 710: 9508 0x9508 + 712: 0000 c.unimp + 714: 0200 c.addi4spn x8,x2,256 + 716: 0150 c.addi4spn x12,x2,132 + 718: 0000 c.unimp + 71a: 049d c.addi x9,7 + 71c: 9508 0x9508 + 71e: 0000 c.unimp + 720: 0200 c.addi4spn x8,x2,256 + 722: 0155 c.addi x2,21 + 724: 0000 c.unimp + 726: 049d c.addi x9,7 + 728: 9508 0x9508 + 72a: 0000 c.unimp + 72c: 0200 c.addi4spn x8,x2,256 + 72e: 015a c.slli x2,0x16 + 730: 0000 c.unimp + 732: 049d c.addi x9,7 + 734: 9508 0x9508 + 736: 0000 c.unimp + 738: 0200 c.addi4spn x8,x2,256 + 73a: 01e1 c.addi x3,24 + 73c: 0000 c.unimp + 73e: 049d c.addi x9,7 + 740: 9508 0x9508 + 742: 0000 c.unimp + 744: 0200 c.addi4spn x8,x2,256 + 746: 0000002f 0x2f + 74a: 049d c.addi x9,7 + 74c: 9508 0x9508 + 74e: 0000 c.unimp + 750: 0200 c.addi4spn x8,x2,256 + 752: 01dc c.addi4spn x15,x2,196 + 754: 0000 c.unimp + 756: 049d c.addi x9,7 + 758: 9508 0x9508 + 75a: 0000 c.unimp + 75c: 0200 c.addi4spn x8,x2,256 + 75e: 002a c.slli x0,0xa + 760: 0000 c.unimp + 762: 049d c.addi x9,7 + 764: 9508 0x9508 + 766: 0000 c.unimp + 768: 0000 c.unimp + 76a: 7c05 c.lui x24,0xfffe1 + 76c: 03000007 0x3000007 + 770: 5f5f 0078 04a2 0x4a200785f5f + 776: 9505 c.srai x10,0x21 + 778: 0000 c.unimp + 77a: 0000 c.unimp + 77c: 0311 c.addi x6,4 + 77e: 5f5f 0078 04aa 0x4aa00785f5f + 784: 9505 c.srai x10,0x21 + 786: 0000 c.unimp + 788: 0000 c.unimp + 78a: 0000 c.unimp + 78c: 0421 c.addi x8,8 + 78e: 000000ab 0xab + 792: e000 c.fsw f8,0(x8) + 794: 05000007 0x5000007 + 798: 0100 c.addi4spn x8,x2,128 + 79a: bf04 c.fsd f9,56(x14) + 79c: 0001 c.addi x0,0 + 79e: 1300 c.addi4spn x8,x2,416 + 7a0: 007f 0x7f + 7a2: 0000 c.unimp + 7a4: 641d c.lui x8,0x7 + 7a6: 0000 c.unimp + 7a8: 0000 c.unimp + 7aa: 0000 c.unimp + 7ac: c000 c.sw x8,0(x8) + 7ae: 001d c.addi x0,7 + 7b0: 5000 c.lw x8,32(x8) + 7b2: 0004 0x4 + 7b4: ca00 c.sw x8,16(x12) + 7b6: 04000007 0x4000007 + 7ba: 0704 c.addi4spn x9,x2,896 + 7bc: 0055 c.addi x0,21 + 7be: 0000 c.unimp + 7c0: 0804 c.addi4spn x9,x2,16 + 7c2: 00004b07 flq f22,0(x0) # 0 + 7c6: 1400 c.addi4spn x8,x2,544 + 7c8: 0504 c.addi4spn x9,x2,640 + 7ca: 6e69 c.lui x28,0x1a + 7cc: 0074 c.addi4spn x13,x2,12 + 7ce: 0804 c.addi4spn x9,x2,16 + 7d0: 3d05 c.jal 600 <_h2s+0x64> + 7d2: 0000 c.unimp + 7d4: 0400 c.addi4spn x8,x2,512 + 7d6: 0410 c.addi4spn x12,x2,512 + 7d8: 01be c.slli x3,0xf + 7da: 0000 c.unimp + 7dc: 0104 c.addi4spn x9,x2,128 + 7de: 7306 c.flwsp f6,96(x2) + 7e0: 0000 c.unimp + 7e2: 0400 c.addi4spn x8,x2,512 + 7e4: 0801 c.addi x16,0 + 7e6: 0071 c.addi x0,28 + 7e8: 0000 c.unimp + 7ea: 0204 c.addi4spn x9,x2,256 + 7ec: 1605 c.addi x12,-31 + 7ee: 0000 c.unimp + 7f0: 0400 c.addi4spn x8,x2,512 + 7f2: 0702 c.slli64 x14 + 7f4: 0170 c.addi4spn x12,x2,140 + 7f6: 0000 c.unimp + 7f8: 0404 c.addi4spn x9,x2,512 + 7fa: 4205 c.li x4,1 + 7fc: 0000 c.unimp + 7fe: 0400 c.addi4spn x8,x2,512 + 800: 0704 c.addi4spn x9,x2,896 + 802: 0050 c.addi4spn x12,x2,4 + 804: 0000 c.unimp + 806: 0104 c.addi4spn x9,x2,128 + 808: 7a08 c.flw f10,48(x12) + 80a: 0000 c.unimp + 80c: 0700 c.addi4spn x8,x2,896 + 80e: 015f 0000 167b 0x167b0000015f + 814: 0050 c.addi4spn x12,x2,4 + 816: 0000 c.unimp + 818: 7a0a c.flwsp f20,160(x2) + 81a: 0000 c.unimp + 81c: 0700 c.addi4spn x8,x2,896 + 81e: 000001cb fnmsub.s f3,f0,f0,f0,rne + 822: 0f80 c.addi4spn x8,x2,976 + 824: 0034 c.addi4spn x13,x2,8 + 826: 0000 c.unimp + 828: 0001ca07 flq f20,0(x3) # 3860 <__global_pointer$> + 82c: 8100 0x8100 + 82e: 2616 c.fldsp f12,320(x2) + 830: 0000 c.unimp + 832: 0700 c.addi4spn x8,x2,896 + 834: 0184 c.addi4spn x9,x2,192 + 836: 0000 c.unimp + 838: 0f84 c.addi4spn x9,x2,976 + 83a: 0000003b 0x3b + 83e: 00018307 0x18307 + 842: 8500 0x8500 + 844: 2d16 c.fldsp f26,320(x2) + 846: 0000 c.unimp + 848: 0400 c.addi4spn x8,x2,512 + 84a: 0404 c.addi4spn x9,x2,512 + 84c: 0010 0x10 + 84e: 0000 c.unimp + 850: 0804 c.addi4spn x9,x2,16 + 852: 00000803 lb x16,0(x0) # 0 + 856: 0400 c.addi4spn x8,x2,512 + 858: 0408 c.addi4spn x10,x2,512 + 85a: 000001c3 fmadd.s f3,f0,f0,f0,rne + 85e: 1004 c.addi4spn x9,x2,32 + 860: 00019503 lh x10,0(x3) # 3860 <__global_pointer$> + 864: 0400 c.addi4spn x8,x2,512 + 866: 0320 c.addi4spn x8,x2,392 + 868: 01b6 c.slli x3,0xd + 86a: 0000 c.unimp + 86c: 6715 c.lui x14,0x5 + 86e: 0001 c.addi x0,0 + 870: 0800 c.addi4spn x8,x2,16 + 872: ed02 c.fswsp f0,152(x2) + 874: 0a01 c.addi x20,0 + 876: 0104 c.addi4spn x9,x2,128 + 878: 0000 c.unimp + 87a: 6c16 c.flwsp f24,68(x2) + 87c: 0200776f jal x14,789c <__global_pointer$+0x403c> + 880: 01ed c.addi x3,27 + 882: 8a1a c.mv x20,x6 + 884: 0000 c.unimp + 886: 0000 c.unimp + 888: 00006217 auipc x4,0x6 + 88c: 0200 c.addi4spn x8,x2,256 + 88e: 01ed c.addi x3,27 + 890: 8a1f 0000 0400 0x40000008a1f + 896: 1800 c.addi4spn x8,x2,48 + 898: 0208 c.addi4spn x10,x2,256 + 89a: 01f4 c.addi4spn x13,x2,204 + 89c: 2409 c.jal a9e <_buadrateset+0x2> + 89e: 0001 c.addi x0,0 + 8a0: 0d00 c.addi4spn x8,x2,656 + 8a2: 01f60073 0x1f60073 + 8a6: 0000d913 srli x18,x1,0x0 + 8aa: 0d00 c.addi4spn x8,x2,656 + 8ac: 6c6c c.flw f11,92(x8) + 8ae: f700 c.fsw f8,40(x14) + 8b0: 0a01 c.addi x20,0 + 8b2: 00a0 c.addi4spn x8,x2,72 + 8b4: 0000 c.unimp + 8b6: 1900 c.addi4spn x8,x2,176 + 8b8: 0000 c.unimp + 8ba: 0000 c.unimp + 8bc: f802 c.fswsp f0,48(x2) + 8be: 0301 c.addi x6,0 + 8c0: 0104 c.addi4spn x9,x2,128 + 8c2: 0000 c.unimp + 8c4: 240a c.fldsp f8,128(x2) + 8c6: 0001 c.addi x0,0 + 8c8: 1a00 c.addi4spn x8,x2,304 + 8ca: 0085 c.addi x1,1 + 8cc: 0000 c.unimp + 8ce: 0146 c.slli x2,0x11 + 8d0: 0000 c.unimp + 8d2: 0000261b 0x261b + 8d6: ff00 c.fsw f8,56(x14) + 8d8: 0a00 c.addi4spn x8,x2,272 + 8da: 0136 c.slli x2,0xd + 8dc: 0000 c.unimp + 8de: 671c c.flw f15,8(x14) + 8e0: 0000 c.unimp + 8e2: 0200 c.addi4spn x8,x2,256 + 8e4: 0202 c.slli64 x4 + 8e6: 4616 c.lwsp x12,68(x2) + 8e8: 0001 c.addi x0,0 + 8ea: 1d00 c.addi4spn x8,x2,688 + 8ec: 01e6 c.slli x3,0x19 + 8ee: 0000 c.unimp + 8f0: d301 c.beqz x14,7f0 <_s2d+0xac> + 8f2: 0104 c.addi4spn x9,x2,128 + 8f4: 00a0 c.addi4spn x8,x2,72 + 8f6: 0000 c.unimp + 8f8: 1dc0 c.addi4spn x8,x2,756 + 8fa: 0000 c.unimp + 8fc: 0450 c.addi4spn x12,x2,516 + 8fe: 0000 c.unimp + 900: 9c01 0x9c01 + 902: 04b1 c.addi x9,12 + 904: 0000 c.unimp + 906: 750e c.flwsp f10,224(x2) + 908: 1200 c.addi4spn x8,x2,288 + 90a: 00a0 c.addi4spn x8,x2,72 + 90c: 0000 c.unimp + 90e: 089a c.slli x17,0x6 + 910: 0000 c.unimp + 912: 760e c.flwsp f12,224(x2) + 914: 1c00 c.addi4spn x8,x2,560 + 916: 00a0 c.addi4spn x8,x2,72 + 918: 0000 c.unimp + 91a: 000008bb 0x8bb + 91e: 6308 c.flw f10,0(x14) + 920: d500 c.sw x8,40(x10) + 922: 0904 c.addi4spn x9,x2,144 + 924: 008a c.slli x1,0x2 + 926: 0000 c.unimp + 928: 08dc c.addi4spn x15,x2,84 + 92a: 0000 c.unimp + 92c: 7508 c.flw f10,40(x10) + 92e: 0075 c.addi x0,29 + 930: 04d6 c.slli x9,0x15 + 932: 0001240b 0x1240b + 936: 0100 c.addi4spn x8,x2,128 + 938: 0009 c.addi x0,2 + 93a: 0800 c.addi4spn x8,x2,16 + 93c: 7676 c.flwsp f12,124(x2) + 93e: d700 c.sw x8,40(x14) + 940: 0b04 c.addi4spn x9,x2,400 + 942: 0124 c.addi4spn x9,x2,136 + 944: 0000 c.unimp + 946: 0912 c.slli x18,0x4 + 948: 0000 c.unimp + 94a: 7708 c.flw f10,40(x14) + 94c: d800 c.sw x8,48(x8) + 94e: 0a04 c.addi4spn x9,x2,272 + 950: 00a0 c.addi4spn x8,x2,72 + 952: 0000 c.unimp + 954: 00000963 beq x0,x0,966 <_s2h+0x126> + 958: b11e c.fsdsp f7,160(x2) + 95a: 0004 0x4 + 95c: f400 c.fsw f8,40(x8) + 95e: 001d c.addi x0,7 + 960: 0200 c.addi4spn x8,x2,256 + 962: 0001 c.addi x0,0 + 964: 0100 c.addi4spn x8,x2,128 + 966: 04e0 c.addi4spn x8,x2,588 + 968: 0f0a c.slli x30,0x2 + 96a: 000004d3 fadd.s f9,f0,f0,rne + 96e: 0994 c.addi4spn x13,x2,208 + 970: 0000 c.unimp + 972: 0004cb0f 0x4cb0f + 976: b500 c.fsd f8,40(x10) + 978: 0009 c.addi x0,2 + 97a: 1f00 c.addi4spn x8,x2,944 + 97c: 000004c3 fmadd.s f9,f0,f0,f0,rne + 980: 0220 c.addi4spn x8,x2,264 + 982: 0001 c.addi x0,0 + 984: 0900 c.addi4spn x8,x2,144 + 986: 04dc c.addi4spn x15,x2,580 + 988: 0000 c.unimp + 98a: e709 c.bnez x14,994 <_strcat+0x1c> + 98c: 0004 0x4 + 98e: 0900 c.addi4spn x8,x2,144 + 990: 04f2 c.slli x9,0x1c + 992: 0000 c.unimp + 994: fd01 c.bnez x10,8ac <_s2h+0x6c> + 996: 0004 0x4 + 998: f600 c.fsw f8,40(x12) + 99a: 0009 c.addi x0,2 + 99c: 0100 c.addi4spn x8,x2,128 + 99e: 0508 c.addi4spn x10,x2,640 + 9a0: 0000 c.unimp + 9a2: 00000a4f fnmadd.s f20,f0,f0,f0,rne + 9a6: 1301 c.addi x6,-32 + 9a8: 0005 c.addi x0,1 + 9aa: 8700 0x8700 + 9ac: 000a c.slli x0,0x2 + 9ae: 0100 c.addi4spn x8,x2,128 + 9b0: 051e c.slli x10,0x7 + 9b2: 0000 c.unimp + 9b4: 0b01 c.addi x22,0 + 9b6: 0000 c.unimp + 9b8: 2901 c.jal dc8 + 9ba: 0005 c.addi x0,1 + 9bc: 5a00 c.lw x8,48(x12) + 9be: 0100000b 0x100000b + 9c2: 0534 c.addi4spn x13,x2,648 + 9c4: 0000 c.unimp + 9c6: 0b71 c.addi x22,28 + 9c8: 0000 c.unimp + 9ca: 3f01 c.jal 8da <_s2h+0x9a> + 9cc: 0005 c.addi x0,1 + 9ce: 7e00 c.flw f8,56(x12) + 9d0: 0100000b 0x100000b + 9d4: 054a c.slli x10,0x12 + 9d6: 0000 c.unimp + 9d8: 00000b97 auipc x23,0x0 + 9dc: 5401 c.li x8,-32 + 9de: 0005 c.addi x0,1 + 9e0: ae00 c.fsd f8,24(x12) + 9e2: 0900000b 0x900000b + 9e6: 055f 0000 6a06 0x6a060000055f + 9ec: 0005 c.addi x0,1 + 9ee: 1500 c.addi4spn x8,x2,672 + 9f0: 0001 c.addi x0,0 + 9f2: 7700 c.flw f8,40(x14) + 9f4: 0002 c.slli64 x0 + 9f6: 0100 c.addi4spn x8,x2,128 + 9f8: 0000056f jal x10,9f8 <_strcat+0x80> + 9fc: 0be6 c.slli x23,0x19 + 9fe: 0000 c.unimp + a00: 7b01 c.lui x22,0xfffe0 + a02: 0005 c.addi x0,1 + a04: fd00 c.fsw f8,56(x10) + a06: 0000000b 0xb + a0a: 0005880b 0x5880b + a0e: 5400 c.lw x8,40(x8) + a10: 001e c.slli x0,0x7 + a12: 7000 c.flw f8,32(x8) + a14: 0000 c.unimp + a16: c800 c.sw x8,16(x8) + a18: 0002 c.slli64 x0 + a1a: 0100 c.addi4spn x8,x2,128 + a1c: 058d c.addi x11,3 + a1e: 0000 c.unimp + a20: 0c09 c.addi x24,2 + a22: 0000 c.unimp + a24: 9901 c.andi x10,-32 + a26: 0005 c.addi x0,1 + a28: 1500 c.addi4spn x8,x2,672 + a2a: 000c 0xc + a2c: 0100 c.addi4spn x8,x2,128 + a2e: 05a5 c.addi x11,9 + a30: 0000 c.unimp + a32: 0c21 c.addi x24,8 + a34: 0000 c.unimp + a36: b101 c.j 636 <_h2s+0x9a> + a38: 0005 c.addi x0,1 + a3a: 3800 c.fld f8,48(x8) + a3c: 000c 0xc + a3e: 0100 c.addi4spn x8,x2,128 + a40: 05bd c.addi x11,15 + a42: 0000 c.unimp + a44: 0c5a c.slli x24,0x16 + a46: 0000 c.unimp + a48: c901 c.beqz x10,a58 <_strncmp+0x54> + a4a: 0005 c.addi x0,1 + a4c: 7600 c.flw f8,40(x12) + a4e: 000c 0xc + a50: 0100 c.addi4spn x8,x2,128 + a52: 05d5 c.addi x11,21 + a54: 0000 c.unimp + a56: 0c9d c.addi x25,7 + a58: 0000 c.unimp + a5a: 0600 c.addi4spn x8,x2,768 + a5c: 05e2 c.slli x11,0x18 + a5e: 0000 c.unimp + a60: 0128 c.addi4spn x10,x2,136 + a62: 0000 c.unimp + a64: 02e8 c.addi4spn x10,x2,332 + a66: 0000 c.unimp + a68: e701 c.bnez x14,a70 <_strncmp+0x6c> + a6a: 0005 c.addi x0,1 + a6c: b400 c.fsd f8,40(x8) + a6e: 000c 0xc + a70: 0100 c.addi4spn x8,x2,128 + a72: 000005f3 0x5f3 + a76: 00000ccb fnmsub.s f25,f0,f0,f0,rne + a7a: 0b00 c.addi4spn x8,x2,400 + a7c: 065a c.slli x12,0x16 + a7e: 0000 c.unimp + a80: 1f34 c.addi4spn x13,x2,952 + a82: 0000 c.unimp + a84: 0074 c.addi4spn x13,x2,12 + a86: 0000 c.unimp + a88: 0339 c.addi x6,14 + a8a: 0000 c.unimp + a8c: 5f01 c.li x30,-32 + a8e: 0006 c.slli x0,0x1 + a90: d700 c.sw x8,40(x14) + a92: 000c 0xc + a94: 0100 c.addi4spn x8,x2,128 + a96: 0000066b 0x66b + a9a: 00000ce3 beq x0,x0,12b2 + a9e: 7701 c.lui x14,0xfffe0 + aa0: 0006 c.slli x0,0x1 + aa2: ef00 c.fsw f8,24(x14) + aa4: 000c 0xc + aa6: 0100 c.addi4spn x8,x2,128 + aa8: 00000683 lb x13,0(x0) # 0 + aac: 00000cfb 0xcfb + ab0: 8f01 c.sub x14,x8 + ab2: 0006 c.slli x0,0x1 + ab4: 1d00 c.addi4spn x8,x2,688 + ab6: 000d c.addi x0,3 + ab8: 0100 c.addi4spn x8,x2,128 + aba: 0000069b 0x69b + abe: 0d44 c.addi4spn x9,x2,660 + ac0: 0000 c.unimp + ac2: a701 c.j 11c2 + ac4: 0006 c.slli x0,0x1 + ac6: 6b00 c.flw f8,16(x14) + ac8: 000d c.addi x0,3 + aca: 0000 c.unimp + acc: 0006 c.slli x0,0x1 + ace: 0006 c.slli x0,0x1 + ad0: 3b00 c.fld f8,48(x14) + ad2: 0001 c.addi x0,0 + ad4: 8600 0x8600 + ad6: 01000003 lb x0,16(x0) # 10 + ada: 0605 c.addi x12,1 + adc: 0000 c.unimp + ade: 0d82 c.slli64 x27 + ae0: 0000 c.unimp + ae2: 1101 c.addi x2,-32 + ae4: 0006 c.slli x0,0x1 + ae6: 8e00 0x8e00 + ae8: 000d c.addi x0,3 + aea: 0100 c.addi4spn x8,x2,128 + aec: 061d c.addi x12,7 + aee: 0000 c.unimp + af0: 0d9a c.slli x27,0x6 + af2: 0000 c.unimp + af4: 2901 c.jal f04 + af6: 0006 c.slli x0,0x1 + af8: a600 c.fsd f8,8(x12) + afa: 000d c.addi x0,3 + afc: 0100 c.addi4spn x8,x2,128 + afe: 0635 c.addi x12,13 + b00: 0000 c.unimp + b02: 0dc8 c.addi4spn x10,x2,724 + b04: 0000 c.unimp + b06: 4101 c.li x2,0 + b08: 0006 c.slli x0,0x1 + b0a: ef00 c.fsw f8,24(x14) + b0c: 000d c.addi x0,3 + b0e: 0100 c.addi4spn x8,x2,128 + b10: 064d c.addi x12,19 + b12: 0000 c.unimp + b14: 0e16 c.slli x28,0x5 + b16: 0000 c.unimp + b18: 0600 c.addi4spn x8,x2,768 + b1a: 06b4 c.addi4spn x13,x2,840 + b1c: 0000 c.unimp + b1e: 014e c.slli x2,0x13 + b20: 0000 c.unimp + b22: 03a6 c.slli x7,0x9 + b24: 0000 c.unimp + b26: b901 c.j 736 <_h2s+0x19a> + b28: 0006 c.slli x0,0x1 + b2a: 2d00 c.fld f8,24(x10) + b2c: 000e c.slli x0,0x3 + b2e: 0100 c.addi4spn x8,x2,128 + b30: 06c5 c.addi x13,17 + b32: 0000 c.unimp + b34: 0e44 c.addi4spn x9,x2,788 + b36: 0000 c.unimp + b38: 0600 c.addi4spn x8,x2,768 + b3a: 06d2 c.slli x13,0x14 + b3c: 0000 c.unimp + b3e: 0161 c.addi x2,24 + b40: 0000 c.unimp + b42: 03bd c.addi x7,15 + b44: 0000 c.unimp + b46: d701 c.beqz x14,a4e <_strncmp+0x4a> + b48: 0006 c.slli x0,0x1 + b4a: 5000 c.lw x8,32(x8) + b4c: 000e c.slli x0,0x3 + b4e: 0000 c.unimp + b50: e410 c.fsw f12,8(x8) + b52: 0006 c.slli x0,0x1 + b54: bc00 c.fsd f8,56(x8) + b56: 0020 c.addi4spn x8,x2,8 + b58: 5400 c.lw x8,40(x8) + b5a: 0001 c.addi x0,0 + b5c: 1100 c.addi4spn x8,x2,160 + b5e: 06e5 c.addi x13,25 + b60: 0000 c.unimp + b62: 5e01 c.li x28,-32 + b64: f001 c.bnez x8,a64 <_strncmp+0x60> + b66: 0006 c.slli x0,0x1 + b68: 5c00 c.lw x8,56(x8) + b6a: 000e c.slli x0,0x3 + b6c: 0600 c.addi4spn x8,x2,768 + b6e: 000006fb 0x6fb + b72: 0174 c.addi4spn x13,x2,140 + b74: 0000 c.unimp + b76: 00000427 0x427 + b7a: 0001 c.addi x0,0 + b7c: 7e000007 0x7e000007 + b80: 000e c.slli x0,0x3 + b82: 0100 c.addi4spn x8,x2,128 + b84: 070c c.addi4spn x11,x2,896 + b86: 0000 c.unimp + b88: 0e99 c.addi x29,6 + b8a: 0000 c.unimp + b8c: 1801 c.addi x16,-32 + b8e: b6000007 0xb6000007 + b92: 000e c.slli x0,0x3 + b94: 0100 c.addi4spn x8,x2,128 + b96: 0724 c.addi4spn x9,x2,904 + b98: 0000 c.unimp + b9a: 0ecd c.addi x29,19 + b9c: 0000 c.unimp + b9e: 3001 c.jal 39e <_d2s+0x2> + ba0: e4000007 0xe4000007 + ba4: 000e c.slli x0,0x3 + ba6: 0100 c.addi4spn x8,x2,128 + ba8: 073c c.addi4spn x15,x2,904 + baa: 0000 c.unimp + bac: 0f16 c.slli x30,0x5 + bae: 0000 c.unimp + bb0: 4801 c.li x16,0 + bb2: 48000007 0x48000007 + bb6: 0000000f fence unknown,unknown + bba: 5506 c.lwsp x10,96(x2) + bbc: a2000007 0xa2000007 + bc0: 0001 c.addi x0,0 + bc2: 7b00 c.flw f8,48(x14) + bc4: 0004 0x4 + bc6: 0100 c.addi4spn x8,x2,128 + bc8: 075a c.slli x14,0x16 + bca: 0000 c.unimp + bcc: 00000f83 lb x31,0(x0) # 0 + bd0: 6601 0x6601 + bd2: 8f000007 0x8f000007 + bd6: 0100000f pause + bda: 0772 c.slli x14,0x1c + bdc: 0000 c.unimp + bde: 0fad c.addi x31,11 + be0: 0000 c.unimp + be2: 7e01 c.lui x28,0xfffe0 + be4: b9000007 0xb9000007 + be8: 0100000f pause + bec: 078a c.slli x15,0x2 + bee: 0000 c.unimp + bf0: 0fc5 c.addi x31,17 + bf2: 0000 c.unimp + bf4: 9601 c.srai x12,0x20 + bf6: d1000007 0xd1000007 + bfa: 0100000f pause + bfe: 07a2 c.slli x15,0x8 + c00: 0000 c.unimp + c02: 0ffd c.addi x31,31 + c04: 0000 c.unimp + c06: ae11 c.j f1a + c08: 01000007 0x1000007 + c0c: 006d c.addi x0,27 + c0e: 0007bb0b 0x7bb0b + c12: d800 c.sw x8,48(x8) + c14: 0021 c.addi x0,8 + c16: 1400 c.addi4spn x8,x2,544 + c18: 0000 c.unimp + c1a: 9600 0x9600 + c1c: 0004 0x4 + c1e: 0100 c.addi4spn x8,x2,128 + c20: 07c0 c.addi4spn x8,x2,964 + c22: 0000 c.unimp + c24: 1009 c.addi x0,-30 + c26: 0000 c.unimp + c28: 1000 c.addi4spn x8,x2,32 + c2a: 07cd c.addi x15,19 + c2c: 0000 c.unimp + c2e: 21ec c.fld f11,192(x11) + c30: 0000 c.unimp + c32: 0010 0x10 + c34: 0000 c.unimp + c36: ce01 c.beqz x12,c4e + c38: 15000007 0x15000007 + c3c: 0010 0x10 + c3e: 0000 c.unimp + c40: 0000 c.unimp + c42: 0000 c.unimp + c44: a921 c.j 105c + c46: 0001 c.addi x0,0 + c48: 0100 c.addi4spn x8,x2,128 + c4a: ab0103e3 beq x2,x16,6f0 <_h2s+0x154> + c4e: 0000 c.unimp + c50: 0300 c.addi4spn x8,x2,384 + c52: 07dd c.addi x15,23 + c54: 0000 c.unimp + c56: 6e0c c.flw f11,24(x12) + c58: 1700 c.addi4spn x8,x2,928 + c5a: 000000ab 0xab + c5e: 640c c.flw f11,8(x8) + c60: 2200 c.fld f8,0(x12) + c62: 000000ab 0xab + c66: 720c c.flw f11,32(x12) + c68: 0070 c.addi4spn x12,x2,12 + c6a: dd2e c.swsp x11,184(x2) + c6c: 03000007 0x3000007 + c70: 6e6e c.flwsp f28,216(x2) + c72: e500 c.fsw f8,8(x10) + c74: 01311103 lh x2,19(x2) + c78: 0000 c.unimp + c7a: 00646403 0x646403 + c7e: 03e6 c.slli x7,0x19 + c80: 3111 c.jal 884 <_s2h+0x44> + c82: 0001 c.addi x0,0 + c84: 0300 c.addi4spn x8,x2,384 + c86: 7272 c.flwsp f4,60(x2) + c88: e700 c.fsw f8,8(x14) + c8a: 01240b03 lb x22,18(x8) # 7012 <__global_pointer$+0x37b2> + c8e: 0000 c.unimp + c90: 00306403 0x306403 + c94: 03e8 c.addi4spn x10,x2,460 + c96: 950a c.add x10,x2 + c98: 0000 c.unimp + c9a: 0300 c.addi4spn x8,x2,384 + c9c: 3164 c.fld f9,224(x10) + c9e: e800 c.fsw f8,16(x8) + ca0: 00950e03 lb x28,9(x10) # 9 + ca4: 0000 c.unimp + ca6: 00306e03 0x306e03 + caa: 03e8 c.addi4spn x10,x2,460 + cac: 9512 c.add x10,x4 + cae: 0000 c.unimp + cb0: 0300 c.addi4spn x8,x2,384 + cb2: 316e c.fldsp f2,248(x2) + cb4: e800 c.fsw f8,16(x8) + cb6: 00951603 lh x12,9(x10) + cba: 0000 c.unimp + cbc: 00326e03 0x326e03 + cc0: 03e8 c.addi4spn x10,x2,460 + cc2: 951a c.add x10,x6 + cc4: 0000 c.unimp + cc6: 0300 c.addi4spn x8,x2,384 + cc8: 3071 c.jal 554 <_d2s+0x1b8> + cca: e900 c.fsw f8,16(x10) + ccc: 00950a03 lb x20,9(x10) + cd0: 0000 c.unimp + cd2: 00317103 0x317103 + cd6: 03e9 c.addi x7,26 + cd8: 950e c.add x10,x3 + cda: 0000 c.unimp + cdc: 0300 c.addi4spn x8,x2,384 + cde: 0062 c.slli x0,0x18 + ce0: 03ea c.slli x7,0x1a + ce2: 950a c.add x10,x2 + ce4: 0000 c.unimp + ce6: 0300 c.addi4spn x8,x2,384 + ce8: 6d62 c.flwsp f26,24(x2) + cea: ea00 c.fsw f8,16(x12) + cec: 00950d03 lb x26,9(x10) + cf0: 0000 c.unimp + cf2: 00777703 0x777703 + cf6: 311104b3 0x311104b3 + cfa: 0001 c.addi x0,0 + cfc: 0500 c.addi4spn x8,x2,640 + cfe: 0588 c.addi4spn x10,x2,704 + d00: 0000 c.unimp + d02: a402 c.fsdsp f0,8(x2) + d04: 0001 c.addi x0,0 + d06: 1a00 c.addi4spn x8,x2,304 + d08: 0404 c.addi4spn x9,x2,512 + d0a: 0095 c.addi x1,5 + d0c: 0000 c.unimp + d0e: 615f5f03 lhu x30,1557(x30) + d12: 1a00 c.addi4spn x8,x2,304 + d14: 0404 c.addi4spn x9,x2,512 + d16: 0095 c.addi x1,5 + d18: 0000 c.unimp + d1a: 0500 c.addi4spn x8,x2,640 + d1c: 05e2 c.slli x11,0x18 + d1e: 0000 c.unimp + d20: 2502 c.fldsp f10,0(x2) + d22: 0000 c.unimp + d24: 2600 c.fld f8,8(x12) + d26: 0404 c.addi4spn x9,x2,512 + d28: 0095 c.addi x1,5 + d2a: 0000 c.unimp + d2c: 2002 c.fldsp f0,0(x2) + d2e: 0000 c.unimp + d30: 2600 c.fld f8,8(x12) + d32: 0404 c.addi4spn x9,x2,512 + d34: 0095 c.addi x1,5 + d36: 0000 c.unimp + d38: 9002 c.ebreak + d3a: 0001 c.addi x0,0 + d3c: 2600 c.fld f8,8(x12) + d3e: 0404 c.addi4spn x9,x2,512 + d40: 0095 c.addi x1,5 + d42: 0000 c.unimp + d44: 8b02 c.jr x22 + d46: 0001 c.addi x0,0 + d48: 2600 c.fld f8,8(x12) + d4a: 0404 c.addi4spn x9,x2,512 + d4c: 0095 c.addi x1,5 + d4e: 0000 c.unimp + d50: d702 c.swsp x0,172(x2) + d52: 0001 c.addi x0,0 + d54: 2600 c.fld f8,8(x12) + d56: 0404 c.addi4spn x9,x2,512 + d58: 0095 c.addi x1,5 + d5a: 0000 c.unimp + d5c: d202 c.swsp x0,36(x2) + d5e: 0001 c.addi x0,0 + d60: 2600 c.fld f8,8(x12) + d62: 0404 c.addi4spn x9,x2,512 + d64: 0095 c.addi x1,5 + d66: 0000 c.unimp + d68: 6d5f5f03 lhu x30,1749(x30) + d6c: 2600 c.fld f8,8(x12) + d6e: 0404 c.addi4spn x9,x2,512 + d70: 0095 c.addi x1,5 + d72: 0000 c.unimp + d74: 0500 c.addi4spn x8,x2,640 + d76: 0600 c.addi4spn x8,x2,768 + d78: 0000 c.unimp + d7a: a402 c.fsdsp f0,8(x2) + d7c: 0001 c.addi x0,0 + d7e: 3200 c.fld f8,32(x12) + d80: 0404 c.addi4spn x9,x2,512 + d82: 0095 c.addi x1,5 + d84: 0000 c.unimp + d86: 615f5f03 lhu x30,1557(x30) + d8a: 3200 c.fld f8,32(x12) + d8c: 0404 c.addi4spn x9,x2,512 + d8e: 0095 c.addi x1,5 + d90: 0000 c.unimp + d92: 0500 c.addi4spn x8,x2,640 + d94: 065a c.slli x12,0x16 + d96: 0000 c.unimp + d98: 2502 c.fldsp f10,0(x2) + d9a: 0000 c.unimp + d9c: 4b00 c.lw x8,16(x14) + d9e: 0804 c.addi4spn x9,x2,16 + da0: 0095 c.addi x1,5 + da2: 0000 c.unimp + da4: 2002 c.fldsp f0,0(x2) + da6: 0000 c.unimp + da8: 4b00 c.lw x8,16(x14) + daa: 0804 c.addi4spn x9,x2,16 + dac: 0095 c.addi x1,5 + dae: 0000 c.unimp + db0: 9002 c.ebreak + db2: 0001 c.addi x0,0 + db4: 4b00 c.lw x8,16(x14) + db6: 0804 c.addi4spn x9,x2,16 + db8: 0095 c.addi x1,5 + dba: 0000 c.unimp + dbc: 8b02 c.jr x22 + dbe: 0001 c.addi x0,0 + dc0: 4b00 c.lw x8,16(x14) + dc2: 0804 c.addi4spn x9,x2,16 + dc4: 0095 c.addi x1,5 + dc6: 0000 c.unimp + dc8: d702 c.swsp x0,172(x2) + dca: 0001 c.addi x0,0 + dcc: 4b00 c.lw x8,16(x14) + dce: 0804 c.addi4spn x9,x2,16 + dd0: 0095 c.addi x1,5 + dd2: 0000 c.unimp + dd4: d202 c.swsp x0,36(x2) + dd6: 0001 c.addi x0,0 + dd8: 4b00 c.lw x8,16(x14) + dda: 0804 c.addi4spn x9,x2,16 + ddc: 0095 c.addi x1,5 + dde: 0000 c.unimp + de0: 6d5f5f03 lhu x30,1749(x30) + de4: 4b00 c.lw x8,16(x14) + de6: 0804 c.addi4spn x9,x2,16 + de8: 0095 c.addi x1,5 + dea: 0000 c.unimp + dec: 0500 c.addi4spn x8,x2,640 + dee: 06b4 c.addi4spn x13,x2,840 + df0: 0000 c.unimp + df2: 2502 c.fldsp f10,0(x2) + df4: 0000 c.unimp + df6: 5000 c.lw x8,32(x8) + df8: 0404 c.addi4spn x9,x2,512 + dfa: 0095 c.addi x1,5 + dfc: 0000 c.unimp + dfe: 2002 c.fldsp f0,0(x2) + e00: 0000 c.unimp + e02: 5000 c.lw x8,32(x8) + e04: 0404 c.addi4spn x9,x2,512 + e06: 0095 c.addi x1,5 + e08: 0000 c.unimp + e0a: 9002 c.ebreak + e0c: 0001 c.addi x0,0 + e0e: 5000 c.lw x8,32(x8) + e10: 0404 c.addi4spn x9,x2,512 + e12: 0095 c.addi x1,5 + e14: 0000 c.unimp + e16: 8b02 c.jr x22 + e18: 0001 c.addi x0,0 + e1a: 5000 c.lw x8,32(x8) + e1c: 0404 c.addi4spn x9,x2,512 + e1e: 0095 c.addi x1,5 + e20: 0000 c.unimp + e22: d702 c.swsp x0,172(x2) + e24: 0001 c.addi x0,0 + e26: 5000 c.lw x8,32(x8) + e28: 0404 c.addi4spn x9,x2,512 + e2a: 0095 c.addi x1,5 + e2c: 0000 c.unimp + e2e: d202 c.swsp x0,36(x2) + e30: 0001 c.addi x0,0 + e32: 5000 c.lw x8,32(x8) + e34: 0404 c.addi4spn x9,x2,512 + e36: 0095 c.addi x1,5 + e38: 0000 c.unimp + e3a: 6d5f5f03 lhu x30,1749(x30) + e3e: 5000 c.lw x8,32(x8) + e40: 0404 c.addi4spn x9,x2,512 + e42: 0095 c.addi x1,5 + e44: 0000 c.unimp + e46: 0500 c.addi4spn x8,x2,640 + e48: 06d2 c.slli x13,0x14 + e4a: 0000 c.unimp + e4c: a402 c.fsdsp f0,8(x2) + e4e: 0001 c.addi x0,0 + e50: 7300 c.flw f8,32(x14) + e52: 0404 c.addi4spn x9,x2,512 + e54: 0095 c.addi x1,5 + e56: 0000 c.unimp + e58: 615f5f03 lhu x30,1557(x30) + e5c: 7300 c.flw f8,32(x14) + e5e: 0404 c.addi4spn x9,x2,512 + e60: 0095 c.addi x1,5 + e62: 0000 c.unimp + e64: 0500 c.addi4spn x8,x2,640 + e66: 06e4 c.addi4spn x9,x2,844 + e68: 0000 c.unimp + e6a: 785f5f03 lhu x30,1925(x30) + e6e: 8100 0x8100 + e70: 0504 c.addi4spn x9,x2,640 + e72: 0095 c.addi x1,5 + e74: 0000 c.unimp + e76: 1200 c.addi4spn x8,x2,288 + e78: 00316d03 0x316d03 + e7c: 0491 c.addi x9,4 + e7e: 0000950f 0x950f + e82: 0300 c.addi4spn x8,x2,384 + e84: 306d c.jal 72e <_h2s+0x192> + e86: 9100 0x9100 + e88: 1304 c.addi4spn x9,x2,416 + e8a: 0095 c.addi x1,5 + e8c: 0000 c.unimp + e8e: 5505 c.li x10,-31 + e90: 02000007 0x2000007 + e94: 0025 c.addi x0,9 + e96: 0000 c.unimp + e98: 049c c.addi4spn x15,x2,576 + e9a: 9508 0x9508 + e9c: 0000 c.unimp + e9e: 0200 c.addi4spn x8,x2,256 + ea0: 0020 c.addi4spn x8,x2,8 + ea2: 0000 c.unimp + ea4: 049c c.addi4spn x15,x2,576 + ea6: 9508 0x9508 + ea8: 0000 c.unimp + eaa: 0200 c.addi4spn x8,x2,256 + eac: 0190 c.addi4spn x12,x2,192 + eae: 0000 c.unimp + eb0: 049c c.addi4spn x15,x2,576 + eb2: 9508 0x9508 + eb4: 0000 c.unimp + eb6: 0200 c.addi4spn x8,x2,256 + eb8: 0000018b 0x18b + ebc: 049c c.addi4spn x15,x2,576 + ebe: 9508 0x9508 + ec0: 0000 c.unimp + ec2: 0200 c.addi4spn x8,x2,256 + ec4: 000001d7 0x1d7 + ec8: 049c c.addi4spn x15,x2,576 + eca: 9508 0x9508 + ecc: 0000 c.unimp + ece: 0200 c.addi4spn x8,x2,256 + ed0: 01d2 c.slli x3,0x14 + ed2: 0000 c.unimp + ed4: 049c c.addi4spn x15,x2,576 + ed6: 9508 0x9508 + ed8: 0000 c.unimp + eda: 0300 c.addi4spn x8,x2,384 + edc: 5f5f 006d 049c 0x49c006d5f5f + ee2: 9508 0x9508 + ee4: 0000 c.unimp + ee6: 0000 c.unimp + ee8: bb05 c.j c18 + eea: 02000007 0x2000007 + eee: 0000014b fnmsub.s f2,f0,f0,f0,rne + ef2: 049d c.addi x9,7 + ef4: 9508 0x9508 + ef6: 0000 c.unimp + ef8: 0200 c.addi4spn x8,x2,256 + efa: 0150 c.addi4spn x12,x2,132 + efc: 0000 c.unimp + efe: 049d c.addi x9,7 + f00: 9508 0x9508 + f02: 0000 c.unimp + f04: 0200 c.addi4spn x8,x2,256 + f06: 0155 c.addi x2,21 + f08: 0000 c.unimp + f0a: 049d c.addi x9,7 + f0c: 9508 0x9508 + f0e: 0000 c.unimp + f10: 0200 c.addi4spn x8,x2,256 + f12: 015a c.slli x2,0x16 + f14: 0000 c.unimp + f16: 049d c.addi x9,7 + f18: 9508 0x9508 + f1a: 0000 c.unimp + f1c: 0200 c.addi4spn x8,x2,256 + f1e: 01e1 c.addi x3,24 + f20: 0000 c.unimp + f22: 049d c.addi x9,7 + f24: 9508 0x9508 + f26: 0000 c.unimp + f28: 0200 c.addi4spn x8,x2,256 + f2a: 0000002f 0x2f + f2e: 049d c.addi x9,7 + f30: 9508 0x9508 + f32: 0000 c.unimp + f34: 0200 c.addi4spn x8,x2,256 + f36: 01dc c.addi4spn x15,x2,196 + f38: 0000 c.unimp + f3a: 049d c.addi x9,7 + f3c: 9508 0x9508 + f3e: 0000 c.unimp + f40: 0200 c.addi4spn x8,x2,256 + f42: 002a c.slli x0,0xa + f44: 0000 c.unimp + f46: 049d c.addi x9,7 + f48: 9508 0x9508 + f4a: 0000 c.unimp + f4c: 0000 c.unimp + f4e: cd05 c.beqz x10,f86 + f50: 03000007 0x3000007 + f54: 5f5f 0078 04a2 0x4a200785f5f + f5a: 9505 c.srai x10,0x21 + f5c: 0000 c.unimp + f5e: 0000 c.unimp + f60: 0312 c.slli x6,0x4 + f62: 5f5f 0078 04aa 0x4aa00785f5f + f68: 9505 c.srai x10,0x21 + f6a: 0000 c.unimp + f6c: 0000 c.unimp + f6e: 0000 c.unimp + f70: 0422 c.slli x8,0x8 + f72: 000000ab 0xab + f76: 5d00 c.lw x8,56(x10) + f78: 05000007 0x5000007 + f7c: 0100 c.addi4spn x8,x2,128 + f7e: 8904 0x8904 + f80: 11000003 lb x0,272(x0) # 110 <__do_global_dtors_aux+0x38> + f84: 007f 0x7f + f86: 0000 c.unimp + f88: 641d c.lui x8,0x7 + f8a: 0000 c.unimp + f8c: 0000 c.unimp + f8e: 0000 c.unimp + f90: 1000 c.addi4spn x8,x2,32 + f92: 0022 c.slli x0,0x8 + f94: 3000 c.fld f8,32(x8) + f96: 0004 0x4 + f98: 2c00 c.fld f8,24(x8) + f9a: 0010 0x10 + f9c: 0400 c.addi4spn x8,x2,512 + f9e: 0708 c.addi4spn x10,x2,896 + fa0: 0000004b fnmsub.s f0,f0,f0,f0,rne + fa4: 0412 c.slli x8,0x4 + fa6: 6905 c.lui x18,0x1 + fa8: 746e c.flwsp f8,248(x2) + faa: 0400 c.addi4spn x8,x2,512 + fac: 0704 c.addi4spn x9,x2,896 + fae: 0055 c.addi x0,21 + fb0: 0000 c.unimp + fb2: 0804 c.addi4spn x9,x2,16 + fb4: 3d05 c.jal de4 + fb6: 0000 c.unimp + fb8: 0400 c.addi4spn x8,x2,512 + fba: 0410 c.addi4spn x12,x2,512 + fbc: 01be c.slli x3,0xf + fbe: 0000 c.unimp + fc0: 0104 c.addi4spn x9,x2,128 + fc2: 7306 c.flwsp f6,96(x2) + fc4: 0000 c.unimp + fc6: 0400 c.addi4spn x8,x2,512 + fc8: 0801 c.addi x16,0 + fca: 0071 c.addi x0,28 + fcc: 0000 c.unimp + fce: 0204 c.addi4spn x9,x2,256 + fd0: 1605 c.addi x12,-31 + fd2: 0000 c.unimp + fd4: 0400 c.addi4spn x8,x2,512 + fd6: 0702 c.slli64 x14 + fd8: 0170 c.addi4spn x12,x2,140 + fda: 0000 c.unimp + fdc: 0404 c.addi4spn x9,x2,512 + fde: 4205 c.li x4,1 + fe0: 0000 c.unimp + fe2: 0400 c.addi4spn x8,x2,512 + fe4: 0704 c.addi4spn x9,x2,896 + fe6: 0050 c.addi4spn x12,x2,4 + fe8: 0000 c.unimp + fea: 0104 c.addi4spn x9,x2,128 + fec: 7a08 c.flw f10,48(x12) + fee: 0000 c.unimp + ff0: 0600 c.addi4spn x8,x2,768 + ff2: 015f 0000 167b 0x167b0000015f + ff8: 0050 c.addi4spn x12,x2,4 + ffa: 0000 c.unimp + ffc: 7a09 c.lui x20,0xfffe2 + ffe: 0000 c.unimp + 1000: 0600 c.addi4spn x8,x2,768 + 1002: 000001cb fnmsub.s f3,f0,f0,f0,rne + 1006: 0f80 c.addi4spn x8,x2,976 + 1008: 002d c.addi x0,11 + 100a: 0000 c.unimp + 100c: ca06 c.swsp x1,20(x2) + 100e: 0001 c.addi x0,0 + 1010: 8100 0x8100 + 1012: 3416 c.fldsp f8,352(x2) + 1014: 0000 c.unimp + 1016: 0600 c.addi4spn x8,x2,768 + 1018: 0184 c.addi4spn x9,x2,192 + 101a: 0000 c.unimp + 101c: 0f84 c.addi4spn x9,x2,976 + 101e: 0000003b 0x3b + 1022: 8306 c.mv x6,x1 + 1024: 0001 c.addi x0,0 + 1026: 8500 0x8500 + 1028: 2616 c.fldsp f12,320(x2) + 102a: 0000 c.unimp + 102c: 0400 c.addi4spn x8,x2,512 + 102e: 0404 c.addi4spn x9,x2,512 + 1030: 0010 0x10 + 1032: 0000 c.unimp + 1034: 0804 c.addi4spn x9,x2,16 + 1036: 00000803 lb x16,0(x0) # 0 + 103a: 0400 c.addi4spn x8,x2,512 + 103c: 0408 c.addi4spn x10,x2,512 + 103e: 000001c3 fmadd.s f3,f0,f0,f0,rne + 1042: 1004 c.addi4spn x9,x2,32 + 1044: 00019503 lh x10,0(x3) # 3860 <__global_pointer$> + 1048: 0400 c.addi4spn x8,x2,512 + 104a: 0320 c.addi4spn x8,x2,392 + 104c: 01b6 c.slli x3,0xd + 104e: 0000 c.unimp + 1050: 00016713 ori x14,x2,0 + 1054: 0800 c.addi4spn x8,x2,16 + 1056: ed02 c.fswsp f0,152(x2) + 1058: 0a01 c.addi x20,0 + 105a: 0104 c.addi4spn x9,x2,128 + 105c: 0000 c.unimp + 105e: 6c14 c.flw f13,24(x8) + 1060: 0200776f jal x14,8080 <__global_pointer$+0x4820> + 1064: 01ed c.addi x3,27 + 1066: 8a1a c.mv x20,x6 + 1068: 0000 c.unimp + 106a: 0000 c.unimp + 106c: 6215 c.lui x4,0x5 + 106e: 0000 c.unimp + 1070: 0200 c.addi4spn x8,x2,256 + 1072: 01ed c.addi x3,27 + 1074: 8a1f 0000 0400 0x40000008a1f + 107a: 1600 c.addi4spn x8,x2,800 + 107c: 0208 c.addi4spn x10,x2,256 + 107e: 01f4 c.addi4spn x13,x2,204 + 1080: 2409 c.jal 1282 + 1082: 0001 c.addi x0,0 + 1084: 0c00 c.addi4spn x8,x2,528 + 1086: 01f60073 0x1f60073 + 108a: 0000d913 srli x18,x1,0x0 + 108e: 0c00 c.addi4spn x8,x2,528 + 1090: 6c6c c.flw f11,92(x8) + 1092: f700 c.fsw f8,40(x14) + 1094: 0a01 c.addi x20,0 + 1096: 00a0 c.addi4spn x8,x2,72 + 1098: 0000 c.unimp + 109a: 1700 c.addi4spn x8,x2,928 + 109c: 0000 c.unimp + 109e: 0000 c.unimp + 10a0: f802 c.fswsp f0,48(x2) + 10a2: 0301 c.addi x6,0 + 10a4: 0104 c.addi4spn x9,x2,128 + 10a6: 0000 c.unimp + 10a8: 2409 c.jal 12aa + 10aa: 0001 c.addi x0,0 + 10ac: 1800 c.addi4spn x8,x2,48 + 10ae: 0085 c.addi x1,1 + 10b0: 0000 c.unimp + 10b2: 0146 c.slli x2,0x11 + 10b4: 0000 c.unimp + 10b6: 3419 c.jal abc <_buadrateset+0x20> + 10b8: 0000 c.unimp + 10ba: ff00 c.fsw f8,56(x14) + 10bc: 0900 c.addi4spn x8,x2,144 + 10be: 0136 c.slli x2,0xd + 10c0: 0000 c.unimp + 10c2: 671a c.flwsp f14,132(x2) + 10c4: 0000 c.unimp + 10c6: 0200 c.addi4spn x8,x2,256 + 10c8: 0202 c.slli64 x4 + 10ca: 4616 c.lwsp x12,68(x2) + 10cc: 0001 c.addi x0,0 + 10ce: 1b00 c.addi4spn x8,x2,432 + 10d0: 000001ef jal x3,10d0 + 10d4: 1201 c.addi x4,-32 + 10d6: 0105 c.addi x2,1 + 10d8: 000000ab 0xab + 10dc: 2210 c.fld f12,0(x12) + 10de: 0000 c.unimp + 10e0: 0430 c.addi4spn x12,x2,520 + 10e2: 0000 c.unimp + 10e4: 9c01 0x9c01 + 10e6: 042e c.slli x8,0xb + 10e8: 0000 c.unimp + 10ea: 6e0d c.lui x28,0x3 + 10ec: 1400 c.addi4spn x8,x2,544 + 10ee: 000000ab 0xab + 10f2: 102d c.addi x0,-21 + 10f4: 0000 c.unimp + 10f6: 640d c.lui x8,0x3 + 10f8: 1f00 c.addi4spn x8,x2,944 + 10fa: 000000ab 0xab + 10fe: 110e c.slli x2,0x23 + 1100: 0000 c.unimp + 1102: 2e1c c.fld f15,24(x12) + 1104: 0004 0x4 + 1106: 1000 c.addi4spn x8,x2,32 + 1108: 0022 c.slli x0,0x8 + 110a: c100 c.sw x8,0(x10) + 110c: 0001 c.addi x0,0 + 110e: 0100 c.addi4spn x8,x2,128 + 1110: 0514 c.addi4spn x13,x2,640 + 1112: 0a0a c.slli x20,0x2 + 1114: 0450 c.addi4spn x12,x2,516 + 1116: 0000 c.unimp + 1118: 119f 0000 480a 0x480a0000119f + 111e: 0004 0x4 + 1120: b800 c.fsd f8,48(x8) + 1122: 0011 c.addi x0,4 + 1124: 0a00 c.addi4spn x8,x2,272 + 1126: 0440 c.addi4spn x8,x2,516 + 1128: 0000 c.unimp + 112a: 1209 c.addi x4,-30 + 112c: 0000 c.unimp + 112e: dd1d c.beqz x10,106c + 1130: 0001 c.addi x0,0 + 1132: 0800 c.addi4spn x8,x2,16 + 1134: 0459 c.addi x8,22 + 1136: 0000 c.unimp + 1138: 6408 c.flw f10,8(x8) + 113a: 0004 0x4 + 113c: 0800 c.addi4spn x8,x2,16 + 113e: 0000046f jal x8,113e + 1142: 7a01 c.lui x20,0xfffe0 + 1144: 0004 0x4 + 1146: da00 c.sw x8,48(x12) + 1148: 0012 c.slli x0,0x4 + 114a: 0100 c.addi4spn x8,x2,128 + 114c: 0485 c.addi x9,1 + 114e: 0000 c.unimp + 1150: 1359 c.addi x6,-10 + 1152: 0000 c.unimp + 1154: 9001 c.srli x8,0x20 + 1156: 0004 0x4 + 1158: 9c00 0x9c00 + 115a: 01000013 addi x0,x0,16 + 115e: 0000049b 0x49b + 1162: 1410 c.addi4spn x12,x2,544 + 1164: 0000 c.unimp + 1166: a601 c.j 1466 + 1168: 0004 0x4 + 116a: d700 c.sw x8,40(x14) + 116c: 0014 0x14 + 116e: 0100 c.addi4spn x8,x2,128 + 1170: 04b1 c.addi x9,12 + 1172: 0000 c.unimp + 1174: 14ee c.slli x9,0x3b + 1176: 0000 c.unimp + 1178: bc01 c.j b88 + 117a: 0004 0x4 + 117c: 1000 c.addi4spn x8,x2,32 + 117e: 0015 c.addi x0,5 + 1180: 0100 c.addi4spn x8,x2,128 + 1182: 000004c7 fmsub.s f9,f0,f0,f0,rne + 1186: 00001533 sll x10,x0,x0 + 118a: d101 c.beqz x10,108a + 118c: 0004 0x4 + 118e: 4a00 c.lw x8,16(x12) + 1190: 0015 c.addi x0,5 + 1192: 0800 c.addi4spn x8,x2,16 + 1194: 04dc c.addi4spn x15,x2,580 + 1196: 0000 c.unimp + 1198: 0004e707 0x4e707 + 119c: 0200 c.addi4spn x8,x2,256 + 119e: 0002 c.slli64 x0 + 11a0: 4100 c.lw x8,0(x10) + 11a2: 0002 c.slli64 x0 + 11a4: 0100 c.addi4spn x8,x2,128 + 11a6: 04ec c.addi4spn x11,x2,588 + 11a8: 0000 c.unimp + 11aa: 1582 c.slli x11,0x20 + 11ac: 0000 c.unimp + 11ae: f801 c.bnez x8,10be + 11b0: 0004 0x4 + 11b2: b700 c.fsd f8,40(x14) + 11b4: 0015 c.addi x0,5 + 11b6: 0000 c.unimp + 11b8: 050e c.slli x10,0x3 + 11ba: 0005 c.addi x0,1 + 11bc: 7800 c.flw f8,48(x8) + 11be: 0022 c.slli x0,0x8 + 11c0: 8800 0x8800 + 11c2: 0000 c.unimp + 11c4: 9200 0x9200 + 11c6: 0002 c.slli64 x0 + 11c8: 0100 c.addi4spn x8,x2,128 + 11ca: 050a c.slli x10,0x2 + 11cc: 0000 c.unimp + 11ce: 000015c3 fmadd.s f11,f0,f0,f0,rtz + 11d2: 1601 c.addi x12,-32 + 11d4: 0005 c.addi x0,1 + 11d6: cf00 c.sw x8,24(x14) + 11d8: 0015 c.addi x0,5 + 11da: 0100 c.addi4spn x8,x2,128 + 11dc: 0522 c.slli x10,0x8 + 11de: 0000 c.unimp + 11e0: 000015db 0x15db + 11e4: 2e01 c.jal 14f4 + 11e6: 0005 c.addi x0,1 + 11e8: f200 c.fsw f8,32(x12) + 11ea: 0015 c.addi x0,5 + 11ec: 0100 c.addi4spn x8,x2,128 + 11ee: 053a c.slli x10,0xe + 11f0: 0000 c.unimp + 11f2: 1609 c.addi x12,-30 + 11f4: 0000 c.unimp + 11f6: 4601 c.li x12,0 + 11f8: 0005 c.addi x0,1 + 11fa: 4d00 c.lw x8,24(x10) + 11fc: 0016 c.slli x0,0x5 + 11fe: 0100 c.addi4spn x8,x2,128 + 1200: 0552 c.slli x10,0x14 + 1202: 0000 c.unimp + 1204: 1674 c.addi4spn x13,x2,812 + 1206: 0000 c.unimp + 1208: 0700 c.addi4spn x8,x2,896 + 120a: 0661 c.addi x12,24 + 120c: 0000 c.unimp + 120e: 0215 c.addi x4,5 + 1210: 0000 c.unimp + 1212: 0351 c.addi x6,20 + 1214: 0000 c.unimp + 1216: 6201 0x6201 + 1218: 0006 c.slli x0,0x1 + 121a: 9b00 0x9b00 + 121c: 0016 c.slli x0,0x5 + 121e: 0100 c.addi4spn x8,x2,128 + 1220: 066d c.addi x12,27 + 1222: 0000 c.unimp + 1224: 000016a7 0x16a7 + 1228: 00067807 0x67807 + 122c: 2800 c.fld f8,16(x8) + 122e: 0002 c.slli64 x0 + 1230: fe00 c.fsw f8,56(x12) + 1232: 0002 c.slli64 x0 + 1234: 0100 c.addi4spn x8,x2,128 + 1236: 067d c.addi x12,31 + 1238: 0000 c.unimp + 123a: 16e1 c.addi x13,-8 + 123c: 0000 c.unimp + 123e: 8901 c.andi x10,0 + 1240: 0006 c.slli x0,0x1 + 1242: ed00 c.fsw f8,24(x10) + 1244: 0016 c.slli x0,0x5 + 1246: 0100 c.addi4spn x8,x2,128 + 1248: 0695 c.addi x13,5 + 124a: 0000 c.unimp + 124c: 170a c.slli x14,0x22 + 124e: 0000 c.unimp + 1250: a101 c.j 1650 + 1252: 0006 c.slli x0,0x1 + 1254: 2100 c.fld f8,0(x10) + 1256: 01000017 auipc x0,0x1000 + 125a: 06ad c.addi x13,11 + 125c: 0000 c.unimp + 125e: 1738 c.addi4spn x14,x2,936 + 1260: 0000 c.unimp + 1262: b901 c.j e72 + 1264: 0006 c.slli x0,0x1 + 1266: 6a00 c.flw f8,16(x12) + 1268: 01000017 auipc x0,0x1000 + 126c: 06c5 c.addi x13,17 + 126e: 0000 c.unimp + 1270: 179c c.addi4spn x15,x2,992 + 1272: 0000 c.unimp + 1274: 0f00 c.addi4spn x8,x2,912 + 1276: 06d2 c.slli x13,0x14 + 1278: 0000 c.unimp + 127a: 024d c.addi x4,19 + 127c: 0000 c.unimp + 127e: d701 c.beqz x14,1186 + 1280: 0006 c.slli x0,0x1 + 1282: c300 c.sw x8,0(x14) + 1284: 01000017 auipc x0,0x1000 + 1288: 000006e3 beq x0,x0,1a94 <__divdi3+0x14c> + 128c: 000017cf fnmadd.s f15,f0,f0,f0,rtz + 1290: ef01 c.bnez x14,12a8 + 1292: 0006 c.slli x0,0x1 + 1294: 0800 c.addi4spn x8,x2,16 + 1296: 0018 0x18 + 1298: 0100 c.addi4spn x8,x2,128 + 129a: 000006fb 0x6fb + 129e: 1814 c.addi4spn x13,x2,48 + 12a0: 0000 c.unimp + 12a2: 0701 c.addi x14,0 + 12a4: 20000007 0x20000007 + 12a8: 0018 0x18 + 12aa: 0100 c.addi4spn x8,x2,128 + 12ac: 00000713 addi x14,x0,0 + 12b0: 186c c.addi4spn x11,x2,60 + 12b2: 0000 c.unimp + 12b4: 1f01 c.addi x30,-32 + 12b6: 78000007 0x78000007 + 12ba: 0018 0x18 + 12bc: 0100 c.addi4spn x8,x2,128 + 12be: 0000072b 0x72b + 12c2: 18a2 c.slli x17,0x28 + 12c4: 0000 c.unimp + 12c6: 0000 c.unimp + 12c8: 00055f07 0x55f07 + 12cc: 7b00 c.flw f8,48(x14) + 12ce: 0002 c.slli64 x0 + 12d0: 7100 c.flw f8,32(x10) + 12d2: 01000003 lb x0,16(x0) # 10 + 12d6: 0564 c.addi4spn x9,x2,652 + 12d8: 0000 c.unimp + 12da: 18ae c.slli x17,0x2b + 12dc: 0000 c.unimp + 12de: 7001 c.lui x0,0xfffe0 + 12e0: 0005 c.addi x0,1 + 12e2: ee00 c.fsw f8,24(x12) + 12e4: 0018 0x18 + 12e6: 0000 c.unimp + 12e8: d70e c.swsp x3,172(x2) + 12ea: 0005 c.addi x0,1 + 12ec: 5c00 c.lw x8,56(x8) + 12ee: 8c000023 sb x0,-1856(x0) # fffff8c0 <__global_pointer$+0xffffc060> + 12f2: 0000 c.unimp + 12f4: c200 c.sw x8,0(x12) + 12f6: 01000003 lb x0,16(x0) # 10 + 12fa: 05dc c.addi4spn x15,x2,708 + 12fc: 0000 c.unimp + 12fe: 18fa c.slli x17,0x3e + 1300: 0000 c.unimp + 1302: e801 c.bnez x8,1312 + 1304: 0005 c.addi x0,1 + 1306: 0600 c.addi4spn x8,x2,768 + 1308: 0019 c.addi x0,6 + 130a: 0100 c.addi4spn x8,x2,128 + 130c: 05f4 c.addi4spn x13,x2,716 + 130e: 0000 c.unimp + 1310: 1912 c.slli x18,0x24 + 1312: 0000 c.unimp + 1314: 0001 c.addi x0,0 + 1316: 0006 c.slli x0,0x1 + 1318: 2900 c.fld f8,16(x10) + 131a: 0019 c.addi x0,6 + 131c: 0100 c.addi4spn x8,x2,128 + 131e: 060c c.addi4spn x11,x2,768 + 1320: 0000 c.unimp + 1322: 1940 c.addi4spn x8,x2,180 + 1324: 0000 c.unimp + 1326: 1801 c.addi x16,-32 + 1328: 0006 c.slli x0,0x1 + 132a: 7200 c.flw f8,32(x12) + 132c: 0019 c.addi x0,6 + 132e: 0100 c.addi4spn x8,x2,128 + 1330: 0624 c.addi4spn x9,x2,776 + 1332: 0000 c.unimp + 1334: 1999 c.addi x19,-26 + 1336: 0000 c.unimp + 1338: 0700 c.addi4spn x8,x2,896 + 133a: 057d c.addi x10,31 + 133c: 0000 c.unimp + 133e: 028e c.slli x5,0x3 + 1340: 0000 c.unimp + 1342: 0000040f 0x40f + 1346: 8201 c.srli64 x12 + 1348: 0005 c.addi x0,1 + 134a: c000 c.sw x8,0(x8) + 134c: 0019 c.addi x0,6 + 134e: 0100 c.addi4spn x8,x2,128 + 1350: 058e c.slli x11,0x3 + 1352: 0000 c.unimp + 1354: 19cc c.addi4spn x11,x2,244 + 1356: 0000 c.unimp + 1358: 9a01 c.andi x12,-32 + 135a: 0005 c.addi x0,1 + 135c: e900 c.fsw f8,16(x10) + 135e: 0019 c.addi x0,6 + 1360: 0100 c.addi4spn x8,x2,128 + 1362: 05a6 c.slli x11,0x9 + 1364: 0000 c.unimp + 1366: 1a00 c.addi4spn x8,x2,304 + 1368: 0000 c.unimp + 136a: b201 c.j c6a + 136c: 0005 c.addi x0,1 + 136e: 1700 c.addi4spn x8,x2,928 + 1370: 001a c.slli x0,0x6 + 1372: 0100 c.addi4spn x8,x2,128 + 1374: 05be c.slli x11,0xf + 1376: 0000 c.unimp + 1378: 1a49 c.addi x20,-14 + 137a: 0000 c.unimp + 137c: ca01 c.beqz x12,138c + 137e: 0005 c.addi x0,1 + 1380: 7b00 c.flw f8,48(x14) + 1382: 001a c.slli x0,0x6 + 1384: 0000 c.unimp + 1386: 0006310f 0x6310f + 138a: b300 c.fsd f8,32(x14) + 138c: 0002 c.slli64 x0 + 138e: 0100 c.addi4spn x8,x2,128 + 1390: 0636 c.slli x12,0xd + 1392: 0000 c.unimp + 1394: 1aa2 c.slli x21,0x28 + 1396: 0000 c.unimp + 1398: 4201 c.li x4,0 + 139a: 0006 c.slli x0,0x1 + 139c: ae00 c.fsd f8,24(x12) + 139e: 001a c.slli x0,0x6 + 13a0: 0000 c.unimp + 13a2: 0000 c.unimp + 13a4: 1e00 c.addi4spn x8,x2,816 + 13a6: 01a9 c.addi x3,10 + 13a8: 0000 c.unimp + 13aa: e301 c.bnez x14,13aa + 13ac: 00ab0103 lb x2,10(x22) # fffe000a <__global_pointer$+0xfffdc7aa> + 13b0: 0000 c.unimp + 13b2: 00075a03 lhu x20,0(x14) # fffe0000 <__global_pointer$+0xfffdc7a0> + 13b6: 0b00 c.addi4spn x8,x2,400 + 13b8: 006e c.slli x0,0x1b + 13ba: 0000ab17 auipc x22,0xa + 13be: 0b00 c.addi4spn x8,x2,400 + 13c0: 0064 c.addi4spn x9,x2,12 + 13c2: ab22 c.fsdsp f8,400(x2) + 13c4: 0000 c.unimp + 13c6: 0b00 c.addi4spn x8,x2,400 + 13c8: 7072 c.flwsp f0,60(x2) + 13ca: 2e00 c.fld f8,24(x12) + 13cc: 075a c.slli x14,0x16 + 13ce: 0000 c.unimp + 13d0: 006e6e03 0x6e6e03 + 13d4: 03e5 c.addi x7,25 + 13d6: 3111 c.jal fda + 13d8: 0001 c.addi x0,0 + 13da: 0300 c.addi4spn x8,x2,384 + 13dc: 6464 c.flw f9,76(x8) + 13de: e600 c.fsw f8,8(x12) + 13e0: 01311103 lh x2,19(x2) + 13e4: 0000 c.unimp + 13e6: 00727203 0x727203 + 13ea: 240b03e7 jalr x7,576(x22) # b5fa <__global_pointer$+0x7d9a> + 13ee: 0001 c.addi x0,0 + 13f0: 0300 c.addi4spn x8,x2,384 + 13f2: 3064 c.fld f9,224(x8) + 13f4: e800 c.fsw f8,16(x8) + 13f6: 00950a03 lb x20,9(x10) + 13fa: 0000 c.unimp + 13fc: 00316403 0x316403 + 1400: 03e8 c.addi4spn x10,x2,460 + 1402: 950e c.add x10,x3 + 1404: 0000 c.unimp + 1406: 0300 c.addi4spn x8,x2,384 + 1408: 306e c.fldsp f0,248(x2) + 140a: e800 c.fsw f8,16(x8) + 140c: 00951203 lh x4,9(x10) + 1410: 0000 c.unimp + 1412: 00316e03 0x316e03 + 1416: 03e8 c.addi4spn x10,x2,460 + 1418: 9516 c.add x10,x5 + 141a: 0000 c.unimp + 141c: 0300 c.addi4spn x8,x2,384 + 141e: 326e c.fldsp f4,248(x2) + 1420: e800 c.fsw f8,16(x8) + 1422: 00951a03 lh x20,9(x10) + 1426: 0000 c.unimp + 1428: 00307103 0x307103 + 142c: 03e9 c.addi x7,26 + 142e: 950a c.add x10,x2 + 1430: 0000 c.unimp + 1432: 0300 c.addi4spn x8,x2,384 + 1434: 3171 c.jal 10c0 + 1436: e900 c.fsw f8,16(x10) + 1438: 00950e03 lb x28,9(x10) + 143c: 0000 c.unimp + 143e: ea006203 0xea006203 + 1442: 00950a03 lb x20,9(x10) + 1446: 0000 c.unimp + 1448: 006d6203 0x6d6203 + 144c: 03ea c.slli x7,0x1a + 144e: 950d c.srai x10,0x23 + 1450: 0000 c.unimp + 1452: 0300 c.addi4spn x8,x2,384 + 1454: b3007777 0xb3007777 + 1458: 1104 c.addi4spn x9,x2,160 + 145a: 0131 c.addi x2,12 + 145c: 0000 c.unimp + 145e: 0505 c.addi x10,1 + 1460: 0005 c.addi x0,1 + 1462: 0200 c.addi4spn x8,x2,256 + 1464: 01a4 c.addi4spn x9,x2,200 + 1466: 0000 c.unimp + 1468: 041a c.slli x8,0x6 + 146a: 9504 0x9504 + 146c: 0000 c.unimp + 146e: 0300 c.addi4spn x8,x2,384 + 1470: 5f5f 0061 041a 0x41a00615f5f + 1476: 9504 0x9504 + 1478: 0000 c.unimp + 147a: 0000 c.unimp + 147c: 5f05 c.li x30,-31 + 147e: 0005 c.addi x0,1 + 1480: 0200 c.addi4spn x8,x2,256 + 1482: 0025 c.addi x0,9 + 1484: 0000 c.unimp + 1486: 0426 c.slli x8,0x9 + 1488: 9504 0x9504 + 148a: 0000 c.unimp + 148c: 0200 c.addi4spn x8,x2,256 + 148e: 0020 c.addi4spn x8,x2,8 + 1490: 0000 c.unimp + 1492: 0426 c.slli x8,0x9 + 1494: 9504 0x9504 + 1496: 0000 c.unimp + 1498: 0200 c.addi4spn x8,x2,256 + 149a: 0190 c.addi4spn x12,x2,192 + 149c: 0000 c.unimp + 149e: 0426 c.slli x8,0x9 + 14a0: 9504 0x9504 + 14a2: 0000 c.unimp + 14a4: 0200 c.addi4spn x8,x2,256 + 14a6: 0000018b 0x18b + 14aa: 0426 c.slli x8,0x9 + 14ac: 9504 0x9504 + 14ae: 0000 c.unimp + 14b0: 0200 c.addi4spn x8,x2,256 + 14b2: 000001d7 0x1d7 + 14b6: 0426 c.slli x8,0x9 + 14b8: 9504 0x9504 + 14ba: 0000 c.unimp + 14bc: 0200 c.addi4spn x8,x2,256 + 14be: 01d2 c.slli x3,0x14 + 14c0: 0000 c.unimp + 14c2: 0426 c.slli x8,0x9 + 14c4: 9504 0x9504 + 14c6: 0000 c.unimp + 14c8: 0300 c.addi4spn x8,x2,384 + 14ca: 5f5f 006d 0426 0x426006d5f5f + 14d0: 9504 0x9504 + 14d2: 0000 c.unimp + 14d4: 0000 c.unimp + 14d6: 7d05 c.lui x26,0xfffe1 + 14d8: 0005 c.addi x0,1 + 14da: 0200 c.addi4spn x8,x2,256 + 14dc: 01a4 c.addi4spn x9,x2,200 + 14de: 0000 c.unimp + 14e0: 0432 c.slli x8,0xc + 14e2: 9504 0x9504 + 14e4: 0000 c.unimp + 14e6: 0300 c.addi4spn x8,x2,384 + 14e8: 5f5f 0061 0432 0x43200615f5f + 14ee: 9504 0x9504 + 14f0: 0000 c.unimp + 14f2: 0000 c.unimp + 14f4: d705 c.beqz x14,141c + 14f6: 0005 c.addi x0,1 + 14f8: 0200 c.addi4spn x8,x2,256 + 14fa: 0025 c.addi x0,9 + 14fc: 0000 c.unimp + 14fe: 9508044b 0x9508044b + 1502: 0000 c.unimp + 1504: 0200 c.addi4spn x8,x2,256 + 1506: 0020 c.addi4spn x8,x2,8 + 1508: 0000 c.unimp + 150a: 9508044b 0x9508044b + 150e: 0000 c.unimp + 1510: 0200 c.addi4spn x8,x2,256 + 1512: 0190 c.addi4spn x12,x2,192 + 1514: 0000 c.unimp + 1516: 9508044b 0x9508044b + 151a: 0000 c.unimp + 151c: 0200 c.addi4spn x8,x2,256 + 151e: 0000018b 0x18b + 1522: 9508044b 0x9508044b + 1526: 0000 c.unimp + 1528: 0200 c.addi4spn x8,x2,256 + 152a: 000001d7 0x1d7 + 152e: 9508044b 0x9508044b + 1532: 0000 c.unimp + 1534: 0200 c.addi4spn x8,x2,256 + 1536: 01d2 c.slli x3,0x14 + 1538: 0000 c.unimp + 153a: 9508044b 0x9508044b + 153e: 0000 c.unimp + 1540: 0300 c.addi4spn x8,x2,384 + 1542: 5f5f 006d 044b 0x44b006d5f5f + 1548: 9508 0x9508 + 154a: 0000 c.unimp + 154c: 0000 c.unimp + 154e: 3105 c.jal 116e + 1550: 0006 c.slli x0,0x1 + 1552: 0200 c.addi4spn x8,x2,256 + 1554: 0025 c.addi x0,9 + 1556: 0000 c.unimp + 1558: 0450 c.addi4spn x12,x2,516 + 155a: 9504 0x9504 + 155c: 0000 c.unimp + 155e: 0200 c.addi4spn x8,x2,256 + 1560: 0020 c.addi4spn x8,x2,8 + 1562: 0000 c.unimp + 1564: 0450 c.addi4spn x12,x2,516 + 1566: 9504 0x9504 + 1568: 0000 c.unimp + 156a: 0200 c.addi4spn x8,x2,256 + 156c: 0190 c.addi4spn x12,x2,192 + 156e: 0000 c.unimp + 1570: 0450 c.addi4spn x12,x2,516 + 1572: 9504 0x9504 + 1574: 0000 c.unimp + 1576: 0200 c.addi4spn x8,x2,256 + 1578: 0000018b 0x18b + 157c: 0450 c.addi4spn x12,x2,516 + 157e: 9504 0x9504 + 1580: 0000 c.unimp + 1582: 0200 c.addi4spn x8,x2,256 + 1584: 000001d7 0x1d7 + 1588: 0450 c.addi4spn x12,x2,516 + 158a: 9504 0x9504 + 158c: 0000 c.unimp + 158e: 0200 c.addi4spn x8,x2,256 + 1590: 01d2 c.slli x3,0x14 + 1592: 0000 c.unimp + 1594: 0450 c.addi4spn x12,x2,516 + 1596: 9504 0x9504 + 1598: 0000 c.unimp + 159a: 0300 c.addi4spn x8,x2,384 + 159c: 5f5f 006d 0450 0x450006d5f5f + 15a2: 9504 0x9504 + 15a4: 0000 c.unimp + 15a6: 0000 c.unimp + 15a8: 4f05 c.li x30,1 + 15aa: 0006 c.slli x0,0x1 + 15ac: 0200 c.addi4spn x8,x2,256 + 15ae: 01a4 c.addi4spn x9,x2,200 + 15b0: 0000 c.unimp + 15b2: 95040473 0x95040473 + 15b6: 0000 c.unimp + 15b8: 0300 c.addi4spn x8,x2,384 + 15ba: 5f5f 0061 0473 0x47300615f5f + 15c0: 9504 0x9504 + 15c2: 0000 c.unimp + 15c4: 0000 c.unimp + 15c6: 6105 c.addi16sp x2,32 + 15c8: 0006 c.slli x0,0x1 + 15ca: 0300 c.addi4spn x8,x2,384 + 15cc: 5f5f 0078 0481 0x48100785f5f + 15d2: 9505 c.srai x10,0x21 + 15d4: 0000 c.unimp + 15d6: 0000 c.unimp + 15d8: 0310 c.addi4spn x12,x2,384 + 15da: 316d c.jal 1284 + 15dc: 9100 0x9100 + 15de: 0f04 c.addi4spn x9,x2,912 + 15e0: 0095 c.addi x1,5 + 15e2: 0000 c.unimp + 15e4: 00306d03 0x306d03 + 15e8: 0491 c.addi x9,4 + 15ea: 00009513 slli x10,x1,0x0 + 15ee: 0500 c.addi4spn x8,x2,640 + 15f0: 06d2 c.slli x13,0x14 + 15f2: 0000 c.unimp + 15f4: 2502 c.fldsp f10,0(x2) + 15f6: 0000 c.unimp + 15f8: 9c00 0x9c00 + 15fa: 0804 c.addi4spn x9,x2,16 + 15fc: 0095 c.addi x1,5 + 15fe: 0000 c.unimp + 1600: 2002 c.fldsp f0,0(x2) + 1602: 0000 c.unimp + 1604: 9c00 0x9c00 + 1606: 0804 c.addi4spn x9,x2,16 + 1608: 0095 c.addi x1,5 + 160a: 0000 c.unimp + 160c: 9002 c.ebreak + 160e: 0001 c.addi x0,0 + 1610: 9c00 0x9c00 + 1612: 0804 c.addi4spn x9,x2,16 + 1614: 0095 c.addi x1,5 + 1616: 0000 c.unimp + 1618: 8b02 c.jr x22 + 161a: 0001 c.addi x0,0 + 161c: 9c00 0x9c00 + 161e: 0804 c.addi4spn x9,x2,16 + 1620: 0095 c.addi x1,5 + 1622: 0000 c.unimp + 1624: d702 c.swsp x0,172(x2) + 1626: 0001 c.addi x0,0 + 1628: 9c00 0x9c00 + 162a: 0804 c.addi4spn x9,x2,16 + 162c: 0095 c.addi x1,5 + 162e: 0000 c.unimp + 1630: d202 c.swsp x0,36(x2) + 1632: 0001 c.addi x0,0 + 1634: 9c00 0x9c00 + 1636: 0804 c.addi4spn x9,x2,16 + 1638: 0095 c.addi x1,5 + 163a: 0000 c.unimp + 163c: 6d5f5f03 lhu x30,1749(x30) + 1640: 9c00 0x9c00 + 1642: 0804 c.addi4spn x9,x2,16 + 1644: 0095 c.addi x1,5 + 1646: 0000 c.unimp + 1648: 0500 c.addi4spn x8,x2,640 + 164a: 0738 c.addi4spn x14,x2,904 + 164c: 0000 c.unimp + 164e: 4b02 c.lwsp x22,0(x2) + 1650: 0001 c.addi x0,0 + 1652: 9d00 0x9d00 + 1654: 0804 c.addi4spn x9,x2,16 + 1656: 0095 c.addi x1,5 + 1658: 0000 c.unimp + 165a: 5002 0x5002 + 165c: 0001 c.addi x0,0 + 165e: 9d00 0x9d00 + 1660: 0804 c.addi4spn x9,x2,16 + 1662: 0095 c.addi x1,5 + 1664: 0000 c.unimp + 1666: 5502 c.lwsp x10,32(x2) + 1668: 0001 c.addi x0,0 + 166a: 9d00 0x9d00 + 166c: 0804 c.addi4spn x9,x2,16 + 166e: 0095 c.addi x1,5 + 1670: 0000 c.unimp + 1672: 5a02 c.lwsp x20,32(x2) + 1674: 0001 c.addi x0,0 + 1676: 9d00 0x9d00 + 1678: 0804 c.addi4spn x9,x2,16 + 167a: 0095 c.addi x1,5 + 167c: 0000 c.unimp + 167e: e102 c.fswsp f0,128(x2) + 1680: 0001 c.addi x0,0 + 1682: 9d00 0x9d00 + 1684: 0804 c.addi4spn x9,x2,16 + 1686: 0095 c.addi x1,5 + 1688: 0000 c.unimp + 168a: 2f02 c.fldsp f30,0(x2) + 168c: 0000 c.unimp + 168e: 9d00 0x9d00 + 1690: 0804 c.addi4spn x9,x2,16 + 1692: 0095 c.addi x1,5 + 1694: 0000 c.unimp + 1696: dc02 c.swsp x0,56(x2) + 1698: 0001 c.addi x0,0 + 169a: 9d00 0x9d00 + 169c: 0804 c.addi4spn x9,x2,16 + 169e: 0095 c.addi x1,5 + 16a0: 0000 c.unimp + 16a2: 2a02 c.fldsp f20,0(x2) + 16a4: 0000 c.unimp + 16a6: 9d00 0x9d00 + 16a8: 0804 c.addi4spn x9,x2,16 + 16aa: 0095 c.addi x1,5 + 16ac: 0000 c.unimp + 16ae: 0500 c.addi4spn x8,x2,640 + 16b0: 074a c.slli x14,0x12 + 16b2: 0000 c.unimp + 16b4: 785f5f03 lhu x30,1925(x30) + 16b8: a200 c.fsd f8,0(x12) + 16ba: 0504 c.addi4spn x9,x2,640 + 16bc: 0095 c.addi x1,5 + 16be: 0000 c.unimp + 16c0: 1000 c.addi4spn x8,x2,32 + 16c2: 785f5f03 lhu x30,1925(x30) + 16c6: aa00 c.fsd f8,16(x12) + 16c8: 0504 c.addi4spn x9,x2,640 + 16ca: 0095 c.addi x1,5 + 16cc: 0000 c.unimp + 16ce: 0000 c.unimp + 16d0: 1f00 c.addi4spn x8,x2,944 + 16d2: ab04 c.fsd f9,16(x14) + 16d4: 0000 c.unimp + 16d6: 0000 c.unimp + 16d8: 00d4 c.addi4spn x13,x2,68 + 16da: 0000 c.unimp + 16dc: 0005 c.addi x0,1 + 16de: 0401 c.addi x8,0 + 16e0: 0000052f 0x52f + 16e4: 0001f903 0x1f903 + 16e8: 1d00 c.addi4spn x8,x2,688 + 16ea: 0064 c.addi4spn x9,x2,12 + 16ec: 0000 c.unimp + 16ee: 0000 c.unimp + 16f0: 0000 c.unimp + 16f2: 00001753 fadd.s f14,f0,f0,rtz + 16f6: 0404 c.addi4spn x9,x2,512 + 16f8: 6905 c.lui x18,0x1 + 16fa: 746e c.flwsp f8,248(x2) + 16fc: 0100 c.addi4spn x8,x2,128 + 16fe: 0704 c.addi4spn x9,x2,896 + 1700: 0055 c.addi x0,21 + 1702: 0000 c.unimp + 1704: 0801 c.addi x16,0 + 1706: 3d05 c.jal 1536 + 1708: 0000 c.unimp + 170a: 0100 c.addi4spn x8,x2,128 + 170c: 0410 c.addi4spn x12,x2,512 + 170e: 01be c.slli x3,0xf + 1710: 0000 c.unimp + 1712: 0101 c.addi x2,0 + 1714: 7306 c.flwsp f6,96(x2) + 1716: 0000 c.unimp + 1718: 0100 c.addi4spn x8,x2,128 + 171a: 0801 c.addi x16,0 + 171c: 0071 c.addi x0,28 + 171e: 0000 c.unimp + 1720: 0201 c.addi x4,0 + 1722: 1605 c.addi x12,-31 + 1724: 0000 c.unimp + 1726: 0100 c.addi4spn x8,x2,128 + 1728: 0702 c.slli64 x14 + 172a: 0170 c.addi4spn x12,x2,140 + 172c: 0000 c.unimp + 172e: 0401 c.addi x8,0 + 1730: 4205 c.li x4,1 + 1732: 0000 c.unimp + 1734: 0100 c.addi4spn x8,x2,128 + 1736: 0704 c.addi4spn x9,x2,896 + 1738: 0050 c.addi4spn x12,x2,4 + 173a: 0000 c.unimp + 173c: 0801 c.addi x16,0 + 173e: 00004b07 flq f22,0(x0) # 0 + 1742: 0100 c.addi4spn x8,x2,128 + 1744: 0801 c.addi x16,0 + 1746: 007a c.slli x0,0x1e + 1748: 0000 c.unimp + 174a: 5f05 c.li x30,-31 + 174c: 0001 c.addi x0,0 + 174e: 0100 c.addi4spn x8,x2,128 + 1750: 0041167b 0x41167b + 1754: 0000 c.unimp + 1756: 7202 c.flwsp f4,32(x2) + 1758: 0000 c.unimp + 175a: 0100 c.addi4spn x8,x2,128 + 175c: 0404 c.addi4spn x9,x2,512 + 175e: 0010 0x10 + 1760: 0000 c.unimp + 1762: 0801 c.addi x16,0 + 1764: 00000803 lb x16,0(x0) # 0 + 1768: 0100 c.addi4spn x8,x2,128 + 176a: 0408 c.addi4spn x10,x2,512 + 176c: 000001c3 fmadd.s f3,f0,f0,f0,rne + 1770: 1001 c.addi x0,-32 + 1772: 00019503 lh x10,0(x3) # 3860 <__global_pointer$> + 1776: 0100 c.addi4spn x8,x2,128 + 1778: 0320 c.addi4spn x8,x2,392 + 177a: 01b6 c.slli x3,0xd + 177c: 0000 c.unimp + 177e: 7e06 c.flwsp f28,96(x2) + 1780: 0000 c.unimp + 1782: b600 c.fsd f8,40(x12) + 1784: 0000 c.unimp + 1786: 0700 c.addi4spn x8,x2,896 + 1788: 0025 c.addi x0,9 + 178a: 0000 c.unimp + 178c: 00ff 0xff + 178e: a602 c.fsdsp f0,264(x2) + 1790: 0000 c.unimp + 1792: 0800 c.addi4spn x8,x2,16 + 1794: 00000067 jalr x0,0(x0) # 0 + 1798: 0201 c.addi x4,0 + 179a: 1602 c.slli x12,0x20 + 179c: 00b6 c.slli x1,0xd + 179e: 0000 c.unimp + 17a0: bb09 c.j 14b2 + 17a2: 0000 c.unimp + 17a4: 0200 c.addi4spn x8,x2,256 + 17a6: 029e c.slli x5,0x7 + 17a8: d403050f 0xd403050f + 17ac: 0000002b 0x2b Disassembly of section .debug_abbrev: 00000000 <.debug_abbrev>: - 0: 3401 c.jal fffffa00 <__global_pointer$+0xffffd1c8> + 0: 3401 c.jal fffffa00 <__global_pointer$+0xffffc1a0> 2: 3100 c.fld f8,32(x10) 4: 00170213 addi x4,x14,1 8: 0200 c.addi4spn x8,x2,256 a: 0034 c.addi4spn x13,x2,8 - c: 213a0e03 lb x28,531(x20) - 10: 3b01 c.jal fffffd20 <__global_pointer$+0xffffd4e8> - 12: 3905 c.jal fffffc42 <__global_pointer$+0xffffd40a> + c: 213a0e03 lb x28,531(x20) # fffe0213 <__global_pointer$+0xfffdc9b3> + 10: 3b01 c.jal fffffd20 <__global_pointer$+0xffffc4c0> + 12: 3905 c.jal fffffc42 <__global_pointer$+0xffffc3e2> 14: 0013490b 0x13490b 18: 0300 c.addi4spn x8,x2,384 1a: 0034 c.addi4spn x13,x2,8 1c: 213a0803 lb x16,531(x20) - 20: 3b01 c.jal fffffd30 <__global_pointer$+0xffffd4f8> - 22: 3905 c.jal fffffc52 <__global_pointer$+0xffffd41a> + 20: 3b01 c.jal fffffd30 <__global_pointer$+0xffffc4d0> + 22: 3905 c.jal fffffc52 <__global_pointer$+0xffffc3f2> 24: 0013490b 0x13490b 28: 0400 c.addi4spn x8,x2,512 2a: 0024 c.addi4spn x9,x2,8 @@ -3145,929 +6072,3192 @@ Disassembly of section .debug_abbrev: 52: 1301 c.addi x6,-32 54: 0000 c.unimp 56: 3408 c.fld f10,40(x8) - 58: 3100 c.fld f8,32(x10) - 5a: 09000013 addi x0,x0,144 - 5e: 0026 c.slli x0,0x9 - 60: 1349 c.addi x6,-14 - 62: 0000 c.unimp - 64: 050a c.slli x10,0x2 - 66: 3100 c.fld f8,32(x10) - 68: 00170213 addi x4,x14,1 - 6c: 0b00 c.addi4spn x8,x2,400 - 6e: 0005 c.addi x0,1 - 70: 213a0803 lb x16,531(x20) - 74: 3b01 c.jal fffffd84 <__global_pointer$+0xffffd54c> - 76: e321 c.bnez x14,b6 <_start+0x2a> - 78: 490b3907 fld f18,1168(x22) # fffe0490 <__global_pointer$+0xfffddc58> - 7c: 0c000013 addi x0,x0,192 - 80: 000d c.addi x0,3 - 82: 213a0803 lb x16,531(x20) - 86: 3b02 c.fldsp f22,32(x2) - 88: 3905 c.jal fffffcb8 <__global_pointer$+0xffffd480> - 8a: 0013490b 0x13490b - 8e: 0d00 c.addi4spn x8,x2,656 - 90: 0005 c.addi x0,1 - 92: 213a0803 lb x16,531(x20) - 96: 3b01 c.jal fffffda6 <__global_pointer$+0xffffd56e> - 98: 9221 c.srli x12,0x28 - 9a: 390a c.fldsp f18,160(x2) - 9c: 0213490b 0x213490b - a0: 0e000017 auipc x0,0xe000 - a4: 1331010b 0x1331010b - a8: 0111 c.addi x2,4 - aa: 0612 c.slli x12,0x4 - ac: 1301 c.addi x6,-32 - ae: 0000 c.unimp - b0: 31010b0f 0x31010b0f - b4: 00175513 srli x10,x14,0x1 - b8: 1000 c.addi4spn x8,x2,32 - ba: 0000010b 0x10b - be: 1111 c.addi x2,-28 - c0: 2501 c.jal 6c0 <_s2d+0x20> - c2: 130e c.slli x6,0x23 - c4: 1b1f030b 0x1b1f030b - c8: 111f 1201 1006 0x10061201111f - ce: 12000017 auipc x0,0x12000 - d2: 0024 c.addi4spn x9,x2,8 - d4: 0b3e0b0b 0xb3e0b0b - d8: 00000803 lb x16,0(x0) # 0 - dc: 03011313 slli x6,x2,0x30 - e0: 0b0e c.slli x22,0x3 - e2: 3b0b3a0b 0x3b0b3a0b - e6: 3905 c.jal fffffd16 <__global_pointer$+0xffffd4de> - e8: 0013010b 0x13010b - ec: 1400 c.addi4spn x8,x2,544 - ee: 000d c.addi x0,3 - f0: 0b3a0803 lb x16,179(x20) - f4: 0b39053b 0xb39053b - f8: 1349 c.addi x6,-14 - fa: 0b38 c.addi4spn x14,x2,408 - fc: 0000 c.unimp - fe: 0d15 c.addi x26,5 - 100: 0300 c.addi4spn x8,x2,384 - 102: 3a0e c.fldsp f20,224(x2) - 104: 39053b0b 0x39053b0b - 108: 3813490b 0x3813490b - 10c: 1600000b 0x1600000b - 110: 0b0b0117 auipc x2,0xb0b0 - 114: 0b3a c.slli x22,0xe - 116: 0b39053b 0xb39053b - 11a: 1301 c.addi x6,-32 - 11c: 0000 c.unimp - 11e: 03001617 auipc x12,0x3001 - 122: 3a0e c.fldsp f20,224(x2) - 124: 39053b0b 0x39053b0b - 128: 0013490b 0x13490b - 12c: 1800 c.addi4spn x8,x2,48 - 12e: 0101 c.addi x2,0 - 130: 1349 c.addi x6,-14 - 132: 1301 c.addi x6,-32 - 134: 0000 c.unimp - 136: 2119 c.jal 53c <_h2s+0x44> - 138: 4900 c.lw x8,16(x10) - 13a: 000b2f13 slti x30,x22,0 - 13e: 1a00 c.addi4spn x8,x2,304 - 140: 0034 c.addi4spn x13,x2,8 - 142: 0b3a0e03 lb x28,179(x20) - 146: 0b39053b 0xb39053b - 14a: 1349 c.addi x6,-14 - 14c: 193c193f 2e1b0000 0x2e1b0000193c193f - 154: 3f01 c.jal 64 - 156: 0319 c.addi x6,6 - 158: 3a0e c.fldsp f20,224(x2) - 15a: 39053b0b 0x39053b0b - 15e: 4919270b 0x4919270b - 162: 12011113 0x12011113 - 166: 4006 0x4006 - 168: 7a18 c.flw f14,48(x12) - 16a: 0119 c.addi x2,6 - 16c: 1c000013 addi x0,x0,448 - 170: 011d c.addi x2,7 - 172: 1331 c.addi x6,-20 - 174: 0152 c.slli x2,0x14 - 176: 1755 c.addi x14,-11 - 178: 0b58 c.addi4spn x14,x2,404 - 17a: 0559 c.addi x10,22 - 17c: 00000b57 0xb57 - 180: 0b1d c.addi x22,7 - 182: 5501 c.li x10,-32 - 184: 1e000017 auipc x0,0x1e000 - 188: 012e c.slli x2,0xb - 18a: 0b3a0e03 lb x28,179(x20) - 18e: 0b39053b 0xb39053b - 192: 13491927 0x13491927 - 196: 0b20 c.addi4spn x8,x2,408 - 198: 1301 c.addi x6,-32 - 19a: 0000 c.unimp - 19c: 0f1f 0b00 490b 0x490b0b000f1f - 1a2: 00000013 addi x0,x0,0 - 1a6: 2401 c.jal 3a6 <_d2s+0xa> - 1a8: 0b00 c.addi4spn x8,x2,400 - 1aa: 030b3e0b 0x30b3e0b - 1ae: 000e c.slli x0,0x3 - 1b0: 0200 c.addi4spn x8,x2,256 - 1b2: 0026 c.slli x0,0x9 - 1b4: 1349 c.addi x6,-14 - 1b6: 0000 c.unimp - 1b8: 25011103 lh x2,592(x2) # b0b0360 <__global_pointer$+0xb0adb28> - 1bc: 130e c.slli x6,0x23 - 1be: 1b1f030b 0x1b1f030b - 1c2: 101f 0017 0400 0x4000017101f - 1c8: 0024 c.addi4spn x9,x2,8 - 1ca: 0b3e0b0b 0xb3e0b0b - 1ce: 00000803 lb x16,0(x0) # 0 - 1d2: 1605 c.addi x12,-31 - 1d4: 0300 c.addi4spn x8,x2,384 - 1d6: 3a0e c.fldsp f20,224(x2) - 1d8: 390b3b0b 0x390b3b0b - 1dc: 0013490b 0x13490b - 1e0: 0600 c.addi4spn x8,x2,768 - 1e2: 0101 c.addi x2,0 + 58: 0300 c.addi4spn x8,x2,384 + 5a: 3a08 c.fld f10,48(x12) + 5c: 0121 c.addi x2,8 + 5e: 0b39053b 0xb39053b + 62: 1349 c.addi x6,-14 + 64: 1702 c.slli x14,0x20 + 66: 0000 c.unimp + 68: 3409 c.jal fffffa6a <__global_pointer$+0xffffc20a> + 6a: 3100 c.fld f8,32(x10) + 6c: 0a000013 addi x0,x0,160 + 70: 0026 c.slli x0,0x9 + 72: 1349 c.addi x6,-14 + 74: 0000 c.unimp + 76: 0300050b 0x300050b + 7a: 3a08 c.fld f10,48(x12) + 7c: 0121 c.addi x2,8 + 7e: 07e3213b 0x7e3213b + 82: 0b39 c.addi x22,14 + 84: 1349 c.addi x6,-14 + 86: 0000 c.unimp + 88: 0d0c c.addi4spn x11,x2,656 + 8a: 0300 c.addi4spn x8,x2,384 + 8c: 3a08 c.fld f10,48(x12) + 8e: 0221 c.addi x4,8 + 90: 0b39053b 0xb39053b + 94: 1349 c.addi x6,-14 + 96: 0000 c.unimp + 98: 050d c.addi x10,3 + 9a: 0300 c.addi4spn x8,x2,384 + 9c: 3a08 c.fld f10,48(x12) + 9e: 0121 c.addi x2,8 + a0: 09bb213b 0x9bb213b + a4: 0b39 c.addi x22,14 + a6: 1349 c.addi x6,-14 + a8: 1702 c.slli x14,0x20 + aa: 0000 c.unimp + ac: 050e c.slli x10,0x3 + ae: 3100 c.fld f8,32(x10) + b0: 0f000013 addi x0,x0,240 + b4: 1331010b 0x1331010b + b8: 0111 c.addi x2,4 + ba: 0612 c.slli x12,0x4 + bc: 1301 c.addi x6,-32 + be: 0000 c.unimp + c0: 0b10 c.addi4spn x12,x2,400 + c2: 3101 c.jal fffffcc2 <__global_pointer$+0xffffc462> + c4: 00175513 srli x10,x14,0x1 + c8: 1100 c.addi4spn x8,x2,160 + ca: 0000010b 0x10b + ce: 1112 c.slli x2,0x24 + d0: 2501 c.jal 6d0 <_h2s+0x134> + d2: 130e c.slli x6,0x23 + d4: 1b1f030b 0x1b1f030b + d8: 111f 1201 1006 0x10061201111f + de: 13000017 auipc x0,0x13000 + e2: 0024 c.addi4spn x9,x2,8 + e4: 0b3e0b0b 0xb3e0b0b + e8: 00000803 lb x16,0(x0) # 0 + ec: 1314 c.addi4spn x13,x2,416 + ee: 0301 c.addi x6,0 + f0: 0b0e c.slli x22,0x3 + f2: 3b0b3a0b 0x3b0b3a0b + f6: 3905 c.jal fffffd26 <__global_pointer$+0xffffc4c6> + f8: 0013010b 0x13010b + fc: 1500 c.addi4spn x8,x2,672 + fe: 000d c.addi x0,3 + 100: 0b3a0803 lb x16,179(x20) + 104: 0b39053b 0xb39053b + 108: 1349 c.addi x6,-14 + 10a: 0b38 c.addi4spn x14,x2,408 + 10c: 0000 c.unimp + 10e: 0d16 c.slli x26,0x5 + 110: 0300 c.addi4spn x8,x2,384 + 112: 3a0e c.fldsp f20,224(x2) + 114: 39053b0b 0x39053b0b + 118: 3813490b 0x3813490b + 11c: 1700000b 0x1700000b + 120: 0b0b0117 auipc x2,0xb0b0 + 124: 0b3a c.slli x22,0xe + 126: 0b39053b 0xb39053b + 12a: 1301 c.addi x6,-32 + 12c: 0000 c.unimp + 12e: 1618 c.addi4spn x14,x2,800 + 130: 0300 c.addi4spn x8,x2,384 + 132: 3a0e c.fldsp f20,224(x2) + 134: 39053b0b 0x39053b0b + 138: 0013490b 0x13490b + 13c: 1900 c.addi4spn x8,x2,176 + 13e: 0101 c.addi x2,0 + 140: 1349 c.addi x6,-14 + 142: 1301 c.addi x6,-32 + 144: 0000 c.unimp + 146: 211a c.fldsp f2,384(x2) + 148: 4900 c.lw x8,16(x10) + 14a: 000b2f13 slti x30,x22,0 + 14e: 1b00 c.addi4spn x8,x2,432 + 150: 0034 c.addi4spn x13,x2,8 + 152: 0b3a0e03 lb x28,179(x20) + 156: 0b39053b 0xb39053b + 15a: 1349 c.addi x6,-14 + 15c: 193c193f 2e1c0000 0x2e1c0000193c193f + 164: 3f01 c.jal 74 + 166: 0319 c.addi x6,6 + 168: 3a0e c.fldsp f20,224(x2) + 16a: 39053b0b 0x39053b0b + 16e: 4919270b 0x4919270b + 172: 12011113 0x12011113 + 176: 4006 0x4006 + 178: 7a18 c.flw f14,48(x12) + 17a: 0119 c.addi x2,6 + 17c: 1d000013 addi x0,x0,464 + 180: 011d c.addi x2,7 + 182: 1331 c.addi x6,-20 + 184: 0152 c.slli x2,0x14 + 186: 1755 c.addi x14,-11 + 188: 0b58 c.addi4spn x14,x2,404 + 18a: 0559 c.addi x10,22 + 18c: 00000b57 0xb57 + 190: 051e c.slli x10,0x7 + 192: 3100 c.fld f8,32(x10) + 194: 00170213 addi x4,x14,1 + 198: 1f00 c.addi4spn x8,x2,944 + 19a: 1755010b 0x1755010b + 19e: 0000 c.unimp + 1a0: 2e20 c.fld f8,88(x12) + 1a2: 0301 c.addi x6,0 + 1a4: 3a0e c.fldsp f20,224(x2) + 1a6: 39053b0b 0x39053b0b + 1aa: 4919270b 0x4919270b + 1ae: 010b2013 slti x0,x22,16 + 1b2: 21000013 addi x0,x0,528 + 1b6: 0b0b000f 0xb0b000f + 1ba: 1349 c.addi x6,-14 + 1bc: 0000 c.unimp + 1be: 0100 c.addi4spn x8,x2,128 + 1c0: 0034 c.addi4spn x13,x2,8 + 1c2: 1331 c.addi x6,-20 + 1c4: 1702 c.slli x14,0x20 + 1c6: 0000 c.unimp + 1c8: 3402 c.fldsp f8,32(x2) + 1ca: 0300 c.addi4spn x8,x2,384 + 1cc: 3a0e c.fldsp f20,224(x2) + 1ce: 0121 c.addi x2,8 + 1d0: 0b39053b 0xb39053b + 1d4: 1349 c.addi x6,-14 + 1d6: 0000 c.unimp + 1d8: 03003403 0x3003403 + 1dc: 3a08 c.fld f10,48(x12) + 1de: 0121 c.addi x2,8 + 1e0: 0b39053b 0xb39053b 1e4: 1349 c.addi x6,-14 - 1e6: 1301 c.addi x6,-32 - 1e8: 0000 c.unimp - 1ea: 49002107 flw f2,1168(x0) # 490 <_d2s+0xf4> - 1ee: 000b2f13 slti x30,x22,0 - 1f2: 0800 c.addi4spn x8,x2,16 - 1f4: 0034 c.addi4spn x13,x2,8 - 1f6: 0b3a0e03 lb x28,179(x20) - 1fa: 0b39053b 0xb39053b - 1fe: 1349 c.addi x6,-14 - 200: 193c193f 34090000 0x34090000193c193f - 208: 4700 c.lw x8,8(x14) - 20a: 3b0b3a13 sltiu x20,x22,944 - 20e: 3905 c.jal fffffe3e <__global_pointer$+0xffffd606> - 210: 0018020b 0x18020b + 1e6: 0000 c.unimp + 1e8: 2404 c.fld f9,8(x8) + 1ea: 0b00 c.addi4spn x8,x2,400 + 1ec: 030b3e0b 0x30b3e0b + 1f0: 000e c.slli x0,0x3 + 1f2: 0500 c.addi4spn x8,x2,640 + 1f4: 1301010b 0x1301010b + 1f8: 0000 c.unimp + 1fa: 0b06 c.slli x22,0x1 + 1fc: 3101 c.jal fffffdfc <__global_pointer$+0xffffc59c> + 1fe: 01175513 srli x10,x14,0x11 + 202: 07000013 addi x0,x0,112 + 206: 0016 c.slli x0,0x5 + 208: 213a0e03 lb x28,531(x20) + 20c: 3b02 c.fldsp f22,32(x2) + 20e: 490b390b 0x490b390b + 212: 08000013 addi x0,x0,128 + 216: 0034 c.addi4spn x13,x2,8 + 218: 213a0803 lb x16,531(x20) + 21c: 3b01 c.jal ffffff2c <__global_pointer$+0xffffc6cc> + 21e: 3905 c.jal fffffe4e <__global_pointer$+0xffffc5ee> + 220: 0213490b 0x213490b + 224: 09000017 auipc x0,0x9000 + 228: 0034 c.addi4spn x13,x2,8 + 22a: 1331 c.addi x6,-20 + 22c: 0000 c.unimp + 22e: 260a c.fldsp f12,128(x2) + 230: 4900 c.lw x8,16(x10) + 232: 0b000013 addi x0,x0,176 + 236: 1331010b 0x1331010b + 23a: 0111 c.addi x2,4 + 23c: 0612 c.slli x12,0x4 + 23e: 1301 c.addi x6,-32 + 240: 0000 c.unimp + 242: 050c c.addi4spn x11,x2,640 + 244: 0300 c.addi4spn x8,x2,384 + 246: 3a08 c.fld f10,48(x12) + 248: 0121 c.addi x2,8 + 24a: 07e3213b 0x7e3213b + 24e: 0b39 c.addi x22,14 + 250: 1349 c.addi x6,-14 + 252: 0000 c.unimp + 254: 0d0d c.addi x26,3 + 256: 0300 c.addi4spn x8,x2,384 + 258: 3a08 c.fld f10,48(x12) + 25a: 0221 c.addi x4,8 + 25c: 0b39053b 0xb39053b + 260: 1349 c.addi x6,-14 + 262: 0000 c.unimp + 264: 050e c.slli x10,0x3 + 266: 0300 c.addi4spn x8,x2,384 + 268: 3a08 c.fld f10,48(x12) + 26a: 0121 c.addi x2,8 + 26c: 09d3213b 0x9d3213b + 270: 0b39 c.addi x22,14 + 272: 1349 c.addi x6,-14 + 274: 1702 c.slli x14,0x20 + 276: 0000 c.unimp + 278: 3100050f 0x3100050f + 27c: 00170213 addi x4,x14,1 + 280: 1000 c.addi4spn x8,x2,32 + 282: 1331010b 0x1331010b + 286: 0111 c.addi x2,4 + 288: 0612 c.slli x12,0x4 + 28a: 0000 c.unimp + 28c: 3411 c.jal fffffc90 <__global_pointer$+0xffffc430> + 28e: 3100 c.fld f8,32(x10) + 290: 00180213 addi x4,x16,1 # f4020105 <__global_pointer$+0xf401c8a5> + 294: 1200 c.addi4spn x8,x2,288 + 296: 0000010b 0x10b + 29a: 25011113 0x25011113 + 29e: 130e c.slli x6,0x23 + 2a0: 1b1f030b 0x1b1f030b + 2a4: 111f 1201 1006 0x10061201111f + 2aa: 14000017 auipc x0,0x14000 + 2ae: 0024 c.addi4spn x9,x2,8 + 2b0: 0b3e0b0b 0xb3e0b0b + 2b4: 00000803 lb x16,0(x0) # 0 + 2b8: 1315 c.addi x6,-27 + 2ba: 0301 c.addi x6,0 + 2bc: 0b0e c.slli x22,0x3 + 2be: 3b0b3a0b 0x3b0b3a0b + 2c2: 3905 c.jal fffffef2 <__global_pointer$+0xffffc692> + 2c4: 0013010b 0x13010b + 2c8: 1600 c.addi4spn x8,x2,800 + 2ca: 000d c.addi x0,3 + 2cc: 0b3a0803 lb x16,179(x20) + 2d0: 0b39053b 0xb39053b + 2d4: 1349 c.addi x6,-14 + 2d6: 0b38 c.addi4spn x14,x2,408 + 2d8: 0000 c.unimp + 2da: 03000d17 auipc x26,0x3000 + 2de: 3a0e c.fldsp f20,224(x2) + 2e0: 39053b0b 0x39053b0b + 2e4: 3813490b 0x3813490b + 2e8: 1800000b 0x1800000b + 2ec: 0b0b0117 auipc x2,0xb0b0 + 2f0: 0b3a c.slli x22,0xe + 2f2: 0b39053b 0xb39053b + 2f6: 1301 c.addi x6,-32 + 2f8: 0000 c.unimp + 2fa: 1619 c.addi x12,-26 + 2fc: 0300 c.addi4spn x8,x2,384 + 2fe: 3a0e c.fldsp f20,224(x2) + 300: 39053b0b 0x39053b0b + 304: 0013490b 0x13490b + 308: 1a00 c.addi4spn x8,x2,304 + 30a: 0101 c.addi x2,0 + 30c: 1349 c.addi x6,-14 + 30e: 1301 c.addi x6,-32 + 310: 0000 c.unimp + 312: 4900211b 0x4900211b + 316: 000b2f13 slti x30,x22,0 + 31a: 1c00 c.addi4spn x8,x2,560 + 31c: 0034 c.addi4spn x13,x2,8 + 31e: 0b3a0e03 lb x28,179(x20) + 322: 0b39053b 0xb39053b + 326: 1349 c.addi x6,-14 + 328: 193c193f 2e1d0000 0x2e1d0000193c193f + 330: 3f01 c.jal 240 <_getchar+0x30> + 332: 0319 c.addi x6,6 + 334: 3a0e c.fldsp f20,224(x2) + 336: 39053b0b 0x39053b0b + 33a: 4919270b 0x4919270b + 33e: 12011113 0x12011113 + 342: 4006 0x4006 + 344: 7a18 c.flw f14,48(x12) + 346: 0119 c.addi x2,6 + 348: 1e000013 addi x0,x0,480 + 34c: 011d c.addi x2,7 + 34e: 1331 c.addi x6,-20 + 350: 0152 c.slli x2,0x14 + 352: 1755 c.addi x14,-11 + 354: 0b58 c.addi4spn x14,x2,404 + 356: 0559 c.addi x10,22 + 358: 00000b57 0xb57 + 35c: 051f 3100 0013 0x133100051f + 362: 2000 c.fld f8,0(x8) + 364: 1755010b 0x1755010b + 368: 0000 c.unimp + 36a: 2e21 c.jal 682 <_h2s+0xe6> + 36c: 0301 c.addi x6,0 + 36e: 3a0e c.fldsp f20,224(x2) + 370: 39053b0b 0x39053b0b + 374: 4919270b 0x4919270b + 378: 010b2013 slti x0,x22,16 + 37c: 22000013 addi x0,x0,544 + 380: 0b0b000f 0xb0b000f + 384: 1349 c.addi x6,-14 + 386: 0000 c.unimp + 388: 0100 c.addi4spn x8,x2,128 + 38a: 0034 c.addi4spn x13,x2,8 + 38c: 1331 c.addi x6,-20 + 38e: 1702 c.slli x14,0x20 + 390: 0000 c.unimp + 392: 3402 c.fldsp f8,32(x2) + 394: 0300 c.addi4spn x8,x2,384 + 396: 3a0e c.fldsp f20,224(x2) + 398: 0121 c.addi x2,8 + 39a: 0b39053b 0xb39053b + 39e: 1349 c.addi x6,-14 + 3a0: 0000 c.unimp + 3a2: 03003403 0x3003403 + 3a6: 3a08 c.fld f10,48(x12) + 3a8: 0121 c.addi x2,8 + 3aa: 0b39053b 0xb39053b + 3ae: 1349 c.addi x6,-14 + 3b0: 0000 c.unimp + 3b2: 2404 c.fld f9,8(x8) + 3b4: 0b00 c.addi4spn x8,x2,400 + 3b6: 030b3e0b 0x30b3e0b + 3ba: 000e c.slli x0,0x3 + 3bc: 0500 c.addi4spn x8,x2,640 + 3be: 1301010b 0x1301010b + 3c2: 0000 c.unimp + 3c4: 1606 c.slli x12,0x21 + 3c6: 0300 c.addi4spn x8,x2,384 + 3c8: 3a0e c.fldsp f20,224(x2) + 3ca: 0221 c.addi x4,8 + 3cc: 0b390b3b 0xb390b3b + 3d0: 1349 c.addi x6,-14 + 3d2: 0000 c.unimp + 3d4: 31010b07 0x31010b07 + 3d8: 01175513 srli x10,x14,0x11 + 3dc: 08000013 addi x0,x0,128 + 3e0: 0034 c.addi4spn x13,x2,8 + 3e2: 1331 c.addi x6,-20 + 3e4: 0000 c.unimp + 3e6: 2609 c.jal 6e8 <_h2s+0x14c> + 3e8: 4900 c.lw x8,16(x10) + 3ea: 0a000013 addi x0,x0,160 + 3ee: 0005 c.addi x0,1 + 3f0: 1331 c.addi x6,-20 + 3f2: 1702 c.slli x14,0x20 + 3f4: 0000 c.unimp + 3f6: 0300050b 0x300050b + 3fa: 3a08 c.fld f10,48(x12) + 3fc: 0121 c.addi x2,8 + 3fe: 07e3213b 0x7e3213b + 402: 0b39 c.addi x22,14 + 404: 1349 c.addi x6,-14 + 406: 0000 c.unimp + 408: 0d0c c.addi4spn x11,x2,656 + 40a: 0300 c.addi4spn x8,x2,384 + 40c: 3a08 c.fld f10,48(x12) + 40e: 0221 c.addi x4,8 + 410: 0b39053b 0xb39053b + 414: 1349 c.addi x6,-14 + 416: 0000 c.unimp + 418: 050d c.addi x10,3 + 41a: 0300 c.addi4spn x8,x2,384 + 41c: 3a08 c.fld f10,48(x12) + 41e: 0121 c.addi x2,8 + 420: 0a92213b 0xa92213b + 424: 0b39 c.addi x22,14 + 426: 1349 c.addi x6,-14 + 428: 1702 c.slli x14,0x20 + 42a: 0000 c.unimp + 42c: 0b0e c.slli x22,0x3 + 42e: 3101 c.jal 2e + 430: 12011113 0x12011113 + 434: 0106 c.slli x2,0x1 + 436: 0f000013 addi x0,x0,240 + 43a: 1331010b 0x1331010b + 43e: 1755 c.addi x14,-11 + 440: 0000 c.unimp + 442: 0b10 c.addi4spn x12,x2,400 + 444: 0001 c.addi x0,0 + 446: 1100 c.addi4spn x8,x2,160 + 448: 0111 c.addi x2,4 + 44a: 0e25 c.addi x28,9 + 44c: 1f030b13 addi x22,x6,496 # ffffa1f0 <__global_pointer$+0xffff6990> + 450: 01111f1b 0x1111f1b + 454: 0612 c.slli x12,0x4 + 456: 1710 c.addi4spn x12,x2,928 + 458: 0000 c.unimp + 45a: 2412 c.fldsp f8,256(x2) + 45c: 0b00 c.addi4spn x8,x2,400 + 45e: 030b3e0b 0x30b3e0b + 462: 0008 0x8 + 464: 1300 c.addi4spn x8,x2,416 + 466: 0e030113 addi x2,x6,224 + 46a: 0b3a0b0b 0xb3a0b0b + 46e: 0b39053b 0xb39053b + 472: 1301 c.addi x6,-32 + 474: 0000 c.unimp + 476: 0d14 c.addi4spn x13,x2,656 + 478: 0300 c.addi4spn x8,x2,384 + 47a: 3a08 c.fld f10,48(x12) + 47c: 39053b0b 0x39053b0b + 480: 3813490b 0x3813490b + 484: 1500000b 0x1500000b + 488: 000d c.addi x0,3 + 48a: 0b3a0e03 lb x28,179(x20) + 48e: 0b39053b 0xb39053b + 492: 1349 c.addi x6,-14 + 494: 0b38 c.addi4spn x14,x2,408 + 496: 0000 c.unimp + 498: 1716 c.slli x14,0x25 + 49a: 0b01 c.addi x22,0 + 49c: 3b0b3a0b 0x3b0b3a0b + 4a0: 3905 c.jal d0 <_start+0x44> + 4a2: 0013010b 0x13010b + 4a6: 1700 c.addi4spn x8,x2,928 + 4a8: 0016 c.slli x0,0x5 + 4aa: 0b3a0e03 lb x28,179(x20) + 4ae: 0b39053b 0xb39053b + 4b2: 1349 c.addi x6,-14 + 4b4: 0000 c.unimp + 4b6: 0118 c.addi4spn x14,x2,128 + 4b8: 4901 c.li x18,0 + 4ba: 00130113 addi x2,x6,1 + 4be: 1900 c.addi4spn x8,x2,176 + 4c0: 0021 c.addi x0,8 + 4c2: 1349 c.addi x6,-14 + 4c4: 00000b2f 0xb2f + 4c8: 341a c.fldsp f8,416(x2) + 4ca: 0300 c.addi4spn x8,x2,384 + 4cc: 3a0e c.fldsp f20,224(x2) + 4ce: 39053b0b 0x39053b0b + 4d2: 3f13490b 0x3f13490b + 4d6: 3c19 c.jal fffffeec <__global_pointer$+0xffffc68c> + 4d8: 0019 c.addi x0,6 + 4da: 1b00 c.addi4spn x8,x2,432 + 4dc: 012e c.slli x2,0xb + 4de: 0e03193f 053b0b3a 0x53b0b3a0e03193f + 4e6: 0b39 c.addi x22,14 + 4e8: 13491927 0x13491927 + 4ec: 0111 c.addi x2,4 + 4ee: 0612 c.slli x12,0x4 + 4f0: 1840 c.addi4spn x8,x2,52 + 4f2: 197a c.slli x18,0x3e + 4f4: 1301 c.addi x6,-32 + 4f6: 0000 c.unimp + 4f8: 1d1c c.addi4spn x15,x2,688 + 4fa: 3101 c.jal fa <__do_global_dtors_aux+0x22> + 4fc: 55015213 0x55015213 + 500: 590b5817 auipc x16,0x590b5 + 504: 5705 c.li x14,-31 + 506: 1d00000b 0x1d00000b + 50a: 1755010b 0x1755010b + 50e: 0000 c.unimp + 510: 2e1e c.fldsp f28,448(x2) + 512: 0301 c.addi x6,0 + 514: 3a0e c.fldsp f20,224(x2) + 516: 39053b0b 0x39053b0b + 51a: 4919270b 0x4919270b + 51e: 010b2013 slti x0,x22,16 + 522: 1f000013 addi x0,x0,496 + 526: 0b0b000f 0xb0b000f + 52a: 1349 c.addi x6,-14 + 52c: 0000 c.unimp + 52e: 0100 c.addi4spn x8,x2,128 + 530: 0024 c.addi4spn x9,x2,8 + 532: 0b3e0b0b 0xb3e0b0b + 536: 00000e03 lb x28,0(x0) # 0 + 53a: 2602 c.fldsp f12,0(x2) + 53c: 4900 c.lw x8,16(x10) + 53e: 03000013 addi x0,x0,48 + 542: 0111 c.addi x2,4 + 544: 0e25 c.addi x28,9 + 546: 1f030b13 addi x22,x6,496 + 54a: 17101f1b 0x17101f1b + 54e: 0000 c.unimp + 550: 2404 c.fld f9,8(x8) + 552: 0b00 c.addi4spn x8,x2,400 + 554: 030b3e0b 0x30b3e0b + 558: 0008 0x8 + 55a: 0500 c.addi4spn x8,x2,640 + 55c: 0016 c.slli x0,0x5 + 55e: 0b3a0e03 lb x28,179(x20) + 562: 0b390b3b 0xb390b3b + 566: 1349 c.addi x6,-14 + 568: 0000 c.unimp + 56a: 0106 c.slli x2,0x1 + 56c: 4901 c.li x18,0 + 56e: 00130113 addi x2,x6,1 + 572: 0700 c.addi4spn x8,x2,896 + 574: 0021 c.addi x0,8 + 576: 1349 c.addi x6,-14 + 578: 00000b2f 0xb2f + 57c: 3408 c.fld f10,40(x8) + 57e: 0300 c.addi4spn x8,x2,384 + 580: 3a0e c.fldsp f20,224(x2) + 582: 39053b0b 0x39053b0b + 586: 3f13490b 0x3f13490b + 58a: 3c19 c.jal ffffffa0 <__global_pointer$+0xffffc740> + 58c: 0019 c.addi x0,6 + 58e: 0900 c.addi4spn x8,x2,144 + 590: 0034 c.addi4spn x13,x2,8 + 592: 0b3a1347 fmsub.d f6,f20,f19,f1,rtz + 596: 0b39053b 0xb39053b + 59a: 1802 c.slli x16,0x20 + 59c: 0000 c.unimp ... Disassembly of section .debug_line: 00000000 <.debug_line>: - 0: 00000723 sb x0,14(x0) # e - 4: 0005 c.addi x0,1 - 6: 0004 0x4 - 8: 00000033 add x0,x0,x0 - c: 0101 c.addi x2,0 - e: fb01 c.bnez x14,ffffff1e <__global_pointer$+0xffffd6e6> - 10: 0d0e c.slli x26,0x3 - 12: 0100 c.addi4spn x8,x2,128 - 14: 0101 c.addi x2,0 - 16: 0001 c.addi x0,0 - 18: 0000 c.unimp - 1a: 0001 c.addi x0,0 - 1c: 0100 c.addi4spn x8,x2,128 - 1e: 0101 c.addi x2,0 - 20: 021f 0000 0000 0x21f - 26: 008a c.slli x1,0x2 - 28: 0000 c.unimp - 2a: 0102 c.slli64 x2 - 2c: 021f 030f 0064 0x64030f021f - 32: 0000 c.unimp - 34: 8000 0x8000 - 36: 0000 c.unimp - 38: 0100 c.addi4spn x8,x2,128 - 3a: 00a6 c.slli x1,0x9 - 3c: 0000 c.unimp - 3e: 0501 c.addi x10,0 - 40: 0001 c.addi x0,0 - 42: 0205 c.addi x4,1 - 44: 1298 c.addi4spn x14,x2,352 - 46: 0000 c.unimp - 48: 010a9203 lh x4,16(x21) - 4c: 0305 c.addi x6,1 - 4e: 00090103 lb x2,0(x18) - 52: 0100 c.addi4spn x8,x2,128 - 54: 097dd103 lhu x2,151(x27) - 58: 0000 c.unimp - 5a: 0301 c.addi x6,0 - 5c: 0901 c.addi x18,0 - 5e: 0000 c.unimp - 60: 0301 c.addi x6,0 - 62: 0901 c.addi x18,0 - 64: 0000 c.unimp - 66: 0301 c.addi x6,0 - 68: 0901 c.addi x18,0 - 6a: 0000 c.unimp - 6c: 0301 c.addi x6,0 - 6e: 0901 c.addi x18,0 - 70: 0000 c.unimp - 72: 0301 c.addi x6,0 - 74: 0901 c.addi x18,0 - 76: 0000 c.unimp - 78: 0301 c.addi x6,0 - 7a: 0902 c.slli64 x18 - 7c: 0000 c.unimp - 7e: 0501 c.addi x10,0 - 80: 0601 c.addi x12,0 - 82: 0902a703 lw x14,144(x5) - 86: 0000 c.unimp - 88: 0501 c.addi x10,0 - 8a: 0306 c.slli x6,0x1 - 8c: 7dd9 c.lui x27,0xffff6 - 8e: 0809 c.addi x16,2 - 90: 0100 c.addi4spn x8,x2,128 - 92: 0305 c.addi x6,1 - 94: 0306 c.slli x6,0x1 - 96: 0901 c.addi x18,0 - 98: 0004 0x4 - 9a: 0301 c.addi x6,0 - 9c: 0901 c.addi x18,0 - 9e: 0000 c.unimp - a0: 0501 c.addi x10,0 - a2: 0606 c.slli x12,0x1 - a4: 00090003 lb x0,0(x18) - a8: 0100 c.addi4spn x8,x2,128 - aa: 0305 c.addi x6,1 - ac: 0306 c.slli x6,0x1 - ae: 0901 c.addi x18,0 - b0: 0004 0x4 - b2: 0501 c.addi x10,0 - b4: 0606 c.slli x12,0x1 - b6: 00090003 lb x0,0(x18) - ba: 0100 c.addi4spn x8,x2,128 - bc: 0305 c.addi x6,1 - be: 0306 c.slli x6,0x1 - c0: 0925 c.addi x18,9 - c2: 0004 0x4 - c4: 0501 c.addi x10,0 - c6: 0606 c.slli x12,0x1 - c8: 00090003 lb x0,0(x18) - cc: 0100 c.addi4spn x8,x2,128 - ce: 0705 c.addi x14,1 - d0: 0306 c.slli x6,0x1 - d2: 0902 c.slli64 x18 - d4: 0004 0x4 - d6: 0501 c.addi x10,0 - d8: 060a c.slli x12,0x2 - da: 08090003 lb x0,128(x18) - de: 0100 c.addi4spn x8,x2,128 - e0: 0405 c.addi x8,1 - e2: 0306 c.slli x6,0x1 - e4: 0904 c.addi4spn x9,x2,144 - e6: 0004 0x4 - e8: 0301 c.addi x6,0 - ea: 0900 c.addi4spn x8,x2,144 - ec: 0000 c.unimp - ee: 0301 c.addi x6,0 - f0: 0900 c.addi4spn x8,x2,144 - f2: 0000 c.unimp - f4: 0301 c.addi x6,0 - f6: 0900 c.addi4spn x8,x2,144 - f8: 0000 c.unimp - fa: 0301 c.addi x6,0 - fc: 0900 c.addi4spn x8,x2,144 - fe: 0000 c.unimp - 100: 0301 c.addi x6,0 - 102: 0900 c.addi4spn x8,x2,144 - 104: 0014 0x14 - 106: 0301 c.addi x6,0 - 108: 0900 c.addi4spn x8,x2,144 - 10a: 0018 0x18 - 10c: 0301 c.addi x6,0 - 10e: 0902 c.slli64 x18 - 110: 0000 c.unimp - 112: 0501 c.addi x10,0 - 114: 00030607 0x30607 - 118: 0009 c.addi x0,2 - 11a: 0100 c.addi4spn x8,x2,128 - 11c: 0805 c.addi x16,1 - 11e: 0306 c.slli x6,0x1 - 120: 0905 c.addi x18,1 - 122: 0004 0x4 - 124: 0501 c.addi x10,0 - 126: 0611 c.addi x12,4 - 128: 00090103 lb x2,0(x18) - 12c: 0100 c.addi4spn x8,x2,128 - 12e: 1e05 c.addi x28,-31 - 130: 04090003 lb x0,64(x18) - 134: 0100 c.addi4spn x8,x2,128 - 136: 0b05 c.addi x22,1 - 138: 04097f03 0x4097f03 - 13c: 0100 c.addi4spn x8,x2,128 - 13e: 0805 c.addi x16,1 - 140: 0306 c.slli x6,0x1 - 142: 0901 c.addi x18,0 - 144: 0004 0x4 - 146: 0501 c.addi x10,0 - 148: 0003060b 0x3060b - 14c: 0009 c.addi x0,2 - 14e: 0100 c.addi4spn x8,x2,128 - 150: 0805 c.addi x16,1 - 152: 0306 c.slli x6,0x1 - 154: 0901 c.addi x18,0 - 156: 0004 0x4 - 158: 0501 c.addi x10,0 - 15a: 0003060b 0x3060b - 15e: 0009 c.addi x0,2 - 160: 0100 c.addi4spn x8,x2,128 - 162: 0405 c.addi x8,1 - 164: 0306 c.slli x6,0x1 - 166: 00040903 lb x18,0(x8) # 7000 <__global_pointer$+0x47c8> - 16a: 0301 c.addi x6,0 - 16c: 0900 c.addi4spn x8,x2,144 - 16e: 0000 c.unimp - 170: 0301 c.addi x6,0 - 172: 0900 c.addi4spn x8,x2,144 - 174: 0000 c.unimp - 176: 0301 c.addi x6,0 - 178: 0900 c.addi4spn x8,x2,144 - 17a: 0000 c.unimp - 17c: 0301 c.addi x6,0 - 17e: 0900 c.addi4spn x8,x2,144 - 180: 0004 0x4 - 182: 0301 c.addi x6,0 - 184: 0900 c.addi4spn x8,x2,144 - 186: 000c 0xc - 188: 0301 c.addi x6,0 - 18a: 0900 c.addi4spn x8,x2,144 - 18c: 0000 c.unimp - 18e: 0301 c.addi x6,0 - 190: 0900 c.addi4spn x8,x2,144 - 192: 0000 c.unimp - 194: 0301 c.addi x6,0 - 196: 0900 c.addi4spn x8,x2,144 - 198: 000c 0xc - 19a: 0301 c.addi x6,0 - 19c: 0900 c.addi4spn x8,x2,144 - 19e: 000c 0xc - 1a0: 0301 c.addi x6,0 - 1a2: 0900 c.addi4spn x8,x2,144 - 1a4: 0004 0x4 - 1a6: 0301 c.addi x6,0 - 1a8: 0900 c.addi4spn x8,x2,144 - 1aa: 0008 0x8 - 1ac: 0301 c.addi x6,0 - 1ae: 0900 c.addi4spn x8,x2,144 - 1b0: 0004 0x4 - 1b2: 0301 c.addi x6,0 - 1b4: 0900 c.addi4spn x8,x2,144 - 1b6: 0004 0x4 - 1b8: 0301 c.addi x6,0 - 1ba: 0900 c.addi4spn x8,x2,144 - 1bc: 0008 0x8 - 1be: 0301 c.addi x6,0 - 1c0: 0900 c.addi4spn x8,x2,144 - 1c2: 0004 0x4 - 1c4: 0301 c.addi x6,0 - 1c6: 0900 c.addi4spn x8,x2,144 - 1c8: 0000 c.unimp - 1ca: 0301 c.addi x6,0 - 1cc: 0900 c.addi4spn x8,x2,144 - 1ce: 0004 0x4 - 1d0: 0301 c.addi x6,0 - 1d2: 0900 c.addi4spn x8,x2,144 - 1d4: 0010 0x10 - 1d6: 0301 c.addi x6,0 - 1d8: 0900 c.addi4spn x8,x2,144 - 1da: 0008 0x8 - 1dc: 0301 c.addi x6,0 - 1de: 0900 c.addi4spn x8,x2,144 - 1e0: 0008 0x8 - 1e2: 0301 c.addi x6,0 - 1e4: 0900 c.addi4spn x8,x2,144 - 1e6: 0008 0x8 - 1e8: 0301 c.addi x6,0 - 1ea: 0900 c.addi4spn x8,x2,144 - 1ec: 0004 0x4 - 1ee: 0301 c.addi x6,0 - 1f0: 0900 c.addi4spn x8,x2,144 - 1f2: 0004 0x4 - 1f4: 0301 c.addi x6,0 - 1f6: 0900 c.addi4spn x8,x2,144 - 1f8: 0004 0x4 - 1fa: 0301 c.addi x6,0 - 1fc: 0900 c.addi4spn x8,x2,144 - 1fe: 0000 c.unimp - 200: 0301 c.addi x6,0 - 202: 0900 c.addi4spn x8,x2,144 - 204: 0008 0x8 - 206: 0301 c.addi x6,0 - 208: 0900 c.addi4spn x8,x2,144 - 20a: 0000 c.unimp - 20c: 0301 c.addi x6,0 - 20e: 0901 c.addi x18,0 - 210: 0000 c.unimp - 212: 0501 c.addi x10,0 - 214: fe03060b 0xfe03060b - 218: 0900 c.addi4spn x8,x2,144 - 21a: 0000 c.unimp - 21c: 0501 c.addi x10,0 - 21e: 0e030603 lb x12,224(x6) # ffffa0e0 <__global_pointer$+0xffff78a8> - 222: 0409 c.addi x8,2 - 224: 0100 c.addi4spn x8,x2,128 - 226: 00090103 lb x2,0(x18) - 22a: 0100 c.addi4spn x8,x2,128 - 22c: 0105 c.addi x2,1 - 22e: 0306 c.slli x6,0x1 - 230: 00e1 c.addi x1,24 - 232: 0009 c.addi x0,2 - 234: 0100 c.addi4spn x8,x2,128 - 236: 0405 c.addi x8,1 - 238: 097e8503 lb x10,151(x29) - 23c: 0004 0x4 - 23e: 0601 c.addi x12,0 - 240: 14091503 lh x10,320(x18) - 244: 0100 c.addi4spn x8,x2,128 - 246: 0705 c.addi x14,1 - 248: 0306 c.slli x6,0x1 - 24a: 0900 c.addi4spn x8,x2,144 - 24c: 0000 c.unimp - 24e: 0501 c.addi x10,0 - 250: 0606 c.slli x12,0x1 - 252: 04090103 lb x2,64(x18) - 256: 0100 c.addi4spn x8,x2,128 - 258: 0405 c.addi x8,1 - 25a: 04090203 lb x4,64(x18) - 25e: 0100 c.addi4spn x8,x2,128 - 260: 00090003 lb x0,0(x18) - 264: 0100 c.addi4spn x8,x2,128 - 266: 00090003 lb x0,0(x18) - 26a: 0100 c.addi4spn x8,x2,128 - 26c: 00090003 lb x0,0(x18) - 270: 0100 c.addi4spn x8,x2,128 - 272: 00090003 lb x0,0(x18) - 276: 0100 c.addi4spn x8,x2,128 - 278: 14090003 lb x0,320(x18) - 27c: 0100 c.addi4spn x8,x2,128 - 27e: 18090003 lb x0,384(x18) - 282: 0100 c.addi4spn x8,x2,128 - 284: 00090203 lb x4,0(x18) - 288: 0100 c.addi4spn x8,x2,128 - 28a: 0705 c.addi x14,1 - 28c: 0306 c.slli x6,0x1 - 28e: 0900 c.addi4spn x8,x2,144 - 290: 0000 c.unimp - 292: 0501 c.addi x10,0 - 294: 0608 c.addi4spn x10,x2,768 - 296: 04090903 lb x18,64(x18) - 29a: 0100 c.addi4spn x8,x2,128 - 29c: 0b05 c.addi x22,1 - 29e: 0306 c.slli x6,0x1 - 2a0: 0900 c.addi4spn x8,x2,144 - 2a2: 0000 c.unimp - 2a4: 0501 c.addi x10,0 - 2a6: 0608 c.addi4spn x10,x2,768 - 2a8: 04090103 lb x2,64(x18) - 2ac: 0100 c.addi4spn x8,x2,128 - 2ae: 0b05 c.addi x22,1 - 2b0: 0306 c.slli x6,0x1 - 2b2: 0900 c.addi4spn x8,x2,144 - 2b4: 0000 c.unimp - 2b6: 0501 c.addi x10,0 - 2b8: 0608 c.addi4spn x10,x2,768 - 2ba: 04090d03 lb x26,64(x18) - 2be: 0100 c.addi4spn x8,x2,128 - 2c0: 0405 c.addi x8,1 - 2c2: 00090503 lb x10,0(x18) - 2c6: 0100 c.addi4spn x8,x2,128 - 2c8: 00090003 lb x0,0(x18) - 2cc: 0100 c.addi4spn x8,x2,128 - 2ce: 00090003 lb x0,0(x18) - 2d2: 0100 c.addi4spn x8,x2,128 - 2d4: 00090003 lb x0,0(x18) - 2d8: 0100 c.addi4spn x8,x2,128 - 2da: 04090003 lb x0,64(x18) - 2de: 0100 c.addi4spn x8,x2,128 - 2e0: 0c090003 lb x0,192(x18) - 2e4: 0100 c.addi4spn x8,x2,128 - 2e6: 00090003 lb x0,0(x18) - 2ea: 0100 c.addi4spn x8,x2,128 - 2ec: 00090003 lb x0,0(x18) - 2f0: 0100 c.addi4spn x8,x2,128 - 2f2: 10090003 lb x0,256(x18) - 2f6: 0100 c.addi4spn x8,x2,128 - 2f8: 08090003 lb x0,128(x18) - 2fc: 0100 c.addi4spn x8,x2,128 - 2fe: 04090003 lb x0,64(x18) - 302: 0100 c.addi4spn x8,x2,128 - 304: 08090003 lb x0,128(x18) - 308: 0100 c.addi4spn x8,x2,128 - 30a: 04090003 lb x0,64(x18) - 30e: 0100 c.addi4spn x8,x2,128 - 310: 04090003 lb x0,64(x18) - 314: 0100 c.addi4spn x8,x2,128 - 316: 08090003 lb x0,128(x18) - 31a: 0100 c.addi4spn x8,x2,128 - 31c: 04090003 lb x0,64(x18) - 320: 0100 c.addi4spn x8,x2,128 - 322: 00090003 lb x0,0(x18) - 326: 0100 c.addi4spn x8,x2,128 - 328: 04090003 lb x0,64(x18) - 32c: 0100 c.addi4spn x8,x2,128 - 32e: 10090003 lb x0,256(x18) - 332: 0100 c.addi4spn x8,x2,128 - 334: 08090003 lb x0,128(x18) - 338: 0100 c.addi4spn x8,x2,128 - 33a: 08090003 lb x0,128(x18) - 33e: 0100 c.addi4spn x8,x2,128 - 340: 08090003 lb x0,128(x18) - 344: 0100 c.addi4spn x8,x2,128 - 346: 04090003 lb x0,64(x18) - 34a: 0100 c.addi4spn x8,x2,128 - 34c: 04090003 lb x0,64(x18) - 350: 0100 c.addi4spn x8,x2,128 - 352: 04090003 lb x0,64(x18) - 356: 0100 c.addi4spn x8,x2,128 - 358: 00090003 lb x0,0(x18) - 35c: 0100 c.addi4spn x8,x2,128 - 35e: 08090003 lb x0,128(x18) - 362: 0100 c.addi4spn x8,x2,128 - 364: 0306 c.slli x6,0x1 - 366: 0962 c.slli x18,0x18 - 368: 0004 0x4 - 36a: 0501 c.addi x10,0 - 36c: 0608 c.addi4spn x10,x2,768 - 36e: 14091203 lh x4,320(x18) - 372: 0100 c.addi4spn x8,x2,128 - 374: 00090203 lb x4,0(x18) - 378: 0100 c.addi4spn x8,x2,128 - 37a: 0b05 c.addi x22,1 - 37c: 0306 c.slli x6,0x1 - 37e: 0900 c.addi4spn x8,x2,144 - 380: 0000 c.unimp - 382: 0501 c.addi x10,0 - 384: 0608 c.addi4spn x10,x2,768 - 386: 04090103 lb x2,64(x18) - 38a: 0100 c.addi4spn x8,x2,128 - 38c: 0b05 c.addi x22,1 - 38e: 0306 c.slli x6,0x1 - 390: 0900 c.addi4spn x8,x2,144 - 392: 0000 c.unimp - 394: 0501 c.addi x10,0 - 396: 0608 c.addi4spn x10,x2,768 - 398: 04090103 lb x2,64(x18) - 39c: 0100 c.addi4spn x8,x2,128 - 39e: 0306 c.slli x6,0x1 - 3a0: 00000903 lb x18,0(x0) # 0 - 3a4: 0501 c.addi x10,0 - 3a6: 031e c.slli x6,0x7 - 3a8: 097d c.addi x18,31 - 3aa: 0004 0x4 - 3ac: 0501 c.addi x10,0 - 3ae: 0901030b 0x901030b - 3b2: 0004 0x4 - 3b4: 0501 c.addi x10,0 - 3b6: 0308 c.addi4spn x10,x2,384 - 3b8: 0902 c.slli64 x18 - 3ba: 0004 0x4 - 3bc: 0501 c.addi x10,0 - 3be: 0311 c.addi x6,4 - 3c0: 097d c.addi x18,31 - 3c2: 0008 0x8 - 3c4: 0501 c.addi x10,0 - 3c6: 0308 c.addi4spn x10,x2,384 - 3c8: 00040903 lb x18,0(x8) - 3cc: 0501 c.addi x10,0 - 3ce: 097d030b 0x97d030b - 3d2: 0004 0x4 - 3d4: 0501 c.addi x10,0 - 3d6: 0608 c.addi4spn x10,x2,768 - 3d8: 04090103 lb x2,64(x18) - 3dc: 0100 c.addi4spn x8,x2,128 - 3de: 00090203 lb x4,0(x18) - 3e2: 0100 c.addi4spn x8,x2,128 - 3e4: 00090003 lb x0,0(x18) - 3e8: 0100 c.addi4spn x8,x2,128 - 3ea: 00090003 lb x0,0(x18) - 3ee: 0100 c.addi4spn x8,x2,128 - 3f0: 00090003 lb x0,0(x18) - 3f4: 0100 c.addi4spn x8,x2,128 - 3f6: 00090003 lb x0,0(x18) - 3fa: 0100 c.addi4spn x8,x2,128 - 3fc: 00090003 lb x0,0(x18) - 400: 0100 c.addi4spn x8,x2,128 - 402: 00090003 lb x0,0(x18) - 406: 0100 c.addi4spn x8,x2,128 - 408: 00090003 lb x0,0(x18) - 40c: 0100 c.addi4spn x8,x2,128 - 40e: 08090003 lb x0,128(x18) - 412: 0100 c.addi4spn x8,x2,128 - 414: 0c090003 lb x0,192(x18) - 418: 0100 c.addi4spn x8,x2,128 - 41a: 08090003 lb x0,128(x18) - 41e: 0100 c.addi4spn x8,x2,128 - 420: 08090003 lb x0,128(x18) - 424: 0100 c.addi4spn x8,x2,128 - 426: 04090003 lb x0,64(x18) - 42a: 0100 c.addi4spn x8,x2,128 - 42c: 04090003 lb x0,64(x18) - 430: 0100 c.addi4spn x8,x2,128 - 432: 08090003 lb x0,128(x18) - 436: 0100 c.addi4spn x8,x2,128 - 438: 04090003 lb x0,64(x18) - 43c: 0100 c.addi4spn x8,x2,128 - 43e: 00090003 lb x0,0(x18) - 442: 0100 c.addi4spn x8,x2,128 - 444: 04090003 lb x0,64(x18) - 448: 0100 c.addi4spn x8,x2,128 - 44a: 10090003 lb x0,256(x18) - 44e: 0100 c.addi4spn x8,x2,128 - 450: 08090003 lb x0,128(x18) - 454: 0100 c.addi4spn x8,x2,128 - 456: 08090003 lb x0,128(x18) - 45a: 0100 c.addi4spn x8,x2,128 - 45c: 08090003 lb x0,128(x18) - 460: 0100 c.addi4spn x8,x2,128 - 462: 04090003 lb x0,64(x18) - 466: 0100 c.addi4spn x8,x2,128 - 468: 04090003 lb x0,64(x18) - 46c: 0100 c.addi4spn x8,x2,128 - 46e: 08090003 lb x0,128(x18) - 472: 0100 c.addi4spn x8,x2,128 - 474: 08090003 lb x0,128(x18) - 478: 0100 c.addi4spn x8,x2,128 - 47a: 04090003 lb x0,64(x18) - 47e: 0100 c.addi4spn x8,x2,128 - 480: 0705 c.addi x14,1 - 482: 04091503 lh x10,64(x18) - 486: 0100 c.addi4spn x8,x2,128 - 488: 0a05 c.addi x20,1 - 48a: 0306 c.slli x6,0x1 - 48c: 0900 c.addi4spn x8,x2,144 - 48e: 0000 c.unimp - 490: 0501 c.addi x10,0 - 492: 0604 c.addi4spn x9,x2,768 - 494: 04091303 lh x6,64(x18) - 498: 0100 c.addi4spn x8,x2,128 - 49a: 00090003 lb x0,0(x18) - 49e: 0100 c.addi4spn x8,x2,128 - 4a0: 00090003 lb x0,0(x18) - 4a4: 0100 c.addi4spn x8,x2,128 - 4a6: 00090003 lb x0,0(x18) - 4aa: 0100 c.addi4spn x8,x2,128 - 4ac: 00090003 lb x0,0(x18) - 4b0: 0100 c.addi4spn x8,x2,128 - 4b2: 14090003 lb x0,320(x18) - 4b6: 0100 c.addi4spn x8,x2,128 - 4b8: 20090003 lb x0,512(x18) - 4bc: 0100 c.addi4spn x8,x2,128 - 4be: 00090103 lb x2,0(x18) - 4c2: 0100 c.addi4spn x8,x2,128 - 4c4: 0705 c.addi x14,1 - 4c6: 0306 c.slli x6,0x1 - 4c8: 0900 c.addi4spn x8,x2,144 - 4ca: 0000 c.unimp - 4cc: 0501 c.addi x10,0 - 4ce: 0608 c.addi4spn x10,x2,768 - 4d0: 04090a03 lb x20,64(x18) - 4d4: 0100 c.addi4spn x8,x2,128 - 4d6: 0306 c.slli x6,0x1 - 4d8: 0902 c.slli64 x18 - 4da: 0000 c.unimp - 4dc: 0501 c.addi x10,0 - 4de: 097e030b 0x97e030b - 4e2: 0004 0x4 - 4e4: 0501 c.addi x10,0 - 4e6: 0314 c.addi4spn x13,x2,384 - 4e8: 0900 c.addi4spn x8,x2,144 - 4ea: 0004 0x4 - 4ec: 0501 c.addi x10,0 - 4ee: 0304 c.addi4spn x9,x2,384 - 4f0: 0975 c.addi x18,29 - 4f2: 000c 0xc - 4f4: 0501 c.addi x10,0 - 4f6: 0608 c.addi4spn x10,x2,768 - 4f8: 14091e03 lh x28,320(x18) - 4fc: 0100 c.addi4spn x8,x2,128 - 4fe: 00090303 lb x6,0(x18) - 502: 0100 c.addi4spn x8,x2,128 - 504: 00090203 lb x4,0(x18) - 508: 0100 c.addi4spn x8,x2,128 - 50a: 1e05 c.addi x28,-31 - 50c: 0306 c.slli x6,0x1 - 50e: 0900 c.addi4spn x8,x2,144 - 510: 0000 c.unimp - 512: 0501 c.addi x10,0 - 514: 0311 c.addi x6,4 - 516: 0900 c.addi4spn x8,x2,144 - 518: 0004 0x4 - 51a: 0501 c.addi x10,0 - 51c: 0900030b 0x900030b - 520: 0004 0x4 - 522: 0501 c.addi x10,0 - 524: 0608 c.addi4spn x10,x2,768 - 526: 04090103 lb x2,64(x18) - 52a: 0100 c.addi4spn x8,x2,128 - 52c: 0b05 c.addi x22,1 - 52e: 0306 c.slli x6,0x1 - 530: 0901 c.addi x18,0 - 532: 0000 c.unimp - 534: 0501 c.addi x10,0 - 536: 0311 c.addi x6,4 - 538: 0901 c.addi x18,0 - 53a: 0004 0x4 - 53c: 0501 c.addi x10,0 - 53e: 031e c.slli x6,0x7 - 540: 0900 c.addi4spn x8,x2,144 - 542: 0004 0x4 - 544: 0501 c.addi x10,0 - 546: 0900030b 0x900030b - 54a: 0004 0x4 - 54c: 0501 c.addi x10,0 - 54e: 0308 c.addi4spn x10,x2,384 - 550: 00040903 lb x18,0(x8) - 554: 0501 c.addi x10,0 - 556: 097b030b 0x97b030b - 55a: 0010 0x10 - 55c: 0501 c.addi x10,0 - 55e: 0608 c.addi4spn x10,x2,768 - 560: 04090103 lb x2,64(x18) - 564: 0100 c.addi4spn x8,x2,128 - 566: 00090103 lb x2,0(x18) - 56a: 0100 c.addi4spn x8,x2,128 - 56c: 00090103 lb x2,0(x18) - 570: 0100 c.addi4spn x8,x2,128 - 572: 00090203 lb x4,0(x18) - 576: 0100 c.addi4spn x8,x2,128 - 578: 00090003 lb x0,0(x18) - 57c: 0100 c.addi4spn x8,x2,128 - 57e: 00090003 lb x0,0(x18) - 582: 0100 c.addi4spn x8,x2,128 - 584: 00090003 lb x0,0(x18) - 588: 0100 c.addi4spn x8,x2,128 - 58a: 00090003 lb x0,0(x18) - 58e: 0100 c.addi4spn x8,x2,128 - 590: 00090003 lb x0,0(x18) - 594: 0100 c.addi4spn x8,x2,128 - 596: 00090003 lb x0,0(x18) - 59a: 0100 c.addi4spn x8,x2,128 - 59c: 00090003 lb x0,0(x18) - 5a0: 0100 c.addi4spn x8,x2,128 - 5a2: 08090003 lb x0,128(x18) - 5a6: 0100 c.addi4spn x8,x2,128 - 5a8: 0c090003 lb x0,192(x18) - 5ac: 0100 c.addi4spn x8,x2,128 - 5ae: 08090003 lb x0,128(x18) - 5b2: 0100 c.addi4spn x8,x2,128 - 5b4: 08090003 lb x0,128(x18) - 5b8: 0100 c.addi4spn x8,x2,128 - 5ba: 04090003 lb x0,64(x18) - 5be: 0100 c.addi4spn x8,x2,128 - 5c0: 04090003 lb x0,64(x18) - 5c4: 0100 c.addi4spn x8,x2,128 - 5c6: 08090003 lb x0,128(x18) - 5ca: 0100 c.addi4spn x8,x2,128 - 5cc: 04090003 lb x0,64(x18) - 5d0: 0100 c.addi4spn x8,x2,128 - 5d2: 00090003 lb x0,0(x18) - 5d6: 0100 c.addi4spn x8,x2,128 - 5d8: 04090003 lb x0,64(x18) - 5dc: 0100 c.addi4spn x8,x2,128 - 5de: 10090003 lb x0,256(x18) - 5e2: 0100 c.addi4spn x8,x2,128 - 5e4: 08090003 lb x0,128(x18) - 5e8: 0100 c.addi4spn x8,x2,128 - 5ea: 08090003 lb x0,128(x18) - 5ee: 0100 c.addi4spn x8,x2,128 - 5f0: 08090003 lb x0,128(x18) - 5f4: 0100 c.addi4spn x8,x2,128 - 5f6: 04090003 lb x0,64(x18) - 5fa: 0100 c.addi4spn x8,x2,128 - 5fc: 04090003 lb x0,64(x18) - 600: 0100 c.addi4spn x8,x2,128 - 602: 08090003 lb x0,128(x18) - 606: 0100 c.addi4spn x8,x2,128 - 608: 0306 c.slli x6,0x1 - 60a: 0901 c.addi x18,0 - 60c: 0004 0x4 - 60e: 0301 c.addi x6,0 - 610: 097f 0x97f - 612: 0004 0x4 - 614: 0301 c.addi x6,0 - 616: 0901 c.addi x18,0 - 618: 0004 0x4 - 61a: 0301 c.addi x6,0 - 61c: 097f 0x97f - 61e: 0008 0x8 - 620: 0601 c.addi x12,0 - 622: 04090003 lb x0,64(x18) - 626: 0100 c.addi4spn x8,x2,128 - 628: 00090003 lb x0,0(x18) - 62c: 0100 c.addi4spn x8,x2,128 - 62e: 00090003 lb x0,0(x18) - 632: 0100 c.addi4spn x8,x2,128 - 634: 00090103 lb x2,0(x18) - 638: 0100 c.addi4spn x8,x2,128 - 63a: 00090003 lb x0,0(x18) - 63e: 0100 c.addi4spn x8,x2,128 - 640: 00090003 lb x0,0(x18) - 644: 0100 c.addi4spn x8,x2,128 - 646: 00090003 lb x0,0(x18) - 64a: 0100 c.addi4spn x8,x2,128 - 64c: 00090003 lb x0,0(x18) - 650: 0100 c.addi4spn x8,x2,128 - 652: 08090003 lb x0,128(x18) - 656: 0100 c.addi4spn x8,x2,128 - 658: 00090003 lb x0,0(x18) - 65c: 0100 c.addi4spn x8,x2,128 - 65e: 04090003 lb x0,64(x18) - 662: 0100 c.addi4spn x8,x2,128 - 664: 04090003 lb x0,64(x18) - 668: 0100 c.addi4spn x8,x2,128 - 66a: 00090003 lb x0,0(x18) - 66e: 0100 c.addi4spn x8,x2,128 - 670: 04090003 lb x0,64(x18) - 674: 0100 c.addi4spn x8,x2,128 - 676: 08090003 lb x0,128(x18) - 67a: 0100 c.addi4spn x8,x2,128 - 67c: 04090003 lb x0,64(x18) - 680: 0100 c.addi4spn x8,x2,128 - 682: 08090003 lb x0,128(x18) - 686: 0100 c.addi4spn x8,x2,128 - 688: 04090003 lb x0,64(x18) - 68c: 0100 c.addi4spn x8,x2,128 - 68e: 04090003 lb x0,64(x18) - 692: 0100 c.addi4spn x8,x2,128 - 694: 08090003 lb x0,128(x18) - 698: 0100 c.addi4spn x8,x2,128 - 69a: 00090003 lb x0,0(x18) - 69e: 0100 c.addi4spn x8,x2,128 - 6a0: 00090203 lb x4,0(x18) - 6a4: 0100 c.addi4spn x8,x2,128 - 6a6: 0b05 c.addi x22,1 - 6a8: 0306 c.slli x6,0x1 - 6aa: 0900 c.addi4spn x8,x2,144 - 6ac: 0000 c.unimp - 6ae: 0501 c.addi x10,0 - 6b0: 0314 c.addi4spn x13,x2,384 - 6b2: 0900 c.addi4spn x8,x2,144 - 6b4: 0004 0x4 - 6b6: 0501 c.addi x10,0 - 6b8: 0308 c.addi4spn x10,x2,384 - 6ba: 097e c.slli x18,0x1f - 6bc: 0004 0x4 - 6be: 0501 c.addi x10,0 - 6c0: 097d030b 0x97d030b - 6c4: 0014 0x14 - 6c6: 0501 c.addi x10,0 - 6c8: 0308 c.addi4spn x10,x2,384 - 6ca: 00040903 lb x18,0(x8) - 6ce: 0501 c.addi x10,0 - 6d0: 0908030b 0x908030b - 6d4: 0004 0x4 - 6d6: 0501 c.addi x10,0 - 6d8: 0321 c.addi x6,8 - 6da: 097a c.slli x18,0x1e - 6dc: 0004 0x4 - 6de: 0501 c.addi x10,0 - 6e0: 0605 c.addi x12,1 - 6e2: 04090203 lb x4,64(x18) - 6e6: 0100 c.addi4spn x8,x2,128 - 6e8: 0705 c.addi x14,1 - 6ea: 0306 c.slli x6,0x1 - 6ec: 0900 c.addi4spn x8,x2,144 - 6ee: 0000 c.unimp - 6f0: 0501 c.addi x10,0 - 6f2: 0605 c.addi x12,1 - 6f4: 04090103 lb x2,64(x18) - 6f8: 0100 c.addi4spn x8,x2,128 - 6fa: 00090003 lb x0,0(x18) - 6fe: 0100 c.addi4spn x8,x2,128 - 700: 00090003 lb x0,0(x18) - 704: 0100 c.addi4spn x8,x2,128 - 706: 00090003 lb x0,0(x18) - 70a: 0100 c.addi4spn x8,x2,128 - 70c: 00090003 lb x0,0(x18) - 710: 0100 c.addi4spn x8,x2,128 - 712: 0705 c.addi x14,1 - 714: 0306 c.slli x6,0x1 - 716: 00040943 fmadd.s f18,f8,f0,f0,rne - 71a: 0301 c.addi x6,0 - 71c: 097f 0x97f - 71e: 0004 0x4 - 720: 0901 c.addi x18,0 - 722: 0008 0x8 - 724: 0100 c.addi4spn x8,x2,128 - 726: 3b01 c.jal 436 <_d2s+0x9a> - 728: 0000 c.unimp - 72a: 0500 c.addi4spn x8,x2,640 - 72c: 0400 c.addi4spn x8,x2,512 - 72e: 3300 c.fld f8,32(x14) - 730: 0000 c.unimp - 732: 0100 c.addi4spn x8,x2,128 - 734: 0101 c.addi x2,0 - 736: 000d0efb 0xd0efb - 73a: 0101 c.addi x2,0 - 73c: 0101 c.addi x2,0 - 73e: 0000 c.unimp - 740: 0100 c.addi4spn x8,x2,128 - 742: 0000 c.unimp - 744: 0101 c.addi x2,0 - 746: 1f01 c.addi x30,-32 - 748: 0002 c.slli64 x0 - 74a: 0000 c.unimp - 74c: 8a00 0x8a00 - 74e: 0000 c.unimp - 750: 0200 c.addi4spn x8,x2,256 - 752: 1f01 c.addi x30,-32 - 754: 0f02 c.slli64 x30 - 756: 00006403 0x6403 - 75a: 0000 c.unimp - 75c: 00a6 c.slli x1,0x9 - 75e: 0000 c.unimp - 760: 8001 c.srli64 x8 - 762: 0000 c.unimp - 764: 0100 c.addi4spn x8,x2,128 + 0: 07c6 c.slli x15,0x11 + 2: 0000 c.unimp + 4: 0005 c.addi x0,1 + 6: 0004 0x4 + 8: 00000033 add x0,x0,x0 + c: 0101 c.addi x2,0 + e: fb01 c.bnez x14,ffffff1e <__global_pointer$+0xffffc6be> + 10: 0d0e c.slli x26,0x3 + 12: 0100 c.addi4spn x8,x2,128 + 14: 0101 c.addi x2,0 + 16: 0001 c.addi x0,0 + 18: 0000 c.unimp + 1a: 0001 c.addi x0,0 + 1c: 0100 c.addi4spn x8,x2,128 + 1e: 0101 c.addi x2,0 + 20: 021f 0000 0000 0x21f + 26: 008a c.slli x1,0x2 + 28: 0000 c.unimp + 2a: 0102 c.slli64 x2 + 2c: 021f 030f 0064 0x64030f021f + 32: 0000 c.unimp + 34: 8000 0x8000 + 36: 0000 c.unimp + 38: 0100 c.addi4spn x8,x2,128 + 3a: 00a6 c.slli x1,0x9 + 3c: 0000 c.unimp + 3e: 0501 c.addi x10,0 + 40: 0001 c.addi x0,0 + 42: 0205 c.addi x4,1 + 44: 1948 c.addi4spn x10,x2,180 + 46: 0000 c.unimp + 48: 0109bb03 0x109bb03 + 4c: 0306 c.slli x6,0x1 + 4e: 0900 c.addi4spn x8,x2,144 + 50: 0000 c.unimp + 52: 0501 c.addi x10,0 + 54: 01030603 lb x12,16(x6) + 58: 0809 c.addi x16,2 + 5a: 0100 c.addi4spn x8,x2,128 + 5c: 00090103 lb x2,0(x18) # 1000 + 60: 0100 c.addi4spn x8,x2,128 + 62: 00090103 lb x2,0(x18) + 66: 0100 c.addi4spn x8,x2,128 + 68: 00090103 lb x2,0(x18) + 6c: 0100 c.addi4spn x8,x2,128 + 6e: 00090203 lb x4,0(x18) + 72: 0100 c.addi4spn x8,x2,128 + 74: 0905 c.addi x18,1 + 76: 0306 c.slli x6,0x1 + 78: 0000097b 0x97b + 7c: 0501 c.addi x10,0 + 7e: 0306 c.slli x6,0x1 + 80: 0905 c.addi x18,1 + 82: 0004 0x4 + 84: 0501 c.addi x10,0 + 86: 0605 c.addi x12,1 + 88: 04090103 lb x2,64(x18) + 8c: 0100 c.addi4spn x8,x2,128 + 8e: 0d05 c.addi x26,1 + 90: 0306 c.slli x6,0x1 + 92: 0901 c.addi x18,0 + 94: 0000 c.unimp + 96: 0501 c.addi x10,0 + 98: 097f0307 0x97f0307 + 9c: 0010 0x10 + 9e: 0501 c.addi x10,0 + a0: 02030603 lb x12,32(x6) + a4: 0409 c.addi x8,2 + a6: 0100 c.addi4spn x8,x2,128 + a8: 0605 c.addi x12,1 + aa: 0306 c.slli x6,0x1 + ac: 0900 c.addi4spn x8,x2,144 + ae: 0000 c.unimp + b0: 0501 c.addi x10,0 + b2: 0605 c.addi x12,1 + b4: 04090103 lb x2,64(x18) + b8: 0100 c.addi4spn x8,x2,128 + ba: 0d05 c.addi x26,1 + bc: 0306 c.slli x6,0x1 + be: 0901 c.addi x18,0 + c0: 0000 c.unimp + c2: 0501 c.addi x10,0 + c4: 097f0307 0x97f0307 + c8: 0008 0x8 + ca: 0501 c.addi x10,0 + cc: 030d c.addi x6,3 + ce: 0901 c.addi x18,0 + d0: 0004 0x4 + d2: 0501 c.addi x10,0 + d4: 02030603 lb x12,32(x6) + d8: 0809 c.addi x16,2 + da: 0100 c.addi4spn x8,x2,128 + dc: 097e9c03 lh x24,151(x29) + e0: 0000 c.unimp + e2: 0301 c.addi x6,0 + e4: 0901 c.addi x18,0 + e6: 0000 c.unimp + e8: 0301 c.addi x6,0 + ea: 0901 c.addi x18,0 + ec: 0000 c.unimp + ee: 0301 c.addi x6,0 + f0: 0901 c.addi x18,0 + f2: 0000 c.unimp + f4: 0301 c.addi x6,0 + f6: 0901 c.addi x18,0 + f8: 0000 c.unimp + fa: 0301 c.addi x6,0 + fc: 0901 c.addi x18,0 + fe: 0000 c.unimp + 100: 0301 c.addi x6,0 + 102: 0902 c.slli64 x18 + 104: 0000 c.unimp + 106: 0501 c.addi x10,0 + 108: 0606 c.slli x12,0x1 + 10a: 00090003 lb x0,0(x18) + 10e: 0100 c.addi4spn x8,x2,128 + 110: 0305 c.addi x6,1 + 112: 0306 c.slli x6,0x1 + 114: 0901 c.addi x18,0 + 116: 0004 0x4 + 118: 0301 c.addi x6,0 + 11a: 0901 c.addi x18,0 + 11c: 0000 c.unimp + 11e: 0501 c.addi x10,0 + 120: 0606 c.slli x12,0x1 + 122: 00090003 lb x0,0(x18) + 126: 0100 c.addi4spn x8,x2,128 + 128: 0305 c.addi x6,1 + 12a: 0306 c.slli x6,0x1 + 12c: 0901 c.addi x18,0 + 12e: 0004 0x4 + 130: 0501 c.addi x10,0 + 132: 0606 c.slli x12,0x1 + 134: 00090003 lb x0,0(x18) + 138: 0100 c.addi4spn x8,x2,128 + 13a: 0305 c.addi x6,1 + 13c: 0306 c.slli x6,0x1 + 13e: 0925 c.addi x18,9 + 140: 0004 0x4 + 142: 0501 c.addi x10,0 + 144: 0606 c.slli x12,0x1 + 146: 00090003 lb x0,0(x18) + 14a: 0100 c.addi4spn x8,x2,128 + 14c: 0705 c.addi x14,1 + 14e: 0306 c.slli x6,0x1 + 150: 0902 c.slli64 x18 + 152: 0004 0x4 + 154: 0501 c.addi x10,0 + 156: 060a c.slli x12,0x2 + 158: 08090003 lb x0,128(x18) + 15c: 0100 c.addi4spn x8,x2,128 + 15e: 0405 c.addi x8,1 + 160: 0306 c.slli x6,0x1 + 162: 0904 c.addi4spn x9,x2,144 + 164: 0004 0x4 + 166: 0301 c.addi x6,0 + 168: 0900 c.addi4spn x8,x2,144 + 16a: 0000 c.unimp + 16c: 0301 c.addi x6,0 + 16e: 0900 c.addi4spn x8,x2,144 + 170: 0000 c.unimp + 172: 0301 c.addi x6,0 + 174: 0900 c.addi4spn x8,x2,144 + 176: 0000 c.unimp + 178: 0301 c.addi x6,0 + 17a: 0900 c.addi4spn x8,x2,144 + 17c: 0000 c.unimp + 17e: 0301 c.addi x6,0 + 180: 0900 c.addi4spn x8,x2,144 + 182: 0014 0x14 + 184: 0301 c.addi x6,0 + 186: 0900 c.addi4spn x8,x2,144 + 188: 0018 0x18 + 18a: 0301 c.addi x6,0 + 18c: 0902 c.slli64 x18 + 18e: 0000 c.unimp + 190: 0501 c.addi x10,0 + 192: 00030607 0x30607 + 196: 0009 c.addi x0,2 + 198: 0100 c.addi4spn x8,x2,128 + 19a: 0805 c.addi x16,1 + 19c: 0306 c.slli x6,0x1 + 19e: 0905 c.addi x18,1 + 1a0: 0004 0x4 + 1a2: 0501 c.addi x10,0 + 1a4: 0611 c.addi x12,4 + 1a6: 00090103 lb x2,0(x18) + 1aa: 0100 c.addi4spn x8,x2,128 + 1ac: 1e05 c.addi x28,-31 + 1ae: 04090003 lb x0,64(x18) + 1b2: 0100 c.addi4spn x8,x2,128 + 1b4: 0b05 c.addi x22,1 + 1b6: 04097f03 0x4097f03 + 1ba: 0100 c.addi4spn x8,x2,128 + 1bc: 0805 c.addi x16,1 + 1be: 0306 c.slli x6,0x1 + 1c0: 0901 c.addi x18,0 + 1c2: 0004 0x4 + 1c4: 0501 c.addi x10,0 + 1c6: 0003060b 0x3060b + 1ca: 0009 c.addi x0,2 + 1cc: 0100 c.addi4spn x8,x2,128 + 1ce: 0805 c.addi x16,1 + 1d0: 0306 c.slli x6,0x1 + 1d2: 0901 c.addi x18,0 + 1d4: 0004 0x4 + 1d6: 0501 c.addi x10,0 + 1d8: 0003060b 0x3060b + 1dc: 0009 c.addi x0,2 + 1de: 0100 c.addi4spn x8,x2,128 + 1e0: 0405 c.addi x8,1 + 1e2: 0306 c.slli x6,0x1 + 1e4: 00040903 lb x18,0(x8) # 3000 <__EH_FRAME_BEGIN__> + 1e8: 0301 c.addi x6,0 + 1ea: 0900 c.addi4spn x8,x2,144 + 1ec: 0000 c.unimp + 1ee: 0301 c.addi x6,0 + 1f0: 0900 c.addi4spn x8,x2,144 + 1f2: 0000 c.unimp + 1f4: 0301 c.addi x6,0 + 1f6: 0900 c.addi4spn x8,x2,144 + 1f8: 0000 c.unimp + 1fa: 0301 c.addi x6,0 + 1fc: 0900 c.addi4spn x8,x2,144 + 1fe: 0004 0x4 + 200: 0301 c.addi x6,0 + 202: 0900 c.addi4spn x8,x2,144 + 204: 000c 0xc + 206: 0301 c.addi x6,0 + 208: 0900 c.addi4spn x8,x2,144 + 20a: 0000 c.unimp + 20c: 0301 c.addi x6,0 + 20e: 0900 c.addi4spn x8,x2,144 + 210: 0000 c.unimp + 212: 0301 c.addi x6,0 + 214: 0900 c.addi4spn x8,x2,144 + 216: 000c 0xc + 218: 0301 c.addi x6,0 + 21a: 0900 c.addi4spn x8,x2,144 + 21c: 000c 0xc + 21e: 0301 c.addi x6,0 + 220: 0900 c.addi4spn x8,x2,144 + 222: 0004 0x4 + 224: 0301 c.addi x6,0 + 226: 0900 c.addi4spn x8,x2,144 + 228: 0008 0x8 + 22a: 0301 c.addi x6,0 + 22c: 0900 c.addi4spn x8,x2,144 + 22e: 0004 0x4 + 230: 0301 c.addi x6,0 + 232: 0900 c.addi4spn x8,x2,144 + 234: 0004 0x4 + 236: 0301 c.addi x6,0 + 238: 0900 c.addi4spn x8,x2,144 + 23a: 0008 0x8 + 23c: 0301 c.addi x6,0 + 23e: 0900 c.addi4spn x8,x2,144 + 240: 0004 0x4 + 242: 0301 c.addi x6,0 + 244: 0900 c.addi4spn x8,x2,144 + 246: 0000 c.unimp + 248: 0301 c.addi x6,0 + 24a: 0900 c.addi4spn x8,x2,144 + 24c: 0004 0x4 + 24e: 0301 c.addi x6,0 + 250: 0900 c.addi4spn x8,x2,144 + 252: 0010 0x10 + 254: 0301 c.addi x6,0 + 256: 0900 c.addi4spn x8,x2,144 + 258: 0008 0x8 + 25a: 0301 c.addi x6,0 + 25c: 0900 c.addi4spn x8,x2,144 + 25e: 0008 0x8 + 260: 0301 c.addi x6,0 + 262: 0900 c.addi4spn x8,x2,144 + 264: 0008 0x8 + 266: 0301 c.addi x6,0 + 268: 0900 c.addi4spn x8,x2,144 + 26a: 0004 0x4 + 26c: 0301 c.addi x6,0 + 26e: 0900 c.addi4spn x8,x2,144 + 270: 0004 0x4 + 272: 0301 c.addi x6,0 + 274: 0900 c.addi4spn x8,x2,144 + 276: 0004 0x4 + 278: 0301 c.addi x6,0 + 27a: 0900 c.addi4spn x8,x2,144 + 27c: 0000 c.unimp + 27e: 0301 c.addi x6,0 + 280: 0900 c.addi4spn x8,x2,144 + 282: 0008 0x8 + 284: 0301 c.addi x6,0 + 286: 0900 c.addi4spn x8,x2,144 + 288: 0000 c.unimp + 28a: 0301 c.addi x6,0 + 28c: 0901 c.addi x18,0 + 28e: 0000 c.unimp + 290: 0501 c.addi x10,0 + 292: fe03060b 0xfe03060b + 296: 0900 c.addi4spn x8,x2,144 + 298: 0000 c.unimp + 29a: 0501 c.addi x10,0 + 29c: 0304 c.addi4spn x9,x2,384 + 29e: 7ef5 c.lui x29,0xffffd + 2a0: 0809 c.addi x16,2 + 2a2: 0100 c.addi4spn x8,x2,128 + 2a4: 0306 c.slli x6,0x1 + 2a6: 0915 c.addi x18,5 + 2a8: 0014 0x14 + 2aa: 0501 c.addi x10,0 + 2ac: 00030607 0x30607 + 2b0: 0009 c.addi x0,2 + 2b2: 0100 c.addi4spn x8,x2,128 + 2b4: 0605 c.addi x12,1 + 2b6: 0306 c.slli x6,0x1 + 2b8: 0901 c.addi x18,0 + 2ba: 0004 0x4 + 2bc: 0501 c.addi x10,0 + 2be: 0304 c.addi4spn x9,x2,384 + 2c0: 0902 c.slli64 x18 + 2c2: 0004 0x4 + 2c4: 0301 c.addi x6,0 + 2c6: 0900 c.addi4spn x8,x2,144 + 2c8: 0000 c.unimp + 2ca: 0301 c.addi x6,0 + 2cc: 0900 c.addi4spn x8,x2,144 + 2ce: 0000 c.unimp + 2d0: 0301 c.addi x6,0 + 2d2: 0900 c.addi4spn x8,x2,144 + 2d4: 0000 c.unimp + 2d6: 0301 c.addi x6,0 + 2d8: 0900 c.addi4spn x8,x2,144 + 2da: 0000 c.unimp + 2dc: 0301 c.addi x6,0 + 2de: 0900 c.addi4spn x8,x2,144 + 2e0: 0014 0x14 + 2e2: 0301 c.addi x6,0 + 2e4: 0900 c.addi4spn x8,x2,144 + 2e6: 0018 0x18 + 2e8: 0301 c.addi x6,0 + 2ea: 0902 c.slli64 x18 + 2ec: 0000 c.unimp + 2ee: 0501 c.addi x10,0 + 2f0: 00030607 0x30607 + 2f4: 0009 c.addi x0,2 + 2f6: 0100 c.addi4spn x8,x2,128 + 2f8: 0805 c.addi x16,1 + 2fa: 0306 c.slli x6,0x1 + 2fc: 0909 c.addi x18,2 + 2fe: 0004 0x4 + 300: 0501 c.addi x10,0 + 302: 0003060b 0x3060b + 306: 0009 c.addi x0,2 + 308: 0100 c.addi4spn x8,x2,128 + 30a: 0805 c.addi x16,1 + 30c: 0306 c.slli x6,0x1 + 30e: 0901 c.addi x18,0 + 310: 0004 0x4 + 312: 0501 c.addi x10,0 + 314: 0003060b 0x3060b + 318: 0009 c.addi x0,2 + 31a: 0100 c.addi4spn x8,x2,128 + 31c: 0805 c.addi x16,1 + 31e: 0306 c.slli x6,0x1 + 320: 090d c.addi x18,3 + 322: 0004 0x4 + 324: 0501 c.addi x10,0 + 326: 0304 c.addi4spn x9,x2,384 + 328: 0905 c.addi x18,1 + 32a: 0000 c.unimp + 32c: 0301 c.addi x6,0 + 32e: 0900 c.addi4spn x8,x2,144 + 330: 0000 c.unimp + 332: 0301 c.addi x6,0 + 334: 0900 c.addi4spn x8,x2,144 + 336: 0000 c.unimp + 338: 0301 c.addi x6,0 + 33a: 0900 c.addi4spn x8,x2,144 + 33c: 0000 c.unimp + 33e: 0301 c.addi x6,0 + 340: 0900 c.addi4spn x8,x2,144 + 342: 0004 0x4 + 344: 0301 c.addi x6,0 + 346: 0900 c.addi4spn x8,x2,144 + 348: 000c 0xc + 34a: 0301 c.addi x6,0 + 34c: 0900 c.addi4spn x8,x2,144 + 34e: 0000 c.unimp + 350: 0301 c.addi x6,0 + 352: 0900 c.addi4spn x8,x2,144 + 354: 0000 c.unimp + 356: 0301 c.addi x6,0 + 358: 0900 c.addi4spn x8,x2,144 + 35a: 0010 0x10 + 35c: 0301 c.addi x6,0 + 35e: 0900 c.addi4spn x8,x2,144 + 360: 0008 0x8 + 362: 0301 c.addi x6,0 + 364: 0900 c.addi4spn x8,x2,144 + 366: 0004 0x4 + 368: 0301 c.addi x6,0 + 36a: 0900 c.addi4spn x8,x2,144 + 36c: 0008 0x8 + 36e: 0301 c.addi x6,0 + 370: 0900 c.addi4spn x8,x2,144 + 372: 0004 0x4 + 374: 0301 c.addi x6,0 + 376: 0900 c.addi4spn x8,x2,144 + 378: 0004 0x4 + 37a: 0301 c.addi x6,0 + 37c: 0900 c.addi4spn x8,x2,144 + 37e: 0008 0x8 + 380: 0301 c.addi x6,0 + 382: 0900 c.addi4spn x8,x2,144 + 384: 0004 0x4 + 386: 0301 c.addi x6,0 + 388: 0900 c.addi4spn x8,x2,144 + 38a: 0000 c.unimp + 38c: 0301 c.addi x6,0 + 38e: 0900 c.addi4spn x8,x2,144 + 390: 0004 0x4 + 392: 0301 c.addi x6,0 + 394: 0900 c.addi4spn x8,x2,144 + 396: 0010 0x10 + 398: 0301 c.addi x6,0 + 39a: 0900 c.addi4spn x8,x2,144 + 39c: 0008 0x8 + 39e: 0301 c.addi x6,0 + 3a0: 0900 c.addi4spn x8,x2,144 + 3a2: 0008 0x8 + 3a4: 0301 c.addi x6,0 + 3a6: 0900 c.addi4spn x8,x2,144 + 3a8: 0008 0x8 + 3aa: 0301 c.addi x6,0 + 3ac: 0900 c.addi4spn x8,x2,144 + 3ae: 0004 0x4 + 3b0: 0301 c.addi x6,0 + 3b2: 0900 c.addi4spn x8,x2,144 + 3b4: 0004 0x4 + 3b6: 0301 c.addi x6,0 + 3b8: 0900 c.addi4spn x8,x2,144 + 3ba: 0004 0x4 + 3bc: 0301 c.addi x6,0 + 3be: 0900 c.addi4spn x8,x2,144 + 3c0: 0000 c.unimp + 3c2: 0301 c.addi x6,0 + 3c4: 0900 c.addi4spn x8,x2,144 + 3c6: 0008 0x8 + 3c8: 0501 c.addi x10,0 + 3ca: 00e30303 lb x6,14(x6) + 3ce: 0009 c.addi x0,2 + 3d0: 0100 c.addi4spn x8,x2,128 + 3d2: 00090103 lb x2,0(x18) + 3d6: 0100 c.addi4spn x8,x2,128 + 3d8: 00091603 lh x12,0(x18) + 3dc: 0100 c.addi4spn x8,x2,128 + 3de: 0605 c.addi x12,1 + 3e0: 0306 c.slli x6,0x1 + 3e2: 0900 c.addi4spn x8,x2,144 + 3e4: 0000 c.unimp + 3e6: 0501 c.addi x10,0 + 3e8: 0605 c.addi x12,1 + 3ea: 04090103 lb x2,64(x18) + 3ee: 0100 c.addi4spn x8,x2,128 + 3f0: 0705 c.addi x14,1 + 3f2: 0306 c.slli x6,0x1 + 3f4: 0900 c.addi4spn x8,x2,144 + 3f6: 0000 c.unimp + 3f8: 0501 c.addi x10,0 + 3fa: 02030603 lb x12,32(x6) + 3fe: 1009 c.addi x0,-30 + 400: 0100 c.addi4spn x8,x2,128 + 402: 0105 c.addi x2,1 + 404: 0306 c.slli x6,0x1 + 406: 0901 c.addi x18,0 + 408: 0000 c.unimp + 40a: 0501 c.addi x10,0 + 40c: 0304 c.addi4spn x9,x2,384 + 40e: 7ee4 c.flw f9,124(x13) + 410: 0409 c.addi x8,2 + 412: 0100 c.addi4spn x8,x2,128 + 414: 0805 c.addi x16,1 + 416: 0306 c.slli x6,0x1 + 418: 0912 c.slli x18,0x4 + 41a: 0014 0x14 + 41c: 0301 c.addi x6,0 + 41e: 0902 c.slli64 x18 + 420: 0000 c.unimp + 422: 0501 c.addi x10,0 + 424: 0003060b 0x3060b + 428: 0009 c.addi x0,2 + 42a: 0100 c.addi4spn x8,x2,128 + 42c: 0805 c.addi x16,1 + 42e: 0306 c.slli x6,0x1 + 430: 0901 c.addi x18,0 + 432: 0004 0x4 + 434: 0501 c.addi x10,0 + 436: 0003060b 0x3060b + 43a: 0009 c.addi x0,2 + 43c: 0100 c.addi4spn x8,x2,128 + 43e: 0805 c.addi x16,1 + 440: 0306 c.slli x6,0x1 + 442: 0901 c.addi x18,0 + 444: 0004 0x4 + 446: 0601 c.addi x12,0 + 448: 00090303 lb x6,0(x18) + 44c: 0100 c.addi4spn x8,x2,128 + 44e: 1105 c.addi x2,-31 + 450: 04097d03 0x4097d03 + 454: 0100 c.addi4spn x8,x2,128 + 456: 1e05 c.addi x28,-31 + 458: 04090003 lb x0,64(x18) + 45c: 0100 c.addi4spn x8,x2,128 + 45e: 0b05 c.addi x22,1 + 460: 04090003 lb x0,64(x18) + 464: 0100 c.addi4spn x8,x2,128 + 466: 0805 c.addi x16,1 + 468: 0306 c.slli x6,0x1 + 46a: 0901 c.addi x18,0 + 46c: 0004 0x4 + 46e: 0601 c.addi x12,0 + 470: 00090203 lb x4,0(x18) + 474: 0100 c.addi4spn x8,x2,128 + 476: 0b05 c.addi x22,1 + 478: 0c097e03 0xc097e03 + 47c: 0100 c.addi4spn x8,x2,128 + 47e: 0805 c.addi x16,1 + 480: 0306 c.slli x6,0x1 + 482: 0902 c.slli64 x18 + 484: 0004 0x4 + 486: 0301 c.addi x6,0 + 488: 0900 c.addi4spn x8,x2,144 + 48a: 0000 c.unimp + 48c: 0301 c.addi x6,0 + 48e: 0900 c.addi4spn x8,x2,144 + 490: 0000 c.unimp + 492: 0301 c.addi x6,0 + 494: 0900 c.addi4spn x8,x2,144 + 496: 0000 c.unimp + 498: 0301 c.addi x6,0 + 49a: 0900 c.addi4spn x8,x2,144 + 49c: 0000 c.unimp + 49e: 0301 c.addi x6,0 + 4a0: 0900 c.addi4spn x8,x2,144 + 4a2: 0000 c.unimp + 4a4: 0301 c.addi x6,0 + 4a6: 0900 c.addi4spn x8,x2,144 + 4a8: 0000 c.unimp + 4aa: 0301 c.addi x6,0 + 4ac: 0900 c.addi4spn x8,x2,144 + 4ae: 0000 c.unimp + 4b0: 0301 c.addi x6,0 + 4b2: 0900 c.addi4spn x8,x2,144 + 4b4: 0008 0x8 + 4b6: 0301 c.addi x6,0 + 4b8: 0900 c.addi4spn x8,x2,144 + 4ba: 000c 0xc + 4bc: 0301 c.addi x6,0 + 4be: 0900 c.addi4spn x8,x2,144 + 4c0: 0008 0x8 + 4c2: 0301 c.addi x6,0 + 4c4: 0900 c.addi4spn x8,x2,144 + 4c6: 0008 0x8 + 4c8: 0301 c.addi x6,0 + 4ca: 0900 c.addi4spn x8,x2,144 + 4cc: 0004 0x4 + 4ce: 0301 c.addi x6,0 + 4d0: 0900 c.addi4spn x8,x2,144 + 4d2: 0004 0x4 + 4d4: 0301 c.addi x6,0 + 4d6: 0900 c.addi4spn x8,x2,144 + 4d8: 0008 0x8 + 4da: 0301 c.addi x6,0 + 4dc: 0900 c.addi4spn x8,x2,144 + 4de: 0004 0x4 + 4e0: 0301 c.addi x6,0 + 4e2: 0900 c.addi4spn x8,x2,144 + 4e4: 0000 c.unimp + 4e6: 0301 c.addi x6,0 + 4e8: 0900 c.addi4spn x8,x2,144 + 4ea: 0004 0x4 + 4ec: 0301 c.addi x6,0 + 4ee: 0900 c.addi4spn x8,x2,144 + 4f0: 0010 0x10 + 4f2: 0301 c.addi x6,0 + 4f4: 0900 c.addi4spn x8,x2,144 + 4f6: 0008 0x8 + 4f8: 0301 c.addi x6,0 + 4fa: 0900 c.addi4spn x8,x2,144 + 4fc: 0008 0x8 + 4fe: 0301 c.addi x6,0 + 500: 0900 c.addi4spn x8,x2,144 + 502: 0008 0x8 + 504: 0301 c.addi x6,0 + 506: 0900 c.addi4spn x8,x2,144 + 508: 0004 0x4 + 50a: 0301 c.addi x6,0 + 50c: 0900 c.addi4spn x8,x2,144 + 50e: 0004 0x4 + 510: 0301 c.addi x6,0 + 512: 0900 c.addi4spn x8,x2,144 + 514: 0008 0x8 + 516: 0301 c.addi x6,0 + 518: 0900 c.addi4spn x8,x2,144 + 51a: 0008 0x8 + 51c: 0301 c.addi x6,0 + 51e: 0900 c.addi4spn x8,x2,144 + 520: 0004 0x4 + 522: 0501 c.addi x10,0 + 524: 09150307 0x9150307 + 528: 0004 0x4 + 52a: 0501 c.addi x10,0 + 52c: 060a c.slli x12,0x2 + 52e: 00090003 lb x0,0(x18) + 532: 0100 c.addi4spn x8,x2,128 + 534: 0405 c.addi x8,1 + 536: 0306 c.slli x6,0x1 + 538: 00040913 addi x18,x8,0 + 53c: 0301 c.addi x6,0 + 53e: 0900 c.addi4spn x8,x2,144 + 540: 0000 c.unimp + 542: 0301 c.addi x6,0 + 544: 0900 c.addi4spn x8,x2,144 + 546: 0000 c.unimp + 548: 0301 c.addi x6,0 + 54a: 0900 c.addi4spn x8,x2,144 + 54c: 0000 c.unimp + 54e: 0301 c.addi x6,0 + 550: 0900 c.addi4spn x8,x2,144 + 552: 0000 c.unimp + 554: 0301 c.addi x6,0 + 556: 0900 c.addi4spn x8,x2,144 + 558: 0014 0x14 + 55a: 0301 c.addi x6,0 + 55c: 0900 c.addi4spn x8,x2,144 + 55e: 0020 c.addi4spn x8,x2,8 + 560: 0301 c.addi x6,0 + 562: 0901 c.addi x18,0 + 564: 0000 c.unimp + 566: 0501 c.addi x10,0 + 568: 00030607 0x30607 + 56c: 0009 c.addi x0,2 + 56e: 0100 c.addi4spn x8,x2,128 + 570: 0805 c.addi x16,1 + 572: 0306 c.slli x6,0x1 + 574: 090a c.slli x18,0x2 + 576: 0004 0x4 + 578: 0601 c.addi x12,0 + 57a: 00090203 lb x4,0(x18) + 57e: 0100 c.addi4spn x8,x2,128 + 580: 0b05 c.addi x22,1 + 582: 04097e03 0x4097e03 + 586: 0100 c.addi4spn x8,x2,128 + 588: 1405 c.addi x8,-31 + 58a: 04090003 lb x0,64(x18) + 58e: 0100 c.addi4spn x8,x2,128 + 590: 0405 c.addi x8,1 + 592: 0c097503 0xc097503 + 596: 0100 c.addi4spn x8,x2,128 + 598: 0805 c.addi x16,1 + 59a: 0306 c.slli x6,0x1 + 59c: 091e c.slli x18,0x7 + 59e: 0014 0x14 + 5a0: 0301 c.addi x6,0 + 5a2: 00000903 lb x18,0(x0) # 0 + 5a6: 0301 c.addi x6,0 + 5a8: 0902 c.slli64 x18 + 5aa: 0000 c.unimp + 5ac: 0501 c.addi x10,0 + 5ae: 061e c.slli x12,0x7 + 5b0: 00090003 lb x0,0(x18) + 5b4: 0100 c.addi4spn x8,x2,128 + 5b6: 1105 c.addi x2,-31 + 5b8: 04090003 lb x0,64(x18) + 5bc: 0100 c.addi4spn x8,x2,128 + 5be: 0b05 c.addi x22,1 + 5c0: 04090003 lb x0,64(x18) + 5c4: 0100 c.addi4spn x8,x2,128 + 5c6: 0805 c.addi x16,1 + 5c8: 0306 c.slli x6,0x1 + 5ca: 0901 c.addi x18,0 + 5cc: 0004 0x4 + 5ce: 0501 c.addi x10,0 + 5d0: 0103060b 0x103060b + 5d4: 0009 c.addi x0,2 + 5d6: 0100 c.addi4spn x8,x2,128 + 5d8: 1105 c.addi x2,-31 + 5da: 04090103 lb x2,64(x18) + 5de: 0100 c.addi4spn x8,x2,128 + 5e0: 1e05 c.addi x28,-31 + 5e2: 04090003 lb x0,64(x18) + 5e6: 0100 c.addi4spn x8,x2,128 + 5e8: 0b05 c.addi x22,1 + 5ea: 04090003 lb x0,64(x18) + 5ee: 0100 c.addi4spn x8,x2,128 + 5f0: 0805 c.addi x16,1 + 5f2: 04090303 lb x6,64(x18) + 5f6: 0100 c.addi4spn x8,x2,128 + 5f8: 0b05 c.addi x22,1 + 5fa: 10097b03 0x10097b03 + 5fe: 0100 c.addi4spn x8,x2,128 + 600: 0805 c.addi x16,1 + 602: 0306 c.slli x6,0x1 + 604: 0901 c.addi x18,0 + 606: 0004 0x4 + 608: 0301 c.addi x6,0 + 60a: 0901 c.addi x18,0 + 60c: 0000 c.unimp + 60e: 0301 c.addi x6,0 + 610: 0901 c.addi x18,0 + 612: 0000 c.unimp + 614: 0301 c.addi x6,0 + 616: 0902 c.slli64 x18 + 618: 0000 c.unimp + 61a: 0301 c.addi x6,0 + 61c: 0900 c.addi4spn x8,x2,144 + 61e: 0000 c.unimp + 620: 0301 c.addi x6,0 + 622: 0900 c.addi4spn x8,x2,144 + 624: 0000 c.unimp + 626: 0301 c.addi x6,0 + 628: 0900 c.addi4spn x8,x2,144 + 62a: 0000 c.unimp + 62c: 0301 c.addi x6,0 + 62e: 0900 c.addi4spn x8,x2,144 + 630: 0000 c.unimp + 632: 0301 c.addi x6,0 + 634: 0900 c.addi4spn x8,x2,144 + 636: 0000 c.unimp + 638: 0301 c.addi x6,0 + 63a: 0900 c.addi4spn x8,x2,144 + 63c: 0000 c.unimp + 63e: 0301 c.addi x6,0 + 640: 0900 c.addi4spn x8,x2,144 + 642: 0000 c.unimp + 644: 0301 c.addi x6,0 + 646: 0900 c.addi4spn x8,x2,144 + 648: 0008 0x8 + 64a: 0301 c.addi x6,0 + 64c: 0900 c.addi4spn x8,x2,144 + 64e: 000c 0xc + 650: 0301 c.addi x6,0 + 652: 0900 c.addi4spn x8,x2,144 + 654: 0008 0x8 + 656: 0301 c.addi x6,0 + 658: 0900 c.addi4spn x8,x2,144 + 65a: 0008 0x8 + 65c: 0301 c.addi x6,0 + 65e: 0900 c.addi4spn x8,x2,144 + 660: 0004 0x4 + 662: 0301 c.addi x6,0 + 664: 0900 c.addi4spn x8,x2,144 + 666: 0004 0x4 + 668: 0301 c.addi x6,0 + 66a: 0900 c.addi4spn x8,x2,144 + 66c: 0008 0x8 + 66e: 0301 c.addi x6,0 + 670: 0900 c.addi4spn x8,x2,144 + 672: 0004 0x4 + 674: 0301 c.addi x6,0 + 676: 0900 c.addi4spn x8,x2,144 + 678: 0000 c.unimp + 67a: 0301 c.addi x6,0 + 67c: 0900 c.addi4spn x8,x2,144 + 67e: 0004 0x4 + 680: 0301 c.addi x6,0 + 682: 0900 c.addi4spn x8,x2,144 + 684: 0010 0x10 + 686: 0301 c.addi x6,0 + 688: 0900 c.addi4spn x8,x2,144 + 68a: 0008 0x8 + 68c: 0301 c.addi x6,0 + 68e: 0900 c.addi4spn x8,x2,144 + 690: 0008 0x8 + 692: 0301 c.addi x6,0 + 694: 0900 c.addi4spn x8,x2,144 + 696: 0008 0x8 + 698: 0301 c.addi x6,0 + 69a: 0900 c.addi4spn x8,x2,144 + 69c: 0004 0x4 + 69e: 0301 c.addi x6,0 + 6a0: 0900 c.addi4spn x8,x2,144 + 6a2: 0004 0x4 + 6a4: 0301 c.addi x6,0 + 6a6: 0900 c.addi4spn x8,x2,144 + 6a8: 0008 0x8 + 6aa: 0601 c.addi x12,0 + 6ac: 04090103 lb x2,64(x18) + 6b0: 0100 c.addi4spn x8,x2,128 + 6b2: 04097f03 0x4097f03 + 6b6: 0100 c.addi4spn x8,x2,128 + 6b8: 04090103 lb x2,64(x18) + 6bc: 0100 c.addi4spn x8,x2,128 + 6be: 08097f03 0x8097f03 + 6c2: 0100 c.addi4spn x8,x2,128 + 6c4: 0306 c.slli x6,0x1 + 6c6: 0900 c.addi4spn x8,x2,144 + 6c8: 0004 0x4 + 6ca: 0301 c.addi x6,0 + 6cc: 0900 c.addi4spn x8,x2,144 + 6ce: 0000 c.unimp + 6d0: 0301 c.addi x6,0 + 6d2: 0900 c.addi4spn x8,x2,144 + 6d4: 0000 c.unimp + 6d6: 0301 c.addi x6,0 + 6d8: 0901 c.addi x18,0 + 6da: 0000 c.unimp + 6dc: 0301 c.addi x6,0 + 6de: 0900 c.addi4spn x8,x2,144 + 6e0: 0000 c.unimp + 6e2: 0301 c.addi x6,0 + 6e4: 0900 c.addi4spn x8,x2,144 + 6e6: 0000 c.unimp + 6e8: 0301 c.addi x6,0 + 6ea: 0900 c.addi4spn x8,x2,144 + 6ec: 0000 c.unimp + 6ee: 0301 c.addi x6,0 + 6f0: 0900 c.addi4spn x8,x2,144 + 6f2: 0000 c.unimp + 6f4: 0301 c.addi x6,0 + 6f6: 0900 c.addi4spn x8,x2,144 + 6f8: 0008 0x8 + 6fa: 0301 c.addi x6,0 + 6fc: 0900 c.addi4spn x8,x2,144 + 6fe: 0000 c.unimp + 700: 0301 c.addi x6,0 + 702: 0900 c.addi4spn x8,x2,144 + 704: 0004 0x4 + 706: 0301 c.addi x6,0 + 708: 0900 c.addi4spn x8,x2,144 + 70a: 0004 0x4 + 70c: 0301 c.addi x6,0 + 70e: 0900 c.addi4spn x8,x2,144 + 710: 0000 c.unimp + 712: 0301 c.addi x6,0 + 714: 0900 c.addi4spn x8,x2,144 + 716: 0004 0x4 + 718: 0301 c.addi x6,0 + 71a: 0900 c.addi4spn x8,x2,144 + 71c: 0008 0x8 + 71e: 0301 c.addi x6,0 + 720: 0900 c.addi4spn x8,x2,144 + 722: 0004 0x4 + 724: 0301 c.addi x6,0 + 726: 0900 c.addi4spn x8,x2,144 + 728: 0008 0x8 + 72a: 0301 c.addi x6,0 + 72c: 0900 c.addi4spn x8,x2,144 + 72e: 0004 0x4 + 730: 0301 c.addi x6,0 + 732: 0900 c.addi4spn x8,x2,144 + 734: 0004 0x4 + 736: 0301 c.addi x6,0 + 738: 0900 c.addi4spn x8,x2,144 + 73a: 0008 0x8 + 73c: 0301 c.addi x6,0 + 73e: 0900 c.addi4spn x8,x2,144 + 740: 0000 c.unimp + 742: 0301 c.addi x6,0 + 744: 0902 c.slli64 x18 + 746: 0000 c.unimp + 748: 0501 c.addi x10,0 + 74a: 0003060b 0x3060b + 74e: 0009 c.addi x0,2 + 750: 0100 c.addi4spn x8,x2,128 + 752: 1405 c.addi x8,-31 + 754: 04090003 lb x0,64(x18) + 758: 0100 c.addi4spn x8,x2,128 + 75a: 0805 c.addi x16,1 + 75c: 04097e03 0x4097e03 + 760: 0100 c.addi4spn x8,x2,128 + 762: 0b05 c.addi x22,1 + 764: 14097d03 0x14097d03 + 768: 0100 c.addi4spn x8,x2,128 + 76a: 0805 c.addi x16,1 + 76c: 04090303 lb x6,64(x18) + 770: 0100 c.addi4spn x8,x2,128 + 772: 0b05 c.addi x22,1 + 774: 04090803 lb x16,64(x18) + 778: 0100 c.addi4spn x8,x2,128 + 77a: 2105 c.jal b9a + 77c: 04097a03 0x4097a03 + 780: 0100 c.addi4spn x8,x2,128 + 782: 0505 c.addi x10,1 + 784: 0306 c.slli x6,0x1 + 786: 0902 c.slli64 x18 + 788: 0004 0x4 + 78a: 0501 c.addi x10,0 + 78c: 00030607 0x30607 + 790: 0009 c.addi x0,2 + 792: 0100 c.addi4spn x8,x2,128 + 794: 0505 c.addi x10,1 + 796: 0306 c.slli x6,0x1 + 798: 0901 c.addi x18,0 + 79a: 0004 0x4 + 79c: 0301 c.addi x6,0 + 79e: 0900 c.addi4spn x8,x2,144 + 7a0: 0000 c.unimp + 7a2: 0301 c.addi x6,0 + 7a4: 0900 c.addi4spn x8,x2,144 + 7a6: 0000 c.unimp + 7a8: 0301 c.addi x6,0 + 7aa: 0900 c.addi4spn x8,x2,144 + 7ac: 0000 c.unimp + 7ae: 0301 c.addi x6,0 + 7b0: 0900 c.addi4spn x8,x2,144 + 7b2: 0000 c.unimp + 7b4: 0501 c.addi x10,0 + 7b6: 43030607 0x43030607 + 7ba: 0409 c.addi x8,2 + 7bc: 0100 c.addi4spn x8,x2,128 + 7be: 04097f03 0x4097f03 + 7c2: 0100 c.addi4spn x8,x2,128 + 7c4: 0809 c.addi x16,2 + 7c6: 0000 c.unimp + 7c8: 0101 c.addi x2,0 + 7ca: 085e c.slli x16,0x17 + 7cc: 0000 c.unimp + 7ce: 0005 c.addi x0,1 + 7d0: 0004 0x4 + 7d2: 00000033 add x0,x0,x0 + 7d6: 0101 c.addi x2,0 + 7d8: fb01 c.bnez x14,6e8 <_h2s+0x14c> + 7da: 0d0e c.slli x26,0x3 + 7dc: 0100 c.addi4spn x8,x2,128 + 7de: 0101 c.addi x2,0 + 7e0: 0001 c.addi x0,0 + 7e2: 0000 c.unimp + 7e4: 0001 c.addi x0,0 + 7e6: 0100 c.addi4spn x8,x2,128 + 7e8: 0101 c.addi x2,0 + 7ea: 021f 0000 0000 0x21f + 7f0: 008a c.slli x1,0x2 + 7f2: 0000 c.unimp + 7f4: 0102 c.slli64 x2 + 7f6: 021f 030f 0064 0x64030f021f + 7fc: 0000 c.unimp + 7fe: 8000 0x8000 + 800: 0000 c.unimp + 802: 0100 c.addi4spn x8,x2,128 + 804: 00a6 c.slli x1,0x9 + 806: 0000 c.unimp + 808: 0501 c.addi x10,0 + 80a: 0001 c.addi x0,0 + 80c: 0205 c.addi x4,1 + 80e: 1dc0 c.addi4spn x8,x2,756 + 810: 0000 c.unimp + 812: 0109d303 lhu x6,16(x19) + 816: 0305 c.addi x6,1 + 818: 00090103 lb x2,0(x18) + 81c: 0100 c.addi4spn x8,x2,128 + 81e: 00090103 lb x2,0(x18) + 822: 0100 c.addi4spn x8,x2,128 + 824: 00090103 lb x2,0(x18) + 828: 0100 c.addi4spn x8,x2,128 + 82a: 00090103 lb x2,0(x18) + 82e: 0100 c.addi4spn x8,x2,128 + 830: 00090203 lb x4,0(x18) + 834: 0100 c.addi4spn x8,x2,128 + 836: 0105 c.addi x2,1 + 838: 0306 c.slli x6,0x1 + 83a: 097a c.slli x18,0x1e + 83c: 0000 c.unimp + 83e: 0501 c.addi x10,0 + 840: 0309 c.addi x6,2 + 842: 0901 c.addi x18,0 + 844: 0004 0x4 + 846: 0501 c.addi x10,0 + 848: 0306 c.slli x6,0x1 + 84a: 0905 c.addi x18,1 + 84c: 0004 0x4 + 84e: 0501 c.addi x10,0 + 850: 0605 c.addi x12,1 + 852: 04090103 lb x2,64(x18) + 856: 0100 c.addi4spn x8,x2,128 + 858: 0d05 c.addi x26,1 + 85a: 0306 c.slli x6,0x1 + 85c: 0901 c.addi x18,0 + 85e: 0000 c.unimp + 860: 0501 c.addi x10,0 + 862: 097f0307 0x97f0307 + 866: 0010 0x10 + 868: 0501 c.addi x10,0 + 86a: 02030603 lb x12,32(x6) + 86e: 0409 c.addi x8,2 + 870: 0100 c.addi4spn x8,x2,128 + 872: 0605 c.addi x12,1 + 874: 0306 c.slli x6,0x1 + 876: 0900 c.addi4spn x8,x2,144 + 878: 0000 c.unimp + 87a: 0501 c.addi x10,0 + 87c: 0605 c.addi x12,1 + 87e: 04090103 lb x2,64(x18) + 882: 0100 c.addi4spn x8,x2,128 + 884: 0d05 c.addi x26,1 + 886: 0306 c.slli x6,0x1 + 888: 0900 c.addi4spn x8,x2,144 + 88a: 0000 c.unimp + 88c: 0501 c.addi x10,0 + 88e: 02030603 lb x12,32(x6) + 892: 1009 c.addi x0,-30 + 894: 0100 c.addi4spn x8,x2,128 + 896: 097e8503 lb x10,151(x29) # ffffd097 <__global_pointer$+0xffff9837> + 89a: 0000 c.unimp + 89c: 0301 c.addi x6,0 + 89e: 0901 c.addi x18,0 + 8a0: 0000 c.unimp + 8a2: 0301 c.addi x6,0 + 8a4: 0901 c.addi x18,0 + 8a6: 0000 c.unimp + 8a8: 0301 c.addi x6,0 + 8aa: 0901 c.addi x18,0 + 8ac: 0000 c.unimp + 8ae: 0301 c.addi x6,0 + 8b0: 0901 c.addi x18,0 + 8b2: 0000 c.unimp + 8b4: 0301 c.addi x6,0 + 8b6: 0901 c.addi x18,0 + 8b8: 0000 c.unimp + 8ba: 0301 c.addi x6,0 + 8bc: 0902 c.slli64 x18 + 8be: 0000 c.unimp + 8c0: 0501 c.addi x10,0 + 8c2: 0606 c.slli x12,0x1 + 8c4: 00090003 lb x0,0(x18) + 8c8: 0100 c.addi4spn x8,x2,128 + 8ca: 0305 c.addi x6,1 + 8cc: 0306 c.slli x6,0x1 + 8ce: 0901 c.addi x18,0 + 8d0: 0004 0x4 + 8d2: 0301 c.addi x6,0 + 8d4: 0901 c.addi x18,0 + 8d6: 0000 c.unimp + 8d8: 0501 c.addi x10,0 + 8da: 0606 c.slli x12,0x1 + 8dc: 00090003 lb x0,0(x18) + 8e0: 0100 c.addi4spn x8,x2,128 + 8e2: 0305 c.addi x6,1 + 8e4: 0306 c.slli x6,0x1 + 8e6: 0901 c.addi x18,0 + 8e8: 0004 0x4 + 8ea: 0501 c.addi x10,0 + 8ec: 0606 c.slli x12,0x1 + 8ee: 00090003 lb x0,0(x18) + 8f2: 0100 c.addi4spn x8,x2,128 + 8f4: 0305 c.addi x6,1 + 8f6: 0306 c.slli x6,0x1 + 8f8: 0925 c.addi x18,9 + 8fa: 0004 0x4 + 8fc: 0501 c.addi x10,0 + 8fe: 0606 c.slli x12,0x1 + 900: 00090003 lb x0,0(x18) + 904: 0100 c.addi4spn x8,x2,128 + 906: 0705 c.addi x14,1 + 908: 0306 c.slli x6,0x1 + 90a: 0902 c.slli64 x18 + 90c: 0004 0x4 + 90e: 0501 c.addi x10,0 + 910: 060a c.slli x12,0x2 + 912: 08090003 lb x0,128(x18) + 916: 0100 c.addi4spn x8,x2,128 + 918: 0405 c.addi x8,1 + 91a: 0306 c.slli x6,0x1 + 91c: 0904 c.addi4spn x9,x2,144 + 91e: 0004 0x4 + 920: 0301 c.addi x6,0 + 922: 0900 c.addi4spn x8,x2,144 + 924: 0000 c.unimp + 926: 0301 c.addi x6,0 + 928: 0900 c.addi4spn x8,x2,144 + 92a: 0000 c.unimp + 92c: 0301 c.addi x6,0 + 92e: 0900 c.addi4spn x8,x2,144 + 930: 0000 c.unimp + 932: 0301 c.addi x6,0 + 934: 0900 c.addi4spn x8,x2,144 + 936: 0000 c.unimp + 938: 0301 c.addi x6,0 + 93a: 0900 c.addi4spn x8,x2,144 + 93c: 0014 0x14 + 93e: 0301 c.addi x6,0 + 940: 0900 c.addi4spn x8,x2,144 + 942: 0018 0x18 + 944: 0301 c.addi x6,0 + 946: 0902 c.slli64 x18 + 948: 0000 c.unimp + 94a: 0501 c.addi x10,0 + 94c: 00030607 0x30607 + 950: 0009 c.addi x0,2 + 952: 0100 c.addi4spn x8,x2,128 + 954: 0805 c.addi x16,1 + 956: 0306 c.slli x6,0x1 + 958: 0905 c.addi x18,1 + 95a: 0004 0x4 + 95c: 0501 c.addi x10,0 + 95e: 0611 c.addi x12,4 + 960: 00090103 lb x2,0(x18) + 964: 0100 c.addi4spn x8,x2,128 + 966: 1e05 c.addi x28,-31 + 968: 04090003 lb x0,64(x18) + 96c: 0100 c.addi4spn x8,x2,128 + 96e: 0b05 c.addi x22,1 + 970: 04097f03 0x4097f03 + 974: 0100 c.addi4spn x8,x2,128 + 976: 0805 c.addi x16,1 + 978: 0306 c.slli x6,0x1 + 97a: 0901 c.addi x18,0 + 97c: 0004 0x4 + 97e: 0501 c.addi x10,0 + 980: 0003060b 0x3060b + 984: 0009 c.addi x0,2 + 986: 0100 c.addi4spn x8,x2,128 + 988: 0805 c.addi x16,1 + 98a: 0306 c.slli x6,0x1 + 98c: 0901 c.addi x18,0 + 98e: 0004 0x4 + 990: 0501 c.addi x10,0 + 992: 0003060b 0x3060b + 996: 0009 c.addi x0,2 + 998: 0100 c.addi4spn x8,x2,128 + 99a: 0405 c.addi x8,1 + 99c: 0306 c.slli x6,0x1 + 99e: 00040903 lb x18,0(x8) + 9a2: 0301 c.addi x6,0 + 9a4: 0900 c.addi4spn x8,x2,144 + 9a6: 0000 c.unimp + 9a8: 0301 c.addi x6,0 + 9aa: 0900 c.addi4spn x8,x2,144 + 9ac: 0000 c.unimp + 9ae: 0301 c.addi x6,0 + 9b0: 0900 c.addi4spn x8,x2,144 + 9b2: 0000 c.unimp + 9b4: 0301 c.addi x6,0 + 9b6: 0900 c.addi4spn x8,x2,144 + 9b8: 0004 0x4 + 9ba: 0301 c.addi x6,0 + 9bc: 0900 c.addi4spn x8,x2,144 + 9be: 000c 0xc + 9c0: 0301 c.addi x6,0 + 9c2: 0900 c.addi4spn x8,x2,144 + 9c4: 0000 c.unimp + 9c6: 0301 c.addi x6,0 + 9c8: 0900 c.addi4spn x8,x2,144 + 9ca: 0000 c.unimp + 9cc: 0301 c.addi x6,0 + 9ce: 0900 c.addi4spn x8,x2,144 + 9d0: 0008 0x8 + 9d2: 0301 c.addi x6,0 + 9d4: 0900 c.addi4spn x8,x2,144 + 9d6: 000c 0xc + 9d8: 0301 c.addi x6,0 + 9da: 0900 c.addi4spn x8,x2,144 + 9dc: 0004 0x4 + 9de: 0301 c.addi x6,0 + 9e0: 0900 c.addi4spn x8,x2,144 + 9e2: 0004 0x4 + 9e4: 0301 c.addi x6,0 + 9e6: 0900 c.addi4spn x8,x2,144 + 9e8: 0004 0x4 + 9ea: 0301 c.addi x6,0 + 9ec: 0900 c.addi4spn x8,x2,144 + 9ee: 0004 0x4 + 9f0: 0301 c.addi x6,0 + 9f2: 0900 c.addi4spn x8,x2,144 + 9f4: 0004 0x4 + 9f6: 0301 c.addi x6,0 + 9f8: 0900 c.addi4spn x8,x2,144 + 9fa: 0004 0x4 + 9fc: 0301 c.addi x6,0 + 9fe: 0900 c.addi4spn x8,x2,144 + a00: 0000 c.unimp + a02: 0301 c.addi x6,0 + a04: 0900 c.addi4spn x8,x2,144 + a06: 0000 c.unimp + a08: 0301 c.addi x6,0 + a0a: 0900 c.addi4spn x8,x2,144 + a0c: 0014 0x14 + a0e: 0301 c.addi x6,0 + a10: 0900 c.addi4spn x8,x2,144 + a12: 0008 0x8 + a14: 0301 c.addi x6,0 + a16: 0900 c.addi4spn x8,x2,144 + a18: 0004 0x4 + a1a: 0301 c.addi x6,0 + a1c: 0900 c.addi4spn x8,x2,144 + a1e: 0004 0x4 + a20: 0301 c.addi x6,0 + a22: 0900 c.addi4spn x8,x2,144 + a24: 0004 0x4 + a26: 0301 c.addi x6,0 + a28: 0900 c.addi4spn x8,x2,144 + a2a: 0004 0x4 + a2c: 0301 c.addi x6,0 + a2e: 0900 c.addi4spn x8,x2,144 + a30: 0004 0x4 + a32: 0301 c.addi x6,0 + a34: 0900 c.addi4spn x8,x2,144 + a36: 0004 0x4 + a38: 0301 c.addi x6,0 + a3a: 0900 c.addi4spn x8,x2,144 + a3c: 0000 c.unimp + a3e: 0301 c.addi x6,0 + a40: 0900 c.addi4spn x8,x2,144 + a42: 0000 c.unimp + a44: 0301 c.addi x6,0 + a46: 0901 c.addi x18,0 + a48: 0000 c.unimp + a4a: 0301 c.addi x6,0 + a4c: 0929 c.addi x18,10 + a4e: 0000 c.unimp + a50: 0501 c.addi x10,0 + a52: 09050307 0x9050307 + a56: 0000 c.unimp + a58: 0501 c.addi x10,0 + a5a: 0304 c.addi4spn x9,x2,384 + a5c: 0902 c.slli64 x18 + a5e: 0000 c.unimp + a60: 0501 c.addi x10,0 + a62: 0612 c.slli x12,0x4 + a64: 00090003 lb x0,0(x18) + a68: 0100 c.addi4spn x8,x2,128 + a6a: 0405 c.addi x8,1 + a6c: 0306 c.slli x6,0x1 + a6e: 0901 c.addi x18,0 + a70: 0004 0x4 + a72: 0301 c.addi x6,0 + a74: 0901 c.addi x18,0 + a76: 0000 c.unimp + a78: 0501 c.addi x10,0 + a7a: 060c c.addi4spn x11,x2,768 + a7c: 00090003 lb x0,0(x18) + a80: 0100 c.addi4spn x8,x2,128 + a82: 0305 c.addi x6,1 + a84: 0306 c.slli x6,0x1 + a86: 00da c.slli x1,0x16 + a88: 0409 c.addi x8,2 + a8a: 0100 c.addi4spn x8,x2,128 + a8c: 00090103 lb x2,0(x18) + a90: 0100 c.addi4spn x8,x2,128 + a92: 00092d03 lw x26,0(x18) + a96: 0100 c.addi4spn x8,x2,128 + a98: 0605 c.addi x12,1 + a9a: 0306 c.slli x6,0x1 + a9c: 0900 c.addi4spn x8,x2,144 + a9e: 0000 c.unimp + aa0: 0501 c.addi x10,0 + aa2: 0605 c.addi x12,1 + aa4: 04090103 lb x2,64(x18) + aa8: 0100 c.addi4spn x8,x2,128 + aaa: 0905 c.addi x18,1 + aac: 0306 c.slli x6,0x1 + aae: 0900 c.addi4spn x8,x2,144 + ab0: 0000 c.unimp + ab2: 0501 c.addi x10,0 + ab4: 02030603 lb x12,32(x6) + ab8: 1009 c.addi x0,-30 + aba: 0100 c.addi4spn x8,x2,128 + abc: 0105 c.addi x2,1 + abe: 0306 c.slli x6,0x1 + ac0: 0901 c.addi x18,0 + ac2: 0000 c.unimp + ac4: 0501 c.addi x10,0 + ac6: 0304 c.addi4spn x9,x2,384 + ac8: 7eb5 c.lui x29,0xfffed + aca: 0409 c.addi x8,2 + acc: 0100 c.addi4spn x8,x2,128 + ace: 0306 c.slli x6,0x1 + ad0: 0915 c.addi x18,5 + ad2: 0014 0x14 + ad4: 0501 c.addi x10,0 + ad6: 00030607 0x30607 + ada: 0009 c.addi x0,2 + adc: 0100 c.addi4spn x8,x2,128 + ade: 0605 c.addi x12,1 + ae0: 0306 c.slli x6,0x1 + ae2: 0901 c.addi x18,0 + ae4: 0004 0x4 + ae6: 0501 c.addi x10,0 + ae8: 0304 c.addi4spn x9,x2,384 + aea: 0902 c.slli64 x18 + aec: 0004 0x4 + aee: 0301 c.addi x6,0 + af0: 0900 c.addi4spn x8,x2,144 + af2: 0000 c.unimp + af4: 0301 c.addi x6,0 + af6: 0900 c.addi4spn x8,x2,144 + af8: 0000 c.unimp + afa: 0301 c.addi x6,0 + afc: 0900 c.addi4spn x8,x2,144 + afe: 0000 c.unimp + b00: 0301 c.addi x6,0 + b02: 0900 c.addi4spn x8,x2,144 + b04: 0000 c.unimp + b06: 0301 c.addi x6,0 + b08: 0900 c.addi4spn x8,x2,144 + b0a: 0014 0x14 + b0c: 0301 c.addi x6,0 + b0e: 0900 c.addi4spn x8,x2,144 + b10: 0018 0x18 + b12: 0301 c.addi x6,0 + b14: 0902 c.slli64 x18 + b16: 0000 c.unimp + b18: 0501 c.addi x10,0 + b1a: 00030607 0x30607 + b1e: 0009 c.addi x0,2 + b20: 0100 c.addi4spn x8,x2,128 + b22: 0805 c.addi x16,1 + b24: 0306 c.slli x6,0x1 + b26: 0909 c.addi x18,2 + b28: 0004 0x4 + b2a: 0501 c.addi x10,0 + b2c: 0003060b 0x3060b + b30: 0009 c.addi x0,2 + b32: 0100 c.addi4spn x8,x2,128 + b34: 0805 c.addi x16,1 + b36: 0306 c.slli x6,0x1 + b38: 0901 c.addi x18,0 + b3a: 0004 0x4 + b3c: 0301 c.addi x6,0 + b3e: 090d c.addi x18,3 + b40: 0000 c.unimp + b42: 0501 c.addi x10,0 + b44: 0304 c.addi4spn x9,x2,384 + b46: 0905 c.addi x18,1 + b48: 0000 c.unimp + b4a: 0301 c.addi x6,0 + b4c: 0900 c.addi4spn x8,x2,144 + b4e: 0000 c.unimp + b50: 0301 c.addi x6,0 + b52: 0900 c.addi4spn x8,x2,144 + b54: 0000 c.unimp + b56: 0301 c.addi x6,0 + b58: 0900 c.addi4spn x8,x2,144 + b5a: 0000 c.unimp + b5c: 0301 c.addi x6,0 + b5e: 0900 c.addi4spn x8,x2,144 + b60: 0004 0x4 + b62: 0301 c.addi x6,0 + b64: 0900 c.addi4spn x8,x2,144 + b66: 000c 0xc + b68: 0301 c.addi x6,0 + b6a: 0900 c.addi4spn x8,x2,144 + b6c: 0000 c.unimp + b6e: 0301 c.addi x6,0 + b70: 0900 c.addi4spn x8,x2,144 + b72: 0000 c.unimp + b74: 0301 c.addi x6,0 + b76: 0900 c.addi4spn x8,x2,144 + b78: 000c 0xc + b7a: 0301 c.addi x6,0 + b7c: 0900 c.addi4spn x8,x2,144 + b7e: 0008 0x8 + b80: 0301 c.addi x6,0 + b82: 0900 c.addi4spn x8,x2,144 + b84: 0004 0x4 + b86: 0301 c.addi x6,0 + b88: 0900 c.addi4spn x8,x2,144 + b8a: 0004 0x4 + b8c: 0301 c.addi x6,0 + b8e: 0900 c.addi4spn x8,x2,144 + b90: 0004 0x4 + b92: 0301 c.addi x6,0 + b94: 0900 c.addi4spn x8,x2,144 + b96: 0004 0x4 + b98: 0301 c.addi x6,0 + b9a: 0900 c.addi4spn x8,x2,144 + b9c: 0004 0x4 + b9e: 0301 c.addi x6,0 + ba0: 0900 c.addi4spn x8,x2,144 + ba2: 0004 0x4 + ba4: 0301 c.addi x6,0 + ba6: 0900 c.addi4spn x8,x2,144 + ba8: 0000 c.unimp + baa: 0301 c.addi x6,0 + bac: 0900 c.addi4spn x8,x2,144 + bae: 0000 c.unimp + bb0: 0301 c.addi x6,0 + bb2: 0900 c.addi4spn x8,x2,144 + bb4: 0014 0x14 + bb6: 0301 c.addi x6,0 + bb8: 0900 c.addi4spn x8,x2,144 + bba: 0008 0x8 + bbc: 0301 c.addi x6,0 + bbe: 0900 c.addi4spn x8,x2,144 + bc0: 0004 0x4 + bc2: 0301 c.addi x6,0 + bc4: 0900 c.addi4spn x8,x2,144 + bc6: 0004 0x4 + bc8: 0301 c.addi x6,0 + bca: 0900 c.addi4spn x8,x2,144 + bcc: 0004 0x4 + bce: 0301 c.addi x6,0 + bd0: 0900 c.addi4spn x8,x2,144 + bd2: 0004 0x4 + bd4: 0301 c.addi x6,0 + bd6: 0900 c.addi4spn x8,x2,144 + bd8: 0004 0x4 + bda: 0301 c.addi x6,0 + bdc: 0900 c.addi4spn x8,x2,144 + bde: 0004 0x4 + be0: 0301 c.addi x6,0 + be2: 0900 c.addi4spn x8,x2,144 + be4: 0000 c.unimp + be6: 0601 c.addi x12,0 + be8: 04096203 0x4096203 + bec: 0100 c.addi4spn x8,x2,128 + bee: 0805 c.addi x16,1 + bf0: 0306 c.slli x6,0x1 + bf2: 0912 c.slli x18,0x4 + bf4: 0014 0x14 + bf6: 0301 c.addi x6,0 + bf8: 0902 c.slli64 x18 + bfa: 0000 c.unimp + bfc: 0501 c.addi x10,0 + bfe: 0003060b 0x3060b + c02: 0009 c.addi x0,2 + c04: 0100 c.addi4spn x8,x2,128 + c06: 0805 c.addi x16,1 + c08: 0306 c.slli x6,0x1 + c0a: 0901 c.addi x18,0 + c0c: 0004 0x4 + c0e: 0501 c.addi x10,0 + c10: 0003060b 0x3060b + c14: 0009 c.addi x0,2 + c16: 0100 c.addi4spn x8,x2,128 + c18: 0805 c.addi x16,1 + c1a: 0306 c.slli x6,0x1 + c1c: 0901 c.addi x18,0 + c1e: 0004 0x4 + c20: 0501 c.addi x10,0 + c22: 0611 c.addi x12,4 + c24: 00090003 lb x0,0(x18) + c28: 0100 c.addi4spn x8,x2,128 + c2a: 1e05 c.addi x28,-31 + c2c: 04090003 lb x0,64(x18) + c30: 0100 c.addi4spn x8,x2,128 + c32: 0b05 c.addi x22,1 + c34: 04090103 lb x2,64(x18) + c38: 0100 c.addi4spn x8,x2,128 + c3a: 0805 c.addi x16,1 + c3c: 04090203 lb x4,64(x18) + c40: 0100 c.addi4spn x8,x2,128 + c42: 0b05 c.addi x22,1 + c44: 04097d03 0x4097d03 + c48: 0100 c.addi4spn x8,x2,128 + c4a: 0805 c.addi x16,1 + c4c: 0306 c.slli x6,0x1 + c4e: 0901 c.addi x18,0 + c50: 0004 0x4 + c52: 0301 c.addi x6,0 + c54: 0902 c.slli64 x18 + c56: 0000 c.unimp + c58: 0301 c.addi x6,0 + c5a: 0900 c.addi4spn x8,x2,144 + c5c: 0000 c.unimp + c5e: 0301 c.addi x6,0 + c60: 0900 c.addi4spn x8,x2,144 + c62: 0000 c.unimp + c64: 0301 c.addi x6,0 + c66: 0900 c.addi4spn x8,x2,144 + c68: 0000 c.unimp + c6a: 0301 c.addi x6,0 + c6c: 0900 c.addi4spn x8,x2,144 + c6e: 0000 c.unimp + c70: 0301 c.addi x6,0 + c72: 0900 c.addi4spn x8,x2,144 + c74: 000c 0xc + c76: 0301 c.addi x6,0 + c78: 0900 c.addi4spn x8,x2,144 + c7a: 0000 c.unimp + c7c: 0301 c.addi x6,0 + c7e: 0900 c.addi4spn x8,x2,144 + c80: 0000 c.unimp + c82: 0301 c.addi x6,0 + c84: 0900 c.addi4spn x8,x2,144 + c86: 0008 0x8 + c88: 0301 c.addi x6,0 + c8a: 0900 c.addi4spn x8,x2,144 + c8c: 000c 0xc + c8e: 0301 c.addi x6,0 + c90: 0900 c.addi4spn x8,x2,144 + c92: 0004 0x4 + c94: 0301 c.addi x6,0 + c96: 0900 c.addi4spn x8,x2,144 + c98: 0004 0x4 + c9a: 0301 c.addi x6,0 + c9c: 0900 c.addi4spn x8,x2,144 + c9e: 0004 0x4 + ca0: 0301 c.addi x6,0 + ca2: 0900 c.addi4spn x8,x2,144 + ca4: 0004 0x4 + ca6: 0301 c.addi x6,0 + ca8: 0900 c.addi4spn x8,x2,144 + caa: 0004 0x4 + cac: 0301 c.addi x6,0 + cae: 0900 c.addi4spn x8,x2,144 + cb0: 0004 0x4 + cb2: 0301 c.addi x6,0 + cb4: 0900 c.addi4spn x8,x2,144 + cb6: 0000 c.unimp + cb8: 0301 c.addi x6,0 + cba: 0900 c.addi4spn x8,x2,144 + cbc: 0000 c.unimp + cbe: 0301 c.addi x6,0 + cc0: 0900 c.addi4spn x8,x2,144 + cc2: 0014 0x14 + cc4: 0301 c.addi x6,0 + cc6: 0900 c.addi4spn x8,x2,144 + cc8: 0008 0x8 + cca: 0301 c.addi x6,0 + ccc: 0900 c.addi4spn x8,x2,144 + cce: 0004 0x4 + cd0: 0301 c.addi x6,0 + cd2: 0900 c.addi4spn x8,x2,144 + cd4: 0004 0x4 + cd6: 0301 c.addi x6,0 + cd8: 0900 c.addi4spn x8,x2,144 + cda: 0004 0x4 + cdc: 0301 c.addi x6,0 + cde: 0900 c.addi4spn x8,x2,144 + ce0: 0004 0x4 + ce2: 0301 c.addi x6,0 + ce4: 0900 c.addi4spn x8,x2,144 + ce6: 0004 0x4 + ce8: 0301 c.addi x6,0 + cea: 0900 c.addi4spn x8,x2,144 + cec: 0004 0x4 + cee: 0301 c.addi x6,0 + cf0: 0900 c.addi4spn x8,x2,144 + cf2: 0000 c.unimp + cf4: 0501 c.addi x10,0 + cf6: 09150307 0x9150307 + cfa: 0004 0x4 + cfc: 0501 c.addi x10,0 + cfe: 060a c.slli x12,0x2 + d00: 00090003 lb x0,0(x18) + d04: 0100 c.addi4spn x8,x2,128 + d06: 0405 c.addi x8,1 + d08: 0306 c.slli x6,0x1 + d0a: 00040913 addi x18,x8,0 + d0e: 0301 c.addi x6,0 + d10: 0900 c.addi4spn x8,x2,144 + d12: 0000 c.unimp + d14: 0301 c.addi x6,0 + d16: 0900 c.addi4spn x8,x2,144 + d18: 0000 c.unimp + d1a: 0301 c.addi x6,0 + d1c: 0900 c.addi4spn x8,x2,144 + d1e: 0000 c.unimp + d20: 0301 c.addi x6,0 + d22: 0900 c.addi4spn x8,x2,144 + d24: 0000 c.unimp + d26: 0301 c.addi x6,0 + d28: 0900 c.addi4spn x8,x2,144 + d2a: 0014 0x14 + d2c: 0301 c.addi x6,0 + d2e: 0900 c.addi4spn x8,x2,144 + d30: 0020 c.addi4spn x8,x2,8 + d32: 0301 c.addi x6,0 + d34: 0901 c.addi x18,0 + d36: 0000 c.unimp + d38: 0501 c.addi x10,0 + d3a: 00030607 0x30607 + d3e: 0009 c.addi x0,2 + d40: 0100 c.addi4spn x8,x2,128 + d42: 0805 c.addi x16,1 + d44: 0306 c.slli x6,0x1 + d46: 090a c.slli x18,0x2 + d48: 0004 0x4 + d4a: 0501 c.addi x10,0 + d4c: 0003060b 0x3060b + d50: 0009 c.addi x0,2 + d52: 0100 c.addi4spn x8,x2,128 + d54: 1405 c.addi x8,-31 + d56: 04090003 lb x0,64(x18) + d5a: 0100 c.addi4spn x8,x2,128 + d5c: 0505 c.addi x10,1 + d5e: 0306 c.slli x6,0x1 + d60: 0902 c.slli64 x18 + d62: 0004 0x4 + d64: 0301 c.addi x6,0 + d66: 0901 c.addi x18,0 + d68: 0000 c.unimp + d6a: 0301 c.addi x6,0 + d6c: 0900 c.addi4spn x8,x2,144 + d6e: 0000 c.unimp + d70: 0301 c.addi x6,0 + d72: 0900 c.addi4spn x8,x2,144 + d74: 0000 c.unimp + d76: 0301 c.addi x6,0 + d78: 0900 c.addi4spn x8,x2,144 + d7a: 0004 0x4 + d7c: 0301 c.addi x6,0 + d7e: 0900 c.addi4spn x8,x2,144 + d80: 000c 0xc + d82: 0301 c.addi x6,0 + d84: 0900 c.addi4spn x8,x2,144 + d86: 0000 c.unimp + d88: 0501 c.addi x10,0 + d8a: 0308 c.addi4spn x10,x2,384 + d8c: 0905 c.addi x18,1 + d8e: 0004 0x4 + d90: 0301 c.addi x6,0 + d92: 0902 c.slli64 x18 + d94: 0000 c.unimp + d96: 0501 c.addi x10,0 + d98: 0305 c.addi x6,1 + d9a: 0902 c.slli64 x18 + d9c: 0000 c.unimp + d9e: 0301 c.addi x6,0 + da0: 0901 c.addi x18,0 + da2: 0000 c.unimp + da4: 0301 c.addi x6,0 + da6: 0901 c.addi x18,0 + da8: 0000 c.unimp + daa: 0501 c.addi x10,0 + dac: 060d c.addi x12,3 + dae: 00090003 lb x0,0(x18) + db2: 0100 c.addi4spn x8,x2,128 + db4: 0405 c.addi x8,1 + db6: 08096703 0x8096703 + dba: 0100 c.addi4spn x8,x2,128 + dbc: 0805 c.addi x16,1 + dbe: 0306 c.slli x6,0x1 + dc0: 091e c.slli x18,0x7 + dc2: 0014 0x14 + dc4: 0301 c.addi x6,0 + dc6: 00000903 lb x18,0(x0) # 0 + dca: 0301 c.addi x6,0 + dcc: 0902 c.slli64 x18 + dce: 0000 c.unimp + dd0: 0501 c.addi x10,0 + dd2: 061e c.slli x12,0x7 + dd4: 00090003 lb x0,0(x18) + dd8: 0100 c.addi4spn x8,x2,128 + dda: 1105 c.addi x2,-31 + ddc: 04090003 lb x0,64(x18) + de0: 0100 c.addi4spn x8,x2,128 + de2: 0b05 c.addi x22,1 + de4: 04090003 lb x0,64(x18) + de8: 0100 c.addi4spn x8,x2,128 + dea: 0805 c.addi x16,1 + dec: 0306 c.slli x6,0x1 + dee: 0901 c.addi x18,0 + df0: 0004 0x4 + df2: 0501 c.addi x10,0 + df4: 0103060b 0x103060b + df8: 0009 c.addi x0,2 + dfa: 0100 c.addi4spn x8,x2,128 + dfc: 0805 c.addi x16,1 + dfe: 04090403 lb x8,64(x18) + e02: 0100 c.addi4spn x8,x2,128 + e04: 1105 c.addi x2,-31 + e06: 08097d03 0x8097d03 + e0a: 0100 c.addi4spn x8,x2,128 + e0c: 0805 c.addi x16,1 + e0e: 04090303 lb x6,64(x18) + e12: 0100 c.addi4spn x8,x2,128 + e14: 1e05 c.addi x28,-31 + e16: 08097d03 0x8097d03 + e1a: 0100 c.addi4spn x8,x2,128 + e1c: 0b05 c.addi x22,1 + e1e: 04090003 lb x0,64(x18) + e22: 0100 c.addi4spn x8,x2,128 + e24: 0805 c.addi x16,1 + e26: 04090303 lb x6,64(x18) + e2a: 0100 c.addi4spn x8,x2,128 + e2c: 0b05 c.addi x22,1 + e2e: 04097b03 0x4097b03 + e32: 0100 c.addi4spn x8,x2,128 + e34: 0805 c.addi x16,1 + e36: 0306 c.slli x6,0x1 + e38: 0901 c.addi x18,0 + e3a: 0004 0x4 + e3c: 0301 c.addi x6,0 + e3e: 0901 c.addi x18,0 + e40: 0000 c.unimp + e42: 0301 c.addi x6,0 + e44: 0901 c.addi x18,0 + e46: 0000 c.unimp + e48: 0501 c.addi x10,0 + e4a: 0003060b 0x3060b + e4e: 0009 c.addi x0,2 + e50: 0100 c.addi4spn x8,x2,128 + e52: 0805 c.addi x16,1 + e54: 0306 c.slli x6,0x1 + e56: 0902 c.slli64 x18 + e58: 0004 0x4 + e5a: 0301 c.addi x6,0 + e5c: 0900 c.addi4spn x8,x2,144 + e5e: 0000 c.unimp + e60: 0301 c.addi x6,0 + e62: 0900 c.addi4spn x8,x2,144 + e64: 0000 c.unimp + e66: 0301 c.addi x6,0 + e68: 0900 c.addi4spn x8,x2,144 + e6a: 0000 c.unimp + e6c: 0301 c.addi x6,0 + e6e: 0900 c.addi4spn x8,x2,144 + e70: 0000 c.unimp + e72: 0301 c.addi x6,0 + e74: 0900 c.addi4spn x8,x2,144 + e76: 0000 c.unimp + e78: 0301 c.addi x6,0 + e7a: 0900 c.addi4spn x8,x2,144 + e7c: 0000 c.unimp + e7e: 0301 c.addi x6,0 + e80: 0900 c.addi4spn x8,x2,144 + e82: 0000 c.unimp + e84: 0301 c.addi x6,0 + e86: 0900 c.addi4spn x8,x2,144 + e88: 0008 0x8 + e8a: 0301 c.addi x6,0 + e8c: 0900 c.addi4spn x8,x2,144 + e8e: 0008 0x8 + e90: 0301 c.addi x6,0 + e92: 0900 c.addi4spn x8,x2,144 + e94: 0008 0x8 + e96: 0301 c.addi x6,0 + e98: 0900 c.addi4spn x8,x2,144 + e9a: 0008 0x8 + e9c: 0301 c.addi x6,0 + e9e: 0900 c.addi4spn x8,x2,144 + ea0: 0004 0x4 + ea2: 0301 c.addi x6,0 + ea4: 0900 c.addi4spn x8,x2,144 + ea6: 0004 0x4 + ea8: 0301 c.addi x6,0 + eaa: 0900 c.addi4spn x8,x2,144 + eac: 0008 0x8 + eae: 0301 c.addi x6,0 + eb0: 0900 c.addi4spn x8,x2,144 + eb2: 0004 0x4 + eb4: 0301 c.addi x6,0 + eb6: 0900 c.addi4spn x8,x2,144 + eb8: 0000 c.unimp + eba: 0301 c.addi x6,0 + ebc: 0900 c.addi4spn x8,x2,144 + ebe: 0004 0x4 + ec0: 0301 c.addi x6,0 + ec2: 0900 c.addi4spn x8,x2,144 + ec4: 0010 0x10 + ec6: 0301 c.addi x6,0 + ec8: 0900 c.addi4spn x8,x2,144 + eca: 0008 0x8 + ecc: 0301 c.addi x6,0 + ece: 0900 c.addi4spn x8,x2,144 + ed0: 0008 0x8 + ed2: 0301 c.addi x6,0 + ed4: 0900 c.addi4spn x8,x2,144 + ed6: 0008 0x8 + ed8: 0301 c.addi x6,0 + eda: 0900 c.addi4spn x8,x2,144 + edc: 0004 0x4 + ede: 0301 c.addi x6,0 + ee0: 0900 c.addi4spn x8,x2,144 + ee2: 0004 0x4 + ee4: 0301 c.addi x6,0 + ee6: 0900 c.addi4spn x8,x2,144 + ee8: 0008 0x8 + eea: 0601 c.addi x12,0 + eec: 04090103 lb x2,64(x18) + ef0: 0100 c.addi4spn x8,x2,128 + ef2: 04097f03 0x4097f03 + ef6: 0100 c.addi4spn x8,x2,128 + ef8: 0306 c.slli x6,0x1 + efa: 0900 c.addi4spn x8,x2,144 + efc: 0008 0x8 + efe: 0301 c.addi x6,0 + f00: 0900 c.addi4spn x8,x2,144 + f02: 0000 c.unimp + f04: 0301 c.addi x6,0 + f06: 0900 c.addi4spn x8,x2,144 + f08: 0000 c.unimp + f0a: 0301 c.addi x6,0 + f0c: 0901 c.addi x18,0 + f0e: 0000 c.unimp + f10: 0301 c.addi x6,0 + f12: 0900 c.addi4spn x8,x2,144 + f14: 0000 c.unimp + f16: 0301 c.addi x6,0 + f18: 0900 c.addi4spn x8,x2,144 + f1a: 0000 c.unimp + f1c: 0301 c.addi x6,0 + f1e: 0900 c.addi4spn x8,x2,144 + f20: 0000 c.unimp + f22: 0301 c.addi x6,0 + f24: 0900 c.addi4spn x8,x2,144 + f26: 0008 0x8 + f28: 0301 c.addi x6,0 + f2a: 0900 c.addi4spn x8,x2,144 + f2c: 0008 0x8 + f2e: 0301 c.addi x6,0 + f30: 0900 c.addi4spn x8,x2,144 + f32: 0004 0x4 + f34: 0301 c.addi x6,0 + f36: 0900 c.addi4spn x8,x2,144 + f38: 0000 c.unimp + f3a: 0301 c.addi x6,0 + f3c: 0900 c.addi4spn x8,x2,144 + f3e: 0004 0x4 + f40: 0301 c.addi x6,0 + f42: 0900 c.addi4spn x8,x2,144 + f44: 0000 c.unimp + f46: 0301 c.addi x6,0 + f48: 0900 c.addi4spn x8,x2,144 + f4a: 0004 0x4 + f4c: 0301 c.addi x6,0 + f4e: 0900 c.addi4spn x8,x2,144 + f50: 0008 0x8 + f52: 0301 c.addi x6,0 + f54: 0900 c.addi4spn x8,x2,144 + f56: 0004 0x4 + f58: 0301 c.addi x6,0 + f5a: 0900 c.addi4spn x8,x2,144 + f5c: 0008 0x8 + f5e: 0301 c.addi x6,0 + f60: 0900 c.addi4spn x8,x2,144 + f62: 0004 0x4 + f64: 0301 c.addi x6,0 + f66: 0900 c.addi4spn x8,x2,144 + f68: 0004 0x4 + f6a: 0301 c.addi x6,0 + f6c: 0900 c.addi4spn x8,x2,144 + f6e: 0008 0x8 + f70: 0301 c.addi x6,0 + f72: 0900 c.addi4spn x8,x2,144 + f74: 0018 0x18 + f76: 0301 c.addi x6,0 + f78: 0902 c.slli64 x18 + f7a: 0000 c.unimp + f7c: 0501 c.addi x10,0 + f7e: 0003060b 0x3060b + f82: 0009 c.addi x0,2 + f84: 0100 c.addi4spn x8,x2,128 + f86: 1405 c.addi x8,-31 + f88: 04090003 lb x0,64(x18) + f8c: 0100 c.addi4spn x8,x2,128 + f8e: 2105 c.jal 13ae + f90: 04090003 lb x0,64(x18) + f94: 0100 c.addi4spn x8,x2,128 + f96: 0505 c.addi x10,1 + f98: 0306 c.slli x6,0x1 + f9a: 0902 c.slli64 x18 + f9c: 0004 0x4 + f9e: 0301 c.addi x6,0 + fa0: 0901 c.addi x18,0 + fa2: 0000 c.unimp + fa4: 0301 c.addi x6,0 + fa6: 0900 c.addi4spn x8,x2,144 + fa8: 0000 c.unimp + faa: 0301 c.addi x6,0 + fac: 0900 c.addi4spn x8,x2,144 + fae: 0000 c.unimp + fb0: 0301 c.addi x6,0 + fb2: 0900 c.addi4spn x8,x2,144 + fb4: 0004 0x4 + fb6: 0301 c.addi x6,0 + fb8: 0900 c.addi4spn x8,x2,144 + fba: 000c 0xc + fbc: 0301 c.addi x6,0 + fbe: 0900 c.addi4spn x8,x2,144 + fc0: 0004 0x4 + fc2: 0501 c.addi x10,0 + fc4: 0308 c.addi4spn x10,x2,384 + fc6: 00000903 lb x18,0(x0) # 0 + fca: 0301 c.addi x6,0 + fcc: 00000903 lb x18,0(x0) # 0 + fd0: 0501 c.addi x10,0 + fd2: 0305 c.addi x6,1 + fd4: 0902 c.slli64 x18 + fd6: 0000 c.unimp + fd8: 0301 c.addi x6,0 + fda: 0900 c.addi4spn x8,x2,144 + fdc: 0000 c.unimp + fde: 0301 c.addi x6,0 + fe0: 0900 c.addi4spn x8,x2,144 + fe2: 0000 c.unimp + fe4: 0301 c.addi x6,0 + fe6: 0900 c.addi4spn x8,x2,144 + fe8: 0004 0x4 + fea: 0301 c.addi x6,0 + fec: 0900 c.addi4spn x8,x2,144 + fee: 000c 0xc + ff0: 0301 c.addi x6,0 + ff2: 0900 c.addi4spn x8,x2,144 + ff4: 0000 c.unimp + ff6: 0301 c.addi x6,0 + ff8: 0901 c.addi x18,0 + ffa: 0000 c.unimp + ffc: 0501 c.addi x10,0 + ffe: 0614 c.addi4spn x13,x2,768 + 1000: 00090003 lb x0,0(x18) + 1004: 0100 c.addi4spn x8,x2,128 + 1006: 2005 c.jal 1026 + 1008: 04090003 lb x0,64(x18) + 100c: 0100 c.addi4spn x8,x2,128 + 100e: 0505 c.addi x10,1 + 1010: 0306 c.slli x6,0x1 + 1012: 0901 c.addi x18,0 + 1014: 0004 0x4 + 1016: 0301 c.addi x6,0 + 1018: 0901 c.addi x18,0 + 101a: 0000 c.unimp + 101c: 0501 c.addi x10,0 + 101e: 060d c.addi x12,3 + 1020: 00090003 lb x0,0(x18) + 1024: 0100 c.addi4spn x8,x2,128 + 1026: 0c09 c.addi x24,2 + 1028: 0000 c.unimp + 102a: 0101 c.addi x2,0 + 102c: 00000723 sb x0,14(x0) # e + 1030: 0005 c.addi x0,1 + 1032: 0004 0x4 + 1034: 00000033 add x0,x0,x0 + 1038: 0101 c.addi x2,0 + 103a: fb01 c.bnez x14,f4a + 103c: 0d0e c.slli x26,0x3 + 103e: 0100 c.addi4spn x8,x2,128 + 1040: 0101 c.addi x2,0 + 1042: 0001 c.addi x0,0 + 1044: 0000 c.unimp + 1046: 0001 c.addi x0,0 + 1048: 0100 c.addi4spn x8,x2,128 + 104a: 0101 c.addi x2,0 + 104c: 021f 0000 0000 0x21f + 1052: 008a c.slli x1,0x2 + 1054: 0000 c.unimp + 1056: 0102 c.slli64 x2 + 1058: 021f 030f 0064 0x64030f021f + 105e: 0000 c.unimp + 1060: 8000 0x8000 + 1062: 0000 c.unimp + 1064: 0100 c.addi4spn x8,x2,128 + 1066: 00a6 c.slli x1,0x9 + 1068: 0000 c.unimp + 106a: 0501 c.addi x10,0 + 106c: 0001 c.addi x0,0 + 106e: 0205 c.addi x4,1 + 1070: 2210 c.fld f12,0(x12) + 1072: 0000 c.unimp + 1074: 010a9203 lh x4,16(x21) + 1078: 0305 c.addi x6,1 + 107a: 00090103 lb x2,0(x18) + 107e: 0100 c.addi4spn x8,x2,128 + 1080: 097dd103 lhu x2,151(x27) + 1084: 0000 c.unimp + 1086: 0301 c.addi x6,0 + 1088: 0901 c.addi x18,0 + 108a: 0000 c.unimp + 108c: 0301 c.addi x6,0 + 108e: 0901 c.addi x18,0 + 1090: 0000 c.unimp + 1092: 0301 c.addi x6,0 + 1094: 0901 c.addi x18,0 + 1096: 0000 c.unimp + 1098: 0301 c.addi x6,0 + 109a: 0901 c.addi x18,0 + 109c: 0000 c.unimp + 109e: 0301 c.addi x6,0 + 10a0: 0901 c.addi x18,0 + 10a2: 0000 c.unimp + 10a4: 0301 c.addi x6,0 + 10a6: 0902 c.slli64 x18 + 10a8: 0000 c.unimp + 10aa: 0501 c.addi x10,0 + 10ac: 0601 c.addi x12,0 + 10ae: 0902a703 lw x14,144(x5) + 10b2: 0000 c.unimp + 10b4: 0501 c.addi x10,0 + 10b6: 0306 c.slli x6,0x1 + 10b8: 7dd9 c.lui x27,0xffff6 + 10ba: 0809 c.addi x16,2 + 10bc: 0100 c.addi4spn x8,x2,128 + 10be: 0305 c.addi x6,1 + 10c0: 0306 c.slli x6,0x1 + 10c2: 0901 c.addi x18,0 + 10c4: 0004 0x4 + 10c6: 0301 c.addi x6,0 + 10c8: 0901 c.addi x18,0 + 10ca: 0000 c.unimp + 10cc: 0501 c.addi x10,0 + 10ce: 0606 c.slli x12,0x1 + 10d0: 00090003 lb x0,0(x18) + 10d4: 0100 c.addi4spn x8,x2,128 + 10d6: 0305 c.addi x6,1 + 10d8: 0306 c.slli x6,0x1 + 10da: 0901 c.addi x18,0 + 10dc: 0004 0x4 + 10de: 0501 c.addi x10,0 + 10e0: 0606 c.slli x12,0x1 + 10e2: 00090003 lb x0,0(x18) + 10e6: 0100 c.addi4spn x8,x2,128 + 10e8: 0305 c.addi x6,1 + 10ea: 0306 c.slli x6,0x1 + 10ec: 0925 c.addi x18,9 + 10ee: 0004 0x4 + 10f0: 0501 c.addi x10,0 + 10f2: 0606 c.slli x12,0x1 + 10f4: 00090003 lb x0,0(x18) + 10f8: 0100 c.addi4spn x8,x2,128 + 10fa: 0705 c.addi x14,1 + 10fc: 0306 c.slli x6,0x1 + 10fe: 0902 c.slli64 x18 + 1100: 0004 0x4 + 1102: 0501 c.addi x10,0 + 1104: 060a c.slli x12,0x2 + 1106: 08090003 lb x0,128(x18) + 110a: 0100 c.addi4spn x8,x2,128 + 110c: 0405 c.addi x8,1 + 110e: 0306 c.slli x6,0x1 + 1110: 0904 c.addi4spn x9,x2,144 + 1112: 0004 0x4 + 1114: 0301 c.addi x6,0 + 1116: 0900 c.addi4spn x8,x2,144 + 1118: 0000 c.unimp + 111a: 0301 c.addi x6,0 + 111c: 0900 c.addi4spn x8,x2,144 + 111e: 0000 c.unimp + 1120: 0301 c.addi x6,0 + 1122: 0900 c.addi4spn x8,x2,144 + 1124: 0000 c.unimp + 1126: 0301 c.addi x6,0 + 1128: 0900 c.addi4spn x8,x2,144 + 112a: 0000 c.unimp + 112c: 0301 c.addi x6,0 + 112e: 0900 c.addi4spn x8,x2,144 + 1130: 0014 0x14 + 1132: 0301 c.addi x6,0 + 1134: 0900 c.addi4spn x8,x2,144 + 1136: 0018 0x18 + 1138: 0301 c.addi x6,0 + 113a: 0902 c.slli64 x18 + 113c: 0000 c.unimp + 113e: 0501 c.addi x10,0 + 1140: 00030607 0x30607 + 1144: 0009 c.addi x0,2 + 1146: 0100 c.addi4spn x8,x2,128 + 1148: 0805 c.addi x16,1 + 114a: 0306 c.slli x6,0x1 + 114c: 0905 c.addi x18,1 + 114e: 0004 0x4 + 1150: 0501 c.addi x10,0 + 1152: 0611 c.addi x12,4 + 1154: 00090103 lb x2,0(x18) + 1158: 0100 c.addi4spn x8,x2,128 + 115a: 1e05 c.addi x28,-31 + 115c: 04090003 lb x0,64(x18) + 1160: 0100 c.addi4spn x8,x2,128 + 1162: 0b05 c.addi x22,1 + 1164: 04097f03 0x4097f03 + 1168: 0100 c.addi4spn x8,x2,128 + 116a: 0805 c.addi x16,1 + 116c: 0306 c.slli x6,0x1 + 116e: 0901 c.addi x18,0 + 1170: 0004 0x4 + 1172: 0501 c.addi x10,0 + 1174: 0003060b 0x3060b + 1178: 0009 c.addi x0,2 + 117a: 0100 c.addi4spn x8,x2,128 + 117c: 0805 c.addi x16,1 + 117e: 0306 c.slli x6,0x1 + 1180: 0901 c.addi x18,0 + 1182: 0004 0x4 + 1184: 0501 c.addi x10,0 + 1186: 0003060b 0x3060b + 118a: 0009 c.addi x0,2 + 118c: 0100 c.addi4spn x8,x2,128 + 118e: 0405 c.addi x8,1 + 1190: 0306 c.slli x6,0x1 + 1192: 00040903 lb x18,0(x8) + 1196: 0301 c.addi x6,0 + 1198: 0900 c.addi4spn x8,x2,144 + 119a: 0000 c.unimp + 119c: 0301 c.addi x6,0 + 119e: 0900 c.addi4spn x8,x2,144 + 11a0: 0000 c.unimp + 11a2: 0301 c.addi x6,0 + 11a4: 0900 c.addi4spn x8,x2,144 + 11a6: 0000 c.unimp + 11a8: 0301 c.addi x6,0 + 11aa: 0900 c.addi4spn x8,x2,144 + 11ac: 0004 0x4 + 11ae: 0301 c.addi x6,0 + 11b0: 0900 c.addi4spn x8,x2,144 + 11b2: 000c 0xc + 11b4: 0301 c.addi x6,0 + 11b6: 0900 c.addi4spn x8,x2,144 + 11b8: 0000 c.unimp + 11ba: 0301 c.addi x6,0 + 11bc: 0900 c.addi4spn x8,x2,144 + 11be: 0000 c.unimp + 11c0: 0301 c.addi x6,0 + 11c2: 0900 c.addi4spn x8,x2,144 + 11c4: 000c 0xc + 11c6: 0301 c.addi x6,0 + 11c8: 0900 c.addi4spn x8,x2,144 + 11ca: 000c 0xc + 11cc: 0301 c.addi x6,0 + 11ce: 0900 c.addi4spn x8,x2,144 + 11d0: 0004 0x4 + 11d2: 0301 c.addi x6,0 + 11d4: 0900 c.addi4spn x8,x2,144 + 11d6: 0008 0x8 + 11d8: 0301 c.addi x6,0 + 11da: 0900 c.addi4spn x8,x2,144 + 11dc: 0004 0x4 + 11de: 0301 c.addi x6,0 + 11e0: 0900 c.addi4spn x8,x2,144 + 11e2: 0004 0x4 + 11e4: 0301 c.addi x6,0 + 11e6: 0900 c.addi4spn x8,x2,144 + 11e8: 0008 0x8 + 11ea: 0301 c.addi x6,0 + 11ec: 0900 c.addi4spn x8,x2,144 + 11ee: 0004 0x4 + 11f0: 0301 c.addi x6,0 + 11f2: 0900 c.addi4spn x8,x2,144 + 11f4: 0000 c.unimp + 11f6: 0301 c.addi x6,0 + 11f8: 0900 c.addi4spn x8,x2,144 + 11fa: 0004 0x4 + 11fc: 0301 c.addi x6,0 + 11fe: 0900 c.addi4spn x8,x2,144 + 1200: 0010 0x10 + 1202: 0301 c.addi x6,0 + 1204: 0900 c.addi4spn x8,x2,144 + 1206: 0008 0x8 + 1208: 0301 c.addi x6,0 + 120a: 0900 c.addi4spn x8,x2,144 + 120c: 0008 0x8 + 120e: 0301 c.addi x6,0 + 1210: 0900 c.addi4spn x8,x2,144 + 1212: 0008 0x8 + 1214: 0301 c.addi x6,0 + 1216: 0900 c.addi4spn x8,x2,144 + 1218: 0004 0x4 + 121a: 0301 c.addi x6,0 + 121c: 0900 c.addi4spn x8,x2,144 + 121e: 0004 0x4 + 1220: 0301 c.addi x6,0 + 1222: 0900 c.addi4spn x8,x2,144 + 1224: 0004 0x4 + 1226: 0301 c.addi x6,0 + 1228: 0900 c.addi4spn x8,x2,144 + 122a: 0000 c.unimp + 122c: 0301 c.addi x6,0 + 122e: 0900 c.addi4spn x8,x2,144 + 1230: 0008 0x8 + 1232: 0301 c.addi x6,0 + 1234: 0900 c.addi4spn x8,x2,144 + 1236: 0000 c.unimp + 1238: 0301 c.addi x6,0 + 123a: 0901 c.addi x18,0 + 123c: 0000 c.unimp + 123e: 0501 c.addi x10,0 + 1240: fe03060b 0xfe03060b + 1244: 0900 c.addi4spn x8,x2,144 + 1246: 0000 c.unimp + 1248: 0501 c.addi x10,0 + 124a: 0e030603 lb x12,224(x6) + 124e: 0409 c.addi x8,2 + 1250: 0100 c.addi4spn x8,x2,128 + 1252: 00090103 lb x2,0(x18) + 1256: 0100 c.addi4spn x8,x2,128 + 1258: 0105 c.addi x2,1 + 125a: 0306 c.slli x6,0x1 + 125c: 00e1 c.addi x1,24 + 125e: 0009 c.addi x0,2 + 1260: 0100 c.addi4spn x8,x2,128 + 1262: 0405 c.addi x8,1 + 1264: 097e8503 lb x10,151(x29) # fffed097 <__global_pointer$+0xfffe9837> + 1268: 0004 0x4 + 126a: 0601 c.addi x12,0 + 126c: 14091503 lh x10,320(x18) + 1270: 0100 c.addi4spn x8,x2,128 + 1272: 0705 c.addi x14,1 + 1274: 0306 c.slli x6,0x1 + 1276: 0900 c.addi4spn x8,x2,144 + 1278: 0000 c.unimp + 127a: 0501 c.addi x10,0 + 127c: 0606 c.slli x12,0x1 + 127e: 04090103 lb x2,64(x18) + 1282: 0100 c.addi4spn x8,x2,128 + 1284: 0405 c.addi x8,1 + 1286: 04090203 lb x4,64(x18) + 128a: 0100 c.addi4spn x8,x2,128 + 128c: 00090003 lb x0,0(x18) + 1290: 0100 c.addi4spn x8,x2,128 + 1292: 00090003 lb x0,0(x18) + 1296: 0100 c.addi4spn x8,x2,128 + 1298: 00090003 lb x0,0(x18) + 129c: 0100 c.addi4spn x8,x2,128 + 129e: 00090003 lb x0,0(x18) + 12a2: 0100 c.addi4spn x8,x2,128 + 12a4: 14090003 lb x0,320(x18) + 12a8: 0100 c.addi4spn x8,x2,128 + 12aa: 18090003 lb x0,384(x18) + 12ae: 0100 c.addi4spn x8,x2,128 + 12b0: 00090203 lb x4,0(x18) + 12b4: 0100 c.addi4spn x8,x2,128 + 12b6: 0705 c.addi x14,1 + 12b8: 0306 c.slli x6,0x1 + 12ba: 0900 c.addi4spn x8,x2,144 + 12bc: 0000 c.unimp + 12be: 0501 c.addi x10,0 + 12c0: 0608 c.addi4spn x10,x2,768 + 12c2: 04090903 lb x18,64(x18) + 12c6: 0100 c.addi4spn x8,x2,128 + 12c8: 0b05 c.addi x22,1 + 12ca: 0306 c.slli x6,0x1 + 12cc: 0900 c.addi4spn x8,x2,144 + 12ce: 0000 c.unimp + 12d0: 0501 c.addi x10,0 + 12d2: 0608 c.addi4spn x10,x2,768 + 12d4: 04090103 lb x2,64(x18) + 12d8: 0100 c.addi4spn x8,x2,128 + 12da: 0b05 c.addi x22,1 + 12dc: 0306 c.slli x6,0x1 + 12de: 0900 c.addi4spn x8,x2,144 + 12e0: 0000 c.unimp + 12e2: 0501 c.addi x10,0 + 12e4: 0608 c.addi4spn x10,x2,768 + 12e6: 04090d03 lb x26,64(x18) + 12ea: 0100 c.addi4spn x8,x2,128 + 12ec: 0405 c.addi x8,1 + 12ee: 00090503 lb x10,0(x18) + 12f2: 0100 c.addi4spn x8,x2,128 + 12f4: 00090003 lb x0,0(x18) + 12f8: 0100 c.addi4spn x8,x2,128 + 12fa: 00090003 lb x0,0(x18) + 12fe: 0100 c.addi4spn x8,x2,128 + 1300: 00090003 lb x0,0(x18) + 1304: 0100 c.addi4spn x8,x2,128 + 1306: 04090003 lb x0,64(x18) + 130a: 0100 c.addi4spn x8,x2,128 + 130c: 0c090003 lb x0,192(x18) + 1310: 0100 c.addi4spn x8,x2,128 + 1312: 00090003 lb x0,0(x18) + 1316: 0100 c.addi4spn x8,x2,128 + 1318: 00090003 lb x0,0(x18) + 131c: 0100 c.addi4spn x8,x2,128 + 131e: 10090003 lb x0,256(x18) + 1322: 0100 c.addi4spn x8,x2,128 + 1324: 08090003 lb x0,128(x18) + 1328: 0100 c.addi4spn x8,x2,128 + 132a: 04090003 lb x0,64(x18) + 132e: 0100 c.addi4spn x8,x2,128 + 1330: 08090003 lb x0,128(x18) + 1334: 0100 c.addi4spn x8,x2,128 + 1336: 04090003 lb x0,64(x18) + 133a: 0100 c.addi4spn x8,x2,128 + 133c: 04090003 lb x0,64(x18) + 1340: 0100 c.addi4spn x8,x2,128 + 1342: 08090003 lb x0,128(x18) + 1346: 0100 c.addi4spn x8,x2,128 + 1348: 04090003 lb x0,64(x18) + 134c: 0100 c.addi4spn x8,x2,128 + 134e: 00090003 lb x0,0(x18) + 1352: 0100 c.addi4spn x8,x2,128 + 1354: 04090003 lb x0,64(x18) + 1358: 0100 c.addi4spn x8,x2,128 + 135a: 10090003 lb x0,256(x18) + 135e: 0100 c.addi4spn x8,x2,128 + 1360: 08090003 lb x0,128(x18) + 1364: 0100 c.addi4spn x8,x2,128 + 1366: 08090003 lb x0,128(x18) + 136a: 0100 c.addi4spn x8,x2,128 + 136c: 08090003 lb x0,128(x18) + 1370: 0100 c.addi4spn x8,x2,128 + 1372: 04090003 lb x0,64(x18) + 1376: 0100 c.addi4spn x8,x2,128 + 1378: 04090003 lb x0,64(x18) + 137c: 0100 c.addi4spn x8,x2,128 + 137e: 04090003 lb x0,64(x18) + 1382: 0100 c.addi4spn x8,x2,128 + 1384: 00090003 lb x0,0(x18) + 1388: 0100 c.addi4spn x8,x2,128 + 138a: 08090003 lb x0,128(x18) + 138e: 0100 c.addi4spn x8,x2,128 + 1390: 0306 c.slli x6,0x1 + 1392: 0962 c.slli x18,0x18 + 1394: 0004 0x4 + 1396: 0501 c.addi x10,0 + 1398: 0608 c.addi4spn x10,x2,768 + 139a: 14091203 lh x4,320(x18) + 139e: 0100 c.addi4spn x8,x2,128 + 13a0: 00090203 lb x4,0(x18) + 13a4: 0100 c.addi4spn x8,x2,128 + 13a6: 0b05 c.addi x22,1 + 13a8: 0306 c.slli x6,0x1 + 13aa: 0900 c.addi4spn x8,x2,144 + 13ac: 0000 c.unimp + 13ae: 0501 c.addi x10,0 + 13b0: 0608 c.addi4spn x10,x2,768 + 13b2: 04090103 lb x2,64(x18) + 13b6: 0100 c.addi4spn x8,x2,128 + 13b8: 0b05 c.addi x22,1 + 13ba: 0306 c.slli x6,0x1 + 13bc: 0900 c.addi4spn x8,x2,144 + 13be: 0000 c.unimp + 13c0: 0501 c.addi x10,0 + 13c2: 0608 c.addi4spn x10,x2,768 + 13c4: 04090103 lb x2,64(x18) + 13c8: 0100 c.addi4spn x8,x2,128 + 13ca: 0306 c.slli x6,0x1 + 13cc: 00000903 lb x18,0(x0) # 0 + 13d0: 0501 c.addi x10,0 + 13d2: 031e c.slli x6,0x7 + 13d4: 097d c.addi x18,31 + 13d6: 0004 0x4 + 13d8: 0501 c.addi x10,0 + 13da: 0901030b 0x901030b + 13de: 0004 0x4 + 13e0: 0501 c.addi x10,0 + 13e2: 0308 c.addi4spn x10,x2,384 + 13e4: 0902 c.slli64 x18 + 13e6: 0004 0x4 + 13e8: 0501 c.addi x10,0 + 13ea: 0311 c.addi x6,4 + 13ec: 097d c.addi x18,31 + 13ee: 0008 0x8 + 13f0: 0501 c.addi x10,0 + 13f2: 0308 c.addi4spn x10,x2,384 + 13f4: 00040903 lb x18,0(x8) + 13f8: 0501 c.addi x10,0 + 13fa: 097d030b 0x97d030b + 13fe: 0004 0x4 + 1400: 0501 c.addi x10,0 + 1402: 0608 c.addi4spn x10,x2,768 + 1404: 04090103 lb x2,64(x18) + 1408: 0100 c.addi4spn x8,x2,128 + 140a: 00090203 lb x4,0(x18) + 140e: 0100 c.addi4spn x8,x2,128 + 1410: 00090003 lb x0,0(x18) + 1414: 0100 c.addi4spn x8,x2,128 + 1416: 00090003 lb x0,0(x18) + 141a: 0100 c.addi4spn x8,x2,128 + 141c: 00090003 lb x0,0(x18) + 1420: 0100 c.addi4spn x8,x2,128 + 1422: 00090003 lb x0,0(x18) + 1426: 0100 c.addi4spn x8,x2,128 + 1428: 00090003 lb x0,0(x18) + 142c: 0100 c.addi4spn x8,x2,128 + 142e: 00090003 lb x0,0(x18) + 1432: 0100 c.addi4spn x8,x2,128 + 1434: 00090003 lb x0,0(x18) + 1438: 0100 c.addi4spn x8,x2,128 + 143a: 08090003 lb x0,128(x18) + 143e: 0100 c.addi4spn x8,x2,128 + 1440: 0c090003 lb x0,192(x18) + 1444: 0100 c.addi4spn x8,x2,128 + 1446: 08090003 lb x0,128(x18) + 144a: 0100 c.addi4spn x8,x2,128 + 144c: 08090003 lb x0,128(x18) + 1450: 0100 c.addi4spn x8,x2,128 + 1452: 04090003 lb x0,64(x18) + 1456: 0100 c.addi4spn x8,x2,128 + 1458: 04090003 lb x0,64(x18) + 145c: 0100 c.addi4spn x8,x2,128 + 145e: 08090003 lb x0,128(x18) + 1462: 0100 c.addi4spn x8,x2,128 + 1464: 04090003 lb x0,64(x18) + 1468: 0100 c.addi4spn x8,x2,128 + 146a: 00090003 lb x0,0(x18) + 146e: 0100 c.addi4spn x8,x2,128 + 1470: 04090003 lb x0,64(x18) + 1474: 0100 c.addi4spn x8,x2,128 + 1476: 10090003 lb x0,256(x18) + 147a: 0100 c.addi4spn x8,x2,128 + 147c: 08090003 lb x0,128(x18) + 1480: 0100 c.addi4spn x8,x2,128 + 1482: 08090003 lb x0,128(x18) + 1486: 0100 c.addi4spn x8,x2,128 + 1488: 08090003 lb x0,128(x18) + 148c: 0100 c.addi4spn x8,x2,128 + 148e: 04090003 lb x0,64(x18) + 1492: 0100 c.addi4spn x8,x2,128 + 1494: 04090003 lb x0,64(x18) + 1498: 0100 c.addi4spn x8,x2,128 + 149a: 08090003 lb x0,128(x18) + 149e: 0100 c.addi4spn x8,x2,128 + 14a0: 08090003 lb x0,128(x18) + 14a4: 0100 c.addi4spn x8,x2,128 + 14a6: 04090003 lb x0,64(x18) + 14aa: 0100 c.addi4spn x8,x2,128 + 14ac: 0705 c.addi x14,1 + 14ae: 04091503 lh x10,64(x18) + 14b2: 0100 c.addi4spn x8,x2,128 + 14b4: 0a05 c.addi x20,1 + 14b6: 0306 c.slli x6,0x1 + 14b8: 0900 c.addi4spn x8,x2,144 + 14ba: 0000 c.unimp + 14bc: 0501 c.addi x10,0 + 14be: 0604 c.addi4spn x9,x2,768 + 14c0: 04091303 lh x6,64(x18) + 14c4: 0100 c.addi4spn x8,x2,128 + 14c6: 00090003 lb x0,0(x18) + 14ca: 0100 c.addi4spn x8,x2,128 + 14cc: 00090003 lb x0,0(x18) + 14d0: 0100 c.addi4spn x8,x2,128 + 14d2: 00090003 lb x0,0(x18) + 14d6: 0100 c.addi4spn x8,x2,128 + 14d8: 00090003 lb x0,0(x18) + 14dc: 0100 c.addi4spn x8,x2,128 + 14de: 14090003 lb x0,320(x18) + 14e2: 0100 c.addi4spn x8,x2,128 + 14e4: 20090003 lb x0,512(x18) + 14e8: 0100 c.addi4spn x8,x2,128 + 14ea: 00090103 lb x2,0(x18) + 14ee: 0100 c.addi4spn x8,x2,128 + 14f0: 0705 c.addi x14,1 + 14f2: 0306 c.slli x6,0x1 + 14f4: 0900 c.addi4spn x8,x2,144 + 14f6: 0000 c.unimp + 14f8: 0501 c.addi x10,0 + 14fa: 0608 c.addi4spn x10,x2,768 + 14fc: 04090a03 lb x20,64(x18) + 1500: 0100 c.addi4spn x8,x2,128 + 1502: 0306 c.slli x6,0x1 + 1504: 0902 c.slli64 x18 + 1506: 0000 c.unimp + 1508: 0501 c.addi x10,0 + 150a: 097e030b 0x97e030b + 150e: 0004 0x4 + 1510: 0501 c.addi x10,0 + 1512: 0314 c.addi4spn x13,x2,384 + 1514: 0900 c.addi4spn x8,x2,144 + 1516: 0004 0x4 + 1518: 0501 c.addi x10,0 + 151a: 0304 c.addi4spn x9,x2,384 + 151c: 0975 c.addi x18,29 + 151e: 000c 0xc + 1520: 0501 c.addi x10,0 + 1522: 0608 c.addi4spn x10,x2,768 + 1524: 14091e03 lh x28,320(x18) + 1528: 0100 c.addi4spn x8,x2,128 + 152a: 00090303 lb x6,0(x18) + 152e: 0100 c.addi4spn x8,x2,128 + 1530: 00090203 lb x4,0(x18) + 1534: 0100 c.addi4spn x8,x2,128 + 1536: 1e05 c.addi x28,-31 + 1538: 0306 c.slli x6,0x1 + 153a: 0900 c.addi4spn x8,x2,144 + 153c: 0000 c.unimp + 153e: 0501 c.addi x10,0 + 1540: 0311 c.addi x6,4 + 1542: 0900 c.addi4spn x8,x2,144 + 1544: 0004 0x4 + 1546: 0501 c.addi x10,0 + 1548: 0900030b 0x900030b + 154c: 0004 0x4 + 154e: 0501 c.addi x10,0 + 1550: 0608 c.addi4spn x10,x2,768 + 1552: 04090103 lb x2,64(x18) + 1556: 0100 c.addi4spn x8,x2,128 + 1558: 0b05 c.addi x22,1 + 155a: 0306 c.slli x6,0x1 + 155c: 0901 c.addi x18,0 + 155e: 0000 c.unimp + 1560: 0501 c.addi x10,0 + 1562: 0311 c.addi x6,4 + 1564: 0901 c.addi x18,0 + 1566: 0004 0x4 + 1568: 0501 c.addi x10,0 + 156a: 031e c.slli x6,0x7 + 156c: 0900 c.addi4spn x8,x2,144 + 156e: 0004 0x4 + 1570: 0501 c.addi x10,0 + 1572: 0900030b 0x900030b + 1576: 0004 0x4 + 1578: 0501 c.addi x10,0 + 157a: 0308 c.addi4spn x10,x2,384 + 157c: 00040903 lb x18,0(x8) + 1580: 0501 c.addi x10,0 + 1582: 097b030b 0x97b030b + 1586: 0010 0x10 + 1588: 0501 c.addi x10,0 + 158a: 0608 c.addi4spn x10,x2,768 + 158c: 04090103 lb x2,64(x18) + 1590: 0100 c.addi4spn x8,x2,128 + 1592: 00090103 lb x2,0(x18) + 1596: 0100 c.addi4spn x8,x2,128 + 1598: 00090103 lb x2,0(x18) + 159c: 0100 c.addi4spn x8,x2,128 + 159e: 00090203 lb x4,0(x18) + 15a2: 0100 c.addi4spn x8,x2,128 + 15a4: 00090003 lb x0,0(x18) + 15a8: 0100 c.addi4spn x8,x2,128 + 15aa: 00090003 lb x0,0(x18) + 15ae: 0100 c.addi4spn x8,x2,128 + 15b0: 00090003 lb x0,0(x18) + 15b4: 0100 c.addi4spn x8,x2,128 + 15b6: 00090003 lb x0,0(x18) + 15ba: 0100 c.addi4spn x8,x2,128 + 15bc: 00090003 lb x0,0(x18) + 15c0: 0100 c.addi4spn x8,x2,128 + 15c2: 00090003 lb x0,0(x18) + 15c6: 0100 c.addi4spn x8,x2,128 + 15c8: 00090003 lb x0,0(x18) + 15cc: 0100 c.addi4spn x8,x2,128 + 15ce: 08090003 lb x0,128(x18) + 15d2: 0100 c.addi4spn x8,x2,128 + 15d4: 0c090003 lb x0,192(x18) + 15d8: 0100 c.addi4spn x8,x2,128 + 15da: 08090003 lb x0,128(x18) + 15de: 0100 c.addi4spn x8,x2,128 + 15e0: 08090003 lb x0,128(x18) + 15e4: 0100 c.addi4spn x8,x2,128 + 15e6: 04090003 lb x0,64(x18) + 15ea: 0100 c.addi4spn x8,x2,128 + 15ec: 04090003 lb x0,64(x18) + 15f0: 0100 c.addi4spn x8,x2,128 + 15f2: 08090003 lb x0,128(x18) + 15f6: 0100 c.addi4spn x8,x2,128 + 15f8: 04090003 lb x0,64(x18) + 15fc: 0100 c.addi4spn x8,x2,128 + 15fe: 00090003 lb x0,0(x18) + 1602: 0100 c.addi4spn x8,x2,128 + 1604: 04090003 lb x0,64(x18) + 1608: 0100 c.addi4spn x8,x2,128 + 160a: 10090003 lb x0,256(x18) + 160e: 0100 c.addi4spn x8,x2,128 + 1610: 08090003 lb x0,128(x18) + 1614: 0100 c.addi4spn x8,x2,128 + 1616: 08090003 lb x0,128(x18) + 161a: 0100 c.addi4spn x8,x2,128 + 161c: 08090003 lb x0,128(x18) + 1620: 0100 c.addi4spn x8,x2,128 + 1622: 04090003 lb x0,64(x18) + 1626: 0100 c.addi4spn x8,x2,128 + 1628: 04090003 lb x0,64(x18) + 162c: 0100 c.addi4spn x8,x2,128 + 162e: 08090003 lb x0,128(x18) + 1632: 0100 c.addi4spn x8,x2,128 + 1634: 0306 c.slli x6,0x1 + 1636: 0901 c.addi x18,0 + 1638: 0004 0x4 + 163a: 0301 c.addi x6,0 + 163c: 097f 0x97f + 163e: 0004 0x4 + 1640: 0301 c.addi x6,0 + 1642: 0901 c.addi x18,0 + 1644: 0004 0x4 + 1646: 0301 c.addi x6,0 + 1648: 097f 0x97f + 164a: 0008 0x8 + 164c: 0601 c.addi x12,0 + 164e: 04090003 lb x0,64(x18) + 1652: 0100 c.addi4spn x8,x2,128 + 1654: 00090003 lb x0,0(x18) + 1658: 0100 c.addi4spn x8,x2,128 + 165a: 00090003 lb x0,0(x18) + 165e: 0100 c.addi4spn x8,x2,128 + 1660: 00090103 lb x2,0(x18) + 1664: 0100 c.addi4spn x8,x2,128 + 1666: 00090003 lb x0,0(x18) + 166a: 0100 c.addi4spn x8,x2,128 + 166c: 00090003 lb x0,0(x18) + 1670: 0100 c.addi4spn x8,x2,128 + 1672: 00090003 lb x0,0(x18) + 1676: 0100 c.addi4spn x8,x2,128 + 1678: 00090003 lb x0,0(x18) + 167c: 0100 c.addi4spn x8,x2,128 + 167e: 08090003 lb x0,128(x18) + 1682: 0100 c.addi4spn x8,x2,128 + 1684: 00090003 lb x0,0(x18) + 1688: 0100 c.addi4spn x8,x2,128 + 168a: 04090003 lb x0,64(x18) + 168e: 0100 c.addi4spn x8,x2,128 + 1690: 04090003 lb x0,64(x18) + 1694: 0100 c.addi4spn x8,x2,128 + 1696: 00090003 lb x0,0(x18) + 169a: 0100 c.addi4spn x8,x2,128 + 169c: 04090003 lb x0,64(x18) + 16a0: 0100 c.addi4spn x8,x2,128 + 16a2: 08090003 lb x0,128(x18) + 16a6: 0100 c.addi4spn x8,x2,128 + 16a8: 04090003 lb x0,64(x18) + 16ac: 0100 c.addi4spn x8,x2,128 + 16ae: 08090003 lb x0,128(x18) + 16b2: 0100 c.addi4spn x8,x2,128 + 16b4: 04090003 lb x0,64(x18) + 16b8: 0100 c.addi4spn x8,x2,128 + 16ba: 04090003 lb x0,64(x18) + 16be: 0100 c.addi4spn x8,x2,128 + 16c0: 08090003 lb x0,128(x18) + 16c4: 0100 c.addi4spn x8,x2,128 + 16c6: 00090003 lb x0,0(x18) + 16ca: 0100 c.addi4spn x8,x2,128 + 16cc: 00090203 lb x4,0(x18) + 16d0: 0100 c.addi4spn x8,x2,128 + 16d2: 0b05 c.addi x22,1 + 16d4: 0306 c.slli x6,0x1 + 16d6: 0900 c.addi4spn x8,x2,144 + 16d8: 0000 c.unimp + 16da: 0501 c.addi x10,0 + 16dc: 0314 c.addi4spn x13,x2,384 + 16de: 0900 c.addi4spn x8,x2,144 + 16e0: 0004 0x4 + 16e2: 0501 c.addi x10,0 + 16e4: 0308 c.addi4spn x10,x2,384 + 16e6: 097e c.slli x18,0x1f + 16e8: 0004 0x4 + 16ea: 0501 c.addi x10,0 + 16ec: 097d030b 0x97d030b + 16f0: 0014 0x14 + 16f2: 0501 c.addi x10,0 + 16f4: 0308 c.addi4spn x10,x2,384 + 16f6: 00040903 lb x18,0(x8) + 16fa: 0501 c.addi x10,0 + 16fc: 0908030b 0x908030b + 1700: 0004 0x4 + 1702: 0501 c.addi x10,0 + 1704: 0321 c.addi x6,8 + 1706: 097a c.slli x18,0x1e + 1708: 0004 0x4 + 170a: 0501 c.addi x10,0 + 170c: 0605 c.addi x12,1 + 170e: 04090203 lb x4,64(x18) + 1712: 0100 c.addi4spn x8,x2,128 + 1714: 0705 c.addi x14,1 + 1716: 0306 c.slli x6,0x1 + 1718: 0900 c.addi4spn x8,x2,144 + 171a: 0000 c.unimp + 171c: 0501 c.addi x10,0 + 171e: 0605 c.addi x12,1 + 1720: 04090103 lb x2,64(x18) + 1724: 0100 c.addi4spn x8,x2,128 + 1726: 00090003 lb x0,0(x18) + 172a: 0100 c.addi4spn x8,x2,128 + 172c: 00090003 lb x0,0(x18) + 1730: 0100 c.addi4spn x8,x2,128 + 1732: 00090003 lb x0,0(x18) + 1736: 0100 c.addi4spn x8,x2,128 + 1738: 00090003 lb x0,0(x18) + 173c: 0100 c.addi4spn x8,x2,128 + 173e: 0705 c.addi x14,1 + 1740: 0306 c.slli x6,0x1 + 1742: 00040943 fmadd.s f18,f8,f0,f0,rne + 1746: 0301 c.addi x6,0 + 1748: 097f 0x97f + 174a: 0004 0x4 + 174c: 0901 c.addi x18,0 + 174e: 0008 0x8 + 1750: 0100 c.addi4spn x8,x2,128 + 1752: 3b01 c.jal 1462 + 1754: 0000 c.unimp + 1756: 0500 c.addi4spn x8,x2,640 + 1758: 0400 c.addi4spn x8,x2,512 + 175a: 3300 c.fld f8,32(x14) + 175c: 0000 c.unimp + 175e: 0100 c.addi4spn x8,x2,128 + 1760: 0101 c.addi x2,0 + 1762: 000d0efb 0xd0efb + 1766: 0101 c.addi x2,0 + 1768: 0101 c.addi x2,0 + 176a: 0000 c.unimp + 176c: 0100 c.addi4spn x8,x2,128 + 176e: 0000 c.unimp + 1770: 0101 c.addi x2,0 + 1772: 1f01 c.addi x30,-32 + 1774: 0002 c.slli64 x0 + 1776: 0000 c.unimp + 1778: 8a00 0x8a00 + 177a: 0000 c.unimp + 177c: 0200 c.addi4spn x8,x2,256 + 177e: 1f01 c.addi x30,-32 + 1780: 0f02 c.slli64 x30 + 1782: 00006403 0x6403 + 1786: 0000 c.unimp + 1788: 00a6 c.slli x1,0x9 + 178a: 0000 c.unimp + 178c: 8001 c.srli64 x8 + 178e: 0000 c.unimp + 1790: 0100 c.addi4spn x8,x2,128 Disassembly of section .debug_str: @@ -4076,288 +9266,298 @@ Disassembly of section .debug_str: 2: 6e75 c.lui x28,0x1d 4: 6f69 c.lui x30,0x1a 6: 006e c.slli x0,0x1b - 8: 5f5f 6475 7669 0x766964755f5f - e: 6964 c.flw f9,84(x10) - 10: 6f630033 0x6f630033 - 14: 706d c.lui x0,0xffffb - 16: 656c c.flw f11,76(x10) - 18: 2078 c.fld f14,192(x8) - 1a: 6c66 c.flwsp f24,88(x2) - 1c: 0074616f jal x2,46822 <__global_pointer$+0x43fea> - 20: 726f6873 csrrsi x16,0x726,30 - 24: 2074 c.fld f13,192(x8) - 26: 6e69 c.lui x28,0x1a - 28: 0074 c.addi4spn x13,x2,12 - 2a: 5f5f 3064 5f00 0x5f0030645f5f - 30: 645f 0031 5f5f 0x5f5f0031645f - 36: 6876 c.flwsp f16,92(x2) - 38: 5f00 c.lw x8,56(x14) - 3a: 765f 006c 6f6c 0x6f6c006c765f - 40: 676e c.flwsp f14,216(x2) - 42: 6c20 c.flw f8,88(x8) - 44: 20676e6f jal x28,7624a <__global_pointer$+0x73a12> - 48: 6e69 c.lui x28,0x1a - 4a: 0074 c.addi4spn x13,x2,12 - 4c: 6f6c c.flw f11,92(x14) - 4e: 676e c.flwsp f14,216(x2) - 50: 6c20 c.flw f8,88(x8) - 52: 20676e6f jal x28,76258 <__global_pointer$+0x73a20> - 56: 6e75 c.lui x28,0x1d - 58: 6e676973 csrrsi x18,0x6e6,14 - 5c: 6465 c.lui x8,0x19 - 5e: 6920 c.flw f8,80(x10) - 60: 746e c.flwsp f8,248(x2) - 62: 6800 c.flw f8,16(x8) - 64: 6769 c.lui x14,0x1a - 66: 0068 c.addi4spn x10,x2,12 - 68: 5f5f 6c63 5f7a 0x5f7a6c635f5f - 6e: 6174 c.flw f13,68(x10) - 70: 0062 c.slli x0,0x18 - 72: 6e75 c.lui x28,0x1d - 74: 6e676973 csrrsi x18,0x6e6,14 - 78: 6465 c.lui x8,0x19 - 7a: 6320 c.flw f8,64(x14) - 7c: 6168 c.flw f10,68(x10) - 7e: 0072 c.slli x0,0x1c - 80: 20554e47 fmsub.s f28,f10,f5,f4,rmm - 84: 20373143 fmadd.s f2,f14,f3,f4,rup - 88: 3131 c.jal fffffc94 <__global_pointer$+0xffffd45c> - 8a: 312e c.fldsp f2,232(x2) - 8c: 302e c.fldsp f0,232(x2) - 8e: 2d20 c.fld f8,88(x10) - 90: 636d c.lui x6,0x1b - 92: 6f6d c.lui x30,0x1b - 94: 6564 c.flw f9,76(x10) - 96: 3d6c c.fld f11,248(x10) - 98: 656d c.lui x10,0x1b - 9a: 6c64 c.flw f9,92(x8) - 9c: 2d20776f jal x14,736e <__global_pointer$+0x4b36> - a0: 636d c.lui x6,0x1b - a2: 6f6d c.lui x30,0x1b - a4: 6564 c.flw f9,76(x10) - a6: 3d6c c.fld f11,248(x10) - a8: 656d c.lui x10,0x1b - aa: 6c64 c.flw f9,92(x8) - ac: 2d20776f jal x14,737e <__global_pointer$+0x4b46> - b0: 746d c.lui x8,0xffffb - b2: 6e75 c.lui x28,0x1d - b4: 3d65 c.jal ffffff6c <__global_pointer$+0xffffd734> - b6: 6f72 c.flwsp f30,28(x2) - b8: 74656b63 bltu x10,x6,80e <_s2h+0x72> - bc: 2d20 c.fld f8,88(x10) - be: 616d c.addi16sp x2,240 - c0: 6372 c.flwsp f6,28(x2) - c2: 3d68 c.fld f10,248(x10) - c4: 7672 c.flwsp f12,60(x2) - c6: 6d693233 0x6d693233 - ca: 2d20 c.fld f8,88(x10) - cc: 616d c.addi16sp x2,240 - ce: 6962 c.flwsp f18,24(x2) - d0: 693d c.lui x18,0xf - d2: 706c c.flw f11,100(x8) - d4: 2d203233 0x2d203233 - d8: 616d c.addi16sp x2,240 - da: 6372 c.flwsp f6,28(x2) - dc: 3d68 c.fld f10,248(x10) - de: 7672 c.flwsp f12,60(x2) - e0: 6d693233 0x6d693233 - e4: 2d20 c.fld f8,88(x10) - e6: 4f2d2067 0x4f2d2067 - ea: 4f2d2073 csrrs x0,0x4f2,x26 - ee: 2032 c.fldsp f0,264(x2) - f0: 4f2d c.li x30,11 - f2: 662d2073 csrrs x0,0x662,x26 - f6: 7562 c.flwsp f10,56(x2) - f8: 6c69 c.lui x24,0x1a - fa: 6964 c.flw f9,84(x10) - fc: 676e c.flwsp f14,216(x2) - fe: 6c2d c.lui x24,0xb - 100: 6269 c.lui x4,0x1a - 102: 20636367 0x20636367 - 106: 662d c.lui x12,0xb - 108: 6f6e c.flwsp f30,216(x2) - 10a: 732d c.lui x6,0xfffeb - 10c: 6174 c.flw f13,68(x10) - 10e: 702d6b63 bltu x26,x2,824 <_s2h+0x88> - 112: 6f72 c.flwsp f30,28(x2) - 114: 6574 c.flw f13,76(x10) - 116: 726f7463 bgeu x30,x6,83e <_s2h+0xa2> - 11a: 2d20 c.fld f8,88(x10) - 11c: 6166 c.flwsp f2,88(x2) - 11e: 636e7973 csrrci x18,0x636,28 - 122: 7268 c.flw f10,100(x12) - 124: 756f6e6f jal x28,f687a <__global_pointer$+0xf4042> - 128: 6e752d73 csrrs x26,0x6e7,x10 - 12c: 646e6977 0x646e6977 - 130: 742d c.lui x8,0xfffeb - 132: 6261 c.lui x4,0x18 - 134: 656c c.flw f11,76(x10) - 136: 662d2073 csrrs x0,0x662,x26 - 13a: 6976 c.flwsp f18,92(x2) - 13c: 69626973 csrrsi x18,0x696,4 - 140: 696c c.flw f11,84(x10) - 142: 7974 c.flw f13,116(x10) - 144: 683d c.lui x16,0xf - 146: 6469 c.lui x8,0x1a - 148: 6564 c.flw f9,76(x10) - 14a: 006e c.slli x0,0x1b - 14c: 5f5f 3078 5f00 0x5f0030785f5f - 152: 785f 0031 5f5f 0x5f5f0031785f - 158: 3278 c.fld f14,224(x12) - 15a: 5f00 c.lw x8,56(x14) - 15c: 785f 0033 5155 0x51550033785f - 162: 7449 c.lui x8,0xffff2 - 164: 7079 c.lui x0,0xffffe - 166: 0065 c.addi x0,25 - 168: 5744 c.lw x9,44(x14) - 16a: 75727473 csrrci x8,0x757,4 - 16e: 73007463 bgeu x0,x16,896 <_s2h+0xfa> - 172: 6f68 c.flw f10,92(x14) - 174: 7472 c.flwsp f8,60(x2) - 176: 7520 c.flw f8,104(x10) - 178: 736e c.flwsp f6,248(x2) - 17a: 6769 c.lui x14,0x1a - 17c: 656e c.flwsp f10,216(x2) - 17e: 2064 c.fld f9,192(x8) - 180: 6e69 c.lui x28,0x1a - 182: 0074 c.addi4spn x13,x2,12 - 184: 4455 c.li x8,21 - 186: 7449 c.lui x8,0xffff2 - 188: 7079 c.lui x0,0xffffe - 18a: 0065 c.addi x0,25 - 18c: 5f5f 3071 5f00 0x5f0030715f5f - 192: 715f 0031 6f63 0x6f630031715f - 198: 706d c.lui x0,0xffffb - 19a: 656c c.flw f11,76(x10) - 19c: 2078 c.fld f14,192(x8) - 19e: 6f64 c.flw f9,92(x14) - 1a0: 6275 c.lui x4,0x1d - 1a2: 656c c.flw f11,76(x10) - 1a4: 5f00 c.lw x8,56(x14) - 1a6: 785f 0072 5f5f 0x5f5f0072785f - 1ac: 6475 c.lui x8,0x1d - 1ae: 7669 c.lui x12,0xffffa - 1b0: 6f6d c.lui x30,0x1b - 1b2: 6464 c.flw f9,76(x8) - 1b4: 3469 c.jal fffffc3e <__global_pointer$+0xffffd406> - 1b6: 6300 c.flw f8,0(x14) - 1b8: 6c706d6f jal x26,707e <__global_pointer$+0x4846> - 1bc: 7865 c.lui x16,0xffff9 - 1be: 6c20 c.flw f8,88(x8) - 1c0: 20676e6f jal x28,763c6 <__global_pointer$+0x73b8e> - 1c4: 6f64 c.flw f9,92(x14) - 1c6: 6275 c.lui x4,0x1d - 1c8: 656c c.flw f11,76(x10) - 1ca: 5500 c.lw x8,40(x10) - 1cc: 79744953 0x79744953 - 1d0: 6570 c.flw f12,76(x10) - 1d2: 5f00 c.lw x8,56(x14) - 1d4: 725f 0030 5f5f 0x5f5f0030725f - 1da: 3172 c.fldsp f2,312(x2) - 1dc: 5f00 c.lw x8,56(x14) - 1de: 755f 0068 5f5f 0x5f5f0068755f - 1e4: 6c75 c.lui x24,0x1d - 1e6: 4700 c.lw x8,8(x14) - 1e8: 554e c.lwsp x10,240(x2) - 1ea: 4320 c.lw x8,64(x14) - 1ec: 3731 c.jal f8 <__do_global_dtors_aux+0x20> - 1ee: 3120 c.fld f8,96(x10) - 1f0: 2e31 c.jal 50c <_h2s+0x14> - 1f2: 2e31 c.jal 50e <_h2s+0x16> - 1f4: 2030 c.fld f12,64(x8) - 1f6: 6d2d c.lui x26,0xb - 1f8: 646f6d63 bltu x30,x6,852 <_s2h+0xb6> - 1fc: 6c65 c.lui x24,0x19 - 1fe: 6d3d c.lui x26,0xf - 200: 6465 c.lui x8,0x19 - 202: 6f6c c.flw f11,92(x14) - 204: 6d2d2077 0x6d2d2077 - 208: 646f6d63 bltu x30,x6,862 <_s2h+0xc6> - 20c: 6c65 c.lui x24,0x19 - 20e: 6d3d c.lui x26,0xf - 210: 6465 c.lui x8,0x19 - 212: 6f6c c.flw f11,92(x14) - 214: 6d2d2077 0x6d2d2077 - 218: 7574 c.flw f13,108(x10) - 21a: 656e c.flwsp f10,216(x2) - 21c: 723d c.lui x4,0xfffef - 21e: 656b636f jal x6,b6874 <__global_pointer$+0xb403c> - 222: 2074 c.fld f13,192(x8) - 224: 6d2d c.lui x26,0xb - 226: 7261 c.lui x4,0xffff8 - 228: 723d6863 bltu x26,x3,958 <_strcat+0x84> - 22c: 3376 c.fldsp f6,376(x2) - 22e: 6932 c.flwsp f18,12(x2) - 230: 206d c.jal 2da <_gets+0x16> - 232: 6d2d c.lui x26,0xb - 234: 6261 c.lui x4,0x18 - 236: 3d69 c.jal d0 <_start+0x44> - 238: 6c69 c.lui x24,0x1a - 23a: 3370 c.fld f12,224(x14) - 23c: 2032 c.fldsp f0,264(x2) - 23e: 6d2d c.lui x26,0xb - 240: 7261 c.lui x4,0xffff8 - 242: 723d6863 bltu x26,x3,972 <_strncmp+0x12> - 246: 3376 c.fldsp f6,376(x2) - 248: 6932 c.flwsp f18,12(x2) - 24a: 206d c.jal 2f4 <_gets+0x30> - 24c: 672d c.lui x14,0xb - 24e: 2d20 c.fld f8,88(x10) - 250: 2d20734f 0x2d20734f - 254: 2d20324f 0x2d20324f - 258: 2d20734f 0x2d20734f - 25c: 6266 c.flwsp f4,88(x2) - 25e: 6975 c.lui x18,0x1d - 260: 646c c.flw f11,76(x8) - 262: 6e69 c.lui x28,0x1a - 264: 696c2d67 0x696c2d67 - 268: 6762 c.flwsp f14,24(x2) - 26a: 2d206363 bltu x0,x18,530 <_h2s+0x38> - 26e: 6e66 c.flwsp f28,88(x2) - 270: 74732d6f jal x26,331b6 <__global_pointer$+0x3097e> - 274: 6361 c.lui x6,0x18 - 276: 72702d6b 0x72702d6b - 27a: 6365746f jal x8,578b0 <__global_pointer$+0x55078> - 27e: 6f74 c.flw f13,92(x14) - 280: 2072 c.fldsp f0,280(x2) - 282: 662d c.lui x12,0xb - 284: 6976 c.flwsp f18,92(x2) - 286: 69626973 csrrsi x18,0x696,4 - 28a: 696c c.flw f11,84(x10) - 28c: 7974 c.flw f13,116(x10) - 28e: 683d c.lui x16,0xf - 290: 6469 c.lui x8,0x1a - 292: 6564 c.flw f9,76(x10) - 294: 006e c.slli x0,0x1b + 8: 706d6f63 bltu x26,x6,726 <_h2s+0x18a> + c: 656c c.flw f11,76(x10) + e: 2078 c.fld f14,192(x8) + 10: 6c66 c.flwsp f24,88(x2) + 12: 0074616f jal x2,46818 <__global_pointer$+0x42fb8> + 16: 726f6873 csrrsi x16,0x726,30 + 1a: 2074 c.fld f13,192(x8) + 1c: 6e69 c.lui x28,0x1a + 1e: 0074 c.addi4spn x13,x2,12 + 20: 5f5f 3064 5f00 0x5f0030645f5f + 26: 645f 0031 5f5f 0x5f5f0031645f + 2c: 6876 c.flwsp f16,92(x2) + 2e: 5f00 c.lw x8,56(x14) + 30: 765f 006c 5f5f 0x5f5f006c765f + 36: 6964 c.flw f9,84(x10) + 38: 6476 c.flwsp f8,92(x2) + 3a: 3369 c.jal fffffdc4 <__global_pointer$+0xffffc564> + 3c: 6c00 c.flw f8,24(x8) + 3e: 20676e6f jal x28,76244 <__global_pointer$+0x729e4> + 42: 6f6c c.flw f11,92(x14) + 44: 676e c.flwsp f14,216(x2) + 46: 6920 c.flw f8,80(x10) + 48: 746e c.flwsp f8,248(x2) + 4a: 6c00 c.flw f8,24(x8) + 4c: 20676e6f jal x28,76252 <__global_pointer$+0x729f2> + 50: 6f6c c.flw f11,92(x14) + 52: 676e c.flwsp f14,216(x2) + 54: 7520 c.flw f8,104(x10) + 56: 736e c.flwsp f6,248(x2) + 58: 6769 c.lui x14,0x1a + 5a: 656e c.flwsp f10,216(x2) + 5c: 2064 c.fld f9,192(x8) + 5e: 6e69 c.lui x28,0x1a + 60: 0074 c.addi4spn x13,x2,12 + 62: 6968 c.flw f10,84(x10) + 64: 5f006867 0x5f006867 + 68: 635f 7a6c 745f 0x745f7a6c635f + 6e: 6261 c.lui x4,0x18 + 70: 7500 c.flw f8,40(x10) + 72: 736e c.flwsp f6,248(x2) + 74: 6769 c.lui x14,0x1a + 76: 656e c.flwsp f10,216(x2) + 78: 2064 c.fld f9,192(x8) + 7a: 72616863 bltu x2,x6,7aa <_s2d+0x66> + 7e: 4700 c.lw x8,8(x14) + 80: 554e c.lwsp x10,240(x2) + 82: 4320 c.lw x8,64(x14) + 84: 3731 c.jal ffffff90 <__global_pointer$+0xffffc730> + 86: 3120 c.fld f8,96(x10) + 88: 2e31 c.jal 3a4 <_d2s+0x8> + 8a: 2e31 c.jal 3a6 <_d2s+0xa> + 8c: 2030 c.fld f12,64(x8) + 8e: 6d2d c.lui x26,0xb + 90: 646f6d63 bltu x30,x6,6ea <_h2s+0x14e> + 94: 6c65 c.lui x24,0x19 + 96: 6d3d c.lui x26,0xf + 98: 6465 c.lui x8,0x19 + 9a: 6f6c c.flw f11,92(x14) + 9c: 6d2d2077 0x6d2d2077 + a0: 646f6d63 bltu x30,x6,6fa <_h2s+0x15e> + a4: 6c65 c.lui x24,0x19 + a6: 6d3d c.lui x26,0xf + a8: 6465 c.lui x8,0x19 + aa: 6f6c c.flw f11,92(x14) + ac: 6d2d2077 0x6d2d2077 + b0: 7574 c.flw f13,108(x10) + b2: 656e c.flwsp f10,216(x2) + b4: 723d c.lui x4,0xfffef + b6: 656b636f jal x6,b670c <__global_pointer$+0xb2eac> + ba: 2074 c.fld f13,192(x8) + bc: 6d2d c.lui x26,0xb + be: 7261 c.lui x4,0xffff8 + c0: 723d6863 bltu x26,x3,7f0 <_s2d+0xac> + c4: 3376 c.fldsp f6,376(x2) + c6: 6932 c.flwsp f18,12(x2) + c8: 206d c.jal 172 <_canputchar+0x36> + ca: 6d2d c.lui x26,0xb + cc: 6261 c.lui x4,0x18 + ce: 3d69 c.jal ffffff68 <__global_pointer$+0xffffc708> + d0: 6c69 c.lui x24,0x1a + d2: 3370 c.fld f12,224(x14) + d4: 2032 c.fldsp f0,264(x2) + d6: 6d2d c.lui x26,0xb + d8: 7261 c.lui x4,0xffff8 + da: 723d6863 bltu x26,x3,80a <_s2d+0xc6> + de: 3376 c.fldsp f6,376(x2) + e0: 6932 c.flwsp f18,12(x2) + e2: 206d c.jal 18c <_haschar+0x14> + e4: 672d c.lui x14,0xb + e6: 2d20 c.fld f8,88(x10) + e8: 2d20734f 0x2d20734f + ec: 2d20324f 0x2d20324f + f0: 2d20734f 0x2d20734f + f4: 6266 c.flwsp f4,88(x2) + f6: 6975 c.lui x18,0x1d + f8: 646c c.flw f11,76(x8) + fa: 6e69 c.lui x28,0x1a + fc: 696c2d67 0x696c2d67 + 100: 6762 c.flwsp f14,24(x2) + 102: 2d206363 bltu x0,x18,3c8 <_d2s+0x2c> + 106: 6e66 c.flwsp f28,88(x2) + 108: 74732d6f jal x26,3304e <__global_pointer$+0x2f7ee> + 10c: 6361 c.lui x6,0x18 + 10e: 72702d6b 0x72702d6b + 112: 6365746f jal x8,57748 <__global_pointer$+0x53ee8> + 116: 6f74 c.flw f13,92(x14) + 118: 2072 c.fldsp f0,280(x2) + 11a: 662d c.lui x12,0xb + 11c: 7361 c.lui x6,0xffff8 + 11e: 6e79 c.lui x28,0x1e + 120: 6f726863 bltu x4,x23,810 <_s2d+0xcc> + 124: 6f6e c.flwsp f30,216(x2) + 126: 7375 c.lui x6,0xffffd + 128: 752d c.lui x10,0xfffeb + 12a: 776e c.flwsp f14,248(x2) + 12c: 6e69 c.lui x28,0x1a + 12e: 2d64 c.fld f9,216(x10) + 130: 6174 c.flw f13,68(x10) + 132: 6c62 c.flwsp f24,24(x2) + 134: 7365 c.lui x6,0xffff9 + 136: 2d20 c.fld f8,88(x10) + 138: 7666 c.flwsp f12,120(x2) + 13a: 7369 c.lui x6,0xffffa + 13c: 6269 c.lui x4,0x1a + 13e: 6c69 c.lui x24,0x1a + 140: 7469 c.lui x8,0xffffa + 142: 3d79 c.jal ffffffe0 <__global_pointer$+0xffffc780> + 144: 6968 c.flw f10,84(x10) + 146: 6464 c.flw f9,76(x8) + 148: 6e65 c.lui x28,0x19 + 14a: 5f00 c.lw x8,56(x14) + 14c: 785f 0030 5f5f 0x5f5f0030785f + 152: 3178 c.fld f14,224(x10) + 154: 5f00 c.lw x8,56(x14) + 156: 785f 0032 5f5f 0x5f5f0032785f + 15c: 3378 c.fld f14,224(x14) + 15e: 5500 c.lw x8,40(x10) + 160: 4951 c.li x18,20 + 162: 7974 c.flw f13,116(x10) + 164: 6570 c.flw f12,76(x10) + 166: 4400 c.lw x8,8(x8) + 168: 72747357 0x72747357 + 16c: 6375 c.lui x6,0x1d + 16e: 0074 c.addi4spn x13,x2,12 + 170: 726f6873 csrrsi x16,0x726,30 + 174: 2074 c.fld f13,192(x8) + 176: 6e75 c.lui x28,0x1d + 178: 6e676973 csrrsi x18,0x6e6,14 + 17c: 6465 c.lui x8,0x19 + 17e: 6920 c.flw f8,80(x10) + 180: 746e c.flwsp f8,248(x2) + 182: 5500 c.lw x8,40(x10) + 184: 4944 c.lw x9,20(x10) + 186: 7974 c.flw f13,116(x10) + 188: 6570 c.flw f12,76(x10) + 18a: 5f00 c.lw x8,56(x14) + 18c: 715f 0030 5f5f 0x5f5f0030715f + 192: 3171 c.jal fffffe1e <__global_pointer$+0xffffc5be> + 194: 6300 c.flw f8,0(x14) + 196: 6c706d6f jal x26,705c <__global_pointer$+0x37fc> + 19a: 7865 c.lui x16,0xffff9 + 19c: 6420 c.flw f8,72(x8) + 19e: 6c62756f jal x10,27864 <__global_pointer$+0x24004> + 1a2: 0065 c.addi x0,25 + 1a4: 5f5f 7278 5f00 0x5f0072785f5f + 1aa: 755f 6964 6d76 0x6d766964755f + 1b0: 6964646f jal x8,46846 <__global_pointer$+0x42fe6> + 1b4: 0034 c.addi4spn x13,x2,8 + 1b6: 706d6f63 bltu x26,x6,8d4 <_s2h+0x94> + 1ba: 656c c.flw f11,76(x10) + 1bc: 2078 c.fld f14,192(x8) + 1be: 6f6c c.flw f11,92(x14) + 1c0: 676e c.flwsp f14,216(x2) + 1c2: 6420 c.flw f8,72(x8) + 1c4: 6c62756f jal x10,2788a <__global_pointer$+0x2402a> + 1c8: 0065 c.addi x0,25 + 1ca: 5355 c.li x6,-11 + 1cc: 7449 c.lui x8,0xffff2 + 1ce: 7079 c.lui x0,0xffffe + 1d0: 0065 c.addi x0,25 + 1d2: 5f5f 3072 5f00 0x5f0030725f5f + 1d8: 725f 0031 5f5f 0x5f5f0031725f + 1de: 6875 c.lui x16,0x1d + 1e0: 5f00 c.lw x8,56(x14) + 1e2: 755f 006c 5f5f 0x5f5f006c755f + 1e8: 6f6d c.lui x30,0x1b + 1ea: 6464 c.flw f9,76(x8) + 1ec: 3369 c.jal ffffff76 <__global_pointer$+0xffffc716> + 1ee: 5f00 c.lw x8,56(x14) + 1f0: 755f 6964 6476 0x64766964755f + 1f6: 3369 c.jal ffffff80 <__global_pointer$+0xffffc720> + 1f8: 4700 c.lw x8,8(x14) + 1fa: 554e c.lwsp x10,240(x2) + 1fc: 4320 c.lw x8,64(x14) + 1fe: 3731 c.jal 10a <__do_global_dtors_aux+0x32> + 200: 3120 c.fld f8,96(x10) + 202: 2e31 c.jal 51e <_d2s+0x182> + 204: 2e31 c.jal 520 <_d2s+0x184> + 206: 2030 c.fld f12,64(x8) + 208: 6d2d c.lui x26,0xb + 20a: 646f6d63 bltu x30,x6,864 <_s2h+0x24> + 20e: 6c65 c.lui x24,0x19 + 210: 6d3d c.lui x26,0xf + 212: 6465 c.lui x8,0x19 + 214: 6f6c c.flw f11,92(x14) + 216: 6d2d2077 0x6d2d2077 + 21a: 646f6d63 bltu x30,x6,874 <_s2h+0x34> + 21e: 6c65 c.lui x24,0x19 + 220: 6d3d c.lui x26,0xf + 222: 6465 c.lui x8,0x19 + 224: 6f6c c.flw f11,92(x14) + 226: 6d2d2077 0x6d2d2077 + 22a: 7574 c.flw f13,108(x10) + 22c: 656e c.flwsp f10,216(x2) + 22e: 723d c.lui x4,0xfffef + 230: 656b636f jal x6,b6886 <__global_pointer$+0xb3026> + 234: 2074 c.fld f13,192(x8) + 236: 6d2d c.lui x26,0xb + 238: 7261 c.lui x4,0xffff8 + 23a: 723d6863 bltu x26,x3,96a <_s2h+0x12a> + 23e: 3376 c.fldsp f6,376(x2) + 240: 6932 c.flwsp f18,12(x2) + 242: 206d c.jal 2ec <_gets+0x28> + 244: 6d2d c.lui x26,0xb + 246: 6261 c.lui x4,0x18 + 248: 3d69 c.jal e2 <__do_global_dtors_aux+0xa> + 24a: 6c69 c.lui x24,0x1a + 24c: 3370 c.fld f12,224(x14) + 24e: 2032 c.fldsp f0,264(x2) + 250: 6d2d c.lui x26,0xb + 252: 7261 c.lui x4,0xffff8 + 254: 723d6863 bltu x26,x3,984 <_strcat+0xc> + 258: 3376 c.fldsp f6,376(x2) + 25a: 6932 c.flwsp f18,12(x2) + 25c: 206d c.jal 306 <_gets+0x42> + 25e: 672d c.lui x14,0xb + 260: 2d20 c.fld f8,88(x10) + 262: 2d20734f 0x2d20734f + 266: 2d20324f 0x2d20324f + 26a: 2d20734f 0x2d20734f + 26e: 6266 c.flwsp f4,88(x2) + 270: 6975 c.lui x18,0x1d + 272: 646c c.flw f11,76(x8) + 274: 6e69 c.lui x28,0x1a + 276: 696c2d67 0x696c2d67 + 27a: 6762 c.flwsp f14,24(x2) + 27c: 2d206363 bltu x0,x18,542 <_d2s+0x1a6> + 280: 6e66 c.flwsp f28,88(x2) + 282: 74732d6f jal x26,331c8 <__global_pointer$+0x2f968> + 286: 6361 c.lui x6,0x18 + 288: 72702d6b 0x72702d6b + 28c: 6365746f jal x8,578c2 <__global_pointer$+0x54062> + 290: 6f74 c.flw f13,92(x14) + 292: 2072 c.fldsp f0,280(x2) + 294: 662d c.lui x12,0xb + 296: 6976 c.flwsp f18,92(x2) + 298: 69626973 csrrsi x18,0x696,4 + 29c: 696c c.flw f11,84(x10) + 29e: 7974 c.flw f13,116(x10) + 2a0: 683d c.lui x16,0xf + 2a2: 6469 c.lui x8,0x1a + 2a4: 6564 c.flw f9,76(x10) + 2a6: 006e c.slli x0,0x1b Disassembly of section .debug_line_str: 00000000 <.debug_line_str>: 0: 6d6f682f 0x6d6f682f - 4: 2f65 c.jal 7bc <_s2h+0x20> + 4: 2f65 c.jal 7bc <_s2d+0x78> 6: 6172 c.flwsp f2,28(x2) - 8: 6169786f jal x16,9761e <__global_pointer$+0x94de6> + 8: 6169786f jal x16,9761e <__global_pointer$+0x93dbe> c: 686e c.flwsp f16,216(x2) - e: 2f676e6f jal x28,76304 <__global_pointer$+0x73acc> + e: 2f676e6f jal x28,76304 <__global_pointer$+0x72aa4> 12: 6b726f77 0x6b726f77 16: 7369722f 0x7369722f - 1a: 722f7663 bgeu x30,x2,746 <_s2d+0xa6> + 1a: 722f7663 bgeu x30,x2,746 <_s2d+0x2> 1e: 7369 c.lui x6,0xffffa - 20: 672d7663 bgeu x26,x18,68c <_h2s+0x194> + 20: 672d7663 bgeu x26,x18,68c <_h2s+0xf0> 24: 756e c.flwsp f10,248(x2) 26: 742d c.lui x8,0xfffeb - 28: 636c6f6f jal x30,c665e <__global_pointer$+0xc3e26> + 28: 636c6f6f jal x30,c665e <__global_pointer$+0xc2dfe> 2c: 6168 c.flw f10,68(x10) 2e: 6e69 c.lui x28,0x1a 30: 6975622f 0x6975622f 34: 646c c.flw f11,76(x8) 36: 672d c.lui x14,0xb - 38: 6e2d6363 bltu x26,x2,71e <_s2d+0x7e> + 38: 6e2d6363 bltu x26,x2,71e <_h2s+0x182> 3c: 7765 c.lui x14,0xffff9 3e: 696c c.flw f11,84(x10) 40: 2d62 c.fldsp f26,24(x2) 42: 67617473 csrrci x8,0x676,2 - 46: 3265 c.jal fffff9ee <__global_pointer$+0xffffd1b6> + 46: 3265 c.jal fffff9ee <__global_pointer$+0xffffc18e> 48: 7369722f 0x7369722f 4c: 32337663 bgeu x6,x3,378 <_gets+0xb4> 50: 752d c.lui x10,0xfffeb @@ -4372,8 +9572,8 @@ Disassembly of section .debug_line_str: 6a: 2e2e c.fldsp f28,200(x2) 6c: 722f2e2f 0x722f2e2f 70: 7369 c.lui x6,0xffffa - 72: 672d7663 bgeu x26,x18,6de <_s2d+0x3e> - 76: 6c2f6363 bltu x30,x2,73c <_s2d+0x9c> + 72: 672d7663 bgeu x26,x18,6de <_h2s+0x142> + 76: 6c2f6363 bltu x30,x2,73c <_h2s+0x1a0> 7a: 6269 c.lui x4,0x1a 7c: 2f636367 0x2f636367 80: 696c c.flw f11,84(x10) @@ -4384,8 +9584,8 @@ Disassembly of section .debug_line_str: 90: 2e2e c.fldsp f28,200(x2) 92: 722f2e2f 0x722f2e2f 96: 7369 c.lui x6,0xffffa - 98: 672d7663 bgeu x26,x18,704 <_s2d+0x64> - 9c: 6c2f6363 bltu x30,x2,762 <_s2d+0xc2> + 98: 672d7663 bgeu x26,x18,704 <_h2s+0x168> + 9c: 6c2f6363 bltu x30,x2,762 <_s2d+0x1e> a0: 6269 c.lui x4,0x1a a2: 00636367 0x636367 a6: 696c c.flw f11,84(x10) @@ -4396,1267 +9596,3198 @@ Disassembly of section .debug_line_str: Disassembly of section .debug_loclists: 00000000 <.debug_loclists>: - 0: 0a95 c.addi x21,5 - 2: 0000 c.unimp - 4: 0005 c.addi x0,1 - 6: 0004 0x4 - 8: 0000 c.unimp - a: 0000 c.unimp - c: 00129807 0x129807 - 10: d000 c.sw x8,32(x8) - 12: 0012 c.slli x0,0x4 - 14: 0600 c.addi4spn x8,x2,768 - 16: 935a c.add x6,x22 - 18: 5b04 c.lw x9,48(x14) - 1a: d0070493 addi x9,x14,-768 # ffff8d00 <__global_pointer$+0xffff64c8> - 1e: 0012 c.slli x0,0x4 - 20: 9000 0x9000 - 22: 06000013 addi x0,x0,96 - 26: 0aa503a3 sb x10,167(x10) # fffeb0a7 <__global_pointer$+0xfffe886f> - 2a: 9f26 c.add x30,x9 - 2c: 00139007 0x139007 - 30: 9400 0x9400 - 32: 06000013 addi x0,x0,96 - 36: 935a c.add x6,x22 - 38: 5b04 c.lw x9,48(x14) - 3a: 94070493 addi x9,x14,-1728 - 3e: a4000013 addi x0,x0,-1472 - 42: 06000013 addi x0,x0,96 - 46: 0aa503a3 sb x10,167(x10) - 4a: 9f26 c.add x30,x9 - 4c: 0013a407 flw f8,1(x7) - 50: e400 c.fsw f8,8(x8) - 52: 06000013 addi x0,x0,96 - 56: 935a c.add x6,x22 - 58: 5b04 c.lw x9,48(x14) - 5a: e4070493 addi x9,x14,-448 - 5e: 70000013 addi x0,x0,1792 - 62: 0014 0x14 - 64: 0600 c.addi4spn x8,x2,768 - 66: 0aa503a3 sb x10,167(x10) - 6a: 9f26 c.add x30,x9 - 6c: 00147007 0x147007 - 70: 9000 0x9000 - 72: 0014 0x14 - 74: 0600 c.addi4spn x8,x2,768 - 76: 935a c.add x6,x22 - 78: 5b04 c.lw x9,48(x14) - 7a: 90070493 addi x9,x14,-1792 - 7e: 0014 0x14 - 80: 3000 c.fld f8,32(x8) - 82: 0015 c.addi x0,5 - 84: 0600 c.addi4spn x8,x2,768 - 86: 0aa503a3 sb x10,167(x10) - 8a: 9f26 c.add x30,x9 - 8c: 00153007 fld f0,1(x10) - 90: 7000 c.flw f8,32(x8) - 92: 0015 c.addi x0,5 - 94: 0600 c.addi4spn x8,x2,768 - 96: 935a c.add x6,x22 - 98: 5b04 c.lw x9,48(x14) - 9a: 70070493 addi x9,x14,1792 - 9e: 0015 c.addi x0,5 - a0: 8000 0x8000 - a2: 0015 c.addi x0,5 - a4: 0600 c.addi4spn x8,x2,768 - a6: 0aa503a3 sb x10,167(x10) - aa: 9f26 c.add x30,x9 - ac: 00158007 0x158007 - b0: d000 c.sw x8,32(x8) - b2: 0015 c.addi x0,5 - b4: 0600 c.addi4spn x8,x2,768 - b6: 935a c.add x6,x22 - b8: 5b04 c.lw x9,48(x14) - ba: d0070493 addi x9,x14,-768 - be: 0015 c.addi x0,5 - c0: bc00 c.fsd f8,56(x8) - c2: 0016 c.slli x0,0x5 - c4: 0600 c.addi4spn x8,x2,768 - c6: 0aa503a3 sb x10,167(x10) - ca: 9f26 c.add x30,x9 - cc: 0016bc07 fld f24,1(x13) - d0: c400 c.sw x8,8(x8) - d2: 0016 c.slli x0,0x5 - d4: 0600 c.addi4spn x8,x2,768 - d6: 935a c.add x6,x22 - d8: 5b04 c.lw x9,48(x14) - da: c4070493 addi x9,x14,-960 - de: 0016 c.slli x0,0x5 - e0: c800 c.sw x8,16(x8) - e2: 0016 c.slli x0,0x5 - e4: 0600 c.addi4spn x8,x2,768 - e6: 0aa503a3 sb x10,167(x10) - ea: 9f26 c.add x30,x9 - ec: 0700 c.addi4spn x8,x2,896 - ee: 1298 c.addi4spn x14,x2,352 - f0: 0000 c.unimp - f2: 130c c.addi4spn x11,x2,416 - f4: 0000 c.unimp - f6: 5c06 c.lwsp x24,96(x2) - f8: 935d0493 addi x9,x26,-1739 # a935 <__global_pointer$+0x80fd> - fc: 0704 c.addi4spn x9,x2,896 - fe: 130c c.addi4spn x11,x2,416 - 100: 0000 c.unimp - 102: 1390 c.addi4spn x12,x2,480 - 104: 0000 c.unimp - 106: a306 c.fsdsp f1,384(x2) - 108: 260ca503 lw x10,608(x25) - 10c: 079f 1390 0000 0x1390079f - 112: 13e4 c.addi4spn x9,x2,492 - 114: 0000 c.unimp - 116: 5c06 c.lwsp x24,96(x2) - 118: 935d0493 addi x9,x26,-1739 - 11c: 0704 c.addi4spn x9,x2,896 - 11e: 13e4 c.addi4spn x9,x2,492 - 120: 0000 c.unimp - 122: 1470 c.addi4spn x12,x2,556 - 124: 0000 c.unimp - 126: a306 c.fsdsp f1,384(x2) - 128: 260ca503 lw x10,608(x25) - 12c: 079f 1470 0000 0x1470079f - 132: 14a0 c.addi4spn x8,x2,616 - 134: 0000 c.unimp - 136: 5c06 c.lwsp x24,96(x2) - 138: 935d0493 addi x9,x26,-1739 - 13c: 0704 c.addi4spn x9,x2,896 - 13e: 14a0 c.addi4spn x8,x2,616 - 140: 0000 c.unimp - 142: 1530 c.addi4spn x12,x2,680 - 144: 0000 c.unimp - 146: a306 c.fsdsp f1,384(x2) - 148: 260ca503 lw x10,608(x25) - 14c: 079f 1530 0000 0x1530079f - 152: 15c4 c.addi4spn x9,x2,740 - 154: 0000 c.unimp - 156: 5c06 c.lwsp x24,96(x2) - 158: 935d0493 addi x9,x26,-1739 - 15c: 0704 c.addi4spn x9,x2,896 - 15e: 15c4 c.addi4spn x9,x2,740 - 160: 0000 c.unimp - 162: 16bc c.addi4spn x15,x2,872 - 164: 0000 c.unimp - 166: a306 c.fsdsp f1,384(x2) - 168: 260ca503 lw x10,608(x25) - 16c: 079f 16bc 0000 0x16bc079f - 172: 16c8 c.addi4spn x10,x2,868 - 174: 0000 c.unimp - 176: 5c06 c.lwsp x24,96(x2) - 178: 935d0493 addi x9,x26,-1739 - 17c: 0004 0x4 - 17e: 00129807 0x129807 - 182: 8c00 0x8c00 - 184: 02000013 addi x0,x0,32 - 188: 9f30 0x9f30 - 18a: 00139007 0x139007 - 18e: c800 c.sw x8,16(x8) - 190: 0016 c.slli x0,0x5 - 192: 0200 c.addi4spn x8,x2,256 - 194: 9f30 0x9f30 - 196: 0700 c.addi4spn x8,x2,896 - 198: 1298 c.addi4spn x14,x2,352 - 19a: 0000 c.unimp - 19c: 12c0 c.addi4spn x8,x2,356 - 19e: 0000 c.unimp - 1a0: 5c06 c.lwsp x24,96(x2) - 1a2: 935d0493 addi x9,x26,-1739 - 1a6: 0704 c.addi4spn x9,x2,896 - 1a8: 13a4 c.addi4spn x9,x2,488 - 1aa: 0000 c.unimp - 1ac: 13b8 c.addi4spn x14,x2,488 - 1ae: 0000 c.unimp - 1b0: 5c06 c.lwsp x24,96(x2) - 1b2: 935d0493 addi x9,x26,-1739 - 1b6: 0704 c.addi4spn x9,x2,896 - 1b8: 1470 c.addi4spn x12,x2,556 - 1ba: 0000 c.unimp - 1bc: 1478 c.addi4spn x14,x2,556 - 1be: 0000 c.unimp - 1c0: 5c06 c.lwsp x24,96(x2) - 1c2: 935d0493 addi x9,x26,-1739 - 1c6: 0704 c.addi4spn x9,x2,896 - 1c8: 1530 c.addi4spn x12,x2,680 - 1ca: 0000 c.unimp - 1cc: 159c c.addi4spn x15,x2,736 - 1ce: 0000 c.unimp - 1d0: 5c06 c.lwsp x24,96(x2) - 1d2: 935d0493 addi x9,x26,-1739 - 1d6: 0704 c.addi4spn x9,x2,896 - 1d8: 16bc c.addi4spn x15,x2,872 - 1da: 0000 c.unimp - 1dc: 16c8 c.addi4spn x10,x2,868 - 1de: 0000 c.unimp - 1e0: 5c06 c.lwsp x24,96(x2) - 1e2: 935d0493 addi x9,x26,-1739 - 1e6: 0004 0x4 - 1e8: 00129807 0x129807 - 1ec: b400 c.fsd f8,40(x8) - 1ee: 0012 c.slli x0,0x4 - 1f0: 0600 c.addi4spn x8,x2,768 - 1f2: 935a c.add x6,x22 - 1f4: 5b04 c.lw x9,48(x14) - 1f6: b4070493 addi x9,x14,-1216 - 1fa: 0012 c.slli x0,0x4 - 1fc: d000 c.sw x8,32(x8) - 1fe: 0012 c.slli x0,0x4 - 200: 0600 c.addi4spn x8,x2,768 - 202: 935a c.add x6,x22 - 204: 5f04 c.lw x9,56(x14) - 206: d0070493 addi x9,x14,-768 - 20a: 0012 c.slli x0,0x4 - 20c: f000 c.fsw f8,32(x8) - 20e: 0012 c.slli x0,0x4 - 210: 0600 c.addi4spn x8,x2,768 - 212: 9356 c.add x6,x21 - 214: 5f04 c.lw x9,56(x14) - 216: f0070493 addi x9,x14,-256 - 21a: 0012 c.slli x0,0x4 - 21c: 0800 c.addi4spn x8,x2,16 - 21e: 06000013 addi x0,x0,96 - 222: 9356 c.add x6,x21 - 224: 6104 c.flw f9,0(x10) - 226: 90070493 addi x9,x14,-1792 - 22a: b0000013 addi x0,x0,-1280 - 22e: 06000013 addi x0,x0,96 - 232: 9356 c.add x6,x21 - 234: 5f04 c.lw x9,56(x14) - 236: b0070493 addi x9,x14,-1280 - 23a: e4000013 addi x0,x0,-448 - 23e: 06000013 addi x0,x0,96 - 242: 9356 c.add x6,x21 - 244: 6104 c.flw f9,0(x10) - 246: 70070493 addi x9,x14,1792 - 24a: 0014 0x14 - 24c: 9c00 0x9c00 - 24e: 0014 0x14 - 250: 0600 c.addi4spn x8,x2,768 - 252: 9356 c.add x6,x21 - 254: 6104 c.flw f9,0(x10) - 256: 30070493 addi x9,x14,768 - 25a: 0015 c.addi x0,5 - 25c: 5000 c.lw x8,32(x8) - 25e: 0015 c.addi x0,5 - 260: 0600 c.addi4spn x8,x2,768 - 262: 9356 c.add x6,x21 - 264: 5b04 c.lw x9,48(x14) - 266: 50070493 addi x9,x14,1280 - 26a: 0015 c.addi x0,5 - 26c: 8000 0x8000 - 26e: 0015 c.addi x0,5 - 270: 0600 c.addi4spn x8,x2,768 - 272: 9356 c.add x6,x21 - 274: 6104 c.flw f9,0(x10) - 276: 80070493 addi x9,x14,-2048 - 27a: 0015 c.addi x0,5 - 27c: 9400 0x9400 - 27e: 0015 c.addi x0,5 - 280: 0600 c.addi4spn x8,x2,768 - 282: 9356 c.add x6,x21 - 284: 5b04 c.lw x9,48(x14) - 286: 94070493 addi x9,x14,-1728 - 28a: 0015 c.addi x0,5 - 28c: a800 c.fsd f8,16(x8) - 28e: 0015 c.addi x0,5 - 290: 0600 c.addi4spn x8,x2,768 - 292: 9356 c.add x6,x21 - 294: 6104 c.flw f9,0(x10) - 296: bc070493 addi x9,x14,-1088 - 29a: 0016 c.slli x0,0x5 - 29c: c000 c.sw x8,0(x8) - 29e: 0016 c.slli x0,0x5 - 2a0: 0600 c.addi4spn x8,x2,768 - 2a2: 9356 c.add x6,x21 - 2a4: 5b04 c.lw x9,48(x14) - 2a6: c0070493 addi x9,x14,-1024 - 2aa: 0016 c.slli x0,0x5 - 2ac: c800 c.sw x8,16(x8) - 2ae: 0016 c.slli x0,0x5 - 2b0: 0600 c.addi4spn x8,x2,768 - 2b2: 9356 c.add x6,x21 - 2b4: 5f04 c.lw x9,56(x14) - 2b6: 07000493 addi x9,x0,112 - 2ba: 12a4 c.addi4spn x9,x2,360 - 2bc: 0000 c.unimp - 2be: 12ec c.addi4spn x11,x2,364 - 2c0: 0000 c.unimp - 2c2: 5c01 c.li x24,-32 - 2c4: 0012ec07 0x12ec07 - 2c8: f800 c.fsw f8,48(x8) - 2ca: 0012 c.slli x0,0x4 - 2cc: 0900 c.addi4spn x8,x2,144 - 2ce: 007c c.addi4spn x15,x2,12 - 2d0: ff08007b 0xff08007b - 2d4: 241a c.fldsp f8,384(x2) - 2d6: 079f 12f8 0000 0x12f8079f - 2dc: 1388 c.addi4spn x10,x2,480 - 2de: 0000 c.unimp - 2e0: 5e01 c.li x28,-32 - 2e2: 00139007 0x139007 - 2e6: e400 c.fsw f8,8(x8) - 2e8: 01000013 addi x0,x0,16 - 2ec: 075c c.addi4spn x15,x2,900 - 2ee: 13e4 c.addi4spn x9,x2,492 - 2f0: 0000 c.unimp - 2f2: 1470 c.addi4spn x12,x2,556 - 2f4: 0000 c.unimp - 2f6: 5e01 c.li x28,-32 - 2f8: 00147007 0x147007 - 2fc: 8400 0x8400 - 2fe: 0014 0x14 - 300: 0100 c.addi4spn x8,x2,128 - 302: 075c c.addi4spn x15,x2,900 - 304: 1484 c.addi4spn x9,x2,608 - 306: 0000 c.unimp - 308: 1488 c.addi4spn x10,x2,608 - 30a: 0000 c.unimp - 30c: 7c09 c.lui x24,0xfffe2 - 30e: 7b00 c.flw f8,48(x14) - 310: 0800 c.addi4spn x8,x2,16 - 312: 1aff 0x1aff - 314: 9f24 0x9f24 - 316: 00148807 0x148807 - 31a: 3000 c.fld f8,32(x8) - 31c: 0015 c.addi x0,5 - 31e: 0100 c.addi4spn x8,x2,128 - 320: 075e c.slli x14,0x17 - 322: 1530 c.addi4spn x12,x2,680 - 324: 0000 c.unimp - 326: 165c c.addi4spn x15,x2,804 - 328: 0000 c.unimp - 32a: 5c01 c.li x24,-32 - 32c: 0016bc07 fld f24,1(x13) - 330: c800 c.sw x8,16(x8) - 332: 0016 c.slli x0,0x5 - 334: 0100 c.addi4spn x8,x2,128 - 336: 005c c.addi4spn x15,x2,4 - 338: 0012a407 flw f8,1(x5) - 33c: c000 c.sw x8,0(x8) - 33e: 0012 c.slli x0,0x4 - 340: 0100 c.addi4spn x8,x2,128 - 342: 075d c.addi x14,23 - 344: 13a4 c.addi4spn x9,x2,488 - 346: 0000 c.unimp - 348: 13b8 c.addi4spn x14,x2,488 - 34a: 0000 c.unimp - 34c: 5d01 c.li x26,-32 - 34e: 00147007 0x147007 - 352: 7800 c.flw f8,48(x8) - 354: 0014 0x14 - 356: 0100 c.addi4spn x8,x2,128 - 358: 075d c.addi x14,23 - 35a: 1530 c.addi4spn x12,x2,680 - 35c: 0000 c.unimp - 35e: 159c c.addi4spn x15,x2,736 - 360: 0000 c.unimp - 362: 5d01 c.li x26,-32 - 364: 0015a007 flw f0,1(x11) - 368: 4800 c.lw x8,16(x8) - 36a: 0016 c.slli x0,0x5 - 36c: 0100 c.addi4spn x8,x2,128 - 36e: 075d c.addi x14,23 - 370: 16bc c.addi4spn x15,x2,872 - 372: 0000 c.unimp - 374: 16c8 c.addi4spn x10,x2,868 - 376: 0000 c.unimp - 378: 5d01 c.li x26,-32 - 37a: 0700 c.addi4spn x8,x2,896 - 37c: 12a8 c.addi4spn x10,x2,360 - 37e: 0000 c.unimp - 380: 12d0 c.addi4spn x12,x2,356 - 382: 0000 c.unimp - 384: 5a01 c.li x20,-32 - 386: 0012d007 0x12d007 - 38a: 0000 c.unimp - 38c: 01000013 addi x0,x0,16 - 390: 0756 c.slli x14,0x15 - 392: 1300 c.addi4spn x8,x2,416 - 394: 0000 c.unimp - 396: 1350 c.addi4spn x12,x2,420 - 398: 0000 c.unimp - 39a: 6001 0x6001 - 39c: 00139007 0x139007 - 3a0: e400 c.fsw f8,8(x8) - 3a2: 01000013 addi x0,x0,16 - 3a6: 0756 c.slli x14,0x15 - 3a8: 13e4 c.addi4spn x9,x2,492 - 3aa: 0000 c.unimp - 3ac: 1434 c.addi4spn x13,x2,552 - 3ae: 0000 c.unimp - 3b0: 6001 0x6001 - 3b2: 00147007 0x147007 - 3b6: 9c00 0x9c00 - 3b8: 0014 0x14 - 3ba: 0100 c.addi4spn x8,x2,128 - 3bc: 0756 c.slli x14,0x15 - 3be: 14ac c.addi4spn x11,x2,616 - 3c0: 0000 c.unimp - 3c2: 1530 c.addi4spn x12,x2,680 - 3c4: 0000 c.unimp - 3c6: 6001 0x6001 - 3c8: 00153007 fld f0,1(x10) - 3cc: c400 c.sw x8,8(x8) - 3ce: 0015 c.addi x0,5 - 3d0: 0100 c.addi4spn x8,x2,128 - 3d2: 0756 c.slli x14,0x15 - 3d4: 15c4 c.addi4spn x9,x2,740 - 3d6: 0000 c.unimp - 3d8: 16a8 c.addi4spn x10,x2,872 - 3da: 0000 c.unimp - 3dc: 7606 c.flwsp f12,96(x2) - 3de: 7b00 c.flw f8,48(x14) - 3e0: 2400 c.fld f8,8(x8) - 3e2: 079f 16bc 0000 0x16bc079f - 3e8: 16c8 c.addi4spn x10,x2,868 - 3ea: 0000 c.unimp - 3ec: 5601 c.li x12,-32 - 3ee: 0700 c.addi4spn x8,x2,896 - 3f0: 12ac c.addi4spn x11,x2,360 - 3f2: 0000 c.unimp - 3f4: 12b4 c.addi4spn x13,x2,360 - 3f6: 0000 c.unimp - 3f8: 5b01 c.li x22,-32 - 3fa: 0012b407 fld f8,1(x5) - 3fe: f000 c.fsw f8,32(x8) - 400: 0012 c.slli x0,0x4 - 402: 0100 c.addi4spn x8,x2,128 - 404: 075f 12f0 0000 0x12f0075f - 40a: 12fc c.addi4spn x15,x2,364 - 40c: 0000 c.unimp - 40e: 6101 c.addi16sp x2,0 - 410: 0012fc07 0x12fc07 - 414: 1400 c.addi4spn x8,x2,544 - 416: 01000013 addi x0,x0,16 - 41a: 075f 1390 0000 0x1390075f - 420: 13b0 c.addi4spn x12,x2,488 - 422: 0000 c.unimp - 424: 5f01 c.li x30,-32 - 426: 0013b007 fld f0,1(x7) - 42a: e000 c.fsw f8,0(x8) - 42c: 01000013 addi x0,x0,16 - 430: 0761 c.addi x14,24 - 432: 13e0 c.addi4spn x8,x2,492 - 434: 0000 c.unimp - 436: 13fc c.addi4spn x15,x2,492 - 438: 0000 c.unimp - 43a: 5f01 c.li x30,-32 - 43c: 00147007 0x147007 - 440: a400 c.fsd f8,8(x8) - 442: 0014 0x14 - 444: 0100 c.addi4spn x8,x2,128 - 446: 0761 c.addi x14,24 - 448: 14ac c.addi4spn x11,x2,616 - 44a: 0000 c.unimp - 44c: 14ec c.addi4spn x11,x2,620 - 44e: 0000 c.unimp - 450: 5f01 c.li x30,-32 - 452: 00152c07 flw f24,1(x10) - 456: 3000 c.fld f8,32(x8) - 458: 0015 c.addi x0,5 - 45a: 0100 c.addi4spn x8,x2,128 - 45c: 075f 1530 0000 0x1530075f - 462: 1550 c.addi4spn x12,x2,676 - 464: 0000 c.unimp - 466: 5b01 c.li x22,-32 - 468: 00155007 0x155007 - 46c: 8000 0x8000 - 46e: 0015 c.addi x0,5 - 470: 0100 c.addi4spn x8,x2,128 - 472: 0761 c.addi x14,24 - 474: 1580 c.addi4spn x8,x2,736 - 476: 0000 c.unimp - 478: 1594 c.addi4spn x13,x2,736 - 47a: 0000 c.unimp - 47c: 5b01 c.li x22,-32 - 47e: 00159407 0x159407 - 482: a800 c.fsd f8,16(x8) - 484: 0015 c.addi x0,5 - 486: 0100 c.addi4spn x8,x2,128 - 488: 0761 c.addi x14,24 - 48a: 15c4 c.addi4spn x9,x2,740 - 48c: 0000 c.unimp - 48e: 1604 c.addi4spn x9,x2,800 - 490: 0000 c.unimp - 492: 5f01 c.li x30,-32 - 494: 00165007 0x165007 - 498: 9400 0x9400 - 49a: 0016 c.slli x0,0x5 - 49c: 0100 c.addi4spn x8,x2,128 - 49e: 075f 16bc 0000 0x16bc075f - 4a4: 16c0 c.addi4spn x8,x2,868 - 4a6: 0000 c.unimp - 4a8: 5b01 c.li x22,-32 - 4aa: 0016c007 flq f0,1(x13) - 4ae: c800 c.sw x8,16(x8) - 4b0: 0016 c.slli x0,0x5 - 4b2: 0100 c.addi4spn x8,x2,128 - 4b4: 005f 8c07 0014 0x148c07005f - 4ba: b000 c.fsd f8,32(x8) - 4bc: 0014 0x14 - 4be: 0100 c.addi4spn x8,x2,128 - 4c0: 075d c.addi x14,23 - 4c2: 15c4 c.addi4spn x9,x2,740 - 4c4: 0000 c.unimp - 4c6: 15c8 c.addi4spn x10,x2,740 - 4c8: 0000 c.unimp - 4ca: 5e01 c.li x28,-32 - 4cc: 0700 c.addi4spn x8,x2,896 - 4ce: 1388 c.addi4spn x10,x2,480 - 4d0: 0000 c.unimp - 4d2: 138c c.addi4spn x11,x2,480 - 4d4: 0000 c.unimp - 4d6: 5a01 c.li x20,-32 - 4d8: 00146c07 0x146c07 - 4dc: 7000 c.flw f8,32(x8) - 4de: 0014 0x14 - 4e0: 0100 c.addi4spn x8,x2,128 - 4e2: 075a c.slli x14,0x16 - 4e4: 1650 c.addi4spn x12,x2,804 - 4e6: 0000 c.unimp - 4e8: 16bc c.addi4spn x15,x2,872 - 4ea: 0000 c.unimp - 4ec: 5a01 c.li x20,-32 - 4ee: 0700 c.addi4spn x8,x2,896 - 4f0: 13e0 c.addi4spn x8,x2,492 - 4f2: 0000 c.unimp - 4f4: 13e4 c.addi4spn x9,x2,492 - 4f6: 0000 c.unimp - 4f8: 3102 c.fldsp f2,32(x2) - 4fa: 079f 13e4 0000 0x13e4079f - 500: 1470 c.addi4spn x12,x2,556 - 502: 0000 c.unimp - 504: 5b01 c.li x22,-32 - 506: 00152c07 flw f24,1(x10) - 50a: 3000 c.fld f8,32(x8) - 50c: 0015 c.addi x0,5 - 50e: 0100 c.addi4spn x8,x2,128 - 510: 8407005b 0x8407005b - 514: 0014 0x14 - 516: 9400 0x9400 - 518: 0014 0x14 - 51a: 0100 c.addi4spn x8,x2,128 - 51c: 075f 1594 0000 0x1594075f - 522: 15ac c.addi4spn x11,x2,744 - 524: 0000 c.unimp - 526: 5f01 c.li x30,-32 - 528: 0700 c.addi4spn x8,x2,896 - 52a: 12e8 c.addi4spn x10,x2,364 - 52c: 0000 c.unimp - 52e: 1304 c.addi4spn x9,x2,416 - 530: 0000 c.unimp - 532: 5b01 c.li x22,-32 - 534: 0013d807 0x13d807 - 538: e400 c.fsw f8,8(x8) - 53a: 01000013 addi x0,x0,16 - 53e: 1484075b 0x1484075b - 542: 0000 c.unimp - 544: 14b8 c.addi4spn x14,x2,616 - 546: 0000 c.unimp - 548: 5b01 c.li x22,-32 - 54a: 00156807 0x156807 - 54e: 8000 0x8000 - 550: 0015 c.addi x0,5 - 552: 0100 c.addi4spn x8,x2,128 - 554: 1594075b 0x1594075b - 558: 0000 c.unimp - 55a: 16b0 c.addi4spn x12,x2,872 - 55c: 0000 c.unimp - 55e: 5b01 c.li x22,-32 - 560: 0700 c.addi4spn x8,x2,896 - 562: 12bc c.addi4spn x15,x2,360 - 564: 0000 c.unimp - 566: 12ec c.addi4spn x11,x2,364 - 568: 0000 c.unimp - 56a: 5c01 c.li x24,-32 - 56c: 0012ec07 0x12ec07 - 570: f800 c.fsw f8,48(x8) - 572: 0012 c.slli x0,0x4 - 574: 0900 c.addi4spn x8,x2,144 - 576: 007c c.addi4spn x15,x2,12 - 578: ff08007b 0xff08007b - 57c: 241a c.fldsp f8,384(x2) - 57e: 079f 12f8 0000 0x12f8079f - 584: 1388 c.addi4spn x10,x2,480 - 586: 0000 c.unimp - 588: 5e01 c.li x28,-32 - 58a: 00139007 0x139007 - 58e: a400 c.fsd f8,8(x8) - 590: 01000013 addi x0,x0,16 - 594: 005c c.addi4spn x15,x2,4 - 596: 0012d007 0x12d007 - 59a: e400 c.fsw f8,8(x8) - 59c: 0012 c.slli x0,0x4 - 59e: 0100 c.addi4spn x8,x2,128 - 5a0: 005d c.addi x0,23 - 5a2: 00130407 0x130407 - 5a6: 8800 0x8800 - 5a8: 01000013 addi x0,x0,16 - 5ac: 1007005b 0x1007005b - 5b0: 88000013 addi x0,x0,-1920 - 5b4: 01000013 addi x0,x0,16 - 5b8: 005c c.addi4spn x15,x2,4 - 5ba: 00131007 0x131007 - 5be: 3400 c.fld f8,40(x8) - 5c0: 01000013 addi x0,x0,16 - 5c4: 0756 c.slli x14,0x15 - 5c6: 1334 c.addi4spn x13,x2,424 - 5c8: 0000 c.unimp - 5ca: 1384 c.addi4spn x9,x2,480 - 5cc: 0000 c.unimp - 5ce: 5a01 c.li x20,-32 - 5d0: 0700 c.addi4spn x8,x2,896 - 5d2: 134c c.addi4spn x11,x2,420 - 5d4: 0000 c.unimp - 5d6: 1374 c.addi4spn x13,x2,428 - 5d8: 0000 c.unimp - 5da: 6101 c.addi16sp x2,0 - 5dc: 00137407 0x137407 - 5e0: 8800 0x8800 - 5e2: 01000013 addi x0,x0,16 - 5e6: 005f 1007 0013 0x131007005f - 5ec: 1400 c.addi4spn x8,x2,544 - 5ee: 06000013 addi x0,x0,96 - 5f2: 007f 0x7f - 5f4: 9f1d007b 0x9f1d007b - 5f8: 00131407 0x131407 - 5fc: 2400 c.fld f8,8(x8) - 5fe: 01000013 addi x0,x0,16 - 602: 075f 1328 0000 0x1328075f - 608: 1330 c.addi4spn x12,x2,424 - 60a: 0000 c.unimp - 60c: 5f01 c.li x30,-32 - 60e: 00133007 fld f0,1(x6) # ffffa001 <__global_pointer$+0xffff77c9> - 612: 3400 c.fld f8,40(x8) - 614: 08000013 addi x0,x0,128 - 618: 0080 c.addi4spn x8,x2,64 - 61a: 2540 c.fld f8,136(x10) - 61c: 007d c.addi x0,31 - 61e: 9f21 0x9f21 - 620: 00133407 fld f8,1(x6) - 624: 5800 c.lw x8,48(x8) - 626: 01000013 addi x0,x0,16 - 62a: 005f 4807 0013 0x134807005f - 630: 5800 c.lw x8,48(x8) - 632: 06000013 addi x0,x0,96 - 636: 007f 0x7f - 638: 9f1d007b 0x9f1d007b - 63c: 00135807 0x135807 - 640: 6000 c.flw f8,0(x8) - 642: 01000013 addi x0,x0,16 - 646: 075f 1364 0000 0x1364075f - 64c: 1370 c.addi4spn x12,x2,428 - 64e: 0000 c.unimp - 650: 6001 0x6001 - 652: 0700 c.addi4spn x8,x2,896 - 654: 131c c.addi4spn x15,x2,416 - 656: 0000 c.unimp - 658: 134c c.addi4spn x11,x2,420 - 65a: 0000 c.unimp - 65c: 6101 c.addi16sp x2,0 - 65e: 00134c07 flq f24,1(x6) - 662: 5c00 c.lw x8,56(x8) - 664: 06000013 addi x0,x0,96 - 668: 007c c.addi4spn x15,x2,12 - 66a: 0076 c.slli x0,0x1d - 66c: 9f1e c.add x30,x7 - 66e: 00135c07 0x135c07 - 672: 8800 0x8800 - 674: 01000013 addi x0,x0,16 - 678: 005d c.addi x0,23 - 67a: 00168807 0x168807 - 67e: b800 c.fsd f8,48(x8) - 680: 0016 c.slli x0,0x5 - 682: 0100 c.addi4spn x8,x2,128 - 684: 005d c.addi x0,23 - 686: 00168807 0x168807 - 68a: 9c00 0x9c00 - 68c: 0016 c.slli x0,0x5 - 68e: 1000 c.addi4spn x8,x2,32 - 690: 0081 c.addi x1,0 - 692: ff0a c.fswsp f2,188(x2) - 694: 1aff 0x1aff - 696: 007e c.slli x0,0x1f - 698: ff0a c.fswsp f2,188(x2) - 69a: 1aff 0x1aff - 69c: 2440 c.fld f8,136(x8) - 69e: 9f22 c.add x30,x8 - 6a0: 00169c07 0x169c07 - 6a4: a400 c.fsd f8,8(x8) - 6a6: 0016 c.slli x0,0x5 - 6a8: 1500 c.addi4spn x8,x2,672 - 6aa: 0081 c.addi x1,0 - 6ac: ff0a c.fswsp f2,188(x2) - 6ae: 1aff 0x1aff - 6b0: 0081 c.addi x1,0 - 6b2: 2540 c.fld f8,136(x10) - 6b4: 007c c.addi4spn x15,x2,12 - 6b6: 0a22 c.slli x20,0x8 - 6b8: ffff 0xffff - 6ba: 401a 0x401a - 6bc: 2224 c.fld f9,64(x12) - 6be: 009f c407 0015 0x15c407009f - 6c4: 6000 c.flw f8,0(x8) - 6c6: 0016 c.slli x0,0x5 - 6c8: 0100 c.addi4spn x8,x2,128 - 6ca: 0061 c.addi x0,24 - 6cc: 0015c407 flq f8,1(x11) - 6d0: 1000 c.addi4spn x8,x2,32 - 6d2: 0016 c.slli x0,0x5 - 6d4: 0100 c.addi4spn x8,x2,128 - 6d6: 0760 c.addi4spn x8,x2,908 - 6d8: 1610 c.addi4spn x12,x2,800 - 6da: 0000 c.unimp - 6dc: 1648 c.addi4spn x10,x2,804 - 6de: 0000 c.unimp - 6e0: 40007d07 0x40007d07 - 6e4: 4024 c.lw x9,64(x8) - 6e6: 9f25 0x9f25 - 6e8: 0700 c.addi4spn x8,x2,896 - 6ea: 15c4 c.addi4spn x9,x2,740 - 6ec: 0000 c.unimp - 6ee: 15e8 c.addi4spn x10,x2,748 - 6f0: 0000 c.unimp - 6f2: 6d01 0x6d01 - 6f4: 0015e807 0x15e807 - 6f8: 3c00 c.fld f8,56(x8) - 6fa: 0016 c.slli x0,0x5 - 6fc: 0100 c.addi4spn x8,x2,128 - 6fe: 005a c.slli x0,0x16 - 700: 00160007 0x160007 - 704: 2800 c.fld f8,16(x8) - 706: 0016 c.slli x0,0x5 - 708: 0100 c.addi4spn x8,x2,128 - 70a: 076c c.addi4spn x11,x2,908 - 70c: 1628 c.addi4spn x10,x2,808 - 70e: 0000 c.unimp - 710: 164c c.addi4spn x11,x2,804 - 712: 0000 c.unimp - 714: 5e01 c.li x28,-32 - 716: 0700 c.addi4spn x8,x2,896 - 718: 15c4 c.addi4spn x9,x2,740 - 71a: 0000 c.unimp - 71c: 15c8 c.addi4spn x10,x2,740 - 71e: 0000 c.unimp - 720: 7e06 c.flwsp f28,96(x2) - 722: 8100 0x8100 - 724: 1d00 c.addi4spn x8,x2,688 - 726: 079f 15c8 0000 0x15c8079f - 72c: 15d4 c.addi4spn x13,x2,740 - 72e: 0000 c.unimp - 730: 5e01 c.li x28,-32 - 732: 0015d807 0x15d807 - 736: e400 c.fsw f8,8(x8) - 738: 0015 c.addi x0,5 - 73a: 0100 c.addi4spn x8,x2,128 - 73c: 075e c.slli x14,0x17 - 73e: 15e8 c.addi4spn x10,x2,748 - 740: 0000 c.unimp - 742: 160c c.addi4spn x11,x2,800 - 744: 0000 c.unimp - 746: 5e01 c.li x28,-32 - 748: 0700 c.addi4spn x8,x2,896 - 74a: 15fc c.addi4spn x15,x2,748 - 74c: 0000 c.unimp - 74e: 160c c.addi4spn x11,x2,800 - 750: 0000 c.unimp - 752: 7e06 c.flwsp f28,96(x2) - 754: 8100 0x8100 - 756: 1d00 c.addi4spn x8,x2,688 - 758: 079f 160c 0000 0x160c079f - 75e: 1614 c.addi4spn x13,x2,800 - 760: 0000 c.unimp - 762: 5e01 c.li x28,-32 - 764: 00161807 0x161807 - 768: 2400 c.fld f8,8(x8) - 76a: 0016 c.slli x0,0x5 - 76c: 0100 c.addi4spn x8,x2,128 - 76e: 075f 1628 0000 0x1628075f - 774: 1694 c.addi4spn x13,x2,864 - 776: 0000 c.unimp - 778: 5f01 c.li x30,-32 - 77a: 0700 c.addi4spn x8,x2,896 - 77c: 15cc c.addi4spn x11,x2,740 - 77e: 0000 c.unimp - 780: 1600 c.addi4spn x8,x2,800 - 782: 0000 c.unimp - 784: 6c01 0x6c01 - 786: 00160007 0x160007 - 78a: 1000 c.addi4spn x8,x2,32 - 78c: 0016 c.slli x0,0x5 - 78e: 0600 c.addi4spn x8,x2,768 - 790: 0080 c.addi4spn x8,x2,64 - 792: 008d c.addi x1,3 - 794: 9f1e c.add x30,x7 - 796: 00161007 0x161007 - 79a: 5800 c.lw x8,48(x8) - 79c: 0016 c.slli x0,0x5 - 79e: 0100 c.addi4spn x8,x2,128 - 7a0: 0060 c.addi4spn x8,x2,12 - 7a2: 00166007 0x166007 - 7a6: a400 c.fsd f8,8(x8) - 7a8: 0016 c.slli x0,0x5 - 7aa: 0100 c.addi4spn x8,x2,128 - 7ac: 0061 c.addi x0,24 - 7ae: 00166007 0x166007 - 7b2: 6800 c.flw f8,16(x8) - 7b4: 0016 c.slli x0,0x5 - 7b6: 0600 c.addi4spn x8,x2,768 - 7b8: 007e c.slli x0,0x1f - 7ba: 007c c.addi4spn x15,x2,12 - 7bc: 9f1e c.add x30,x7 - 7be: 00166807 0x166807 - 7c2: 7000 c.flw f8,32(x8) - 7c4: 0016 c.slli x0,0x5 - 7c6: 0100 c.addi4spn x8,x2,128 - 7c8: 075e c.slli x14,0x17 - 7ca: 1678 c.addi4spn x14,x2,812 - 7cc: 0000 c.unimp - 7ce: 169c c.addi4spn x15,x2,864 - 7d0: 0000 c.unimp - 7d2: 5e01 c.li x28,-32 - 7d4: 00169c07 0x169c07 - 7d8: a400 c.fsd f8,8(x8) - 7da: 0016 c.slli x0,0x5 - 7dc: 0800 c.addi4spn x8,x2,16 - 7de: 0081 c.addi x1,0 - 7e0: 2540 c.fld f8,136(x10) - 7e2: 007c c.addi4spn x15,x2,12 - 7e4: 9f22 c.add x30,x8 - 7e6: 0700 c.addi4spn x8,x2,896 - 7e8: 1664 c.addi4spn x9,x2,812 - 7ea: 0000 c.unimp - 7ec: 1684 c.addi4spn x9,x2,864 - 7ee: 0000 c.unimp - 7f0: 5d01 c.li x26,-32 - 7f2: 0700 c.addi4spn x8,x2,896 - 7f4: 166c c.addi4spn x11,x2,812 - 7f6: 0000 c.unimp - 7f8: 16bc c.addi4spn x15,x2,872 - 7fa: 0000 c.unimp - 7fc: 6001 0x6001 - 7fe: 0700 c.addi4spn x8,x2,896 - 800: 1650 c.addi4spn x12,x2,804 - 802: 0000 c.unimp - 804: 1668 c.addi4spn x10,x2,812 - 806: 0000 c.unimp - 808: 5e01 c.li x28,-32 - 80a: 00166807 0x166807 - 80e: 9800 0x9800 - 810: 0016 c.slli x0,0x5 - 812: 0600 c.addi4spn x8,x2,768 - 814: 7f8c c.flw f11,56(x15) - 816: 007a c.slli x0,0x1e - 818: 9f1a c.add x30,x6 - 81a: 00169807 0x169807 - 81e: b400 c.fsd f8,40(x8) - 820: 0016 c.slli x0,0x5 - 822: 0600 c.addi4spn x8,x2,768 - 824: 007a c.slli x0,0x1e - 826: 007f 0x7f - 828: 9f1a c.add x30,x6 - 82a: 0016b407 fld f8,1(x13) - 82e: b800 c.fsd f8,48(x8) - 830: 0016 c.slli x0,0x5 - 832: 0600 c.addi4spn x8,x2,768 - 834: 7f8c c.flw f11,56(x15) - 836: 007a c.slli x0,0x1e - 838: 9f1a c.add x30,x6 - 83a: 0016b807 fld f16,1(x13) - 83e: bc00 c.fsd f8,56(x8) - 840: 0016 c.slli x0,0x5 - 842: 0600 c.addi4spn x8,x2,768 - 844: 017a c.slli x2,0x1e - 846: 7f8c c.flw f11,56(x15) - 848: 9f1a c.add x30,x6 - 84a: 0700 c.addi4spn x8,x2,896 - 84c: 1658 c.addi4spn x14,x2,804 - 84e: 0000 c.unimp - 850: 1664 c.addi4spn x9,x2,812 - 852: 0000 c.unimp - 854: 5d01 c.li x26,-32 - 856: 0700 c.addi4spn x8,x2,896 - 858: 1658 c.addi4spn x14,x2,804 - 85a: 0000 c.unimp - 85c: 166c c.addi4spn x11,x2,812 - 85e: 0000 c.unimp - 860: 6001 0x6001 - 862: 00166c07 0x166c07 - 866: b800 c.fsd f8,48(x8) - 868: 0016 c.slli x0,0x5 - 86a: 0500 c.addi4spn x8,x2,640 - 86c: 007a c.slli x0,0x1e - 86e: 2540 c.fld f8,136(x10) - 870: 079f 16b8 0000 0x16b8079f - 876: 16bc c.addi4spn x15,x2,872 - 878: 0000 c.unimp - 87a: 7a05 c.lui x20,0xfffe1 - 87c: 4001 c.li x0,0 - 87e: 9f25 0x9f25 - 880: 0700 c.addi4spn x8,x2,896 - 882: 165c c.addi4spn x15,x2,804 - 884: 0000 c.unimp - 886: 1670 c.addi4spn x12,x2,812 - 888: 0000 c.unimp - 88a: 5c01 c.li x24,-32 - 88c: 0700 c.addi4spn x8,x2,896 - 88e: 13ac c.addi4spn x11,x2,488 - 890: 0000 c.unimp - 892: 13e4 c.addi4spn x9,x2,492 - 894: 0000 c.unimp - 896: 5c01 c.li x24,-32 - 898: 0013e407 0x13e407 - 89c: 7000 c.flw f8,32(x8) - 89e: 0014 0x14 - 8a0: 0100 c.addi4spn x8,x2,128 - 8a2: 075e c.slli x14,0x17 - 8a4: 1470 c.addi4spn x12,x2,556 - 8a6: 0000 c.unimp - 8a8: 1484 c.addi4spn x9,x2,608 - 8aa: 0000 c.unimp - 8ac: 5c01 c.li x24,-32 - 8ae: 00148407 0x148407 - 8b2: 8800 0x8800 - 8b4: 0014 0x14 - 8b6: 0900 c.addi4spn x8,x2,144 - 8b8: 007c c.addi4spn x15,x2,12 - 8ba: ff08007b 0xff08007b - 8be: 241a c.fldsp f8,384(x2) - 8c0: 079f 1488 0000 0x1488079f - 8c6: 1530 c.addi4spn x12,x2,680 - 8c8: 0000 c.unimp - 8ca: 5e01 c.li x28,-32 - 8cc: 0700 c.addi4spn x8,x2,896 - 8ce: 13c0 c.addi4spn x8,x2,484 - 8d0: 0000 c.unimp - 8d2: 13d4 c.addi4spn x13,x2,484 - 8d4: 0000 c.unimp - 8d6: 5d01 c.li x26,-32 - 8d8: 0700 c.addi4spn x8,x2,896 - 8da: 13e8 c.addi4spn x10,x2,492 - 8dc: 0000 c.unimp - 8de: 1470 c.addi4spn x12,x2,556 - 8e0: 0000 c.unimp - 8e2: 6101 c.addi16sp x2,0 - 8e4: 0700 c.addi4spn x8,x2,896 - 8e6: 13f4 c.addi4spn x13,x2,492 - 8e8: 0000 c.unimp - 8ea: 1470 c.addi4spn x12,x2,556 - 8ec: 0000 c.unimp - 8ee: 5c01 c.li x24,-32 - 8f0: 0700 c.addi4spn x8,x2,896 - 8f2: 13f4 c.addi4spn x13,x2,492 - 8f4: 0000 c.unimp - 8f6: 1418 c.addi4spn x14,x2,544 - 8f8: 0000 c.unimp - 8fa: 6c01 0x6c01 - 8fc: 00141807 0x141807 - 900: 6800 c.flw f8,16(x8) - 902: 0014 0x14 - 904: 0100 c.addi4spn x8,x2,128 - 906: 005a c.slli x0,0x16 - 908: 00143007 fld f0,1(x8) # fffeb001 <__global_pointer$+0xfffe87c9> - 90c: 5800 c.lw x8,48(x8) - 90e: 0014 0x14 - 910: 0100 c.addi4spn x8,x2,128 - 912: 0756 c.slli x14,0x15 - 914: 1458 c.addi4spn x14,x2,548 - 916: 0000 c.unimp - 918: 1470 c.addi4spn x12,x2,556 - 91a: 0000 c.unimp - 91c: 5f01 c.li x30,-32 - 91e: 0700 c.addi4spn x8,x2,896 - 920: 13f4 c.addi4spn x13,x2,492 - 922: 0000 c.unimp - 924: 13fc c.addi4spn x15,x2,492 - 926: 0000 c.unimp - 928: 7f06 c.flwsp f30,96(x2) - 92a: 8100 0x8100 - 92c: 1d00 c.addi4spn x8,x2,688 - 92e: 079f 13fc 0000 0x13fc079f - 934: 1408 c.addi4spn x10,x2,544 - 936: 0000 c.unimp - 938: 5f01 c.li x30,-32 - 93a: 00140c07 0x140c07 - 93e: 1400 c.addi4spn x8,x2,544 - 940: 0014 0x14 - 942: 0100 c.addi4spn x8,x2,128 - 944: 075f 1418 0000 0x1418075f - 94a: 143c c.addi4spn x15,x2,552 - 94c: 0000 c.unimp - 94e: 5f01 c.li x30,-32 - 950: 0700 c.addi4spn x8,x2,896 - 952: 142c c.addi4spn x11,x2,552 - 954: 0000 c.unimp - 956: 143c c.addi4spn x15,x2,552 - 958: 0000 c.unimp - 95a: 7f06 c.flwsp f30,96(x2) - 95c: 8100 0x8100 - 95e: 1d00 c.addi4spn x8,x2,688 - 960: 079f 143c 0000 0x143c079f - 966: 1444 c.addi4spn x9,x2,548 - 968: 0000 c.unimp - 96a: 5f01 c.li x30,-32 - 96c: 00144807 flq f16,1(x8) - 970: 5400 c.lw x8,40(x8) - 972: 0014 0x14 - 974: 0100 c.addi4spn x8,x2,128 - 976: 0060 c.addi4spn x8,x2,12 - 978: 00140407 0x140407 - 97c: 3000 c.fld f8,32(x8) - 97e: 0014 0x14 - 980: 0100 c.addi4spn x8,x2,128 - 982: 0756 c.slli x14,0x15 - 984: 1430 c.addi4spn x12,x2,552 - 986: 0000 c.unimp - 988: 1440 c.addi4spn x8,x2,548 - 98a: 0000 c.unimp - 98c: 7c06 c.flwsp f24,96(x2) - 98e: 8c00 0x8c00 - 990: 1e00 c.addi4spn x8,x2,816 - 992: 079f 1440 0000 0x1440079f - 998: 1470 c.addi4spn x12,x2,556 - 99a: 0000 c.unimp - 99c: 5d01 c.li x26,-32 - 99e: 0700 c.addi4spn x8,x2,896 - 9a0: 14ac c.addi4spn x11,x2,616 - 9a2: 0000 c.unimp - 9a4: 1530 c.addi4spn x12,x2,680 - 9a6: 0000 c.unimp - 9a8: 5a01 c.li x20,-32 - 9aa: 0700 c.addi4spn x8,x2,896 - 9ac: 14ac c.addi4spn x11,x2,616 - 9ae: 0000 c.unimp - 9b0: 14f8 c.addi4spn x14,x2,620 - 9b2: 0000 c.unimp - 9b4: 5c01 c.li x24,-32 - 9b6: 0014f807 0x14f807 - 9ba: 3000 c.fld f8,32(x8) - 9bc: 0015 c.addi x0,5 - 9be: 0700 c.addi4spn x8,x2,896 - 9c0: 007e c.slli x0,0x1f - 9c2: 2440 c.fld f8,136(x8) - 9c4: 2540 c.fld f8,136(x10) - 9c6: 009f ac07 0014 0x14ac07009f - 9cc: d000 c.sw x8,32(x8) - 9ce: 0014 0x14 - 9d0: 0100 c.addi4spn x8,x2,128 - 9d2: 0756 c.slli x14,0x15 - 9d4: 14d0 c.addi4spn x12,x2,612 - 9d6: 0000 c.unimp - 9d8: 1524 c.addi4spn x9,x2,680 - 9da: 0000 c.unimp - 9dc: 5b01 c.li x22,-32 - 9de: 0700 c.addi4spn x8,x2,896 - 9e0: 14e8 c.addi4spn x10,x2,620 - 9e2: 0000 c.unimp - 9e4: 1510 c.addi4spn x12,x2,672 - 9e6: 0000 c.unimp - 9e8: 6101 c.addi16sp x2,0 - 9ea: 00151007 0x151007 - 9ee: 3000 c.fld f8,32(x8) - 9f0: 0015 c.addi x0,5 - 9f2: 0100 c.addi4spn x8,x2,128 - 9f4: 005d c.addi x0,23 - 9f6: 0014ac07 flw f24,1(x9) - 9fa: b000 c.fsd f8,32(x8) - 9fc: 0014 0x14 - 9fe: 0600 c.addi4spn x8,x2,768 - a00: 007d c.addi x0,31 - a02: 007a c.slli x0,0x1e - a04: 9f1d 0x9f1d - a06: 0014b007 fld f0,1(x9) - a0a: bc00 c.fsd f8,56(x8) - a0c: 0014 0x14 - a0e: 0100 c.addi4spn x8,x2,128 - a10: 075d c.addi x14,23 - a12: 14c0 c.addi4spn x8,x2,612 - a14: 0000 c.unimp - a16: 14cc c.addi4spn x11,x2,612 - a18: 0000 c.unimp - a1a: 5d01 c.li x26,-32 - a1c: 0014d007 0x14d007 - a20: f400 c.fsw f8,40(x8) - a22: 0014 0x14 - a24: 0100 c.addi4spn x8,x2,128 - a26: 005d c.addi x0,23 - a28: 0014e407 0x14e407 - a2c: f400 c.fsw f8,40(x8) - a2e: 0014 0x14 - a30: 0600 c.addi4spn x8,x2,768 - a32: 007d c.addi x0,31 - a34: 007a c.slli x0,0x1e - a36: 9f1d 0x9f1d - a38: 0014f407 0x14f407 - a3c: fc00 c.fsw f8,56(x8) - a3e: 0014 0x14 - a40: 0100 c.addi4spn x8,x2,128 - a42: 075d c.addi x14,23 - a44: 1500 c.addi4spn x8,x2,672 - a46: 0000 c.unimp - a48: 150c c.addi4spn x11,x2,672 - a4a: 0000 c.unimp - a4c: 5f01 c.li x30,-32 - a4e: 00151007 0x151007 - a52: 3000 c.fld f8,32(x8) - a54: 0015 c.addi x0,5 - a56: 0100 c.addi4spn x8,x2,128 - a58: 005f b407 0014 0x14b407005f - a5e: e800 c.fsw f8,16(x8) - a60: 0014 0x14 - a62: 0100 c.addi4spn x8,x2,128 - a64: 0761 c.addi x14,24 - a66: 14e8 c.addi4spn x10,x2,620 - a68: 0000 c.unimp - a6a: 14f8 c.addi4spn x14,x2,620 - a6c: 0000 c.unimp - a6e: 7c06 c.flwsp f24,96(x2) - a70: 7600 c.flw f8,40(x12) - a72: 1e00 c.addi4spn x8,x2,816 - a74: 079f 14f8 0000 0x14f8079f - a7a: 1530 c.addi4spn x12,x2,680 - a7c: 0000 c.unimp - a7e: 5c01 c.li x24,-32 - a80: 0700 c.addi4spn x8,x2,896 - a82: 1534 c.addi4spn x13,x2,680 - a84: 0000 c.unimp - a86: 159c c.addi4spn x15,x2,736 - a88: 0000 c.unimp - a8a: 5d01 c.li x26,-32 - a8c: 0700 c.addi4spn x8,x2,896 - a8e: 1548 c.addi4spn x10,x2,676 - a90: 0000 c.unimp - a92: 1564 c.addi4spn x9,x2,684 - a94: 0000 c.unimp - a96: 5e01 c.li x28,-32 - ... + 0: 088a c.slli x17,0x2 + 2: 0000 c.unimp + 4: 0005 c.addi x0,1 + 6: 0004 0x4 + 8: 0000 c.unimp + a: 0000 c.unimp + c: 00194807 flq f16,1(x18) # 1d001 <__global_pointer$+0x197a1> + 10: b400 c.fsd f8,40(x8) + 12: 0019 c.addi x0,6 + 14: 0600 c.addi4spn x8,x2,768 + 16: 935a c.add x6,x22 + 18: 5b04 c.lw x9,48(x14) + 1a: b4070493 addi x9,x14,-1216 # ffff8b40 <__global_pointer$+0xffff52e0> + 1e: 0019 c.addi x0,6 + 20: 7400 c.flw f8,40(x8) + 22: 001a c.slli x0,0x6 + 24: 0600 c.addi4spn x8,x2,768 + 26: 0aa503a3 sb x10,167(x10) # fffeb0a7 <__global_pointer$+0xfffe7847> + 2a: 9f26 c.add x30,x9 + 2c: 001a7407 0x1a7407 + 30: 7800 c.flw f8,48(x8) + 32: 001a c.slli x0,0x6 + 34: 0600 c.addi4spn x8,x2,768 + 36: 935a c.add x6,x22 + 38: 5b04 c.lw x9,48(x14) + 3a: 78070493 addi x9,x14,1920 + 3e: 001a c.slli x0,0x6 + 40: 8800 0x8800 + 42: 001a c.slli x0,0x6 + 44: 0600 c.addi4spn x8,x2,768 + 46: 0aa503a3 sb x10,167(x10) + 4a: 9f26 c.add x30,x9 + 4c: 001a8807 0x1a8807 + 50: c800 c.sw x8,16(x8) + 52: 001a c.slli x0,0x6 + 54: 0600 c.addi4spn x8,x2,768 + 56: 935a c.add x6,x22 + 58: 5b04 c.lw x9,48(x14) + 5a: c8070493 addi x9,x14,-896 + 5e: 001a c.slli x0,0x6 + 60: 6800 c.flw f8,16(x8) + 62: 0600001b 0x600001b + 66: 0aa503a3 sb x10,167(x10) + 6a: 9f26 c.add x30,x9 + 6c: 001b6807 0x1b6807 + 70: 8800 0x8800 + 72: 0600001b 0x600001b + 76: 935a c.add x6,x22 + 78: 5b04 c.lw x9,48(x14) + 7a: 88070493 addi x9,x14,-1920 + 7e: 2800001b 0x2800001b + 82: 001c 0x1c + 84: 0600 c.addi4spn x8,x2,768 + 86: 0aa503a3 sb x10,167(x10) + 8a: 9f26 c.add x30,x9 + 8c: 001c2807 flw f16,1(x24) # 1a001 <__global_pointer$+0x167a1> + 90: 6800 c.flw f8,16(x8) + 92: 001c 0x1c + 94: 0600 c.addi4spn x8,x2,768 + 96: 935a c.add x6,x22 + 98: 5b04 c.lw x9,48(x14) + 9a: 68070493 addi x9,x14,1664 + 9e: 001c 0x1c + a0: 7800 c.flw f8,48(x8) + a2: 001c 0x1c + a4: 0600 c.addi4spn x8,x2,768 + a6: 0aa503a3 sb x10,167(x10) + aa: 9f26 c.add x30,x9 + ac: 001c7807 0x1c7807 + b0: c800 c.sw x8,16(x8) + b2: 001c 0x1c + b4: 0600 c.addi4spn x8,x2,768 + b6: 935a c.add x6,x22 + b8: 5b04 c.lw x9,48(x14) + ba: c8070493 addi x9,x14,-896 + be: 001c 0x1c + c0: b400 c.fsd f8,40(x8) + c2: 001d c.addi x0,7 + c4: 0600 c.addi4spn x8,x2,768 + c6: 0aa503a3 sb x10,167(x10) + ca: 9f26 c.add x30,x9 + cc: 001db407 fld f8,1(x27) # ffff6001 <__global_pointer$+0xffff27a1> + d0: bc00 c.fsd f8,56(x8) + d2: 001d c.addi x0,7 + d4: 0600 c.addi4spn x8,x2,768 + d6: 935a c.add x6,x22 + d8: 5b04 c.lw x9,48(x14) + da: bc070493 addi x9,x14,-1088 + de: 001d c.addi x0,7 + e0: c000 c.sw x8,0(x8) + e2: 001d c.addi x0,7 + e4: 0600 c.addi4spn x8,x2,768 + e6: 0aa503a3 sb x10,167(x10) + ea: 9f26 c.add x30,x9 + ec: 0700 c.addi4spn x8,x2,896 + ee: 1948 c.addi4spn x10,x2,180 + f0: 0000 c.unimp + f2: 1984 c.addi4spn x9,x2,240 + f4: 0000 c.unimp + f6: 5c06 c.lwsp x24,96(x2) + f8: 935d0493 addi x9,x26,-1739 # a935 <__global_pointer$+0x70d5> + fc: 0704 c.addi4spn x9,x2,896 + fe: 1984 c.addi4spn x9,x2,240 + 100: 0000 c.unimp + 102: 1dc0 c.addi4spn x8,x2,756 + 104: 0000 c.unimp + 106: a306 c.fsdsp f1,384(x2) + 108: 260ca503 lw x10,608(x25) + 10c: 009f 5007 0019 0x195007009f + 112: 5800 c.lw x8,48(x8) + 114: 0019 c.addi x0,6 + 116: 0200 c.addi4spn x8,x2,256 + 118: 9f30 0x9f30 + 11a: 00195807 0x195807 + 11e: 6c00 c.flw f8,24(x8) + 120: 0019 c.addi x0,6 + 122: 0300 c.addi4spn x8,x2,384 + 124: ff09 c.bnez x14,3e + 126: 079f 196c 0000 0x196c079f + 12c: 1dc0 c.addi4spn x8,x2,756 + 12e: 0000 c.unimp + 130: 6101 c.addi16sp x2,0 + 132: 0700 c.addi4spn x8,x2,896 + 134: 1950 c.addi4spn x12,x2,180 + 136: 0000 c.unimp + 138: 1958 c.addi4spn x14,x2,180 + 13a: 0000 c.unimp + 13c: 5606 c.lwsp x12,96(x2) + 13e: 935b0493 addi x9,x22,-1739 + 142: 0004 0x4 + 144: 00195007 0x195007 + 148: 7800 c.flw f8,48(x8) + 14a: 0019 c.addi x0,6 + 14c: 0600 c.addi4spn x8,x2,768 + 14e: 935c 0x935c + 150: 5d04 c.lw x9,56(x10) + 152: 07000493 addi x9,x0,112 + 156: 1b50 c.addi4spn x12,x2,436 + 158: 0000 c.unimp + 15a: 1b5c c.addi4spn x15,x2,436 + 15c: 0000 c.unimp + 15e: 5a06 c.lwsp x20,96(x2) + 160: 935b0493 addi x9,x22,-1739 + 164: 0004 0x4 + 166: 00198407 0x198407 + 16a: 5000 c.lw x8,32(x8) + 16c: 0200001b 0x200001b + 170: 9f30 0x9f30 + 172: 001b6807 0x1b6807 + 176: c000 c.sw x8,0(x8) + 178: 001d c.addi x0,7 + 17a: 0200 c.addi4spn x8,x2,256 + 17c: 9f30 0x9f30 + 17e: 0700 c.addi4spn x8,x2,896 + 180: 1988 c.addi4spn x10,x2,240 + 182: 0000 c.unimp + 184: 19d0 c.addi4spn x12,x2,244 + 186: 0000 c.unimp + 188: 5c01 c.li x24,-32 + 18a: 0019d007 0x19d007 + 18e: dc00 c.sw x8,56(x8) + 190: 0019 c.addi x0,6 + 192: 0900 c.addi4spn x8,x2,144 + 194: 007c c.addi4spn x15,x2,12 + 196: ff08007b 0xff08007b + 19a: 241a c.fldsp f8,384(x2) + 19c: 079f 19dc 0000 0x19dc079f + 1a2: 1a6c c.addi4spn x11,x2,316 + 1a4: 0000 c.unimp + 1a6: 5e01 c.li x28,-32 + 1a8: 001a7407 0x1a7407 + 1ac: c800 c.sw x8,16(x8) + 1ae: 001a c.slli x0,0x6 + 1b0: 0100 c.addi4spn x8,x2,128 + 1b2: 075c c.addi4spn x15,x2,900 + 1b4: 1ac8 c.addi4spn x10,x2,372 + 1b6: 0000 c.unimp + 1b8: 1b50 c.addi4spn x12,x2,436 + 1ba: 0000 c.unimp + 1bc: 5e01 c.li x28,-32 + 1be: 001b6807 0x1b6807 + 1c2: 7c00 c.flw f8,56(x8) + 1c4: 0100001b 0x100001b + 1c8: 075c c.addi4spn x15,x2,900 + 1ca: 1b7c c.addi4spn x15,x2,444 + 1cc: 0000 c.unimp + 1ce: 1b80 c.addi4spn x8,x2,496 + 1d0: 0000 c.unimp + 1d2: 7c09 c.lui x24,0xfffe2 + 1d4: 7b00 c.flw f8,48(x14) + 1d6: 0800 c.addi4spn x8,x2,16 + 1d8: 1aff 0x1aff + 1da: 9f24 0x9f24 + 1dc: 001b8007 0x1b8007 + 1e0: 2800 c.fld f8,16(x8) + 1e2: 001c 0x1c + 1e4: 0100 c.addi4spn x8,x2,128 + 1e6: 075e c.slli x14,0x17 + 1e8: 1c28 c.addi4spn x10,x2,568 + 1ea: 0000 c.unimp + 1ec: 1d54 c.addi4spn x13,x2,692 + 1ee: 0000 c.unimp + 1f0: 5c01 c.li x24,-32 + 1f2: 001db407 fld f8,1(x27) + 1f6: c000 c.sw x8,0(x8) + 1f8: 001d c.addi x0,7 + 1fa: 0100 c.addi4spn x8,x2,128 + 1fc: 005c c.addi4spn x15,x2,4 + 1fe: 001c9807 0x1c9807 + 202: 4000 c.lw x8,0(x8) + 204: 001d c.addi x0,7 + 206: 0100 c.addi4spn x8,x2,128 + 208: 005d c.addi x0,23 + 20a: 00198c07 0x198c07 + 20e: e400 c.fsw f8,8(x8) + 210: 0019 c.addi x0,6 + 212: 0100 c.addi4spn x8,x2,128 + 214: 0756 c.slli x14,0x15 + 216: 19e4 c.addi4spn x9,x2,252 + 218: 0000 c.unimp + 21a: 1a34 c.addi4spn x13,x2,312 + 21c: 0000 c.unimp + 21e: 6001 0x6001 + 220: 001a7407 0x1a7407 + 224: c800 c.sw x8,16(x8) + 226: 001a c.slli x0,0x6 + 228: 0100 c.addi4spn x8,x2,128 + 22a: 0756 c.slli x14,0x15 + 22c: 1ac8 c.addi4spn x10,x2,372 + 22e: 0000 c.unimp + 230: 1b18 c.addi4spn x14,x2,432 + 232: 0000 c.unimp + 234: 6001 0x6001 + 236: 001b6807 0x1b6807 + 23a: a400 c.fsd f8,8(x8) + 23c: 0100001b 0x100001b + 240: 0756 c.slli x14,0x15 + 242: 1ba4 c.addi4spn x9,x2,504 + 244: 0000 c.unimp + 246: 1c28 c.addi4spn x10,x2,568 + 248: 0000 c.unimp + 24a: 6001 0x6001 + 24c: 001c2807 flw f16,1(x24) # fffe2001 <__global_pointer$+0xfffde7a1> + 250: bc00 c.fsd f8,56(x8) + 252: 001c 0x1c + 254: 0100 c.addi4spn x8,x2,128 + 256: 0756 c.slli x14,0x15 + 258: 1cbc c.addi4spn x15,x2,632 + 25a: 0000 c.unimp + 25c: 1da0 c.addi4spn x8,x2,760 + 25e: 0000 c.unimp + 260: 7606 c.flwsp f12,96(x2) + 262: 7b00 c.flw f8,48(x14) + 264: 2400 c.fld f8,8(x8) + 266: 079f 1db4 0000 0x1db4079f + 26c: 1dc0 c.addi4spn x8,x2,756 + 26e: 0000 c.unimp + 270: 5601 c.li x12,-32 + 272: 0700 c.addi4spn x8,x2,896 + 274: 19e0 c.addi4spn x8,x2,252 + 276: 0000 c.unimp + 278: 19f8 c.addi4spn x14,x2,252 + 27a: 0000 c.unimp + 27c: 5f01 c.li x30,-32 + 27e: 001ac407 flq f8,1(x21) + 282: e000 c.fsw f8,0(x8) + 284: 001a c.slli x0,0x6 + 286: 0100 c.addi4spn x8,x2,128 + 288: 075f 1b94 0000 0x1b94075f + 28e: 1be4 c.addi4spn x9,x2,508 + 290: 0000 c.unimp + 292: 5f01 c.li x30,-32 + 294: 001c2407 flw f8,1(x24) + 298: 2800 c.fld f8,16(x8) + 29a: 001c 0x1c + 29c: 0100 c.addi4spn x8,x2,128 + 29e: 075f 1cbc 0000 0x1cbc075f + 2a4: 1cfc c.addi4spn x15,x2,636 + 2a6: 0000 c.unimp + 2a8: 5f01 c.li x30,-32 + 2aa: 001d4807 flq f16,1(x26) + 2ae: 8c00 0x8c00 + 2b0: 001d c.addi x0,7 + 2b2: 0100 c.addi4spn x8,x2,128 + 2b4: 005f 8407 001b 0x1b8407005f + 2ba: a800 c.fsd f8,16(x8) + 2bc: 0100001b 0x100001b + 2c0: 075d c.addi x14,23 + 2c2: 1cbc c.addi4spn x15,x2,632 + 2c4: 0000 c.unimp + 2c6: 1cc0 c.addi4spn x8,x2,628 + 2c8: 0000 c.unimp + 2ca: 5e01 c.li x28,-32 + 2cc: 0700 c.addi4spn x8,x2,896 + 2ce: 1a6c c.addi4spn x11,x2,316 + 2d0: 0000 c.unimp + 2d2: 1a74 c.addi4spn x13,x2,316 + 2d4: 0000 c.unimp + 2d6: 5a01 c.li x20,-32 + 2d8: 001d4807 flq f16,1(x26) + 2dc: b400 c.fsd f8,40(x8) + 2de: 001d c.addi x0,7 + 2e0: 0100 c.addi4spn x8,x2,128 + 2e2: 005a c.slli x0,0x16 + 2e4: 001ac407 flq f8,1(x21) + 2e8: c800 c.sw x8,16(x8) + 2ea: 001a c.slli x0,0x6 + 2ec: 0200 c.addi4spn x8,x2,256 + 2ee: 9f31 0x9f31 + 2f0: 001ac807 flq f16,1(x21) + 2f4: 5000 c.lw x8,32(x8) + 2f6: 0100001b 0x100001b + 2fa: 1c24075b 0x1c24075b + 2fe: 0000 c.unimp + 300: 1c28 c.addi4spn x10,x2,568 + 302: 0000 c.unimp + 304: 5b01 c.li x22,-32 + 306: 0700 c.addi4spn x8,x2,896 + 308: 1b7c c.addi4spn x15,x2,444 + 30a: 0000 c.unimp + 30c: 1b90 c.addi4spn x12,x2,496 + 30e: 0000 c.unimp + 310: 5f01 c.li x30,-32 + 312: 001c8c07 0x1c8c07 + 316: a400 c.fsd f8,8(x8) + 318: 001c 0x1c + 31a: 0100 c.addi4spn x8,x2,128 + 31c: 005f cc07 0019 0x19cc07005f + 322: e800 c.fsw f8,16(x8) + 324: 0019 c.addi x0,6 + 326: 0100 c.addi4spn x8,x2,128 + 328: 1abc075b 0x1abc075b + 32c: 0000 c.unimp + 32e: 1ac8 c.addi4spn x10,x2,372 + 330: 0000 c.unimp + 332: 5b01 c.li x22,-32 + 334: 001b7c07 0x1b7c07 + 338: b000 c.fsd f8,32(x8) + 33a: 0100001b 0x100001b + 33e: 1c60075b 0x1c60075b + 342: 0000 c.unimp + 344: 1c78 c.addi4spn x14,x2,572 + 346: 0000 c.unimp + 348: 5b01 c.li x22,-32 + 34a: 001c8c07 0x1c8c07 + 34e: a800 c.fsd f8,16(x8) + 350: 001d c.addi x0,7 + 352: 0100 c.addi4spn x8,x2,128 + 354: a007005b 0xa007005b + 358: 0019 c.addi x0,6 + 35a: d000 c.sw x8,32(x8) + 35c: 0019 c.addi x0,6 + 35e: 0100 c.addi4spn x8,x2,128 + 360: 075c c.addi4spn x15,x2,900 + 362: 19d0 c.addi4spn x12,x2,244 + 364: 0000 c.unimp + 366: 19dc c.addi4spn x15,x2,244 + 368: 0000 c.unimp + 36a: 7c09 c.lui x24,0xfffe2 + 36c: 7b00 c.flw f8,48(x14) + 36e: 0800 c.addi4spn x8,x2,16 + 370: 1aff 0x1aff + 372: 9f24 0x9f24 + 374: 0019dc07 0x19dc07 + 378: 6c00 c.flw f8,24(x8) + 37a: 001a c.slli x0,0x6 + 37c: 0100 c.addi4spn x8,x2,128 + 37e: 075e c.slli x14,0x17 + 380: 1a74 c.addi4spn x13,x2,316 + 382: 0000 c.unimp + 384: 1a88 c.addi4spn x10,x2,368 + 386: 0000 c.unimp + 388: 5c01 c.li x24,-32 + 38a: 0700 c.addi4spn x8,x2,896 + 38c: 19b4 c.addi4spn x13,x2,248 + 38e: 0000 c.unimp + 390: 19c8 c.addi4spn x10,x2,244 + 392: 0000 c.unimp + 394: 5d01 c.li x26,-32 + 396: 0700 c.addi4spn x8,x2,896 + 398: 19e8 c.addi4spn x10,x2,252 + 39a: 0000 c.unimp + 39c: 1a6c c.addi4spn x11,x2,316 + 39e: 0000 c.unimp + 3a0: 5b01 c.li x22,-32 + 3a2: 0700 c.addi4spn x8,x2,896 + 3a4: 19f4 c.addi4spn x13,x2,252 + 3a6: 0000 c.unimp + 3a8: 1a6c c.addi4spn x11,x2,316 + 3aa: 0000 c.unimp + 3ac: 5c01 c.li x24,-32 + 3ae: 0700 c.addi4spn x8,x2,896 + 3b0: 19f4 c.addi4spn x13,x2,252 + 3b2: 0000 c.unimp + 3b4: 1a18 c.addi4spn x14,x2,304 + 3b6: 0000 c.unimp + 3b8: 6c01 0x6c01 + 3ba: 001a1807 0x1a1807 + 3be: 6800 c.flw f8,16(x8) + 3c0: 001a c.slli x0,0x6 + 3c2: 0100 c.addi4spn x8,x2,128 + 3c4: 005a c.slli x0,0x16 + 3c6: 001a3007 fld f0,1(x20) + 3ca: 5800 c.lw x8,48(x8) + 3cc: 001a c.slli x0,0x6 + 3ce: 0100 c.addi4spn x8,x2,128 + 3d0: 0756 c.slli x14,0x15 + 3d2: 1a58 c.addi4spn x14,x2,308 + 3d4: 0000 c.unimp + 3d6: 1a6c c.addi4spn x11,x2,316 + 3d8: 0000 c.unimp + 3da: 5f01 c.li x30,-32 + 3dc: 0700 c.addi4spn x8,x2,896 + 3de: 19f4 c.addi4spn x13,x2,252 + 3e0: 0000 c.unimp + 3e2: 19f8 c.addi4spn x14,x2,252 + 3e4: 0000 c.unimp + 3e6: 7f06 c.flwsp f30,96(x2) + 3e8: 7b00 c.flw f8,48(x14) + 3ea: 1d00 c.addi4spn x8,x2,688 + 3ec: 079f 19f8 0000 0x19f8079f + 3f2: 1a08 c.addi4spn x10,x2,304 + 3f4: 0000 c.unimp + 3f6: 5f01 c.li x30,-32 + 3f8: 001a0c07 0x1a0c07 + 3fc: 1400 c.addi4spn x8,x2,544 + 3fe: 001a c.slli x0,0x6 + 400: 0100 c.addi4spn x8,x2,128 + 402: 075f 1a14 0000 0x1a14075f + 408: 1a18 c.addi4spn x14,x2,304 + 40a: 0000 c.unimp + 40c: 8008 0x8008 + 40e: 4000 c.lw x8,0(x8) + 410: 7d25 c.lui x26,0xfffe9 + 412: 2100 c.fld f8,0(x10) + 414: 079f 1a18 0000 0x1a18079f + 41a: 1a3c c.addi4spn x15,x2,312 + 41c: 0000 c.unimp + 41e: 5f01 c.li x30,-32 + 420: 0700 c.addi4spn x8,x2,896 + 422: 1a2c c.addi4spn x11,x2,312 + 424: 0000 c.unimp + 426: 1a3c c.addi4spn x15,x2,312 + 428: 0000 c.unimp + 42a: 7f06 c.flwsp f30,96(x2) + 42c: 7b00 c.flw f8,48(x14) + 42e: 1d00 c.addi4spn x8,x2,688 + 430: 079f 1a3c 0000 0x1a3c079f + 436: 1a44 c.addi4spn x9,x2,308 + 438: 0000 c.unimp + 43a: 5f01 c.li x30,-32 + 43c: 001a4807 flq f16,1(x20) + 440: 5400 c.lw x8,40(x8) + 442: 001a c.slli x0,0x6 + 444: 0100 c.addi4spn x8,x2,128 + 446: 0060 c.addi4spn x8,x2,12 + 448: 001a0007 0x1a0007 + 44c: 3000 c.fld f8,32(x8) + 44e: 001a c.slli x0,0x6 + 450: 0100 c.addi4spn x8,x2,128 + 452: 0756 c.slli x14,0x15 + 454: 1a30 c.addi4spn x12,x2,312 + 456: 0000 c.unimp + 458: 1a40 c.addi4spn x8,x2,308 + 45a: 0000 c.unimp + 45c: 7c06 c.flwsp f24,96(x2) + 45e: 8c00 0x8c00 + 460: 1e00 c.addi4spn x8,x2,816 + 462: 079f 1a40 0000 0x1a40079f + 468: 1a6c c.addi4spn x11,x2,316 + 46a: 0000 c.unimp + 46c: 5d01 c.li x26,-32 + 46e: 0700 c.addi4spn x8,x2,896 + 470: 1d80 c.addi4spn x8,x2,752 + 472: 0000 c.unimp + 474: 1db0 c.addi4spn x12,x2,760 + 476: 0000 c.unimp + 478: 5d01 c.li x26,-32 + 47a: 0700 c.addi4spn x8,x2,896 + 47c: 1d80 c.addi4spn x8,x2,752 + 47e: 0000 c.unimp + 480: 1d94 c.addi4spn x13,x2,752 + 482: 0000 c.unimp + 484: 8c10 0x8c10 + 486: 0a00 c.addi4spn x8,x2,272 + 488: ffff 0xffff + 48a: 7e1a c.flwsp f28,164(x2) + 48c: 0a00 c.addi4spn x8,x2,272 + 48e: ffff 0xffff + 490: 401a 0x401a + 492: 2224 c.fld f9,64(x12) + 494: 079f 1d94 0000 0x1d94079f + 49a: 1d9c c.addi4spn x15,x2,752 + 49c: 0000 c.unimp + 49e: 8c15 c.sub x8,x13 + 4a0: 0a00 c.addi4spn x8,x2,272 + 4a2: ffff 0xffff + 4a4: 8c1a c.mv x24,x6 + 4a6: 4000 c.lw x8,0(x8) + 4a8: 7c25 c.lui x24,0xfffe9 + 4aa: 2200 c.fld f8,0(x12) + 4ac: ff0a c.fswsp f2,188(x2) + 4ae: 1aff 0x1aff + 4b0: 2440 c.fld f8,136(x8) + 4b2: 9f22 c.add x30,x8 + 4b4: 0700 c.addi4spn x8,x2,896 + 4b6: 1cbc c.addi4spn x15,x2,632 + 4b8: 0000 c.unimp + 4ba: 1d58 c.addi4spn x14,x2,692 + 4bc: 0000 c.unimp + 4be: 6c01 0x6c01 + 4c0: 0700 c.addi4spn x8,x2,896 + 4c2: 1cbc c.addi4spn x15,x2,632 + 4c4: 0000 c.unimp + 4c6: 1d08 c.addi4spn x10,x2,688 + 4c8: 0000 c.unimp + 4ca: 6001 0x6001 + 4cc: 001d0807 0x1d0807 + 4d0: 4000 c.lw x8,0(x8) + 4d2: 001d c.addi x0,7 + 4d4: 0700 c.addi4spn x8,x2,896 + 4d6: 007d c.addi x0,31 + 4d8: 2440 c.fld f8,136(x8) + 4da: 2540 c.fld f8,136(x10) + 4dc: 009f bc07 001c 0x1cbc07009f + 4e2: e000 c.fsw f8,0(x8) + 4e4: 001c 0x1c + 4e6: 0100 c.addi4spn x8,x2,128 + 4e8: 076e c.slli x14,0x1b + 4ea: 1ce0 c.addi4spn x8,x2,636 + 4ec: 0000 c.unimp + 4ee: 1d34 c.addi4spn x13,x2,696 + 4f0: 0000 c.unimp + 4f2: 5a01 c.li x20,-32 + 4f4: 0700 c.addi4spn x8,x2,896 + 4f6: 1cf8 c.addi4spn x14,x2,636 + 4f8: 0000 c.unimp + 4fa: 1d20 c.addi4spn x8,x2,696 + 4fc: 0000 c.unimp + 4fe: 6d01 0x6d01 + 500: 001d2007 flw f0,1(x26) # fffe9001 <__global_pointer$+0xfffe57a1> + 504: 4400 c.lw x8,8(x8) + 506: 001d c.addi x0,7 + 508: 0100 c.addi4spn x8,x2,128 + 50a: 005e c.slli x0,0x17 + 50c: 001cbc07 fld f24,1(x25) + 510: c000 c.sw x8,0(x8) + 512: 001c 0x1c + 514: 0600 c.addi4spn x8,x2,768 + 516: 007e c.slli x0,0x1f + 518: 008c c.addi4spn x11,x2,64 + 51a: 9f1d 0x9f1d + 51c: 001cc007 flq f0,1(x25) + 520: cc00 c.sw x8,24(x8) + 522: 001c 0x1c + 524: 0100 c.addi4spn x8,x2,128 + 526: 075e c.slli x14,0x17 + 528: 1cd0 c.addi4spn x12,x2,628 + 52a: 0000 c.unimp + 52c: 1cdc c.addi4spn x15,x2,628 + 52e: 0000 c.unimp + 530: 5e01 c.li x28,-32 + 532: 001ce007 0x1ce007 + 536: 0400 c.addi4spn x8,x2,512 + 538: 001d c.addi x0,7 + 53a: 0100 c.addi4spn x8,x2,128 + 53c: 005e c.slli x0,0x17 + 53e: 001cf407 0x1cf407 + 542: 0400 c.addi4spn x8,x2,512 + 544: 001d c.addi x0,7 + 546: 0600 c.addi4spn x8,x2,768 + 548: 007e c.slli x0,0x1f + 54a: 008c c.addi4spn x11,x2,64 + 54c: 9f1d 0x9f1d + 54e: 001d0407 0x1d0407 + 552: 0c00 c.addi4spn x8,x2,528 + 554: 001d c.addi x0,7 + 556: 0100 c.addi4spn x8,x2,128 + 558: 075e c.slli x14,0x17 + 55a: 1d10 c.addi4spn x12,x2,688 + 55c: 0000 c.unimp + 55e: 1d1c c.addi4spn x15,x2,688 + 560: 0000 c.unimp + 562: 5f01 c.li x30,-32 + 564: 001d2007 flw f0,1(x26) + 568: 8c00 0x8c00 + 56a: 001d c.addi x0,7 + 56c: 0100 c.addi4spn x8,x2,128 + 56e: 005f c407 001c 0x1cc407005f + 574: f800 c.fsw f8,48(x8) + 576: 001c 0x1c + 578: 0100 c.addi4spn x8,x2,128 + 57a: 076d c.addi x14,27 + 57c: 1cf8 c.addi4spn x14,x2,636 + 57e: 0000 c.unimp + 580: 1d08 c.addi4spn x10,x2,688 + 582: 0000 c.unimp + 584: 8006 c.mv x0,x1 + 586: 8e00 0x8e00 + 588: 1e00 c.addi4spn x8,x2,816 + 58a: 079f 1d08 0000 0x1d08079f + 590: 1d50 c.addi4spn x12,x2,692 + 592: 0000 c.unimp + 594: 6001 0x6001 + 596: 0700 c.addi4spn x8,x2,896 + 598: 1d58 c.addi4spn x14,x2,692 + 59a: 0000 c.unimp + 59c: 1d9c c.addi4spn x15,x2,752 + 59e: 0000 c.unimp + 5a0: 6c01 0x6c01 + 5a2: 0700 c.addi4spn x8,x2,896 + 5a4: 1d58 c.addi4spn x14,x2,692 + 5a6: 0000 c.unimp + 5a8: 1d60 c.addi4spn x8,x2,700 + 5aa: 0000 c.unimp + 5ac: 7e06 c.flwsp f28,96(x2) + 5ae: 7c00 c.flw f8,56(x8) + 5b0: 1e00 c.addi4spn x8,x2,816 + 5b2: 079f 1d60 0000 0x1d60079f + 5b8: 1d68 c.addi4spn x10,x2,700 + 5ba: 0000 c.unimp + 5bc: 5e01 c.li x28,-32 + 5be: 001d7007 0x1d7007 + 5c2: 9400 0x9400 + 5c4: 001d c.addi x0,7 + 5c6: 0100 c.addi4spn x8,x2,128 + 5c8: 075e c.slli x14,0x17 + 5ca: 1d94 c.addi4spn x13,x2,752 + 5cc: 0000 c.unimp + 5ce: 1d9c c.addi4spn x15,x2,752 + 5d0: 0000 c.unimp + 5d2: 8c08 0x8c08 + 5d4: 4000 c.lw x8,0(x8) + 5d6: 7c25 c.lui x24,0xfffe9 + 5d8: 2200 c.fld f8,0(x12) + 5da: 009f 5c07 001d 0x1d5c07009f + 5e0: 7c00 c.flw f8,56(x8) + 5e2: 001d c.addi x0,7 + 5e4: 0100 c.addi4spn x8,x2,128 + 5e6: 005d c.addi x0,23 + 5e8: 001d6407 0x1d6407 + 5ec: b400 c.fsd f8,40(x8) + 5ee: 001d c.addi x0,7 + 5f0: 0100 c.addi4spn x8,x2,128 + 5f2: 0060 c.addi4spn x8,x2,12 + 5f4: 001d4807 flq f16,1(x26) + 5f8: 6000 c.flw f8,0(x8) + 5fa: 001d c.addi x0,7 + 5fc: 0100 c.addi4spn x8,x2,128 + 5fe: 075e c.slli x14,0x17 + 600: 1d60 c.addi4spn x8,x2,700 + 602: 0000 c.unimp + 604: 1d90 c.addi4spn x12,x2,752 + 606: 0000 c.unimp + 608: 8d06 c.mv x26,x1 + 60a: 7a7f 0x7a7f + 60c: 1a00 c.addi4spn x8,x2,304 + 60e: 079f 1d90 0000 0x1d90079f + 614: 1dac c.addi4spn x11,x2,760 + 616: 0000 c.unimp + 618: 7a06 c.flwsp f20,96(x2) + 61a: 7f00 c.flw f8,56(x14) + 61c: 1a00 c.addi4spn x8,x2,304 + 61e: 079f 1dac 0000 0x1dac079f + 624: 1db0 c.addi4spn x12,x2,760 + 626: 0000 c.unimp + 628: 8d06 c.mv x26,x1 + 62a: 7a7f 0x7a7f + 62c: 1a00 c.addi4spn x8,x2,304 + 62e: 079f 1db0 0000 0x1db0079f + 634: 1db4 c.addi4spn x13,x2,760 + 636: 0000 c.unimp + 638: 7a06 c.flwsp f20,96(x2) + 63a: 8d01 c.sub x10,x8 + 63c: 1a7f 0x1a7f + 63e: 009f 5007 001d 0x1d5007009f + 644: 5c00 c.lw x8,56(x8) + 646: 001d c.addi x0,7 + 648: 0100 c.addi4spn x8,x2,128 + 64a: 005d c.addi x0,23 + 64c: 001d5007 0x1d5007 + 650: 6400 c.flw f8,8(x8) + 652: 001d c.addi x0,7 + 654: 0100 c.addi4spn x8,x2,128 + 656: 0760 c.addi4spn x8,x2,908 + 658: 1d64 c.addi4spn x9,x2,700 + 65a: 0000 c.unimp + 65c: 1db0 c.addi4spn x12,x2,760 + 65e: 0000 c.unimp + 660: 7a05 c.lui x20,0xfffe1 + 662: 4000 c.lw x8,0(x8) + 664: 9f25 0x9f25 + 666: 001db007 fld f0,1(x27) + 66a: b400 c.fsd f8,40(x8) + 66c: 001d c.addi x0,7 + 66e: 0500 c.addi4spn x8,x2,640 + 670: 017a c.slli x2,0x1e + 672: 2540 c.fld f8,136(x10) + 674: 009f 5407 001d 0x1d5407009f + 67a: 6800 c.flw f8,16(x8) + 67c: 001d c.addi x0,7 + 67e: 0100 c.addi4spn x8,x2,128 + 680: 005c c.addi4spn x15,x2,4 + 682: 001a9007 0x1a9007 + 686: c800 c.sw x8,16(x8) + 688: 001a c.slli x0,0x6 + 68a: 0100 c.addi4spn x8,x2,128 + 68c: 075c c.addi4spn x15,x2,900 + 68e: 1ac8 c.addi4spn x10,x2,372 + 690: 0000 c.unimp + 692: 1b50 c.addi4spn x12,x2,436 + 694: 0000 c.unimp + 696: 5e01 c.li x28,-32 + 698: 001b6807 0x1b6807 + 69c: 7c00 c.flw f8,56(x8) + 69e: 0100001b 0x100001b + 6a2: 075c c.addi4spn x15,x2,900 + 6a4: 1b7c c.addi4spn x15,x2,444 + 6a6: 0000 c.unimp + 6a8: 1b80 c.addi4spn x8,x2,496 + 6aa: 0000 c.unimp + 6ac: 7c09 c.lui x24,0xfffe2 + 6ae: 7b00 c.flw f8,48(x14) + 6b0: 0800 c.addi4spn x8,x2,16 + 6b2: 1aff 0x1aff + 6b4: 9f24 0x9f24 + 6b6: 001b8007 0x1b8007 + 6ba: 2800 c.fld f8,16(x8) + 6bc: 001c 0x1c + 6be: 0100 c.addi4spn x8,x2,128 + 6c0: 005e c.slli x0,0x17 + 6c2: 001aa407 flw f8,1(x21) + 6c6: b800 c.fsd f8,48(x8) + 6c8: 001a c.slli x0,0x6 + 6ca: 0100 c.addi4spn x8,x2,128 + 6cc: 005d c.addi x0,23 + 6ce: 001acc07 flq f24,1(x21) + 6d2: 5000 c.lw x8,32(x8) + 6d4: 0100001b 0x100001b + 6d8: 0056 c.slli x0,0x15 + 6da: 001ad807 0x1ad807 + 6de: 5000 c.lw x8,32(x8) + 6e0: 0100001b 0x100001b + 6e4: 005c c.addi4spn x15,x2,4 + 6e6: 001ad807 0x1ad807 + 6ea: fc00 c.fsw f8,56(x8) + 6ec: 001a c.slli x0,0x6 + 6ee: 0100 c.addi4spn x8,x2,128 + 6f0: 076d c.addi x14,27 + 6f2: 1afc c.addi4spn x15,x2,380 + 6f4: 0000 c.unimp + 6f6: 1b4c c.addi4spn x11,x2,436 + 6f8: 0000 c.unimp + 6fa: 5a01 c.li x20,-32 + 6fc: 0700 c.addi4spn x8,x2,896 + 6fe: 1b14 c.addi4spn x13,x2,432 + 700: 0000 c.unimp + 702: 1b3c c.addi4spn x15,x2,440 + 704: 0000 c.unimp + 706: 6c01 0x6c01 + 708: 001b3c07 fld f24,1(x22) + 70c: 5000 c.lw x8,32(x8) + 70e: 0100001b 0x100001b + 712: 005f d807 001a 0x1ad807005f + 718: e000 c.fsw f8,0(x8) + 71a: 001a c.slli x0,0x6 + 71c: 0600 c.addi4spn x8,x2,768 + 71e: 007f 0x7f + 720: 0076 c.slli x0,0x1d + 722: 9f1d 0x9f1d + 724: 001ae007 0x1ae007 + 728: ec00 c.fsw f8,24(x8) + 72a: 001a c.slli x0,0x6 + 72c: 0100 c.addi4spn x8,x2,128 + 72e: 075f 1af0 0000 0x1af0075f + 734: 1af8 c.addi4spn x14,x2,380 + 736: 0000 c.unimp + 738: 5f01 c.li x30,-32 + 73a: 001afc07 0x1afc07 + 73e: 2000 c.fld f8,0(x8) + 740: 0100001b 0x100001b + 744: 005f 1007 001b 0x1b1007005f + 74a: 2000 c.fld f8,0(x8) + 74c: 0600001b 0x600001b + 750: 007f 0x7f + 752: 0076 c.slli x0,0x1d + 754: 9f1d 0x9f1d + 756: 001b2007 flw f0,1(x22) + 75a: 2800 c.fld f8,16(x8) + 75c: 0100001b 0x100001b + 760: 075f 1b2c 0000 0x1b2c075f + 766: 1b38 c.addi4spn x14,x2,440 + 768: 0000 c.unimp + 76a: 6001 0x6001 + 76c: 0700 c.addi4spn x8,x2,896 + 76e: 1ae8 c.addi4spn x10,x2,380 + 770: 0000 c.unimp + 772: 1b14 c.addi4spn x13,x2,432 + 774: 0000 c.unimp + 776: 6c01 0x6c01 + 778: 001b1407 0x1b1407 + 77c: 2400 c.fld f8,8(x8) + 77e: 0600001b 0x600001b + 782: 007c c.addi4spn x15,x2,12 + 784: 008d c.addi x1,3 + 786: 9f1e c.add x30,x7 + 788: 001b2407 flw f8,1(x22) + 78c: 5000 c.lw x8,32(x8) + 78e: 0100001b 0x100001b + 792: 005d c.addi x0,23 + 794: 001ba407 flw f8,1(x23) # 9d9 <_strcat+0x61> + 798: 2800 c.fld f8,16(x8) + 79a: 001c 0x1c + 79c: 0100 c.addi4spn x8,x2,128 + 79e: 005a c.slli x0,0x16 + 7a0: 001ba407 flw f8,1(x23) + 7a4: f000 c.fsw f8,32(x8) + 7a6: 0100001b 0x100001b + 7aa: 075c c.addi4spn x15,x2,900 + 7ac: 1bf0 c.addi4spn x12,x2,508 + 7ae: 0000 c.unimp + 7b0: 1c28 c.addi4spn x10,x2,568 + 7b2: 0000 c.unimp + 7b4: 40007e07 0x40007e07 + 7b8: 4024 c.lw x9,64(x8) + 7ba: 9f25 0x9f25 + 7bc: 0700 c.addi4spn x8,x2,896 + 7be: 1ba4 c.addi4spn x9,x2,504 + 7c0: 0000 c.unimp + 7c2: 1bc8 c.addi4spn x10,x2,500 + 7c4: 0000 c.unimp + 7c6: 6c01 0x6c01 + 7c8: 001bc807 flq f16,1(x23) + 7cc: 1c00 c.addi4spn x8,x2,560 + 7ce: 001c 0x1c + 7d0: 0100 c.addi4spn x8,x2,128 + 7d2: e007005b 0xe007005b + 7d6: 0800001b 0x800001b + 7da: 001c 0x1c + 7dc: 0100 c.addi4spn x8,x2,128 + 7de: 0756 c.slli x14,0x15 + 7e0: 1c08 c.addi4spn x10,x2,560 + 7e2: 0000 c.unimp + 7e4: 1c28 c.addi4spn x10,x2,568 + 7e6: 0000 c.unimp + 7e8: 5d01 c.li x26,-32 + 7ea: 0700 c.addi4spn x8,x2,896 + 7ec: 1ba4 c.addi4spn x9,x2,504 + 7ee: 0000 c.unimp + 7f0: 1ba8 c.addi4spn x10,x2,504 + 7f2: 0000 c.unimp + 7f4: 7d06 c.flwsp f26,96(x2) + 7f6: 7a00 c.flw f8,48(x12) + 7f8: 1d00 c.addi4spn x8,x2,688 + 7fa: 079f 1ba8 0000 0x1ba8079f + 800: 1bb4 c.addi4spn x13,x2,504 + 802: 0000 c.unimp + 804: 5d01 c.li x26,-32 + 806: 001bb807 fld f16,1(x23) + 80a: c400 c.sw x8,8(x8) + 80c: 0100001b 0x100001b + 810: 075d c.addi x14,23 + 812: 1bc8 c.addi4spn x10,x2,500 + 814: 0000 c.unimp + 816: 1bec c.addi4spn x11,x2,508 + 818: 0000 c.unimp + 81a: 5d01 c.li x26,-32 + 81c: 0700 c.addi4spn x8,x2,896 + 81e: 1bdc c.addi4spn x15,x2,500 + 820: 0000 c.unimp + 822: 1bec c.addi4spn x11,x2,508 + 824: 0000 c.unimp + 826: 7d06 c.flwsp f26,96(x2) + 828: 7a00 c.flw f8,48(x12) + 82a: 1d00 c.addi4spn x8,x2,688 + 82c: 079f 1bec 0000 0x1bec079f + 832: 1bf4 c.addi4spn x13,x2,508 + 834: 0000 c.unimp + 836: 5d01 c.li x26,-32 + 838: 001bf807 0x1bf807 + 83c: 0400 c.addi4spn x8,x2,512 + 83e: 001c 0x1c + 840: 0100 c.addi4spn x8,x2,128 + 842: 075f 1c08 0000 0x1c08075f + 848: 1c28 c.addi4spn x10,x2,568 + 84a: 0000 c.unimp + 84c: 5f01 c.li x30,-32 + 84e: 0700 c.addi4spn x8,x2,896 + 850: 1bac c.addi4spn x11,x2,504 + 852: 0000 c.unimp + 854: 1be0 c.addi4spn x8,x2,508 + 856: 0000 c.unimp + 858: 5601 c.li x12,-32 + 85a: 001be007 0x1be007 + 85e: f000 c.fsw f8,32(x8) + 860: 0600001b 0x600001b + 864: 007c c.addi4spn x15,x2,12 + 866: 008c c.addi4spn x11,x2,64 + 868: 9f1e c.add x30,x7 + 86a: 001bf007 0x1bf007 + 86e: 2800 c.fld f8,16(x8) + 870: 001c 0x1c + 872: 0100 c.addi4spn x8,x2,128 + 874: 005c c.addi4spn x15,x2,4 + 876: 001c2c07 flw f24,1(x24) # fffe2001 <__global_pointer$+0xfffde7a1> + 87a: 9400 0x9400 + 87c: 001c 0x1c + 87e: 0100 c.addi4spn x8,x2,128 + 880: 005d c.addi x0,23 + 882: 001c4007 flq f0,1(x24) + 886: 5c00 c.lw x8,56(x8) + 888: 001c 0x1c + 88a: 0100 c.addi4spn x8,x2,128 + 88c: 005e c.slli x0,0x17 + 88e: 0000078f 0x78f + 892: 0005 c.addi x0,1 + 894: 0004 0x4 + 896: 0000 c.unimp + 898: 0000 c.unimp + 89a: 001dc007 flq f0,1(x27) + 89e: dc00 c.sw x8,56(x8) + 8a0: 001d c.addi x0,7 + 8a2: 0600 c.addi4spn x8,x2,768 + 8a4: 935a c.add x6,x22 + 8a6: 5b04 c.lw x9,48(x14) + 8a8: dc070493 addi x9,x14,-576 + 8ac: 001d c.addi x0,7 + 8ae: 1000 c.addi4spn x8,x2,32 + 8b0: 0022 c.slli x0,0x8 + 8b2: 0600 c.addi4spn x8,x2,768 + 8b4: 0aa503a3 sb x10,167(x10) + 8b8: 9f2d 0x9f2d + 8ba: 0700 c.addi4spn x8,x2,896 + 8bc: 1dc0 c.addi4spn x8,x2,756 + 8be: 0000 c.unimp + 8c0: 1df4 c.addi4spn x13,x2,764 + 8c2: 0000 c.unimp + 8c4: 5c06 c.lwsp x24,96(x2) + 8c6: 935d0493 addi x9,x26,-1739 + 8ca: 0704 c.addi4spn x9,x2,896 + 8cc: 1df4 c.addi4spn x13,x2,764 + 8ce: 0000 c.unimp + 8d0: 2210 c.fld f12,0(x12) + 8d2: 0000 c.unimp + 8d4: a306 c.fsdsp f1,384(x2) + 8d6: 2d0ca503 lw x10,720(x25) + 8da: 009f c007 001d 0x1dc007009f + 8e0: cc00 c.sw x8,24(x8) + 8e2: 001d c.addi x0,7 + 8e4: 0200 c.addi4spn x8,x2,256 + 8e6: 9f30 0x9f30 + 8e8: 001dcc07 flq f24,1(x27) + 8ec: e000 c.fsw f8,0(x8) + 8ee: 001d c.addi x0,7 + 8f0: 0300 c.addi4spn x8,x2,384 + 8f2: ff09 c.bnez x14,80c <_s2d+0xc8> + 8f4: 079f 1de0 0000 0x1de0079f + 8fa: 2210 c.fld f12,0(x12) + 8fc: 0000 c.unimp + 8fe: 6001 0x6001 + 900: 0700 c.addi4spn x8,x2,896 + 902: 1dc0 c.addi4spn x8,x2,756 + 904: 0000 c.unimp + 906: 1dcc c.addi4spn x11,x2,756 + 908: 0000 c.unimp + 90a: 5a06 c.lwsp x20,96(x2) + 90c: 935b0493 addi x9,x22,-1739 + 910: 0004 0x4 + 912: 001dc007 flq f0,1(x27) + 916: ec00 c.fsw f8,24(x8) + 918: 001d c.addi x0,7 + 91a: 0600 c.addi4spn x8,x2,768 + 91c: 935c 0x935c + 91e: 5d04 c.lw x9,56(x10) + 920: f4070493 addi x9,x14,-192 + 924: 001d c.addi x0,7 + 926: 1400 c.addi4spn x8,x2,544 + 928: 001e c.slli x0,0x7 + 92a: 0600 c.addi4spn x8,x2,768 + 92c: 935c 0x935c + 92e: 5d04 c.lw x9,56(x10) + 930: f8070493 addi x9,x14,-128 + 934: 001e c.slli x0,0x7 + 936: 0400 c.addi4spn x8,x2,512 + 938: 001f 0600 935c 0x935c0600001f + 93e: 5d04 c.lw x9,56(x10) + 940: 48070493 addi x9,x14,1152 + 944: 0020 c.addi4spn x8,x2,8 + 946: 9000 0x9000 + 948: 0020 c.addi4spn x8,x2,8 + 94a: 0600 c.addi4spn x8,x2,768 + 94c: 935c 0x935c + 94e: 5d04 c.lw x9,56(x10) + 950: a8070493 addi x9,x14,-1408 + 954: 0020 c.addi4spn x8,x2,8 + 956: c400 c.sw x8,8(x8) + 958: 0020 c.addi4spn x8,x2,8 + 95a: 0600 c.addi4spn x8,x2,768 + 95c: 935c 0x935c + 95e: 5d04 c.lw x9,56(x10) + 960: 07000493 addi x9,x0,112 + 964: 1ecc c.addi4spn x11,x2,884 + 966: 0000 c.unimp + 968: 1ed8 c.addi4spn x14,x2,884 + 96a: 0000 c.unimp + 96c: 5a06 c.lwsp x20,96(x2) + 96e: 935b0493 addi x9,x22,-1739 + 972: 0704 c.addi4spn x9,x2,896 + 974: 20a4 c.fld f9,64(x9) + 976: 0000 c.unimp + 978: 20a8 c.fld f10,64(x9) + 97a: 0000 c.unimp + 97c: 5f06 c.lwsp x30,96(x2) + 97e: 935b0493 addi x9,x22,-1739 + 982: 0704 c.addi4spn x9,x2,896 + 984: 220c c.fld f11,0(x12) + 986: 0000 c.unimp + 988: 2210 c.fld f12,0(x12) + 98a: 0000 c.unimp + 98c: 5a06 c.lwsp x20,96(x2) + 98e: 935b0493 addi x9,x22,-1739 + 992: 0004 0x4 + 994: 001df407 0x1df407 + 998: cc00 c.sw x8,24(x8) + 99a: 001e c.slli x0,0x7 + 99c: 0600 c.addi4spn x8,x2,768 + 99e: 4aa0 c.lw x8,80(x13) + 9a0: 0009 c.addi x0,2 + 9a2: 0000 c.unimp + 9a4: 001ee407 0x1ee407 + 9a8: 1000 c.addi4spn x8,x2,32 + 9aa: 0022 c.slli x0,0x8 + 9ac: 0600 c.addi4spn x8,x2,768 + 9ae: 4aa0 c.lw x8,80(x13) + 9b0: 0009 c.addi x0,2 + 9b2: 0000 c.unimp + 9b4: 0700 c.addi4spn x8,x2,896 + 9b6: 1df4 c.addi4spn x13,x2,764 + 9b8: 0000 c.unimp + 9ba: 1e14 c.addi4spn x13,x2,816 + 9bc: 0000 c.unimp + 9be: 5c06 c.lwsp x24,96(x2) + 9c0: 935d0493 addi x9,x26,-1739 + 9c4: 0704 c.addi4spn x9,x2,896 + 9c6: 1ef8 c.addi4spn x14,x2,892 + 9c8: 0000 c.unimp + 9ca: 1f04 c.addi4spn x9,x2,944 + 9cc: 0000 c.unimp + 9ce: 5c06 c.lwsp x24,96(x2) + 9d0: 935d0493 addi x9,x26,-1739 + 9d4: 0704 c.addi4spn x9,x2,896 + 9d6: 2048 c.fld f10,128(x8) + 9d8: 0000 c.unimp + 9da: 2090 c.fld f12,0(x9) + 9dc: 0000 c.unimp + 9de: 5c06 c.lwsp x24,96(x2) + 9e0: 935d0493 addi x9,x26,-1739 + 9e4: 0704 c.addi4spn x9,x2,896 + 9e6: 20a8 c.fld f10,64(x9) + 9e8: 0000 c.unimp + 9ea: 20c4 c.fld f9,128(x9) + 9ec: 0000 c.unimp + 9ee: 5c06 c.lwsp x24,96(x2) + 9f0: 935d0493 addi x9,x26,-1739 + 9f4: 0004 0x4 + 9f6: 001df807 0x1df807 + 9fa: 4c00 c.lw x8,24(x8) + 9fc: 001e c.slli x0,0x7 + 9fe: 0100 c.addi4spn x8,x2,128 + a00: 075c c.addi4spn x15,x2,900 + a02: 1e4c c.addi4spn x11,x2,820 + a04: 0000 c.unimp + a06: 1ecc c.addi4spn x11,x2,884 + a08: 0000 c.unimp + a0a: 6101 c.addi16sp x2,0 + a0c: 001ee407 0x1ee407 + a10: 3400 c.fld f8,40(x8) + a12: 001f 0100 075c 0x75c0100001f + a18: 1f34 c.addi4spn x13,x2,952 + a1a: 0000 c.unimp + a1c: 1fa8 c.addi4spn x10,x2,1016 + a1e: 0000 c.unimp + a20: 6101 c.addi16sp x2,0 + a22: 001fa807 flw f16,1(x31) + a26: c000 c.sw x8,0(x8) + a28: 001f 0100 075c 0x75c0100001f + a2e: 1fc0 c.addi4spn x8,x2,1012 + a30: 0000 c.unimp + a32: 2048 c.fld f10,128(x8) + a34: 0000 c.unimp + a36: 6101 c.addi16sp x2,0 + a38: 00204807 flq f16,2(x0) # 2 + a3c: 9000 0x9000 + a3e: 0020 c.addi4spn x8,x2,8 + a40: 0100 c.addi4spn x8,x2,128 + a42: 075c c.addi4spn x15,x2,900 + a44: 20a8 c.fld f10,64(x9) + a46: 0000 c.unimp + a48: 21dc c.fld f15,128(x11) + a4a: 0000 c.unimp + a4c: 5c01 c.li x24,-32 + a4e: 0700 c.addi4spn x8,x2,896 + a50: 1df8 c.addi4spn x14,x2,764 + a52: 0000 c.unimp + a54: 1e14 c.addi4spn x13,x2,816 + a56: 0000 c.unimp + a58: 5d01 c.li x26,-32 + a5a: 001ef807 0x1ef807 + a5e: 0400 c.addi4spn x8,x2,512 + a60: 001f 0100 075d 0x75d0100001f + a66: 2048 c.fld f10,128(x8) + a68: 0000 c.unimp + a6a: 2094 c.fld f13,0(x9) + a6c: 0000 c.unimp + a6e: 5d01 c.li x26,-32 + a70: 0020a807 flw f16,2(x1) + a74: c400 c.sw x8,8(x8) + a76: 0020 c.addi4spn x8,x2,8 + a78: 0100 c.addi4spn x8,x2,128 + a7a: 075d c.addi x14,23 + a7c: 20c8 c.fld f10,128(x9) + a7e: 0000 c.unimp + a80: 2210 c.fld f12,0(x12) + a82: 0000 c.unimp + a84: 5d01 c.li x26,-32 + a86: 0700 c.addi4spn x8,x2,896 + a88: 1dfc c.addi4spn x15,x2,764 + a8a: 0000 c.unimp + a8c: 1e54 c.addi4spn x13,x2,820 + a8e: 0000 c.unimp + a90: 5a01 c.li x20,-32 + a92: 001e5407 0x1e5407 + a96: 9800 0x9800 + a98: 001e c.slli x0,0x7 + a9a: 0100 c.addi4spn x8,x2,128 + a9c: 075f 1ec4 0000 0x1ec4075f + aa2: 1ecc c.addi4spn x11,x2,884 + aa4: 0000 c.unimp + aa6: 5f01 c.li x30,-32 + aa8: 001ee407 0x1ee407 + aac: 3400 c.fld f8,40(x8) + aae: 001f 0100 075a 0x75a0100001f + ab4: 1fa4 c.addi4spn x9,x2,1016 + ab6: 0000 c.unimp + ab8: 1fa8 c.addi4spn x10,x2,1016 + aba: 0000 c.unimp + abc: 5f01 c.li x30,-32 + abe: 001fa807 flw f16,1(x31) + ac2: d400 c.sw x8,40(x8) + ac4: 001f 0100 075a 0x75a0100001f + aca: 2048 c.fld f10,128(x8) + acc: 0000 c.unimp + ace: 209c c.fld f15,0(x9) + ad0: 0000 c.unimp + ad2: 5a01 c.li x20,-32 + ad4: 00209c07 0x209c07 + ad8: a000 c.fsd f8,0(x8) + ada: 0020 c.addi4spn x8,x2,8 + adc: 0100 c.addi4spn x8,x2,128 + ade: 075c c.addi4spn x15,x2,900 + ae0: 20a0 c.fld f8,64(x9) + ae2: 0000 c.unimp + ae4: 20a8 c.fld f10,64(x9) + ae6: 0000 c.unimp + ae8: 5f01 c.li x30,-32 + aea: 0020a807 flw f16,2(x1) + aee: f400 c.fsw f8,40(x8) + af0: 0021 c.addi x0,8 + af2: 0100 c.addi4spn x8,x2,128 + af4: 075a c.slli x14,0x16 + af6: 21fc c.fld f15,192(x11) + af8: 0000 c.unimp + afa: 2204 c.fld f9,0(x12) + afc: 0000 c.unimp + afe: 5f01 c.li x30,-32 + b00: 0700 c.addi4spn x8,x2,896 + b02: 1e50 c.addi4spn x12,x2,820 + b04: 0000 c.unimp + b06: 1e68 c.addi4spn x10,x2,828 + b08: 0000 c.unimp + b0a: 5b01 c.li x22,-32 + b0c: 001f3407 fld f8,1(x30) # 1b001 <__global_pointer$+0x177a1> + b10: 4c00 c.lw x8,24(x8) + b12: 001f 0100 075e 0x75e0100001f + b18: 1fd8 c.addi4spn x14,x2,1012 + b1a: 0000 c.unimp + b1c: 2018 c.fld f14,0(x8) + b1e: 0000 c.unimp + b20: 5e01 c.li x28,-32 + b22: 00204407 flq f8,2(x0) # 2 + b26: 4800 c.lw x8,16(x8) + b28: 0020 c.addi4spn x8,x2,8 + b2a: 0100 c.addi4spn x8,x2,128 + b2c: 075e c.slli x14,0x17 + b2e: 209c c.fld f15,0(x9) + b30: 0000 c.unimp + b32: 20a8 c.fld f10,64(x9) + b34: 0000 c.unimp + b36: 5b01 c.li x22,-32 + b38: 0020f007 0x20f007 + b3c: 3000 c.fld f8,32(x8) + b3e: 0021 c.addi x0,8 + b40: 0100 c.addi4spn x8,x2,128 + b42: 2174075b 0x2174075b + b46: 0000 c.unimp + b48: 21f8 c.fld f14,192(x11) + b4a: 0000 c.unimp + b4c: 5b01 c.li x22,-32 + b4e: 0021fc07 0x21fc07 + b52: 0c00 c.addi4spn x8,x2,528 + b54: 0022 c.slli x0,0x8 + b56: 0100 c.addi4spn x8,x2,128 + b58: c407005b 0xc407005b + b5c: 001f e800 001f 0x1fe800001f + b62: 0100 c.addi4spn x8,x2,128 + b64: 075d c.addi x14,23 + b66: 20f0 c.fld f12,192(x9) + b68: 0000 c.unimp + b6a: 20f8 c.fld f14,192(x9) + b6c: 0000 c.unimp + b6e: 5e01 c.li x28,-32 + b70: 0700 c.addi4spn x8,x2,896 + b72: 208c c.fld f11,0(x9) + b74: 0000 c.unimp + b76: 20a0 c.fld f8,64(x9) + b78: 0000 c.unimp + b7a: 3102 c.fldsp f2,32(x2) + b7c: 009f a007 0020 0x20a007009f + b82: a800 c.fsd f8,16(x8) + b84: 0020 c.addi4spn x8,x2,8 + b86: 0200 c.addi4spn x8,x2,256 + b88: 9f30 0x9f30 + b8a: 0021ec07 0x21ec07 + b8e: 1000 c.addi4spn x8,x2,32 + b90: 0022 c.slli x0,0x8 + b92: 0200 c.addi4spn x8,x2,256 + b94: 9f30 0x9f30 + b96: 0700 c.addi4spn x8,x2,896 + b98: 1fbc c.addi4spn x15,x2,1016 + b9a: 0000 c.unimp + b9c: 1fcc c.addi4spn x11,x2,1012 + b9e: 0000 c.unimp + ba0: 5e01 c.li x28,-32 + ba2: 0020bc07 fld f24,2(x1) + ba6: 0000 c.unimp + ba8: 0022 c.slli x0,0x8 + baa: 0100 c.addi4spn x8,x2,128 + bac: 0056 c.slli x0,0x15 + bae: 001e3c07 fld f24,1(x28) # 1a001 <__global_pointer$+0x167a1> + bb2: cc00 c.sw x8,24(x8) + bb4: 001e c.slli x0,0x7 + bb6: 0100 c.addi4spn x8,x2,128 + bb8: 0756 c.slli x14,0x15 + bba: 1f2c c.addi4spn x11,x2,952 + bbc: 0000 c.unimp + bbe: 1fa8 c.addi4spn x10,x2,1016 + bc0: 0000 c.unimp + bc2: 5601 c.li x12,-32 + bc4: 001fbc07 fld f24,1(x31) + bc8: 4800 c.lw x8,16(x8) + bca: 0020 c.addi4spn x8,x2,8 + bcc: 0100 c.addi4spn x8,x2,128 + bce: 0756 c.slli x14,0x15 + bd0: 2080 c.fld f8,0(x9) + bd2: 0000 c.unimp + bd4: 20a8 c.fld f10,64(x9) + bd6: 0000 c.unimp + bd8: 6101 c.addi16sp x2,0 + bda: 0020bc07 fld f24,2(x1) + bde: 1000 c.addi4spn x8,x2,32 + be0: 0022 c.slli x0,0x8 + be2: 0100 c.addi4spn x8,x2,128 + be4: 0061 c.addi x0,24 + be6: 001e1007 0x1e1007 + bea: 7000 c.flw f8,32(x8) + bec: 001e c.slli x0,0x7 + bee: 0100 c.addi4spn x8,x2,128 + bf0: 075c c.addi4spn x15,x2,900 + bf2: 1ee4 c.addi4spn x9,x2,892 + bf4: 0000 c.unimp + bf6: 1ef8 c.addi4spn x14,x2,892 + bf8: 0000 c.unimp + bfa: 5c01 c.li x24,-32 + bfc: 0700 c.addi4spn x8,x2,896 + bfe: 1e24 c.addi4spn x9,x2,824 + c00: 0000 c.unimp + c02: 1e38 c.addi4spn x14,x2,824 + c04: 0000 c.unimp + c06: 5d01 c.li x26,-32 + c08: 0700 c.addi4spn x8,x2,896 + c0a: 1e58 c.addi4spn x14,x2,820 + c0c: 0000 c.unimp + c0e: 1ec4 c.addi4spn x9,x2,884 + c10: 0000 c.unimp + c12: 5d01 c.li x26,-32 + c14: 0700 c.addi4spn x8,x2,896 + c16: 1e64 c.addi4spn x9,x2,828 + c18: 0000 c.unimp + c1a: 1ec4 c.addi4spn x9,x2,884 + c1c: 0000 c.unimp + c1e: 5a01 c.li x20,-32 + c20: 0700 c.addi4spn x8,x2,896 + c22: 1e64 c.addi4spn x9,x2,828 + c24: 0000 c.unimp + c26: 1e68 c.addi4spn x10,x2,828 + c28: 0000 c.unimp + c2a: 7b0c c.flw f11,48(x14) + c2c: a800 c.fsd f8,16(x8) + c2e: 7d26 c.flwsp f26,104(x2) + c30: a800 c.fsd f8,16(x8) + c32: 1b26 c.slli x22,0x29 + c34: 00a8 c.addi4spn x10,x2,72 + c36: 009f 9007 001e 0x1e9007009f + c3c: 9400 0x9400 + c3e: 001e c.slli x0,0x7 + c40: 0c00 c.addi4spn x8,x2,528 + c42: 26a8007b 0x26a8007b + c46: 007d c.addi x0,31 + c48: 26a8 c.fld f10,72(x13) + c4a: 9f00a81b 0x9f00a81b + c4e: 001e9407 0x1e9407 + c52: a400 c.fsd f8,8(x8) + c54: 001e c.slli x0,0x7 + c56: 0100 c.addi4spn x8,x2,128 + c58: 005e c.slli x0,0x17 + c5a: 001e6407 0x1e6407 + c5e: 6800 c.flw f8,16(x8) + c60: 001e c.slli x0,0x7 + c62: 0600 c.addi4spn x8,x2,768 + c64: 007d007b 0x7d007b + c68: 9f1d 0x9f1d + c6a: 001e7807 0x1e7807 + c6e: a000 c.fsd f8,0(x8) + c70: 001e c.slli x0,0x7 + c72: 0100 c.addi4spn x8,x2,128 + c74: 9007005b 0x9007005b + c78: 001e c.slli x0,0x7 + c7a: a000 c.fsd f8,0(x8) + c7c: 001e c.slli x0,0x7 + c7e: 0600 c.addi4spn x8,x2,768 + c80: 007d007b 0x7d007b + c84: 9f1d 0x9f1d + c86: 001ea007 flw f0,1(x29) + c8a: a800 c.fsd f8,16(x8) + c8c: 001e c.slli x0,0x7 + c8e: 0100 c.addi4spn x8,x2,128 + c90: 1eac075b 0x1eac075b + c94: 0000 c.unimp + c96: 1ec4 c.addi4spn x9,x2,884 + c98: 0000 c.unimp + c9a: 5f01 c.li x30,-32 + c9c: 0700 c.addi4spn x8,x2,896 + c9e: 1e6c c.addi4spn x11,x2,828 + ca0: 0000 c.unimp + ca2: 1e94 c.addi4spn x13,x2,880 + ca4: 0000 c.unimp + ca6: 5e01 c.li x28,-32 + ca8: 001ea407 flw f8,1(x29) + cac: c400 c.sw x8,8(x8) + cae: 001e c.slli x0,0x7 + cb0: 0100 c.addi4spn x8,x2,128 + cb2: 005e c.slli x0,0x17 + cb4: 001f0007 0x1f0007 + cb8: 3400 c.fld f8,40(x8) + cba: 001f 0100 075c 0x75c0100001f + cc0: 1fa8 c.addi4spn x10,x2,1016 + cc2: 0000 c.unimp + cc4: 1ff0 c.addi4spn x12,x2,1020 + cc6: 0000 c.unimp + cc8: 5c01 c.li x24,-32 + cca: 0700 c.addi4spn x8,x2,896 + ccc: 1f14 c.addi4spn x13,x2,944 + cce: 0000 c.unimp + cd0: 1f28 c.addi4spn x10,x2,952 + cd2: 0000 c.unimp + cd4: 5d01 c.li x26,-32 + cd6: 0700 c.addi4spn x8,x2,896 + cd8: 1f38 c.addi4spn x14,x2,952 + cda: 0000 c.unimp + cdc: 1fa8 c.addi4spn x10,x2,1016 + cde: 0000 c.unimp + ce0: 5b01 c.li x22,-32 + ce2: 0700 c.addi4spn x8,x2,896 + ce4: 1f44 c.addi4spn x9,x2,948 + ce6: 0000 c.unimp + ce8: 1fa8 c.addi4spn x10,x2,1016 + cea: 0000 c.unimp + cec: 5a01 c.li x20,-32 + cee: 0700 c.addi4spn x8,x2,896 + cf0: 1f44 c.addi4spn x9,x2,948 + cf2: 0000 c.unimp + cf4: 1f50 c.addi4spn x12,x2,948 + cf6: 0000 c.unimp + cf8: 5c01 c.li x24,-32 + cfa: 0700 c.addi4spn x8,x2,896 + cfc: 1f70 c.addi4spn x12,x2,956 + cfe: 0000 c.unimp + d00: 1f74 c.addi4spn x13,x2,956 + d02: 0000 c.unimp + d04: 7e0c c.flw f11,56(x12) + d06: a800 c.fsd f8,16(x8) + d08: 7b26 c.flwsp f22,104(x2) + d0a: a800 c.fsd f8,16(x8) + d0c: 1b26 c.slli x22,0x29 + d0e: 00a8 c.addi4spn x10,x2,72 + d10: 079f 1f74 0000 0x1f74079f + d16: 1f84 c.addi4spn x9,x2,1008 + d18: 0000 c.unimp + d1a: 5d01 c.li x26,-32 + d1c: 0700 c.addi4spn x8,x2,896 + d1e: 1f44 c.addi4spn x9,x2,948 + d20: 0000 c.unimp + d22: 1f4c c.addi4spn x11,x2,948 + d24: 0000 c.unimp + d26: 7e06 c.flwsp f28,96(x2) + d28: 7b00 c.flw f8,48(x14) + d2a: 1d00 c.addi4spn x8,x2,688 + d2c: 079f 1f4c 0000 0x1f4c079f + d32: 1f54 c.addi4spn x13,x2,948 + d34: 0000 c.unimp + d36: 5e01 c.li x28,-32 + d38: 001f5807 0x1f5807 + d3c: 8000 0x8000 + d3e: 001f 0100 005e 0x5e0100001f + d44: 001f7007 0x1f7007 + d48: 8000 0x8000 + d4a: 001f 0600 007e 0x7e0600001f + d50: 9f1d007b 0x9f1d007b + d54: 001f8007 0x1f8007 + d58: 8800 0x8800 + d5a: 001f 0100 075e 0x75e0100001f + d60: 1f8c c.addi4spn x11,x2,1008 + d62: 0000 c.unimp + d64: 1fa8 c.addi4spn x10,x2,1016 + d66: 0000 c.unimp + d68: 5f01 c.li x30,-32 + d6a: 0700 c.addi4spn x8,x2,896 + d6c: 1f50 c.addi4spn x12,x2,948 + d6e: 0000 c.unimp + d70: 1f84 c.addi4spn x9,x2,1008 + d72: 0000 c.unimp + d74: 5c01 c.li x24,-32 + d76: 001f8407 0x1f8407 + d7a: a800 c.fsd f8,16(x8) + d7c: 001f 0100 005d 0x5d0100001f + d82: 001fd807 0x1fd807 + d86: 4800 c.lw x8,16(x8) + d88: 0020 c.addi4spn x8,x2,8 + d8a: 0100 c.addi4spn x8,x2,128 + d8c: 005a c.slli x0,0x16 + d8e: 001fe407 0x1fe407 + d92: 4800 c.lw x8,16(x8) + d94: 0020 c.addi4spn x8,x2,8 + d96: 0100 c.addi4spn x8,x2,128 + d98: 006c c.addi4spn x11,x2,12 + d9a: 001fe407 0x1fe407 + d9e: ec00 c.fsw f8,24(x8) + da0: 001f 0100 005b 0x5b0100001f + da6: 00201007 0x201007 + daa: 1400 c.addi4spn x8,x2,544 + dac: 0020 c.addi4spn x8,x2,8 + dae: 0c00 c.addi4spn x8,x2,528 + db0: 007d c.addi x0,31 + db2: 26a8 c.fld f10,72(x13) + db4: 007a c.slli x0,0x1e + db6: 26a8 c.fld f10,72(x13) + db8: 9f00a81b 0x9f00a81b + dbc: 00201407 0x201407 + dc0: 2400 c.fld f8,8(x8) + dc2: 0020 c.addi4spn x8,x2,8 + dc4: 0100 c.addi4spn x8,x2,128 + dc6: 005c c.addi4spn x15,x2,4 + dc8: 001fe407 0x1fe407 + dcc: e800 c.fsw f8,16(x8) + dce: 001f 0600 007d 0x7d0600001f + dd4: 007a c.slli x0,0x1e + dd6: 9f1d 0x9f1d + dd8: 001fe807 0x1fe807 + ddc: f400 c.fsw f8,40(x8) + dde: 001f 0100 075d 0x75d0100001f + de4: 1ff8 c.addi4spn x14,x2,1020 + de6: 0000 c.unimp + de8: 2020 c.fld f8,64(x8) + dea: 0000 c.unimp + dec: 5d01 c.li x26,-32 + dee: 0700 c.addi4spn x8,x2,896 + df0: 2010 c.fld f12,0(x8) + df2: 0000 c.unimp + df4: 2020 c.fld f8,64(x8) + df6: 0000 c.unimp + df8: 7d06 c.flwsp f26,96(x2) + dfa: 7a00 c.flw f8,48(x12) + dfc: 1d00 c.addi4spn x8,x2,688 + dfe: 079f 2020 0000 0x2020079f + e04: 2028 c.fld f10,64(x8) + e06: 0000 c.unimp + e08: 5d01 c.li x26,-32 + e0a: 00202c07 flw f24,2(x0) # 2 + e0e: 4800 c.lw x8,16(x8) + e10: 0020 c.addi4spn x8,x2,8 + e12: 0100 c.addi4spn x8,x2,128 + e14: 005e c.slli x0,0x17 + e16: 001fec07 0x1fec07 + e1a: 2400 c.fld f8,8(x8) + e1c: 0020 c.addi4spn x8,x2,8 + e1e: 0100 c.addi4spn x8,x2,128 + e20: 2024075b 0x2024075b + e24: 0000 c.unimp + e26: 2048 c.fld f10,128(x8) + e28: 0000 c.unimp + e2a: 5c01 c.li x24,-32 + e2c: 0700 c.addi4spn x8,x2,896 + e2e: 204c c.fld f11,128(x8) + e30: 0000 c.unimp + e32: 2094 c.fld f13,0(x9) + e34: 0000 c.unimp + e36: 5d01 c.li x26,-32 + e38: 0020a807 flw f16,2(x1) + e3c: c400 c.sw x8,8(x8) + e3e: 0020 c.addi4spn x8,x2,8 + e40: 0100 c.addi4spn x8,x2,128 + e42: 005d c.addi x0,23 + e44: 00206007 0x206007 + e48: 7c00 c.flw f8,56(x8) + e4a: 0020 c.addi4spn x8,x2,8 + e4c: 0100 c.addi4spn x8,x2,128 + e4e: 005e c.slli x0,0x17 + e50: 00209007 0x209007 + e54: a000 c.fsd f8,0(x8) + e56: 0020 c.addi4spn x8,x2,8 + e58: 0100 c.addi4spn x8,x2,128 + e5a: 005c c.addi4spn x15,x2,4 + e5c: 0021cc07 flq f24,2(x3) # 3862 <__global_pointer$+0x2> + e60: e000 c.fsw f8,0(x8) + e62: 0021 c.addi x0,8 + e64: 0100 c.addi4spn x8,x2,128 + e66: 075f 21e8 0000 0x21e8075f + e6c: 21ec c.fld f11,192(x11) + e6e: 0000 c.unimp + e70: 5c01 c.li x24,-32 + e72: 0021ec07 0x21ec07 + e76: f000 c.fsw f8,32(x8) + e78: 0021 c.addi x0,8 + e7a: 0100 c.addi4spn x8,x2,128 + e7c: 005f f407 0020 0x20f407005f + e82: 8000 0x8000 + e84: 0021 c.addi x0,8 + e86: 0100 c.addi4spn x8,x2,128 + e88: 076d c.addi x14,27 + e8a: 2180 c.fld f8,0(x11) + e8c: 0000 c.unimp + e8e: 2210 c.fld f12,0(x12) + e90: 0000 c.unimp + e92: 7d05 c.lui x26,0xfffe1 + e94: 4000 c.lw x8,0(x8) + e96: 9f25 0x9f25 + e98: 0700 c.addi4spn x8,x2,896 + e9a: 20f4 c.fld f13,192(x9) + e9c: 0000 c.unimp + e9e: 213c c.fld f15,64(x10) + ea0: 0000 c.unimp + ea2: 6c01 0x6c01 + ea4: 00213c07 fld f24,2(x2) # b0b02ee <__global_pointer$+0xb0aca8e> + ea8: 1000 c.addi4spn x8,x2,32 + eaa: 0022 c.slli x0,0x8 + eac: 0700 c.addi4spn x8,x2,896 + eae: 007d c.addi x0,31 + eb0: 2440 c.fld f8,136(x8) + eb2: 2540 c.fld f8,136(x10) + eb4: 009f f407 0020 0x20f407009f + eba: 1400 c.addi4spn x8,x2,544 + ebc: 0021 c.addi x0,8 + ebe: 0100 c.addi4spn x8,x2,128 + ec0: 2114076f jal x14,418d0 <__global_pointer$+0x3e070> + ec4: 0000 c.unimp + ec6: 2168 c.fld f10,192(x10) + ec8: 0000 c.unimp + eca: 5e01 c.li x28,-32 + ecc: 0700 c.addi4spn x8,x2,896 + ece: 212c c.fld f11,64(x10) + ed0: 0000 c.unimp + ed2: 2154 c.fld f13,128(x10) + ed4: 0000 c.unimp + ed6: 6e01 0x6e01 + ed8: 00215407 0x215407 + edc: 7c00 c.flw f8,56(x8) + ede: 0021 c.addi x0,8 + ee0: 0100 c.addi4spn x8,x2,128 + ee2: 005f f407 0020 0x20f407005f + ee8: f800 c.fsw f8,48(x8) + eea: 0020 c.addi4spn x8,x2,8 + eec: 0600 c.addi4spn x8,x2,768 + eee: 007e c.slli x0,0x1f + ef0: 008d c.addi x1,3 + ef2: 9f1d 0x9f1d + ef4: 0020f807 0x20f807 + ef8: 0000 c.unimp + efa: 0021 c.addi x0,8 + efc: 0100 c.addi4spn x8,x2,128 + efe: 075e c.slli x14,0x17 + f00: 2104 c.fld f9,0(x10) + f02: 0000 c.unimp + f04: 2110 c.fld f12,0(x10) + f06: 0000 c.unimp + f08: 5f01 c.li x30,-32 + f0a: 00211407 0x211407 + f0e: 3800 c.fld f8,48(x8) + f10: 0021 c.addi x0,8 + f12: 0100 c.addi4spn x8,x2,128 + f14: 005f 2807 0021 0x212807005f + f1a: 3800 c.fld f8,48(x8) + f1c: 0021 c.addi x0,8 + f1e: 0600 c.addi4spn x8,x2,768 + f20: 007f 0x7f + f22: 008d c.addi x1,3 + f24: 9f1d 0x9f1d + f26: 00213807 fld f16,2(x2) + f2a: 4000 c.lw x8,0(x8) + f2c: 0021 c.addi x0,8 + f2e: 0100 c.addi4spn x8,x2,128 + f30: 075f 2144 0000 0x2144075f + f36: 2150 c.fld f12,128(x10) + f38: 0000 c.unimp + f3a: 5b01 c.li x22,-32 + f3c: 00215407 0x215407 + f40: f800 c.fsw f8,48(x8) + f42: 0021 c.addi x0,8 + f44: 0100 c.addi4spn x8,x2,128 + f46: fc07005b 0xfc07005b + f4a: 0020 c.addi4spn x8,x2,8 + f4c: 2c00 c.fld f8,24(x8) + f4e: 0021 c.addi x0,8 + f50: 0100 c.addi4spn x8,x2,128 + f52: 076e c.slli x14,0x1b + f54: 212c c.fld f11,64(x10) + f56: 0000 c.unimp + f58: 213c c.fld f15,64(x10) + f5a: 0000 c.unimp + f5c: 8c06 c.mv x24,x1 + f5e: 8f00 0x8f00 + f60: 1e00 c.addi4spn x8,x2,816 + f62: 079f 213c 0000 0x213c079f + f68: 2178 c.fld f14,192(x10) + f6a: 0000 c.unimp + f6c: 6c01 0x6c01 + f6e: 00217807 0x217807 + f72: 8c00 0x8c00 + f74: 0021 c.addi x0,8 + f76: 0a00 c.addi4spn x8,x2,272 + f78: 007d c.addi x0,31 + f7a: 2440 c.fld f8,136(x8) + f7c: 2540 c.fld f8,136(x10) + f7e: 008e c.slli x1,0x3 + f80: 9f1e c.add x30,x7 + f82: 0700 c.addi4spn x8,x2,896 + f84: 218c c.fld f11,0(x11) + f86: 0000 c.unimp + f88: 21c8 c.fld f10,128(x11) + f8a: 0000 c.unimp + f8c: 6e01 0x6e01 + f8e: 0700 c.addi4spn x8,x2,896 + f90: 21a4 c.fld f9,64(x11) + f92: 0000 c.unimp + f94: 21c0 c.fld f8,128(x11) + f96: 0000 c.unimp + f98: 5f01 c.li x30,-32 + f9a: 0021c007 flq f0,2(x3) # 3862 <__global_pointer$+0x2> + f9e: c800 c.sw x8,16(x8) + fa0: 0021 c.addi x0,8 + fa2: 0800 c.addi4spn x8,x2,16 + fa4: 008e c.slli x1,0x3 + fa6: 2540 c.fld f8,136(x10) + fa8: 008d c.addi x1,3 + faa: 9f22 c.add x30,x8 + fac: 0700 c.addi4spn x8,x2,896 + fae: 2190 c.fld f12,0(x11) + fb0: 0000 c.unimp + fb2: 21b0 c.fld f12,64(x11) + fb4: 0000 c.unimp + fb6: 6c01 0x6c01 + fb8: 0700 c.addi4spn x8,x2,896 + fba: 2198 c.fld f14,0(x11) + fbc: 0000 c.unimp + fbe: 21b4 c.fld f13,64(x11) + fc0: 0000 c.unimp + fc2: 5e01 c.li x28,-32 + fc4: 0700 c.addi4spn x8,x2,896 + fc6: 217c c.fld f15,192(x10) + fc8: 0000 c.unimp + fca: 2194 c.fld f13,0(x11) + fcc: 0000 c.unimp + fce: 5f01 c.li x30,-32 + fd0: 0700 c.addi4spn x8,x2,896 + fd2: 2188 c.fld f10,0(x11) + fd4: 0000 c.unimp + fd6: 2190 c.fld f12,0(x11) + fd8: 0000 c.unimp + fda: 6c01 0x6c01 + fdc: 00219007 0x219007 + fe0: bc00 c.fsd f8,56(x8) + fe2: 0021 c.addi x0,8 + fe4: 0600 c.addi4spn x8,x2,768 + fe6: 007c7f8f 0x7c7f8f + fea: 9f1a c.add x30,x6 + fec: 0021bc07 fld f24,2(x3) # 3862 <__global_pointer$+0x2> + ff0: dc00 c.sw x8,56(x8) + ff2: 0021 c.addi x0,8 + ff4: 0600 c.addi4spn x8,x2,768 + ff6: 007c c.addi4spn x15,x2,12 + ff8: 008c c.addi4spn x11,x2,64 + ffa: 9f1a c.add x30,x6 + ffc: 0700 c.addi4spn x8,x2,896 + ffe: 2184 c.fld f9,0(x11) + 1000: 0000 c.unimp + 1002: 2198 c.fld f14,0(x11) + 1004: 0000 c.unimp + 1006: 5e01 c.li x28,-32 + 1008: 0700 c.addi4spn x8,x2,896 + 100a: 21dc c.fld f15,128(x11) + 100c: 0000 c.unimp + 100e: 21ec c.fld f11,192(x11) + 1010: 0000 c.unimp + 1012: 5c01 c.li x24,-32 + 1014: 0700 c.addi4spn x8,x2,896 + 1016: 21f0 c.fld f12,192(x11) + 1018: 0000 c.unimp + 101a: 2204 c.fld f9,0(x12) + 101c: 0000 c.unimp + 101e: 5f01 c.li x30,-32 + 1020: 9500 0x9500 + 1022: 000a c.slli x0,0x2 + 1024: 0500 c.addi4spn x8,x2,640 + 1026: 0400 c.addi4spn x8,x2,512 + 1028: 0000 c.unimp + 102a: 0000 c.unimp + 102c: 0700 c.addi4spn x8,x2,896 + 102e: 2210 c.fld f12,0(x12) + 1030: 0000 c.unimp + 1032: 2248 c.fld f10,128(x12) + 1034: 0000 c.unimp + 1036: 5a06 c.lwsp x20,96(x2) + 1038: 935b0493 addi x9,x22,-1739 + 103c: 0704 c.addi4spn x9,x2,896 + 103e: 2248 c.fld f10,128(x12) + 1040: 0000 c.unimp + 1042: 2308 c.fld f10,0(x14) + 1044: 0000 c.unimp + 1046: a306 c.fsdsp f1,384(x2) + 1048: 260aa503 lw x10,608(x21) + 104c: 079f 2308 0000 0x2308079f + 1052: 230c c.fld f11,0(x14) + 1054: 0000 c.unimp + 1056: 5a06 c.lwsp x20,96(x2) + 1058: 935b0493 addi x9,x22,-1739 + 105c: 0704 c.addi4spn x9,x2,896 + 105e: 230c c.fld f11,0(x14) + 1060: 0000 c.unimp + 1062: 231c c.fld f15,0(x14) + 1064: 0000 c.unimp + 1066: a306 c.fsdsp f1,384(x2) + 1068: 260aa503 lw x10,608(x21) + 106c: 079f 231c 0000 0x231c079f + 1072: 235c c.fld f15,128(x14) + 1074: 0000 c.unimp + 1076: 5a06 c.lwsp x20,96(x2) + 1078: 935b0493 addi x9,x22,-1739 + 107c: 0704 c.addi4spn x9,x2,896 + 107e: 235c c.fld f15,128(x14) + 1080: 0000 c.unimp + 1082: 23e8 c.fld f10,192(x15) + 1084: 0000 c.unimp + 1086: a306 c.fsdsp f1,384(x2) + 1088: 260aa503 lw x10,608(x21) + 108c: 079f 23e8 0000 0x23e8079f + 1092: 2408 c.fld f10,8(x8) + 1094: 0000 c.unimp + 1096: 5a06 c.lwsp x20,96(x2) + 1098: 935b0493 addi x9,x22,-1739 + 109c: 0704 c.addi4spn x9,x2,896 + 109e: 2408 c.fld f10,8(x8) + 10a0: 0000 c.unimp + 10a2: 24a8 c.fld f10,72(x9) + 10a4: 0000 c.unimp + 10a6: a306 c.fsdsp f1,384(x2) + 10a8: 260aa503 lw x10,608(x21) + 10ac: 079f 24a8 0000 0x24a8079f + 10b2: 24e8 c.fld f10,200(x9) + 10b4: 0000 c.unimp + 10b6: 5a06 c.lwsp x20,96(x2) + 10b8: 935b0493 addi x9,x22,-1739 + 10bc: 0704 c.addi4spn x9,x2,896 + 10be: 24e8 c.fld f10,200(x9) + 10c0: 0000 c.unimp + 10c2: 24f8 c.fld f14,200(x9) + 10c4: 0000 c.unimp + 10c6: a306 c.fsdsp f1,384(x2) + 10c8: 260aa503 lw x10,608(x21) + 10cc: 079f 24f8 0000 0x24f8079f + 10d2: 2548 c.fld f10,136(x10) + 10d4: 0000 c.unimp + 10d6: 5a06 c.lwsp x20,96(x2) + 10d8: 935b0493 addi x9,x22,-1739 + 10dc: 0704 c.addi4spn x9,x2,896 + 10de: 2548 c.fld f10,136(x10) + 10e0: 0000 c.unimp + 10e2: 2634 c.fld f13,72(x12) + 10e4: 0000 c.unimp + 10e6: a306 c.fsdsp f1,384(x2) + 10e8: 260aa503 lw x10,608(x21) + 10ec: 079f 2634 0000 0x2634079f + 10f2: 263c c.fld f15,72(x12) + 10f4: 0000 c.unimp + 10f6: 5a06 c.lwsp x20,96(x2) + 10f8: 935b0493 addi x9,x22,-1739 + 10fc: 0704 c.addi4spn x9,x2,896 + 10fe: 263c c.fld f15,72(x12) + 1100: 0000 c.unimp + 1102: 2640 c.fld f8,136(x12) + 1104: 0000 c.unimp + 1106: a306 c.fsdsp f1,384(x2) + 1108: 260aa503 lw x10,608(x21) + 110c: 009f 1007 0022 0x221007009f + 1112: 8400 0x8400 + 1114: 0022 c.slli x0,0x8 + 1116: 0600 c.addi4spn x8,x2,768 + 1118: 935c 0x935c + 111a: 5d04 c.lw x9,56(x10) + 111c: 84070493 addi x9,x14,-1984 + 1120: 0022 c.slli x0,0x8 + 1122: 0800 c.addi4spn x8,x2,16 + 1124: 06000023 sb x0,96(x0) # 60 + 1128: 0ca503a3 sb x10,199(x10) + 112c: 9f26 c.add x30,x9 + 112e: 00230807 0x230807 + 1132: 5c00 c.lw x8,56(x8) + 1134: 06000023 sb x0,96(x0) # 60 + 1138: 935c 0x935c + 113a: 5d04 c.lw x9,56(x10) + 113c: 5c070493 addi x9,x14,1472 + 1140: e8000023 sb x0,-384(x0) # fffffe80 <__global_pointer$+0xffffc620> + 1144: 06000023 sb x0,96(x0) # 60 + 1148: 0ca503a3 sb x10,199(x10) + 114c: 9f26 c.add x30,x9 + 114e: 0023e807 0x23e807 + 1152: 1800 c.addi4spn x8,x2,48 + 1154: 0024 c.addi4spn x9,x2,8 + 1156: 0600 c.addi4spn x8,x2,768 + 1158: 935c 0x935c + 115a: 5d04 c.lw x9,56(x10) + 115c: 18070493 addi x9,x14,384 + 1160: 0024 c.addi4spn x9,x2,8 + 1162: a800 c.fsd f8,16(x8) + 1164: 0024 c.addi4spn x9,x2,8 + 1166: 0600 c.addi4spn x8,x2,768 + 1168: 0ca503a3 sb x10,199(x10) + 116c: 9f26 c.add x30,x9 + 116e: 0024a807 flw f16,2(x9) + 1172: 3c00 c.fld f8,56(x8) + 1174: 0025 c.addi x0,9 + 1176: 0600 c.addi4spn x8,x2,768 + 1178: 935c 0x935c + 117a: 5d04 c.lw x9,56(x10) + 117c: 3c070493 addi x9,x14,960 + 1180: 0025 c.addi x0,9 + 1182: 3400 c.fld f8,40(x8) + 1184: 0026 c.slli x0,0x9 + 1186: 0600 c.addi4spn x8,x2,768 + 1188: 0ca503a3 sb x10,199(x10) + 118c: 9f26 c.add x30,x9 + 118e: 00263407 fld f8,2(x12) # b002 <__global_pointer$+0x77a2> + 1192: 4000 c.lw x8,0(x8) + 1194: 0026 c.slli x0,0x9 + 1196: 0600 c.addi4spn x8,x2,768 + 1198: 935c 0x935c + 119a: 5d04 c.lw x9,56(x10) + 119c: 07000493 addi x9,x0,112 + 11a0: 2210 c.fld f12,0(x12) + 11a2: 0000 c.unimp + 11a4: 2304 c.fld f9,0(x14) + 11a6: 0000 c.unimp + 11a8: 3002 c.fldsp f0,32(x2) + 11aa: 079f 2308 0000 0x2308079f + 11b0: 2640 c.fld f8,136(x12) + 11b2: 0000 c.unimp + 11b4: 3002 c.fldsp f0,32(x2) + 11b6: 009f 1007 0022 0x221007009f + 11bc: 3800 c.fld f8,48(x8) + 11be: 0022 c.slli x0,0x8 + 11c0: 0600 c.addi4spn x8,x2,768 + 11c2: 935c 0x935c + 11c4: 5d04 c.lw x9,56(x10) + 11c6: 1c070493 addi x9,x14,448 + 11ca: 30000023 sb x0,768(x0) # 300 <_gets+0x3c> + 11ce: 06000023 sb x0,96(x0) # 60 + 11d2: 935c 0x935c + 11d4: 5d04 c.lw x9,56(x10) + 11d6: e8070493 addi x9,x14,-384 + 11da: f0000023 sb x0,-256(x0) # ffffff00 <__global_pointer$+0xffffc6a0> + 11de: 06000023 sb x0,96(x0) # 60 + 11e2: 935c 0x935c + 11e4: 5d04 c.lw x9,56(x10) + 11e6: a8070493 addi x9,x14,-1408 + 11ea: 0024 c.addi4spn x9,x2,8 + 11ec: 1400 c.addi4spn x8,x2,544 + 11ee: 0025 c.addi x0,9 + 11f0: 0600 c.addi4spn x8,x2,768 + 11f2: 935c 0x935c + 11f4: 5d04 c.lw x9,56(x10) + 11f6: 34070493 addi x9,x14,832 + 11fa: 0026 c.slli x0,0x9 + 11fc: 4000 c.lw x8,0(x8) + 11fe: 0026 c.slli x0,0x9 + 1200: 0600 c.addi4spn x8,x2,768 + 1202: 935c 0x935c + 1204: 5d04 c.lw x9,56(x10) + 1206: 07000493 addi x9,x0,112 + 120a: 2210 c.fld f12,0(x12) + 120c: 0000 c.unimp + 120e: 222c c.fld f11,64(x12) + 1210: 0000 c.unimp + 1212: 5a06 c.lwsp x20,96(x2) + 1214: 935b0493 addi x9,x22,-1739 + 1218: 0704 c.addi4spn x9,x2,896 + 121a: 222c c.fld f11,64(x12) + 121c: 0000 c.unimp + 121e: 2248 c.fld f10,128(x12) + 1220: 0000 c.unimp + 1222: 5a06 c.lwsp x20,96(x2) + 1224: 935f0493 addi x9,x30,-1739 + 1228: 0704 c.addi4spn x9,x2,896 + 122a: 2248 c.fld f10,128(x12) + 122c: 0000 c.unimp + 122e: 2268 c.fld f10,192(x12) + 1230: 0000 c.unimp + 1232: 5606 c.lwsp x12,96(x2) + 1234: 935f0493 addi x9,x30,-1739 + 1238: 0704 c.addi4spn x9,x2,896 + 123a: 2268 c.fld f10,192(x12) + 123c: 0000 c.unimp + 123e: 2280 c.fld f8,0(x13) + 1240: 0000 c.unimp + 1242: 5606 c.lwsp x12,96(x2) + 1244: 93610493 addi x9,x2,-1738 + 1248: 0704 c.addi4spn x9,x2,896 + 124a: 2308 c.fld f10,0(x14) + 124c: 0000 c.unimp + 124e: 2328 c.fld f10,64(x14) + 1250: 0000 c.unimp + 1252: 5606 c.lwsp x12,96(x2) + 1254: 935f0493 addi x9,x30,-1739 + 1258: 0704 c.addi4spn x9,x2,896 + 125a: 2328 c.fld f10,64(x14) + 125c: 0000 c.unimp + 125e: 235c c.fld f15,128(x14) + 1260: 0000 c.unimp + 1262: 5606 c.lwsp x12,96(x2) + 1264: 93610493 addi x9,x2,-1738 + 1268: 0704 c.addi4spn x9,x2,896 + 126a: 23e8 c.fld f10,192(x15) + 126c: 0000 c.unimp + 126e: 2414 c.fld f13,8(x8) + 1270: 0000 c.unimp + 1272: 5606 c.lwsp x12,96(x2) + 1274: 93610493 addi x9,x2,-1738 + 1278: 0704 c.addi4spn x9,x2,896 + 127a: 24a8 c.fld f10,72(x9) + 127c: 0000 c.unimp + 127e: 24c8 c.fld f10,136(x9) + 1280: 0000 c.unimp + 1282: 5606 c.lwsp x12,96(x2) + 1284: 935b0493 addi x9,x22,-1739 + 1288: 0704 c.addi4spn x9,x2,896 + 128a: 24c8 c.fld f10,136(x9) + 128c: 0000 c.unimp + 128e: 24f8 c.fld f14,200(x9) + 1290: 0000 c.unimp + 1292: 5606 c.lwsp x12,96(x2) + 1294: 93610493 addi x9,x2,-1738 + 1298: 0704 c.addi4spn x9,x2,896 + 129a: 24f8 c.fld f14,200(x9) + 129c: 0000 c.unimp + 129e: 250c c.fld f11,8(x10) + 12a0: 0000 c.unimp + 12a2: 5606 c.lwsp x12,96(x2) + 12a4: 935b0493 addi x9,x22,-1739 + 12a8: 0704 c.addi4spn x9,x2,896 + 12aa: 250c c.fld f11,8(x10) + 12ac: 0000 c.unimp + 12ae: 2520 c.fld f8,72(x10) + 12b0: 0000 c.unimp + 12b2: 5606 c.lwsp x12,96(x2) + 12b4: 93610493 addi x9,x2,-1738 + 12b8: 0704 c.addi4spn x9,x2,896 + 12ba: 2634 c.fld f13,72(x12) + 12bc: 0000 c.unimp + 12be: 2638 c.fld f14,72(x12) + 12c0: 0000 c.unimp + 12c2: 5606 c.lwsp x12,96(x2) + 12c4: 935b0493 addi x9,x22,-1739 + 12c8: 0704 c.addi4spn x9,x2,896 + 12ca: 2638 c.fld f14,72(x12) + 12cc: 0000 c.unimp + 12ce: 2640 c.fld f8,136(x12) + 12d0: 0000 c.unimp + 12d2: 5606 c.lwsp x12,96(x2) + 12d4: 935f0493 addi x9,x30,-1739 + 12d8: 0004 0x4 + 12da: 00221c07 0x221c07 + 12de: 6400 c.flw f8,8(x8) + 12e0: 0022 c.slli x0,0x8 + 12e2: 0100 c.addi4spn x8,x2,128 + 12e4: 075c c.addi4spn x15,x2,900 + 12e6: 2264 c.fld f9,192(x12) + 12e8: 0000 c.unimp + 12ea: 2270 c.fld f12,192(x12) + 12ec: 0000 c.unimp + 12ee: 7c09 c.lui x24,0xfffe2 + 12f0: 7b00 c.flw f8,48(x14) + 12f2: 0800 c.addi4spn x8,x2,16 + 12f4: 1aff 0x1aff + 12f6: 9f24 0x9f24 + 12f8: 00227007 0x227007 + 12fc: 0000 c.unimp + 12fe: 01000023 sb x16,0(x0) # 0 + 1302: 075e c.slli x14,0x17 + 1304: 2308 c.fld f10,0(x14) + 1306: 0000 c.unimp + 1308: 235c c.fld f15,128(x14) + 130a: 0000 c.unimp + 130c: 5c01 c.li x24,-32 + 130e: 00235c07 0x235c07 + 1312: e800 c.fsw f8,16(x8) + 1314: 01000023 sb x16,0(x0) # 0 + 1318: 075e c.slli x14,0x17 + 131a: 23e8 c.fld f10,192(x15) + 131c: 0000 c.unimp + 131e: 23fc c.fld f15,192(x15) + 1320: 0000 c.unimp + 1322: 5c01 c.li x24,-32 + 1324: 0023fc07 0x23fc07 + 1328: 0000 c.unimp + 132a: 0024 c.addi4spn x9,x2,8 + 132c: 0900 c.addi4spn x8,x2,144 + 132e: 007c c.addi4spn x15,x2,12 + 1330: ff08007b 0xff08007b + 1334: 241a c.fldsp f8,384(x2) + 1336: 079f 2400 0000 0x2400079f + 133c: 24a8 c.fld f10,72(x9) + 133e: 0000 c.unimp + 1340: 5e01 c.li x28,-32 + 1342: 0024a807 flw f16,2(x9) + 1346: d400 c.sw x8,40(x8) + 1348: 0025 c.addi x0,9 + 134a: 0100 c.addi4spn x8,x2,128 + 134c: 075c c.addi4spn x15,x2,900 + 134e: 2634 c.fld f13,72(x12) + 1350: 0000 c.unimp + 1352: 2640 c.fld f8,136(x12) + 1354: 0000 c.unimp + 1356: 5c01 c.li x24,-32 + 1358: 0700 c.addi4spn x8,x2,896 + 135a: 221c c.fld f15,0(x12) + 135c: 0000 c.unimp + 135e: 2238 c.fld f14,64(x12) + 1360: 0000 c.unimp + 1362: 5d01 c.li x26,-32 + 1364: 00231c07 0x231c07 + 1368: 3000 c.fld f8,32(x8) + 136a: 01000023 sb x16,0(x0) # 0 + 136e: 075d c.addi x14,23 + 1370: 23e8 c.fld f10,192(x15) + 1372: 0000 c.unimp + 1374: 23f0 c.fld f12,192(x15) + 1376: 0000 c.unimp + 1378: 5d01 c.li x26,-32 + 137a: 0024a807 flw f16,2(x9) + 137e: 1400 c.addi4spn x8,x2,544 + 1380: 0025 c.addi x0,9 + 1382: 0100 c.addi4spn x8,x2,128 + 1384: 075d c.addi x14,23 + 1386: 2518 c.fld f14,8(x10) + 1388: 0000 c.unimp + 138a: 25c0 c.fld f8,136(x11) + 138c: 0000 c.unimp + 138e: 5d01 c.li x26,-32 + 1390: 00263407 fld f8,2(x12) + 1394: 4000 c.lw x8,0(x8) + 1396: 0026 c.slli x0,0x9 + 1398: 0100 c.addi4spn x8,x2,128 + 139a: 005d c.addi x0,23 + 139c: 00222007 flw f0,2(x4) # 1a002 <__global_pointer$+0x167a2> + 13a0: 4800 c.lw x8,16(x8) + 13a2: 0022 c.slli x0,0x8 + 13a4: 0100 c.addi4spn x8,x2,128 + 13a6: 075a c.slli x14,0x16 + 13a8: 2248 c.fld f10,128(x12) + 13aa: 0000 c.unimp + 13ac: 2278 c.fld f14,192(x12) + 13ae: 0000 c.unimp + 13b0: 5601 c.li x12,-32 + 13b2: 00227807 0x227807 + 13b6: c800 c.sw x8,16(x8) + 13b8: 0022 c.slli x0,0x8 + 13ba: 0100 c.addi4spn x8,x2,128 + 13bc: 0760 c.addi4spn x8,x2,908 + 13be: 2308 c.fld f10,0(x14) + 13c0: 0000 c.unimp + 13c2: 235c c.fld f15,128(x14) + 13c4: 0000 c.unimp + 13c6: 5601 c.li x12,-32 + 13c8: 00235c07 0x235c07 + 13cc: ac00 c.fsd f8,24(x8) + 13ce: 01000023 sb x16,0(x0) # 0 + 13d2: 0760 c.addi4spn x8,x2,908 + 13d4: 23e8 c.fld f10,192(x15) + 13d6: 0000 c.unimp + 13d8: 2414 c.fld f13,8(x8) + 13da: 0000 c.unimp + 13dc: 5601 c.li x12,-32 + 13de: 00242407 flw f8,2(x8) # fffeb002 <__global_pointer$+0xfffe77a2> + 13e2: a800 c.fsd f8,16(x8) + 13e4: 0024 c.addi4spn x9,x2,8 + 13e6: 0100 c.addi4spn x8,x2,128 + 13e8: 0760 c.addi4spn x8,x2,908 + 13ea: 24a8 c.fld f10,72(x9) + 13ec: 0000 c.unimp + 13ee: 253c c.fld f15,72(x10) + 13f0: 0000 c.unimp + 13f2: 5601 c.li x12,-32 + 13f4: 00253c07 fld f24,2(x10) + 13f8: 2000 c.fld f8,0(x8) + 13fa: 0026 c.slli x0,0x9 + 13fc: 0600 c.addi4spn x8,x2,768 + 13fe: 0076 c.slli x0,0x1d + 1400: 9f24007b 0x9f24007b + 1404: 00263407 fld f8,2(x12) + 1408: 4000 c.lw x8,0(x8) + 140a: 0026 c.slli x0,0x9 + 140c: 0100 c.addi4spn x8,x2,128 + 140e: 0056 c.slli x0,0x15 + 1410: 00222407 flw f8,2(x4) # 2 + 1414: 2c00 c.fld f8,24(x8) + 1416: 0022 c.slli x0,0x8 + 1418: 0100 c.addi4spn x8,x2,128 + 141a: 222c075b 0x222c075b + 141e: 0000 c.unimp + 1420: 2268 c.fld f10,192(x12) + 1422: 0000 c.unimp + 1424: 5f01 c.li x30,-32 + 1426: 00226807 0x226807 + 142a: 7400 c.flw f8,40(x8) + 142c: 0022 c.slli x0,0x8 + 142e: 0100 c.addi4spn x8,x2,128 + 1430: 0761 c.addi x14,24 + 1432: 2274 c.fld f13,192(x12) + 1434: 0000 c.unimp + 1436: 228c c.fld f11,0(x13) + 1438: 0000 c.unimp + 143a: 5f01 c.li x30,-32 + 143c: 00230807 0x230807 + 1440: 2800 c.fld f8,16(x8) + 1442: 01000023 sb x16,0(x0) # 0 + 1446: 075f 2328 0000 0x2328075f + 144c: 2358 c.fld f14,128(x14) + 144e: 0000 c.unimp + 1450: 6101 c.addi16sp x2,0 + 1452: 00235807 0x235807 + 1456: 7400 c.flw f8,40(x8) + 1458: 01000023 sb x16,0(x0) # 0 + 145c: 075f 23e8 0000 0x23e8075f + 1462: 241c c.fld f15,8(x8) + 1464: 0000 c.unimp + 1466: 6101 c.addi16sp x2,0 + 1468: 00242407 flw f8,2(x8) + 146c: 6400 c.flw f8,8(x8) + 146e: 0024 c.addi4spn x9,x2,8 + 1470: 0100 c.addi4spn x8,x2,128 + 1472: 075f 24a4 0000 0x24a4075f + 1478: 24a8 c.fld f10,72(x9) + 147a: 0000 c.unimp + 147c: 5f01 c.li x30,-32 + 147e: 0024a807 flw f16,2(x9) + 1482: c800 c.sw x8,16(x8) + 1484: 0024 c.addi4spn x9,x2,8 + 1486: 0100 c.addi4spn x8,x2,128 + 1488: 24c8075b 0x24c8075b + 148c: 0000 c.unimp + 148e: 24f8 c.fld f14,200(x9) + 1490: 0000 c.unimp + 1492: 6101 c.addi16sp x2,0 + 1494: 0024f807 0x24f807 + 1498: 0c00 c.addi4spn x8,x2,528 + 149a: 0025 c.addi x0,9 + 149c: 0100 c.addi4spn x8,x2,128 + 149e: 250c075b 0x250c075b + 14a2: 0000 c.unimp + 14a4: 2520 c.fld f8,72(x10) + 14a6: 0000 c.unimp + 14a8: 6101 c.addi16sp x2,0 + 14aa: 00253c07 fld f24,2(x10) + 14ae: 7c00 c.flw f8,56(x8) + 14b0: 0025 c.addi x0,9 + 14b2: 0100 c.addi4spn x8,x2,128 + 14b4: 075f 25c8 0000 0x25c8075f + 14ba: 260c c.fld f11,8(x12) + 14bc: 0000 c.unimp + 14be: 5f01 c.li x30,-32 + 14c0: 00263407 fld f8,2(x12) + 14c4: 3800 c.fld f8,48(x8) + 14c6: 0026 c.slli x0,0x9 + 14c8: 0100 c.addi4spn x8,x2,128 + 14ca: 2638075b 0x2638075b + 14ce: 0000 c.unimp + 14d0: 2640 c.fld f8,136(x12) + 14d2: 0000 c.unimp + 14d4: 5f01 c.li x30,-32 + 14d6: 0700 c.addi4spn x8,x2,896 + 14d8: 2404 c.fld f9,8(x8) + 14da: 0000 c.unimp + 14dc: 2428 c.fld f10,72(x8) + 14de: 0000 c.unimp + 14e0: 5d01 c.li x26,-32 + 14e2: 00253c07 fld f24,2(x10) + 14e6: 4000 c.lw x8,0(x8) + 14e8: 0025 c.addi x0,9 + 14ea: 0100 c.addi4spn x8,x2,128 + 14ec: 005e c.slli x0,0x17 + 14ee: 00230007 0x230007 + 14f2: 0400 c.addi4spn x8,x2,512 + 14f4: 01000023 sb x16,0(x0) # 0 + 14f8: 075a c.slli x14,0x16 + 14fa: 23e4 c.fld f9,192(x15) + 14fc: 0000 c.unimp + 14fe: 23e8 c.fld f10,192(x15) + 1500: 0000 c.unimp + 1502: 5a01 c.li x20,-32 + 1504: 0025c807 flq f16,2(x11) + 1508: 3400 c.fld f8,40(x8) + 150a: 0026 c.slli x0,0x9 + 150c: 0100 c.addi4spn x8,x2,128 + 150e: 005a c.slli x0,0x16 + 1510: 00235807 0x235807 + 1514: 5c00 c.lw x8,56(x8) + 1516: 02000023 sb x0,32(x0) # 20 + 151a: 9f31 0x9f31 + 151c: 00235c07 0x235c07 + 1520: e800 c.fsw f8,16(x8) + 1522: 01000023 sb x16,0(x0) # 0 + 1526: 24a4075b 0x24a4075b + 152a: 0000 c.unimp + 152c: 24a8 c.fld f10,72(x9) + 152e: 0000 c.unimp + 1530: 5b01 c.li x22,-32 + 1532: 0700 c.addi4spn x8,x2,896 + 1534: 23fc c.fld f15,192(x15) + 1536: 0000 c.unimp + 1538: 240c c.fld f11,8(x8) + 153a: 0000 c.unimp + 153c: 5f01 c.li x30,-32 + 153e: 00250c07 0x250c07 + 1542: 2400 c.fld f8,8(x8) + 1544: 0025 c.addi x0,9 + 1546: 0100 c.addi4spn x8,x2,128 + 1548: 005f 6007 0022 0x226007005f + 154e: 7c00 c.flw f8,56(x8) + 1550: 0022 c.slli x0,0x8 + 1552: 0100 c.addi4spn x8,x2,128 + 1554: 2350075b 0x2350075b + 1558: 0000 c.unimp + 155a: 235c c.fld f15,128(x14) + 155c: 0000 c.unimp + 155e: 5b01 c.li x22,-32 + 1560: 0023fc07 0x23fc07 + 1564: 3000 c.fld f8,32(x8) + 1566: 0024 c.addi4spn x9,x2,8 + 1568: 0100 c.addi4spn x8,x2,128 + 156a: 24e0075b 0x24e0075b + 156e: 0000 c.unimp + 1570: 24f8 c.fld f14,200(x9) + 1572: 0000 c.unimp + 1574: 5b01 c.li x22,-32 + 1576: 00250c07 0x250c07 + 157a: 2800 c.fld f8,16(x8) + 157c: 0026 c.slli x0,0x9 + 157e: 0100 c.addi4spn x8,x2,128 + 1580: 3407005b 0x3407005b + 1584: 0022 c.slli x0,0x8 + 1586: 6400 c.flw f8,8(x8) + 1588: 0022 c.slli x0,0x8 + 158a: 0100 c.addi4spn x8,x2,128 + 158c: 075c c.addi4spn x15,x2,900 + 158e: 2264 c.fld f9,192(x12) + 1590: 0000 c.unimp + 1592: 2270 c.fld f12,192(x12) + 1594: 0000 c.unimp + 1596: 7c09 c.lui x24,0xfffe2 + 1598: 7b00 c.flw f8,48(x14) + 159a: 0800 c.addi4spn x8,x2,16 + 159c: 1aff 0x1aff + 159e: 9f24 0x9f24 + 15a0: 00227007 0x227007 + 15a4: 0000 c.unimp + 15a6: 01000023 sb x16,0(x0) # 0 + 15aa: 075e c.slli x14,0x17 + 15ac: 2308 c.fld f10,0(x14) + 15ae: 0000 c.unimp + 15b0: 231c c.fld f15,0(x14) + 15b2: 0000 c.unimp + 15b4: 5c01 c.li x24,-32 + 15b6: 0700 c.addi4spn x8,x2,896 + 15b8: 2248 c.fld f10,128(x12) + 15ba: 0000 c.unimp + 15bc: 225c c.fld f15,128(x12) + 15be: 0000 c.unimp + 15c0: 5d01 c.li x26,-32 + 15c2: 0700 c.addi4spn x8,x2,896 + 15c4: 227c c.fld f15,192(x12) + 15c6: 0000 c.unimp + 15c8: 2300 c.fld f8,0(x14) + 15ca: 0000 c.unimp + 15cc: 5b01 c.li x22,-32 + 15ce: 0700 c.addi4spn x8,x2,896 + 15d0: 2288 c.fld f10,0(x13) + 15d2: 0000 c.unimp + 15d4: 2300 c.fld f8,0(x14) + 15d6: 0000 c.unimp + 15d8: 5c01 c.li x24,-32 + 15da: 0700 c.addi4spn x8,x2,896 + 15dc: 2288 c.fld f10,0(x13) + 15de: 0000 c.unimp + 15e0: 22ac c.fld f11,64(x13) + 15e2: 0000 c.unimp + 15e4: 5601 c.li x12,-32 + 15e6: 0022ac07 flw f24,2(x5) + 15ea: fc00 c.fsw f8,56(x8) + 15ec: 0022 c.slli x0,0x8 + 15ee: 0100 c.addi4spn x8,x2,128 + 15f0: 005a c.slli x0,0x16 + 15f2: 0022c407 flq f8,2(x5) + 15f6: ec00 c.fsw f8,24(x8) + 15f8: 0022 c.slli x0,0x8 + 15fa: 0100 c.addi4spn x8,x2,128 + 15fc: 0761 c.addi x14,24 + 15fe: 22ec c.fld f11,192(x13) + 1600: 0000 c.unimp + 1602: 2300 c.fld f8,0(x14) + 1604: 0000 c.unimp + 1606: 5f01 c.li x30,-32 + 1608: 0700 c.addi4spn x8,x2,896 + 160a: 2288 c.fld f10,0(x13) + 160c: 0000 c.unimp + 160e: 228c c.fld f11,0(x13) + 1610: 0000 c.unimp + 1612: 7f06 c.flwsp f30,96(x2) + 1614: 7b00 c.flw f8,48(x14) + 1616: 1d00 c.addi4spn x8,x2,688 + 1618: 079f 228c 0000 0x228c079f + 161e: 229c c.fld f15,0(x13) + 1620: 0000 c.unimp + 1622: 5f01 c.li x30,-32 + 1624: 0022a007 flw f0,2(x5) + 1628: a800 c.fsd f8,16(x8) + 162a: 0022 c.slli x0,0x8 + 162c: 0100 c.addi4spn x8,x2,128 + 162e: 075f 22a8 0000 0x22a8075f + 1634: 22ac c.fld f11,64(x13) + 1636: 0000 c.unimp + 1638: 8008 0x8008 + 163a: 4000 c.lw x8,0(x8) + 163c: 7d25 c.lui x26,0xfffe9 + 163e: 2100 c.fld f8,0(x10) + 1640: 079f 22ac 0000 0x22ac079f + 1646: 22d0 c.fld f12,128(x13) + 1648: 0000 c.unimp + 164a: 5f01 c.li x30,-32 + 164c: 0700 c.addi4spn x8,x2,896 + 164e: 22c0 c.fld f8,128(x13) + 1650: 0000 c.unimp + 1652: 22d0 c.fld f12,128(x13) + 1654: 0000 c.unimp + 1656: 7f06 c.flwsp f30,96(x2) + 1658: 7b00 c.flw f8,48(x14) + 165a: 1d00 c.addi4spn x8,x2,688 + 165c: 079f 22d0 0000 0x22d0079f + 1662: 22d8 c.fld f14,128(x13) + 1664: 0000 c.unimp + 1666: 5f01 c.li x30,-32 + 1668: 0022dc07 0x22dc07 + 166c: e800 c.fsw f8,16(x8) + 166e: 0022 c.slli x0,0x8 + 1670: 0100 c.addi4spn x8,x2,128 + 1672: 0060 c.addi4spn x8,x2,12 + 1674: 00229407 0x229407 + 1678: c400 c.sw x8,8(x8) + 167a: 0022 c.slli x0,0x8 + 167c: 0100 c.addi4spn x8,x2,128 + 167e: 0761 c.addi x14,24 + 1680: 22c4 c.fld f9,128(x13) + 1682: 0000 c.unimp + 1684: 22d4 c.fld f13,128(x13) + 1686: 0000 c.unimp + 1688: 7c06 c.flwsp f24,96(x2) + 168a: 7600 c.flw f8,40(x12) + 168c: 1e00 c.addi4spn x8,x2,816 + 168e: 079f 22d4 0000 0x22d4079f + 1694: 2300 c.fld f8,0(x14) + 1696: 0000 c.unimp + 1698: 5d01 c.li x26,-32 + 169a: 0700 c.addi4spn x8,x2,896 + 169c: 2600 c.fld f8,8(x12) + 169e: 0000 c.unimp + 16a0: 2630 c.fld f12,72(x12) + 16a2: 0000 c.unimp + 16a4: 5d01 c.li x26,-32 + 16a6: 0700 c.addi4spn x8,x2,896 + 16a8: 2600 c.fld f8,8(x12) + 16aa: 0000 c.unimp + 16ac: 2614 c.fld f13,8(x12) + 16ae: 0000 c.unimp + 16b0: 8110 0x8110 + 16b2: 0a00 c.addi4spn x8,x2,272 + 16b4: ffff 0xffff + 16b6: 7e1a c.flwsp f28,164(x2) + 16b8: 0a00 c.addi4spn x8,x2,272 + 16ba: ffff 0xffff + 16bc: 401a 0x401a + 16be: 2224 c.fld f9,64(x12) + 16c0: 079f 2614 0000 0x2614079f + 16c6: 261c c.fld f15,8(x12) + 16c8: 0000 c.unimp + 16ca: 8115 c.srli x10,0x5 + 16cc: 0a00 c.addi4spn x8,x2,272 + 16ce: ffff 0xffff + 16d0: 811a c.mv x2,x6 + 16d2: 4000 c.lw x8,0(x8) + 16d4: 7c25 c.lui x24,0xfffe9 + 16d6: 2200 c.fld f8,0(x12) + 16d8: ff0a c.fswsp f2,188(x2) + 16da: 1aff 0x1aff + 16dc: 2440 c.fld f8,136(x8) + 16de: 9f22 c.add x30,x8 + 16e0: 0700 c.addi4spn x8,x2,896 + 16e2: 253c c.fld f15,72(x10) + 16e4: 0000 c.unimp + 16e6: 25d8 c.fld f14,136(x11) + 16e8: 0000 c.unimp + 16ea: 6101 c.addi16sp x2,0 + 16ec: 0700 c.addi4spn x8,x2,896 + 16ee: 253c c.fld f15,72(x10) + 16f0: 0000 c.unimp + 16f2: 2588 c.fld f10,8(x11) + 16f4: 0000 c.unimp + 16f6: 6001 0x6001 + 16f8: 00258807 0x258807 + 16fc: c000 c.sw x8,0(x8) + 16fe: 0025 c.addi x0,9 + 1700: 0700 c.addi4spn x8,x2,896 + 1702: 007d c.addi x0,31 + 1704: 2440 c.fld f8,136(x8) + 1706: 2540 c.fld f8,136(x10) + 1708: 009f 3c07 0025 0x253c07009f + 170e: 6000 c.flw f8,0(x8) + 1710: 0025 c.addi x0,9 + 1712: 0100 c.addi4spn x8,x2,128 + 1714: 076d c.addi x14,27 + 1716: 2560 c.fld f8,200(x10) + 1718: 0000 c.unimp + 171a: 25b4 c.fld f13,72(x11) + 171c: 0000 c.unimp + 171e: 5a01 c.li x20,-32 + 1720: 0700 c.addi4spn x8,x2,896 + 1722: 2578 c.fld f14,200(x10) + 1724: 0000 c.unimp + 1726: 25a0 c.fld f8,72(x11) + 1728: 0000 c.unimp + 172a: 6c01 0x6c01 + 172c: 0025a007 flw f0,2(x11) + 1730: c400 c.sw x8,8(x8) + 1732: 0025 c.addi x0,9 + 1734: 0100 c.addi4spn x8,x2,128 + 1736: 005e c.slli x0,0x17 + 1738: 00253c07 fld f24,2(x10) + 173c: 4000 c.lw x8,0(x8) + 173e: 0025 c.addi x0,9 + 1740: 0600 c.addi4spn x8,x2,768 + 1742: 007e c.slli x0,0x1f + 1744: 0081 c.addi x1,0 + 1746: 9f1d 0x9f1d + 1748: 00254007 flq f0,2(x10) + 174c: 4c00 c.lw x8,24(x8) + 174e: 0025 c.addi x0,9 + 1750: 0100 c.addi4spn x8,x2,128 + 1752: 075e c.slli x14,0x17 + 1754: 2550 c.fld f12,136(x10) + 1756: 0000 c.unimp + 1758: 255c c.fld f15,136(x10) + 175a: 0000 c.unimp + 175c: 5e01 c.li x28,-32 + 175e: 00256007 0x256007 + 1762: 8400 0x8400 + 1764: 0025 c.addi x0,9 + 1766: 0100 c.addi4spn x8,x2,128 + 1768: 005e c.slli x0,0x17 + 176a: 00257407 0x257407 + 176e: 8400 0x8400 + 1770: 0025 c.addi x0,9 + 1772: 0600 c.addi4spn x8,x2,768 + 1774: 007e c.slli x0,0x1f + 1776: 0081 c.addi x1,0 + 1778: 9f1d 0x9f1d + 177a: 00258407 0x258407 + 177e: 8c00 0x8c00 + 1780: 0025 c.addi x0,9 + 1782: 0100 c.addi4spn x8,x2,128 + 1784: 075e c.slli x14,0x17 + 1786: 2590 c.fld f12,8(x11) + 1788: 0000 c.unimp + 178a: 259c c.fld f15,8(x11) + 178c: 0000 c.unimp + 178e: 5f01 c.li x30,-32 + 1790: 0025a007 flw f0,2(x11) + 1794: 0c00 c.addi4spn x8,x2,528 + 1796: 0026 c.slli x0,0x9 + 1798: 0100 c.addi4spn x8,x2,128 + 179a: 005f 4407 0025 0x254407005f + 17a0: 7800 c.flw f8,48(x8) + 17a2: 0025 c.addi x0,9 + 17a4: 0100 c.addi4spn x8,x2,128 + 17a6: 076c c.addi4spn x11,x2,908 + 17a8: 2578 c.fld f14,200(x10) + 17aa: 0000 c.unimp + 17ac: 2588 c.fld f10,8(x11) + 17ae: 0000 c.unimp + 17b0: 8006 c.mv x0,x1 + 17b2: 8d00 0x8d00 + 17b4: 1e00 c.addi4spn x8,x2,816 + 17b6: 079f 2588 0000 0x2588079f + 17bc: 25d0 c.fld f12,136(x11) + 17be: 0000 c.unimp + 17c0: 6001 0x6001 + 17c2: 0700 c.addi4spn x8,x2,896 + 17c4: 25d8 c.fld f14,136(x11) + 17c6: 0000 c.unimp + 17c8: 261c c.fld f15,8(x12) + 17ca: 0000 c.unimp + 17cc: 6101 c.addi16sp x2,0 + 17ce: 0700 c.addi4spn x8,x2,896 + 17d0: 25d8 c.fld f14,136(x11) + 17d2: 0000 c.unimp + 17d4: 25e0 c.fld f8,200(x11) + 17d6: 0000 c.unimp + 17d8: 7e06 c.flwsp f28,96(x2) + 17da: 7c00 c.flw f8,56(x8) + 17dc: 1e00 c.addi4spn x8,x2,816 + 17de: 079f 25e0 0000 0x25e0079f + 17e4: 25e8 c.fld f10,200(x11) + 17e6: 0000 c.unimp + 17e8: 5e01 c.li x28,-32 + 17ea: 0025f007 0x25f007 + 17ee: 1400 c.addi4spn x8,x2,544 + 17f0: 0026 c.slli x0,0x9 + 17f2: 0100 c.addi4spn x8,x2,128 + 17f4: 075e c.slli x14,0x17 + 17f6: 2614 c.fld f13,8(x12) + 17f8: 0000 c.unimp + 17fa: 261c c.fld f15,8(x12) + 17fc: 0000 c.unimp + 17fe: 8108 0x8108 + 1800: 4000 c.lw x8,0(x8) + 1802: 7c25 c.lui x24,0xfffe9 + 1804: 2200 c.fld f8,0(x12) + 1806: 009f dc07 0025 0x25dc07009f + 180c: fc00 c.fsw f8,56(x8) + 180e: 0025 c.addi x0,9 + 1810: 0100 c.addi4spn x8,x2,128 + 1812: 005d c.addi x0,23 + 1814: 0025e407 0x25e407 + 1818: 3400 c.fld f8,40(x8) + 181a: 0026 c.slli x0,0x9 + 181c: 0100 c.addi4spn x8,x2,128 + 181e: 0060 c.addi4spn x8,x2,12 + 1820: 0025c807 flq f16,2(x11) + 1824: e000 c.fsw f8,0(x8) + 1826: 0025 c.addi x0,9 + 1828: 0100 c.addi4spn x8,x2,128 + 182a: 075e c.slli x14,0x17 + 182c: 25e0 c.fld f8,200(x11) + 182e: 0000 c.unimp + 1830: 2610 c.fld f12,8(x12) + 1832: 0000 c.unimp + 1834: 8c06 c.mv x24,x1 + 1836: 7a7f 0x7a7f + 1838: 1a00 c.addi4spn x8,x2,304 + 183a: 079f 2610 0000 0x2610079f + 1840: 262c c.fld f11,72(x12) + 1842: 0000 c.unimp + 1844: 7a06 c.flwsp f20,96(x2) + 1846: 7f00 c.flw f8,56(x14) + 1848: 1a00 c.addi4spn x8,x2,304 + 184a: 079f 262c 0000 0x262c079f + 1850: 2630 c.fld f12,72(x12) + 1852: 0000 c.unimp + 1854: 8c06 c.mv x24,x1 + 1856: 7a7f 0x7a7f + 1858: 1a00 c.addi4spn x8,x2,304 + 185a: 079f 2630 0000 0x2630079f + 1860: 2634 c.fld f13,72(x12) + 1862: 0000 c.unimp + 1864: 7a06 c.flwsp f20,96(x2) + 1866: 8c01 c.sub x8,x8 + 1868: 1a7f 0x1a7f + 186a: 009f d007 0025 0x25d007009f + 1870: dc00 c.sw x8,56(x8) + 1872: 0025 c.addi x0,9 + 1874: 0100 c.addi4spn x8,x2,128 + 1876: 005d c.addi x0,23 + 1878: 0025d007 0x25d007 + 187c: e400 c.fsw f8,8(x8) + 187e: 0025 c.addi x0,9 + 1880: 0100 c.addi4spn x8,x2,128 + 1882: 0760 c.addi4spn x8,x2,908 + 1884: 25e4 c.fld f9,200(x11) + 1886: 0000 c.unimp + 1888: 2630 c.fld f12,72(x12) + 188a: 0000 c.unimp + 188c: 7a05 c.lui x20,0xfffe1 + 188e: 4000 c.lw x8,0(x8) + 1890: 9f25 0x9f25 + 1892: 00263007 fld f0,2(x12) + 1896: 3400 c.fld f8,40(x8) + 1898: 0026 c.slli x0,0x9 + 189a: 0500 c.addi4spn x8,x2,640 + 189c: 017a c.slli x2,0x1e + 189e: 2540 c.fld f8,136(x10) + 18a0: 009f d407 0025 0x25d407009f + 18a6: e800 c.fsw f8,16(x8) + 18a8: 0025 c.addi x0,9 + 18aa: 0100 c.addi4spn x8,x2,128 + 18ac: 005c c.addi4spn x15,x2,4 + 18ae: 00232407 flw f8,2(x6) # ffffa002 <__global_pointer$+0xffff67a2> + 18b2: 5c00 c.lw x8,56(x8) + 18b4: 01000023 sb x16,0(x0) # 0 + 18b8: 075c c.addi4spn x15,x2,900 + 18ba: 235c c.fld f15,128(x14) + 18bc: 0000 c.unimp + 18be: 23e8 c.fld f10,192(x15) + 18c0: 0000 c.unimp + 18c2: 5e01 c.li x28,-32 + 18c4: 0023e807 0x23e807 + 18c8: fc00 c.fsw f8,56(x8) + 18ca: 01000023 sb x16,0(x0) # 0 + 18ce: 075c c.addi4spn x15,x2,900 + 18d0: 23fc c.fld f15,192(x15) + 18d2: 0000 c.unimp + 18d4: 2400 c.fld f8,8(x8) + 18d6: 0000 c.unimp + 18d8: 7c09 c.lui x24,0xfffe2 + 18da: 7b00 c.flw f8,48(x14) + 18dc: 0800 c.addi4spn x8,x2,16 + 18de: 1aff 0x1aff + 18e0: 9f24 0x9f24 + 18e2: 00240007 0x240007 + 18e6: a800 c.fsd f8,16(x8) + 18e8: 0024 c.addi4spn x9,x2,8 + 18ea: 0100 c.addi4spn x8,x2,128 + 18ec: 005e c.slli x0,0x17 + 18ee: 00233807 fld f16,2(x6) + 18f2: 4c00 c.lw x8,24(x8) + 18f4: 01000023 sb x16,0(x0) # 0 + 18f8: 005d c.addi x0,23 + 18fa: 00236007 0x236007 + 18fe: e800 c.fsw f8,16(x8) + 1900: 01000023 sb x16,0(x0) # 0 + 1904: 0061 c.addi x0,24 + 1906: 00236c07 0x236c07 + 190a: e800 c.fsw f8,16(x8) + 190c: 01000023 sb x16,0(x0) # 0 + 1910: 005c c.addi4spn x15,x2,4 + 1912: 00236c07 0x236c07 + 1916: 9000 0x9000 + 1918: 01000023 sb x16,0(x0) # 0 + 191c: 076c c.addi4spn x11,x2,908 + 191e: 2390 c.fld f12,0(x15) + 1920: 0000 c.unimp + 1922: 23e0 c.fld f8,192(x15) + 1924: 0000 c.unimp + 1926: 5a01 c.li x20,-32 + 1928: 0700 c.addi4spn x8,x2,896 + 192a: 23a8 c.fld f10,64(x15) + 192c: 0000 c.unimp + 192e: 23d0 c.fld f12,128(x15) + 1930: 0000 c.unimp + 1932: 5601 c.li x12,-32 + 1934: 0023d007 0x23d007 + 1938: e800 c.fsw f8,16(x8) + 193a: 01000023 sb x16,0(x0) # 0 + 193e: 005f 6c07 0023 0x236c07005f + 1944: 7400 c.flw f8,40(x8) + 1946: 06000023 sb x0,96(x0) # 60 + 194a: 007f 0x7f + 194c: 0081 c.addi x1,0 + 194e: 9f1d 0x9f1d + 1950: 00237407 0x237407 + 1954: 8000 0x8000 + 1956: 01000023 sb x16,0(x0) # 0 + 195a: 075f 2384 0000 0x2384075f + 1960: 238c c.fld f11,0(x15) + 1962: 0000 c.unimp + 1964: 5f01 c.li x30,-32 + 1966: 00239007 0x239007 + 196a: b400 c.fsd f8,40(x8) + 196c: 01000023 sb x16,0(x0) # 0 + 1970: 005f a407 0023 0x23a407005f + 1976: b400 c.fsd f8,40(x8) + 1978: 06000023 sb x0,96(x0) # 60 + 197c: 007f 0x7f + 197e: 0081 c.addi x1,0 + 1980: 9f1d 0x9f1d + 1982: 0023b407 fld f8,2(x7) + 1986: bc00 c.fsd f8,56(x8) + 1988: 01000023 sb x16,0(x0) # 0 + 198c: 075f 23c0 0000 0x23c0075f + 1992: 23cc c.fld f11,128(x15) + 1994: 0000 c.unimp + 1996: 6001 0x6001 + 1998: 0700 c.addi4spn x8,x2,896 + 199a: 237c c.fld f15,192(x14) + 199c: 0000 c.unimp + 199e: 23a8 c.fld f10,64(x15) + 19a0: 0000 c.unimp + 19a2: 5601 c.li x12,-32 + 19a4: 0023a807 flw f16,2(x7) + 19a8: b800 c.fsd f8,48(x8) + 19aa: 06000023 sb x0,96(x0) # 60 + 19ae: 007c c.addi4spn x15,x2,12 + 19b0: 008c c.addi4spn x11,x2,64 + 19b2: 9f1e c.add x30,x7 + 19b4: 0023b807 fld f16,2(x7) + 19b8: e800 c.fsw f8,16(x8) + 19ba: 01000023 sb x16,0(x0) # 0 + 19be: 005d c.addi x0,23 + 19c0: 00242407 flw f8,2(x8) + 19c4: a800 c.fsd f8,16(x8) + 19c6: 0024 c.addi4spn x9,x2,8 + 19c8: 0100 c.addi4spn x8,x2,128 + 19ca: 005a c.slli x0,0x16 + 19cc: 00242407 flw f8,2(x8) + 19d0: 7000 c.flw f8,32(x8) + 19d2: 0024 c.addi4spn x9,x2,8 + 19d4: 0100 c.addi4spn x8,x2,128 + 19d6: 075c c.addi4spn x15,x2,900 + 19d8: 2470 c.fld f12,200(x8) + 19da: 0000 c.unimp + 19dc: 24a8 c.fld f10,72(x9) + 19de: 0000 c.unimp + 19e0: 40007e07 0x40007e07 + 19e4: 4024 c.lw x9,64(x8) + 19e6: 9f25 0x9f25 + 19e8: 0700 c.addi4spn x8,x2,896 + 19ea: 2424 c.fld f9,72(x8) + 19ec: 0000 c.unimp + 19ee: 2448 c.fld f10,136(x8) + 19f0: 0000 c.unimp + 19f2: 5601 c.li x12,-32 + 19f4: 00244807 flq f16,2(x8) + 19f8: 9c00 0x9c00 + 19fa: 0024 c.addi4spn x9,x2,8 + 19fc: 0100 c.addi4spn x8,x2,128 + 19fe: 6007005b 0x6007005b + 1a02: 0024 c.addi4spn x9,x2,8 + 1a04: 8800 0x8800 + 1a06: 0024 c.addi4spn x9,x2,8 + 1a08: 0100 c.addi4spn x8,x2,128 + 1a0a: 0761 c.addi x14,24 + 1a0c: 2488 c.fld f10,8(x9) + 1a0e: 0000 c.unimp + 1a10: 24a8 c.fld f10,72(x9) + 1a12: 0000 c.unimp + 1a14: 5d01 c.li x26,-32 + 1a16: 0700 c.addi4spn x8,x2,896 + 1a18: 2424 c.fld f9,72(x8) + 1a1a: 0000 c.unimp + 1a1c: 2428 c.fld f10,72(x8) + 1a1e: 0000 c.unimp + 1a20: 7d06 c.flwsp f26,96(x2) + 1a22: 7a00 c.flw f8,48(x12) + 1a24: 1d00 c.addi4spn x8,x2,688 + 1a26: 079f 2428 0000 0x2428079f + 1a2c: 2434 c.fld f13,72(x8) + 1a2e: 0000 c.unimp + 1a30: 5d01 c.li x26,-32 + 1a32: 00243807 fld f16,2(x8) + 1a36: 4400 c.lw x8,8(x8) + 1a38: 0024 c.addi4spn x9,x2,8 + 1a3a: 0100 c.addi4spn x8,x2,128 + 1a3c: 075d c.addi x14,23 + 1a3e: 2448 c.fld f10,136(x8) + 1a40: 0000 c.unimp + 1a42: 246c c.fld f11,200(x8) + 1a44: 0000 c.unimp + 1a46: 5d01 c.li x26,-32 + 1a48: 0700 c.addi4spn x8,x2,896 + 1a4a: 245c c.fld f15,136(x8) + 1a4c: 0000 c.unimp + 1a4e: 246c c.fld f11,200(x8) + 1a50: 0000 c.unimp + 1a52: 7d06 c.flwsp f26,96(x2) + 1a54: 7a00 c.flw f8,48(x12) + 1a56: 1d00 c.addi4spn x8,x2,688 + 1a58: 079f 246c 0000 0x246c079f + 1a5e: 2474 c.fld f13,200(x8) + 1a60: 0000 c.unimp + 1a62: 5d01 c.li x26,-32 + 1a64: 00247807 0x247807 + 1a68: 8400 0x8400 + 1a6a: 0024 c.addi4spn x9,x2,8 + 1a6c: 0100 c.addi4spn x8,x2,128 + 1a6e: 075f 2488 0000 0x2488075f + 1a74: 24a8 c.fld f10,72(x9) + 1a76: 0000 c.unimp + 1a78: 5f01 c.li x30,-32 + 1a7a: 0700 c.addi4spn x8,x2,896 + 1a7c: 242c c.fld f11,72(x8) + 1a7e: 0000 c.unimp + 1a80: 2460 c.fld f8,200(x8) + 1a82: 0000 c.unimp + 1a84: 6101 c.addi16sp x2,0 + 1a86: 00246007 0x246007 + 1a8a: 7000 c.flw f8,32(x8) + 1a8c: 0024 c.addi4spn x9,x2,8 + 1a8e: 0600 c.addi4spn x8,x2,768 + 1a90: 007c c.addi4spn x15,x2,12 + 1a92: 0076 c.slli x0,0x1d + 1a94: 9f1e c.add x30,x7 + 1a96: 00247007 0x247007 + 1a9a: a800 c.fsd f8,16(x8) + 1a9c: 0024 c.addi4spn x9,x2,8 + 1a9e: 0100 c.addi4spn x8,x2,128 + 1aa0: 005c c.addi4spn x15,x2,4 + 1aa2: 0024ac07 flw f24,2(x9) + 1aa6: 1400 c.addi4spn x8,x2,544 + 1aa8: 0025 c.addi x0,9 + 1aaa: 0100 c.addi4spn x8,x2,128 + 1aac: 005d c.addi x0,23 + 1aae: 0024c007 flq f0,2(x9) + 1ab2: dc00 c.sw x8,56(x8) + 1ab4: 0024 c.addi4spn x9,x2,8 + 1ab6: 0100 c.addi4spn x8,x2,128 + 1ab8: 005e c.slli x0,0x17 Disassembly of section .debug_rnglists: 00000000 <.debug_rnglists>: - 0: 010d c.addi x2,3 + 0: 00f2 c.slli x1,0x1c 2: 0000 c.unimp 4: 0005 c.addi x0,1 6: 0004 0x4 8: 0000 c.unimp a: 0000 c.unimp - c: 9806 c.add x16,x1 - e: 0012 c.slli x0,0x4 - 10: 9800 0x9800 - 12: 0012 c.slli x0,0x4 - 14: 0600 c.addi4spn x8,x2,768 - 16: 12a0 c.addi4spn x8,x2,360 + c: 8406 c.mv x8,x1 + e: 0019 c.addi x0,6 + 10: 5000 c.lw x8,32(x8) + 12: 0600001b 0x600001b + 16: 1b68 c.addi4spn x10,x2,444 18: 0000 c.unimp - 1a: 138c c.addi4spn x11,x2,480 + 1a: 1dc0 c.addi4spn x8,x2,756 1c: 0000 c.unimp - 1e: 9006 c.add x0,x1 - 20: c8000013 addi x0,x0,-896 - 24: 0016 c.slli x0,0x5 + 1e: 0600 c.addi4spn x8,x2,768 + 20: 1984 c.addi4spn x9,x2,240 + 22: 0000 c.unimp + 24: 1b50 c.addi4spn x12,x2,436 26: 0000 c.unimp - 28: 9806 c.add x16,x1 - 2a: 0012 c.slli x0,0x4 - 2c: 9800 0x9800 - 2e: 0012 c.slli x0,0x4 + 28: 6806 c.flwsp f16,64(x2) + 2a: b000001b 0xb000001b + 2e: 001d c.addi x0,7 30: 0600 c.addi4spn x8,x2,768 - 32: 12a0 c.addi4spn x8,x2,360 + 32: 1db4 c.addi4spn x13,x2,760 34: 0000 c.unimp - 36: 138c c.addi4spn x11,x2,480 + 36: 1dc0 c.addi4spn x8,x2,756 38: 0000 c.unimp - 3a: 9006 c.add x0,x1 - 3c: b8000013 addi x0,x0,-1152 - 40: 0016 c.slli x0,0x5 - 42: 0600 c.addi4spn x8,x2,768 - 44: 16bc c.addi4spn x15,x2,872 - 46: 0000 c.unimp - 48: 16c8 c.addi4spn x10,x2,868 - 4a: 0000 c.unimp - 4c: 0600 c.addi4spn x8,x2,768 - 4e: 12bc c.addi4spn x15,x2,360 - 50: 0000 c.unimp - 52: 12e8 c.addi4spn x10,x2,364 - 54: 0000 c.unimp - 56: 9006 c.add x0,x1 - 58: a4000013 addi x0,x0,-1472 - 5c: 00000013 addi x0,x0,0 - 60: 8806 c.mv x16,x1 - 62: 8c000013 addi x0,x0,-1856 - 66: 06000013 addi x0,x0,96 - 6a: 1594 c.addi4spn x13,x2,736 - 6c: 0000 c.unimp - 6e: 16b8 c.addi4spn x14,x2,872 - 70: 0000 c.unimp + 3a: 0600 c.addi4spn x8,x2,768 + 3c: 19a0 c.addi4spn x8,x2,248 + 3e: 0000 c.unimp + 40: 19cc c.addi4spn x11,x2,244 + 42: 0000 c.unimp + 44: 7406 c.flwsp f8,96(x2) + 46: 001a c.slli x0,0x6 + 48: 8800 0x8800 + 4a: 001a c.slli x0,0x6 + 4c: 0000 c.unimp + 4e: 6c06 c.flwsp f24,64(x2) + 50: 001a c.slli x0,0x6 + 52: 7400 c.flw f8,40(x8) + 54: 001a c.slli x0,0x6 + 56: 0600 c.addi4spn x8,x2,768 + 58: 1c8c c.addi4spn x11,x2,624 + 5a: 0000 c.unimp + 5c: 1db0 c.addi4spn x12,x2,760 + 5e: 0000 c.unimp + 60: 0600 c.addi4spn x8,x2,768 + 62: 1ca8 c.addi4spn x10,x2,632 + 64: 0000 c.unimp + 66: 1cb8 c.addi4spn x14,x2,632 + 68: 0000 c.unimp + 6a: bc06 c.fsdsp f1,56(x2) + 6c: 001c 0x1c + 6e: 3400 c.fld f8,40(x8) + 70: 001d c.addi x0,7 72: 0600 c.addi4spn x8,x2,768 - 74: 15b0 c.addi4spn x12,x2,744 + 74: 1d38 c.addi4spn x14,x2,696 76: 0000 c.unimp - 78: 15c0 c.addi4spn x8,x2,740 + 78: 1d3c c.addi4spn x15,x2,696 7a: 0000 c.unimp - 7c: c406 c.swsp x1,8(x2) - 7e: 0015 c.addi x0,5 - 80: 3c00 c.fld f8,56(x8) - 82: 0016 c.slli x0,0x5 - 84: 0600 c.addi4spn x8,x2,768 - 86: 1640 c.addi4spn x8,x2,804 - 88: 0000 c.unimp - 8a: 1644 c.addi4spn x9,x2,804 - 8c: 0000 c.unimp - 8e: 4c06 c.lwsp x24,64(x2) - 90: 0016 c.slli x0,0x5 - 92: 5000 c.lw x8,32(x8) - 94: 0016 c.slli x0,0x5 + 7c: 4406 c.lwsp x8,64(x2) + 7e: 001d c.addi x0,7 + 80: 4800 c.lw x8,16(x8) + 82: 001d c.addi x0,7 + 84: 0000 c.unimp + 86: 3406 c.fldsp f8,96(x2) + 88: 001d c.addi x0,7 + 8a: 3800 c.fld f8,48(x8) + 8c: 001d c.addi x0,7 + 8e: 0600 c.addi4spn x8,x2,768 + 90: 1d3c c.addi4spn x15,x2,696 + 92: 0000 c.unimp + 94: 1d44 c.addi4spn x9,x2,692 96: 0000 c.unimp - 98: 3c06 c.fldsp f24,96(x2) - 9a: 0016 c.slli x0,0x5 - 9c: 4000 c.lw x8,0(x8) - 9e: 0016 c.slli x0,0x5 + 98: 4806 c.lwsp x16,64(x2) + 9a: 001d c.addi x0,7 + 9c: 8000 0x8000 + 9e: 001d c.addi x0,7 a0: 0600 c.addi4spn x8,x2,768 - a2: 1644 c.addi4spn x9,x2,804 + a2: 1d88 c.addi4spn x10,x2,752 a4: 0000 c.unimp - a6: 164c c.addi4spn x11,x2,804 + a6: 1d9c c.addi4spn x15,x2,752 a8: 0000 c.unimp - aa: 5006 0x5006 - ac: 0016 c.slli x0,0x5 - ae: 8800 0x8800 - b0: 0016 c.slli x0,0x5 - b2: 0600 c.addi4spn x8,x2,768 - b4: 1690 c.addi4spn x12,x2,864 - b6: 0000 c.unimp - b8: 16a4 c.addi4spn x9,x2,872 - ba: 0000 c.unimp - bc: a806 c.fsdsp f1,16(x2) - be: 0016 c.slli x0,0x5 - c0: ac00 c.fsd f8,24(x8) - c2: 0016 c.slli x0,0x5 + aa: a006 c.fsdsp f1,0(x2) + ac: 001d c.addi x0,7 + ae: a400 c.fsd f8,8(x8) + b0: 001d c.addi x0,7 + b2: 0000 c.unimp + b4: 9006 c.add x0,x1 + b6: 001a c.slli x0,0x6 + b8: bc00 c.fsd f8,56(x8) + ba: 001a c.slli x0,0x6 + bc: 0600 c.addi4spn x8,x2,768 + be: 1b68 c.addi4spn x10,x2,444 + c0: 0000 c.unimp + c2: 1b7c c.addi4spn x15,x2,444 c4: 0000 c.unimp - c6: ac06 c.fsdsp f1,24(x2) - c8: d8000013 addi x0,x0,-640 - cc: 06000013 addi x0,x0,96 - d0: 1470 c.addi4spn x12,x2,556 - d2: 0000 c.unimp - d4: 1484 c.addi4spn x9,x2,608 - d6: 0000 c.unimp - d8: 0600 c.addi4spn x8,x2,768 - da: 148c c.addi4spn x11,x2,608 + c6: 0600 c.addi4spn x8,x2,768 + c8: 1b84 c.addi4spn x9,x2,496 + ca: 0000 c.unimp + cc: 1b88 c.addi4spn x10,x2,496 + ce: 0000 c.unimp + d0: 9406 c.add x8,x1 + d2: a000001b 0xa000001b + d6: 0600001b 0x600001b + da: 1ba4 c.addi4spn x9,x2,504 dc: 0000 c.unimp - de: 1490 c.addi4spn x12,x2,608 + de: 1c28 c.addi4spn x10,x2,568 e0: 0000 c.unimp - e2: 9806 c.add x16,x1 - e4: 0014 0x14 - e6: a000 c.fsd f8,0(x8) - e8: 0014 0x14 - ea: 0600 c.addi4spn x8,x2,768 - ec: 14a4 c.addi4spn x9,x2,616 - ee: 0000 c.unimp - f0: 14a8 c.addi4spn x10,x2,616 - f2: 0000 c.unimp - f4: ac06 c.fsdsp f1,24(x2) - f6: 0014 0x14 - f8: 3000 c.fld f8,32(x8) - fa: 0015 c.addi x0,5 - fc: 0000 c.unimp - fe: 3406 c.fldsp f8,96(x2) - 100: 0015 c.addi x0,5 - 102: 6800 c.flw f8,16(x8) - 104: 0015 c.addi x0,5 - 106: 0600 c.addi4spn x8,x2,768 - 108: 1580 c.addi4spn x8,x2,736 - 10a: 0000 c.unimp - 10c: 1594 c.addi4spn x13,x2,736 + e2: 0600 c.addi4spn x8,x2,768 + e4: 1c2c c.addi4spn x11,x2,568 + e6: 0000 c.unimp + e8: 1c60 c.addi4spn x8,x2,572 + ea: 0000 c.unimp + ec: 7806 c.flwsp f16,96(x2) + ee: 001c 0x1c + f0: 8c00 0x8c00 + f2: 001c 0x1c + f4: 0000 c.unimp + f6: 000000bb 0xbb + fa: 0005 c.addi x0,1 + fc: 0004 0x4 + fe: 0000 c.unimp + 100: 0000 c.unimp + 102: f406 c.fswsp f1,40(x2) + 104: 001d c.addi x0,7 + 106: cc00 c.sw x8,24(x8) + 108: 001e c.slli x0,0x7 + 10a: 0600 c.addi4spn x8,x2,768 + 10c: 1ee4 c.addi4spn x9,x2,892 10e: 0000 c.unimp + 110: 2210 c.fld f12,0(x12) + 112: 0000 c.unimp + 114: 0600 c.addi4spn x8,x2,768 + 116: 1e10 c.addi4spn x12,x2,816 + 118: 0000 c.unimp + 11a: 1e3c c.addi4spn x15,x2,824 + 11c: 0000 c.unimp + 11e: e406 c.fswsp f1,8(x2) + 120: 001e c.slli x0,0x7 + 122: f800 c.fsw f8,48(x8) + 124: 001e c.slli x0,0x7 + 126: 0000 c.unimp + 128: 0006 c.slli x0,0x1 + 12a: 001f 2c00 001f 0x1f2c00001f + 130: 0600 c.addi4spn x8,x2,768 + 132: 1fa8 c.addi4spn x10,x2,1016 + 134: 0000 c.unimp + 136: 1fbc c.addi4spn x15,x2,1016 + 138: 0000 c.unimp + 13a: 0600 c.addi4spn x8,x2,768 + 13c: 1fd0 c.addi4spn x12,x2,1012 + 13e: 0000 c.unimp + 140: 1fd4 c.addi4spn x13,x2,1012 + 142: 0000 c.unimp + 144: d806 c.swsp x1,48(x2) + 146: 001f 4800 0020 0x204800001f + 14c: 0000 c.unimp + 14e: 4c06 c.lwsp x24,64(x2) + 150: 0020 c.addi4spn x8,x2,8 + 152: 8000 0x8000 + 154: 0020 c.addi4spn x8,x2,8 + 156: 0600 c.addi4spn x8,x2,768 + 158: 20a8 c.fld f10,64(x9) + 15a: 0000 c.unimp + 15c: 20bc c.fld f15,64(x9) + 15e: 0000 c.unimp + 160: 0600 c.addi4spn x8,x2,768 + 162: 208c c.fld f11,0(x9) + 164: 0000 c.unimp + 166: 209c c.fld f15,0(x9) + 168: 0000 c.unimp + 16a: 9c06 c.add x24,x1 + 16c: 0020 c.addi4spn x8,x2,8 + 16e: a000 c.fsd f8,0(x8) + 170: 0020 c.addi4spn x8,x2,8 + 172: 0000 c.unimp + 174: cc06 c.swsp x1,24(x2) + 176: 0020 c.addi4spn x8,x2,8 + 178: d400 c.sw x8,40(x8) + 17a: 0020 c.addi4spn x8,x2,8 + 17c: 0600 c.addi4spn x8,x2,768 + 17e: 20d8 c.fld f14,128(x9) + 180: 0000 c.unimp + 182: 20e0 c.fld f8,192(x9) + 184: 0000 c.unimp + 186: e806 c.fswsp f1,16(x2) + 188: 0020 c.addi4spn x8,x2,8 + 18a: ec00 c.fsw f8,24(x8) + 18c: 0020 c.addi4spn x8,x2,8 + 18e: 0600 c.addi4spn x8,x2,768 + 190: 20f4 c.fld f13,192(x9) + 192: 0000 c.unimp + 194: 2168 c.fld f10,192(x10) + 196: 0000 c.unimp + 198: 6c06 c.flwsp f24,64(x2) + 19a: 0021 c.addi x0,8 + 19c: 7400 c.flw f8,40(x8) + 19e: 0021 c.addi x0,8 + 1a0: 0000 c.unimp + 1a2: 6806 c.flwsp f16,64(x2) + 1a4: 0021 c.addi x0,8 + 1a6: 6c00 c.flw f8,24(x8) + 1a8: 0021 c.addi x0,8 + 1aa: 0600 c.addi4spn x8,x2,768 + 1ac: 2174 c.fld f13,192(x10) + 1ae: 0000 c.unimp + 1b0: 21cc c.fld f11,128(x11) + 1b2: 0000 c.unimp + 1b4: 0d00 c.addi4spn x8,x2,656 + 1b6: 0001 c.addi x0,0 + 1b8: 0500 c.addi4spn x8,x2,640 + 1ba: 0400 c.addi4spn x8,x2,512 + 1bc: 0000 c.unimp + 1be: 0000 c.unimp + 1c0: 0600 c.addi4spn x8,x2,768 + 1c2: 2210 c.fld f12,0(x12) + 1c4: 0000 c.unimp + 1c6: 2210 c.fld f12,0(x12) + 1c8: 0000 c.unimp + 1ca: 1806 c.slli x16,0x21 + 1cc: 0022 c.slli x0,0x8 + 1ce: 0400 c.addi4spn x8,x2,512 + 1d0: 06000023 sb x0,96(x0) # 60 + 1d4: 2308 c.fld f10,0(x14) + 1d6: 0000 c.unimp + 1d8: 2640 c.fld f8,136(x12) + 1da: 0000 c.unimp + 1dc: 0600 c.addi4spn x8,x2,768 + 1de: 2210 c.fld f12,0(x12) + 1e0: 0000 c.unimp + 1e2: 2210 c.fld f12,0(x12) + 1e4: 0000 c.unimp + 1e6: 1806 c.slli x16,0x21 + 1e8: 0022 c.slli x0,0x8 + 1ea: 0400 c.addi4spn x8,x2,512 + 1ec: 06000023 sb x0,96(x0) # 60 + 1f0: 2308 c.fld f10,0(x14) + 1f2: 0000 c.unimp + 1f4: 2630 c.fld f12,72(x12) + 1f6: 0000 c.unimp + 1f8: 3406 c.fldsp f8,96(x2) + 1fa: 0026 c.slli x0,0x9 + 1fc: 4000 c.lw x8,0(x8) + 1fe: 0026 c.slli x0,0x9 + 200: 0000 c.unimp + 202: 3406 c.fldsp f8,96(x2) + 204: 0022 c.slli x0,0x8 + 206: 6000 c.flw f8,0(x8) + 208: 0022 c.slli x0,0x8 + 20a: 0600 c.addi4spn x8,x2,768 + 20c: 2308 c.fld f10,0(x14) + 20e: 0000 c.unimp + 210: 231c c.fld f15,0(x14) + 212: 0000 c.unimp + 214: 0600 c.addi4spn x8,x2,768 + 216: 2300 c.fld f8,0(x14) + 218: 0000 c.unimp + 21a: 2304 c.fld f9,0(x14) + 21c: 0000 c.unimp + 21e: 0c06 c.slli x24,0x1 + 220: 0025 c.addi x0,9 + 222: 3000 c.fld f8,32(x8) + 224: 0026 c.slli x0,0x9 + 226: 0000 c.unimp + 228: 2806 c.fldsp f16,64(x2) + 22a: 0025 c.addi x0,9 + 22c: 3800 c.fld f8,48(x8) + 22e: 0025 c.addi x0,9 + 230: 0600 c.addi4spn x8,x2,768 + 232: 253c c.fld f15,72(x10) + 234: 0000 c.unimp + 236: 25b4 c.fld f13,72(x11) + 238: 0000 c.unimp + 23a: b806 c.fsdsp f1,48(x2) + 23c: 0025 c.addi x0,9 + 23e: bc00 c.fsd f8,56(x8) + 240: 0025 c.addi x0,9 + 242: 0600 c.addi4spn x8,x2,768 + 244: 25c4 c.fld f9,136(x11) + 246: 0000 c.unimp + 248: 25c8 c.fld f10,136(x11) + 24a: 0000 c.unimp + 24c: 0600 c.addi4spn x8,x2,768 + 24e: 25b4 c.fld f13,72(x11) + 250: 0000 c.unimp + 252: 25b8 c.fld f14,72(x11) + 254: 0000 c.unimp + 256: bc06 c.fsdsp f1,56(x2) + 258: 0025 c.addi x0,9 + 25a: c400 c.sw x8,8(x8) + 25c: 0025 c.addi x0,9 + 25e: 0600 c.addi4spn x8,x2,768 + 260: 25c8 c.fld f10,136(x11) + 262: 0000 c.unimp + 264: 2600 c.fld f8,8(x12) + 266: 0000 c.unimp + 268: 0806 c.slli x16,0x1 + 26a: 0026 c.slli x0,0x9 + 26c: 1c00 c.addi4spn x8,x2,560 + 26e: 0026 c.slli x0,0x9 + 270: 0600 c.addi4spn x8,x2,768 + 272: 2620 c.fld f8,72(x12) + 274: 0000 c.unimp + 276: 2624 c.fld f9,72(x12) + 278: 0000 c.unimp + 27a: 0600 c.addi4spn x8,x2,768 + 27c: 2324 c.fld f9,64(x14) + 27e: 0000 c.unimp + 280: 2350 c.fld f12,128(x14) + 282: 0000 c.unimp + 284: e806 c.fswsp f1,16(x2) + 286: fc000023 sb x0,-64(x0) # ffffffc0 <__global_pointer$+0xffffc760> + 28a: 00000023 sb x0,0(x0) # 0 + 28e: 0406 c.slli x8,0x1 + 290: 0024 c.addi4spn x9,x2,8 + 292: 0800 c.addi4spn x8,x2,16 + 294: 0024 c.addi4spn x9,x2,8 + 296: 0600 c.addi4spn x8,x2,768 + 298: 2410 c.fld f12,8(x8) + 29a: 0000 c.unimp + 29c: 2418 c.fld f14,8(x8) + 29e: 0000 c.unimp + 2a0: 1c06 c.slli x24,0x21 + 2a2: 0024 c.addi4spn x9,x2,8 + 2a4: 2000 c.fld f8,0(x8) + 2a6: 0024 c.addi4spn x9,x2,8 + 2a8: 0600 c.addi4spn x8,x2,768 + 2aa: 2424 c.fld f9,72(x8) + 2ac: 0000 c.unimp + 2ae: 24a8 c.fld f10,72(x9) + 2b0: 0000 c.unimp + 2b2: 0600 c.addi4spn x8,x2,768 + 2b4: 24ac c.fld f11,72(x9) + 2b6: 0000 c.unimp + 2b8: 24e0 c.fld f8,200(x9) + 2ba: 0000 c.unimp + 2bc: f806 c.fswsp f1,48(x2) + 2be: 0024 c.addi4spn x9,x2,8 + 2c0: 0c00 c.addi4spn x8,x2,528 + 2c2: 0025 c.addi x0,9 ... diff --git a/examples/hdl4se_riscv/verilog/riscv_core_v4.v b/examples/hdl4se_riscv/verilog/riscv_core_v4.v index 73b49d848a28c157e1dd3ef182f016b14576fa98..fe8cd8318578eb7e3038672722fe794387ba6afc 100644 --- a/examples/hdl4se_riscv/verilog/riscv_core_v4.v +++ b/examples/hdl4se_riscv/verilog/riscv_core_v4.v @@ -45,6 +45,8 @@ `define RAMSIZE 4096 +`define CSROPCOUNTER + (* HDL4SE="LCOM", CLSID="638E8BC3-B0E0-41DC-9EDD-D35A39FD8051", @@ -89,32 +91,58 @@ module riscv_core( /* CSR register */ reg [31:0] misa; /*0301*/ - reg [31:0] ucycle; /*0c00*/ - reg [31:0] utime; /*0c01*/ - reg [31:0] uinstret; /*0c02*/ - reg [31:0] ucycleh; /*0c80*/ - reg [31:0] utimeh; /*0c81*/ - reg [31:0] uinstreth; /*0c82*/ - reg [31:0] mcycle; /*0b00*/ - reg [31:0] minstret; /*0b02*/ - reg [31:0] mcycleh; /*0b80*/ - reg [31:0] minstreth; /*0b82*/ + reg [63:0] ucycle64; /*0c00/0c80*/ + reg [63:0] utime64; /*0c01/0c81*/ + reg [63:0] uinstret64; /*0c02/0c82*/ + reg [63:0] mcycle64; /*0b00/0b80*/ + reg [63:0] minstret64; /*0b02/0b82*/ +`ifdef CSROPCOUNTER + reg [63:0] opcounter_addsub64; /*0c20/0ca0*/ + reg [63:0] opcounter_mul64; /*0c21/0ca0*/ + reg [63:0] opcounter_div64; /*0c22/0ca0*/ + reg [63:0] opcounter_ld64; /*0c23/0ca0*/ + reg [63:0] opcounter_st64; /*0c24/0ca0*/ + reg [63:0] opcounter_jmp64; /*0c25/0ca0*/ + reg [63:0] opcounter_j64; /*0c26/0ca0*/ + reg [63:0] opcounter_alui64; /* 0c27/0ca7*/ + reg [63:0] opcounter_alu64; /* 0c28/0ca8*/ +`endif reg [31:0] csr_r; always @(posedge wClk) if (state == `RISCVSTATE_READ_REGS) case (bReadData[31:20]) 12'h301: csr_r <= misa; - 12'hc00: csr_r <= ucycle; - 12'hc01: csr_r <= utime; - 12'hc02: csr_r <= uinstret; - 12'hc80: csr_r <= ucycleh; - 12'hc81: csr_r <= utimeh; - 12'hc82: csr_r <= uinstreth; - 12'hb00: csr_r <= mcycle; - 12'hb02: csr_r <= minstret; - 12'hb80: csr_r <= mcycleh; - 12'hb82: csr_r <= minstreth; + 12'hc00: csr_r <= ucycle64[31:0]; + 12'hc80: csr_r <= ucycle64[63:32]; + 12'hc01: csr_r <= utime64[31:0]; + 12'hc81: csr_r <= utime64[63:32]; + 12'hc02: csr_r <= uinstret64[31:0]; + 12'hc82: csr_r <= uinstret64[63:32]; + 12'hb00: csr_r <= mcycle64[31:0]; + 12'hb80: csr_r <= mcycle64[63:32]; + 12'hb02: csr_r <= minstret64[31:0]; + 12'hb82: csr_r <= minstret64[63:32]; +`ifdef CSROPCOUNTER + 12'hc20: csr_r <= opcounter_addsub64[31:0]; + 12'hca0: csr_r <= opcounter_addsub64[63:32]; + 12'hc21: csr_r <= opcounter_mul64[31:0]; + 12'hca1: csr_r <= opcounter_mul64[63:32]; + 12'hc22: csr_r <= opcounter_div64[31:0]; + 12'hca2: csr_r <= opcounter_div64[63:32]; + 12'hc23: csr_r <= opcounter_ld64[31:0]; + 12'hca3: csr_r <= opcounter_ld64[63:32]; + 12'hc24: csr_r <= opcounter_st64[31:0]; + 12'hca4: csr_r <= opcounter_st64[63:32]; + 12'hc25: csr_r <= opcounter_jmp64[31:0]; + 12'hca5: csr_r <= opcounter_jmp64[63:32]; + 12'hc26: csr_r <= opcounter_j64[31:0]; + 12'hca6: csr_r <= opcounter_j64[63:32]; + 12'hc27: csr_r <= opcounter_alui64[31:0]; + 12'hca7: csr_r <= opcounter_alui64[63:32]; + 12'hc28: csr_r <= opcounter_alu64[31:0]; + 12'hca8: csr_r <= opcounter_alu64[63:32]; +`endif default: csr_r <= 0; endcase @@ -131,18 +159,15 @@ module riscv_core( wire [31:0] rs2 = regrddata2; wire signed [31:0] rs1_s = rs1; wire signed [31:0] rs2_s = rs2; + wire [31:0] rs1_abs = rs1[31] ? (-rs1_s) : rs1_s; + wire [31:0] rs2_abs = rs2[31] ? (-rs2_s) : rs2_s; wire signed [31:0] imm_s = imm; - wire [31:0] add_result; - wire [31:0] sub_result; wire [63:0] mul_result; wire [63:0] muls_result; wire [71:0] mulsu_result; wire [31:0] div_result_r, mod_result_r, divs_result_r, mods_result_r; wire [31:0] div_result, mod_result, divs_result, mods_result; - adder add(rs1, rs2, add_result); - suber sub(rs1, rs2, sub_result); - `define USE3MUL `ifdef USE3MUL @@ -152,8 +177,6 @@ module riscv_core( `else wire signed [63:0] mul_result_sign = mul_result; reg [31:0] mul_rs1, mul_rs2; - wire [31:0] rs1_abs = rs1[31] ? (~rs1 + 1) : rs1; - wire [31:0] rs2_abs = rs2[31] ? (~rs2 + 1) : rs2; mult mul(mul_rs1, mul_rs2, mul_result); @@ -207,35 +230,19 @@ module riscv_core( Total combinational functions 7,107 / 114,480 ( 6 % ) Dedicated logic registers 4,309 / 114,480 ( 4 % ) Total registers 4309 + Total memory bits 151,274 / 3,981,312 ( 4 % ) Embedded Multiplier 9-bit elements 0 / 532 ( 0 % ) 一个除法器: - Total logic elements 6,264 / 114,480 ( 5 % ) - Total combinational functions 5,607 / 114,480 ( 5 % ) + Total logic elements 6,319 / 114,480 ( 6 % ) + Total combinational functions 5,601 / 114,480 ( 5 % ) Dedicated logic registers 2,916 / 114,480 ( 3 % ) Total registers 2916 - Embedded Multiplier 9-bit elements 0 / 532 ( 0 % ) - - 去掉两个乘法器:(比较怪异的是,逻辑门数反而增加了,这个很奇怪,难度综合的时候它能合并乘法器?) - Total logic elements 6,331 / 114,480 ( 6 % ) - Total combinational functions 5,609 / 114,480 ( 5 % ) - Dedicated logic registers 2,917 / 114,480 ( 3 % ) - Total registers 2917 Total memory bits 150,192 / 3,981,312 ( 4 % ) Embedded Multiplier 9-bit elements 0 / 532 ( 0 % ) - - Total logic elements 6,238 / 114,480 ( 5 % ) - Total combinational functions 5,527 / 114,480 ( 5 % ) - Dedicated logic registers 2,916 / 114,480 ( 3 % ) - Total registers 2916 - Total pins 436 / 529 ( 82 % ) - Total virtual pins 0 - Total memory bits 150,192 / 3,981,312 ( 4 % ) - Embedded Multiplier 9-bit elements 0 / 532 ( 0 % ) - Total PLLs 1 / 4 ( 25 % ) */ -`define USE1DIV_ +`define USE1DIV `ifdef USE1DIV wire div_unsign_op = func3[0]; @@ -539,72 +546,101 @@ module riscv_core( default: begin csr_v = 0; csr_op = 0; end endcase - //DEFINE_FUNC(riscv_core_gen_csr, "nwReset, ucycle, ucycleh, misa, mcycle, mcycleh, utime,utimeh, uinstret, uinstreth, minstret, minstreth, instr, imm, regrddata") { + //DEFINE_FUNC(riscv_core_gen_csr, "nwReset, ucycle64, misa, mcycle64, utime64, uinstret64, minstret64, instr, imm, regrddata") { always @(posedge wClk) if (nwReset == 0) begin misa <= 32'b0100_0000_0001_0000_0001_0001_0000_0000;// RV32IM - ucycle <= 0; - ucycleh <= 0; - mcycle <= 0; - mcycleh <= 0; - utime <= 0; - utimeh <= 0; - uinstret <= 0; - uinstreth <= 0; - minstret <= 0; - minstreth <= 0; + ucycle64 <= 0; + mcycle64 <= 0; + utime64 <= 0; + uinstret64 <= 0; + minstret64 <= 0; +`ifdef CSROPCOUNTER + opcounter_addsub64 <= 0; + opcounter_alui64 <= 0; + opcounter_alu64 <= 0; + opcounter_mul64 <= 0; + opcounter_div64 <= 0; + opcounter_ld64 <= 0; + opcounter_st64 <= 0; + opcounter_jmp64 <= 0; + opcounter_j64 <= 0; +`endif end else begin - if (ucycle == 32'hffffffff) begin - ucycleh <= ucycleh + 1; - ucycle <= 0; - end - else begin - ucycle <= ucycle + 1; - end - if (utime == 32'hffffffff) begin - utimeh <= utimeh + 1; - utime <= 0; - end - else begin - utime <= utime + 1; - end - if (mcycle == 32'hffffffff) begin - mcycleh <= mcycleh + 1; - mcycle <= 0; - end - else begin - mcycle <= mcycle + 1; - end + ucycle64 <= ucycle64 + 1; + utime64 <= utime64 + 1; + mcycle64 <= mcycle64 + 1; if (state == `RISCVSTATE_EXEC_INST) begin - if (uinstret == 32'hffffffff) begin - uinstreth <= uinstreth + 1; - uinstret <= 0; - end - else begin - uinstret <= uinstret + 1; - end - if (minstret == 32'hffffffff) begin - minstreth <= minstreth + 1; - minstret <= 0; - end - else begin - minstret <= minstret + 1; + uinstret64 <= uinstret64 + 1; + minstret64 <= minstret64 + 1; +`ifdef CSROPCOUNTER + case (opcode) + 5'h0d: ;//riscv_core_exec_lui_inst(pobj, pc, instr); break; + 5'h05: ;//riscv_core_exec_auipc_inst(pobj, pc, instr); break; + 5'h1b: //riscv_core_exec_jal_inst(pobj, pc, instr); break; + opcounter_jmp64 <= opcounter_jmp64 + 1; + 5'h19: //riscv_core_exec_jalr_inst(pobj, pc, instr); break; + opcounter_jmp64 <= opcounter_jmp64 + 1; + 5'h18: //riscv_core_exec_b_inst(pobj, pc, instr); break; + opcounter_j64 <= opcounter_j64 + 1; + 5'h00: //riscv_core_exec_ld_inst(pobj, pc, instr); break; + opcounter_ld64 <= opcounter_ld64 + 1; + 5'h08: //riscv_core_exec_st_inst(pobj, pc, instr); break; + opcounter_st64 <= opcounter_st64 + 1; + 5'h04: //riscv_core_exec_alui_inst(pobj, pc, instr); break; + opcounter_alui64 <= opcounter_alui64 + 1; + 5'h0c: begin //riscv_core_exec_alu_inst(pobj, pc, instr); break; + opcounter_alu64 <= opcounter_alu64 + 1; + if (instr[25]) begin/* MUL/DIV */ + if (func3[2]) begin + opcounter_div64 <= opcounter_div64 + 1; + end else begin + opcounter_mul64 <= opcounter_mul64 + 1; + end + end else begin + if (func3 == 0) + opcounter_addsub64 <= opcounter_addsub64 + 1; + end end + 5'h03: ;//riscv_core_exec_fence_inst(pobj, pc, instr); break; + 5'h1c: ;//riscv_core_exec_sys_inst(pobj, pc, instr); break; + endcase +`endif if (opcode == 5'h1c) begin /* CSR */ if (csr_op) begin case (instr[31:20]) 12'h301: misa <= csr_v; - 12'hc00: ucycle <= csr_v; - 12'hc01: utime <= csr_v; - 12'hc02: uinstret <= csr_v; - 12'hc80: ucycleh <= csr_v; - 12'hc81: utimeh <= csr_v; - 12'hc82: uinstreth <= csr_v; - 12'hb00: mcycle <= csr_v; - 12'hb02: minstret <= csr_v; - 12'hb80: mcycleh <= csr_v; - 12'hb82: minstreth <= csr_v; + 12'hc00: ucycle64[31:0] <= csr_v; + 12'hc80: ucycle64[63:32] <= csr_v; + 12'hc01: utime64[31:0] <= csr_v; + 12'hc81: utime64[63:32] <= csr_v; + 12'hc02: uinstret64[31:0] <= csr_v; + 12'hc82: uinstret64[63:32] <= csr_v; + 12'hb00: mcycle64[31:0] <= csr_v; + 12'hb80: mcycle64[63:32] <= csr_v; + 12'hb02: minstret64[31:0] <= csr_v; + 12'hb82: minstret64[63:32] <= csr_v; +`ifdef CSROPCOUNTER + 12'hc20: opcounter_addsub64[31:0] <= csr_v; + 12'hca0: opcounter_addsub64[63:32] <= csr_v; + 12'hc21: opcounter_mul64[31:0] <= csr_v; + 12'hca1: opcounter_mul64[63:32] <= csr_v; + 12'hc22: opcounter_div64[31:0] <= csr_v; + 12'hca2: opcounter_div64[63:32] <= csr_v; + 12'hc23: opcounter_ld64[31:0] <= csr_v; + 12'hca3: opcounter_ld64[63:32] <= csr_v; + 12'hc24: opcounter_st64[31:0] <= csr_v; + 12'hca4: opcounter_st64[63:32] <= csr_v; + 12'hc25: opcounter_jmp64[31:0] <= csr_v; + 12'hca5: opcounter_jmp64[63:32] <= csr_v; + 12'hc26: opcounter_j64[31:0] <= csr_v; + 12'hca6: opcounter_j64[63:32] <= csr_v; + 12'hc27: opcounter_alui64[31:0] <= csr_v; + 12'hca7: opcounter_alui64[63:32] <= csr_v; + 12'hc28: opcounter_alu64[31:0] <= csr_v; + 12'hca8: opcounter_alu64[63:32] <= csr_v; +`endif endcase end end @@ -684,7 +720,6 @@ module riscv_core( //DEFINE_FUNC(riscv_core_gen_dstreg, "state, instr, ldaddr, readreg, bReadData, pc, rs1, regrddata, imm") { always @(state or readreg or instr or func3 or ldaddr or bReadData or rd or rs1 or rs2 or rs1_s or rs2_s or lastv or divclk or divs_result or div_result or mods_result or mod_result or muls_result or mulsu_result or mul_result - or sub_result or add_result or opcode or imm or pc) begin dstreg = 0; dstvalue = 0; @@ -896,11 +931,9 @@ module riscv_core( case (func3) 0: begin if (instr[30]) - dstvalue = sub_result; - //rs1 - rs2;//sub_result; + dstvalue = rs1 - rs2; else - dstvalue = add_result; - //rs1 + rs2;//add_result; + dstvalue = rs1 + rs2; end 1: begin //sll dstvalue = rs1 << rs2[4:0];