diff --git a/examples/hdl4se_riscv/de1/de1_riscv.asm.rpt b/examples/hdl4se_riscv/de1/de1_riscv.asm.rpt index 8231895ee504121f008aa2b96b8cfd3674d5487a..a2ec9f8c747967703aea7d7c59572fe881ccc06c 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.asm.rpt +++ b/examples/hdl4se_riscv/de1/de1_riscv.asm.rpt @@ -1,5 +1,5 @@ Assembler report for de1_riscv -Sun Aug 29 18:52:14 2021 +Mon Aug 30 18:42:51 2021 Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sun Aug 29 18:52:14 2021 ; +; Assembler Status ; Successful - Mon Aug 30 18:42:50 2021 ; ; Revision Name ; de1_riscv ; ; Top-level Entity Name ; de1_riscv ; ; Family ; Cyclone V ; @@ -92,8 +92,8 @@ applicable agreement for further details. ; Option ; Setting ; +----------------+--------------------------------------------------------------------+ ; Device ; 5CSEMA5F31C6 ; -; JTAG usercode ; 0x01326DBA ; -; Checksum ; 0x01326DBA ; +; JTAG usercode ; 0x02745756 ; +; Checksum ; 0x02745756 ; +----------------+--------------------------------------------------------------------+ @@ -103,13 +103,13 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 64-Bit Assembler Info: Version 13.1.0 Build 162 10/23/2013 SJ Full Version - Info: Processing started: Sun Aug 29 18:52:05 2021 + Info: Processing started: Mon Aug 30 18:42:31 2021 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off de1_riscv -c de1_riscv Info (115030): Assembler is generating device programming files Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 4803 megabytes - Info: Processing ended: Sun Aug 29 18:52:14 2021 - Info: Elapsed time: 00:00:09 - Info: Total CPU time (on all processors): 00:00:09 + Info: Peak virtual memory: 673 megabytes + Info: Processing ended: Mon Aug 30 18:42:51 2021 + Info: Elapsed time: 00:00:20 + Info: Total CPU time (on all processors): 00:00:19 diff --git a/examples/hdl4se_riscv/de1/de1_riscv.done b/examples/hdl4se_riscv/de1/de1_riscv.done index 7951a0c51b79685950ac348dfb2c1a55ec5945e1..6ad25e4e0763d4c03a9fe7acc8688c55a68ed1aa 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.done +++ b/examples/hdl4se_riscv/de1/de1_riscv.done @@ -1 +1 @@ -Sun Aug 29 18:52:30 2021 +Mon Aug 30 18:43:39 2021 diff --git a/examples/hdl4se_riscv/de1/de1_riscv.fit.rpt b/examples/hdl4se_riscv/de1/de1_riscv.fit.rpt index cf44a29c2e623b4152b51e3dc4e4c36dffc0c5fe..f093094c68ffb628725eb01ac1819cff76491776 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.fit.rpt +++ b/examples/hdl4se_riscv/de1/de1_riscv.fit.rpt @@ -1,5 +1,5 @@ Fitter report for de1_riscv -Sun Aug 29 18:52:02 2021 +Mon Aug 30 18:42:25 2021 Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version @@ -12,18 +12,18 @@ Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version 4. Parallel Compilation 5. I/O Assignment Warnings 6. Fitter Netlist Optimizations - 7. Ignored Assignments - 8. Incremental Compilation Preservation Summary - 9. Incremental Compilation Partition Settings - 10. Incremental Compilation Placement Preservation - 11. Pin-Out File - 12. Fitter Resource Usage Summary - 13. Fitter Partition Statistics - 14. Input Pins - 15. Output Pins - 16. Bidir Pins - 17. I/O Bank Usage - 18. All Package Pins + 7. Incremental Compilation Preservation Summary + 8. Incremental Compilation Partition Settings + 9. Incremental Compilation Placement Preservation + 10. Pin-Out File + 11. Fitter Resource Usage Summary + 12. Fitter Partition Statistics + 13. Input Pins + 14. Output Pins + 15. Bidir Pins + 16. I/O Bank Usage + 17. All Package Pins + 18. PLL Usage Summary 19. Fitter Resource Utilization by Entity 20. Delay Chain Summary 21. Pad To Core Delay Chain Fanout @@ -67,24 +67,24 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------------+---------------------------------------------+ -; Fitter Status ; Successful - Sun Aug 29 18:52:02 2021 ; +; Fitter Status ; Successful - Mon Aug 30 18:42:25 2021 ; ; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Full Version ; ; Revision Name ; de1_riscv ; ; Top-level Entity Name ; de1_riscv ; ; Family ; Cyclone V ; ; Device ; 5CSEMA5F31C6 ; ; Timing Models ; Preliminary ; -; Logic utilization (in ALMs) ; 2,653 / 32,070 ( 8 % ) ; -; Total registers ; 2042 ; +; Logic utilization (in ALMs) ; 2,789 / 32,070 ( 9 % ) ; +; Total registers ; 1998 ; ; Total pins ; 204 / 457 ( 45 % ) ; ; Total virtual pins ; 0 ; -; Total block memory bits ; 82,944 / 4,065,280 ( 2 % ) ; +; Total block memory bits ; 1,067,008 / 4,065,280 ( 26 % ) ; ; Total DSP Blocks ; 10 / 87 ( 11 % ) ; ; Total HSSI RX PCSs ; 0 ; ; Total HSSI PMA RX Deserializers ; 0 ; ; Total HSSI TX PCSs ; 0 ; ; Total HSSI TX Channels ; 0 ; -; Total PLLs ; 0 / 6 ( 0 % ) ; +; Total PLLs ; 1 / 6 ( 17 % ) ; ; Total DLLs ; 0 / 4 ( 0 % ) ; +---------------------------------+---------------------------------------------+ @@ -151,17 +151,16 @@ applicable agreement for further details. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 20 ; -; Maximum allowed ; 10 ; +; Number detected on machine ; 4 ; +; Maximum allowed ; 2 ; ; ; ; -; Average used ; 1.65 ; -; Maximum used ; 10 ; +; Average used ; 1.36 ; +; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processors 2-4 ; 8.7% ; -; Processors 5-10 ; 6.5% ; -; Processors 11-20 ; 0.0% ; +; Processor 2 ; 36.5% ; +; Processors 3-4 ; 0.0% ; +----------------------------+-------------+ @@ -350,214 +349,177 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------+---------------------------------------------------+----------------------------+-----------+----------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+-----------------------+ ; Node ; Action ; Operation ; Reason ; Node Port ; Node Port Name ; Destination Node ; Destination Port ; Destination Port Name ; +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------+---------------------------------------------------+----------------------------+-----------+----------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+-----------------------+ -; CLOCK_50~inputCLKENA0 ; Created ; Placement ; Fitter Periphery Placement ; ; ; ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[4]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[20] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[20]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[74] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[74]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[84] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[84]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[87] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[87]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[88] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[88]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[89] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[89]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[132] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[132]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[139] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[139]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[154] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[154]~DUPLICATE ; ; ; +; clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|outclk_wire[0]~CLKENA0 ; Created ; Placement ; Fitter Periphery Placement ; ; ; ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[47] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[47]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[56] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[56]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[58] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[58]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[61] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[61]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[62] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[62]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[80] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[80]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[117] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[117]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[118] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[118]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[120] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[120]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[147] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[147]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[181] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[181]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[183] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[183]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[187] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[187]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[189] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[189]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[212] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[212]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[226] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[226]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[245] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[245]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[247] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[247]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[248] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[248]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[249] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[249]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[254] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[254]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[317] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[317]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[51] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[51]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[109] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[109]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[113] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[113]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[97] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[97]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[167] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[167]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[192] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[192]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[288] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[288]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[104] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[104]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[128] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[128]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[129] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[129]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[130] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[130]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[136] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[136]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[139] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[139]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[171] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[171]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[224] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[224]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[225] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[225]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[226] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[226]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[227] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[227]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[229] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[229]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[230] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[230]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[231] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[231]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[233] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[233]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[234] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[234]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[235] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[235]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[236] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[236]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[238] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[238]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[237] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[237]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[239] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[239]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[241] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[241]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[242] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[242]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[243] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[243]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[256] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[256]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[257] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[257]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[259] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[259]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[260] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[260]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[261] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[261]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[265] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[265]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[269] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[269]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[270] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[270]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[271] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[271]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[272] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[272]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[274] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[274]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[275] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[275]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[277] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[277]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[278] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[278]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[288] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[288]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[289] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[289]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[290] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[290]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[291] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[291]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[294] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[294]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[295] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[295]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[297] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[297]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[299] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[299]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[300] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[300]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[303] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[303]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[276] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[276]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[292] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[292]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[320] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[320]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[322] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[322]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[323] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[323]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[324] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[324]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[325] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[325]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[326] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[326]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[327] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[327]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[329] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[329]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[330] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[330]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[331] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[331]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[332] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[332]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[336] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[336]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[339] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[339]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[370] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[370]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[354] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[354]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[361] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[361]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[374] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[374]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[375] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[375]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[379] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[379]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[39] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[39]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[46] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[46]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[51] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[51]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[41] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[41]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[47] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[47]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[52] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[52]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[116] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[116]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[124] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[124]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[147] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[147]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[151] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[151]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[157] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[157]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[159] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[159]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[178] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[178]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[213] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[213]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[247] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[247]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[53] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[53]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[57] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[57]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[76] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[76]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[114] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[114]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[115] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[115]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[148] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[148]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[250] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[250]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[251] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[251]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[252] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[252]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[290] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[290]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[310] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[310]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[317] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[317]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[66] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[66]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[67] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[67]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[68] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[68]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[99] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[99]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[101] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[101]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[104] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[104]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[262] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[262]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[278] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[278]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[354] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[354]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[355] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[355]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[357] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[357]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[358] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[358]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[359] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[359]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[361] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[361]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[362] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[362]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[353] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[353]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[70] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[70]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[98] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[98]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[128] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[128]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[129] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[129]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[133] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[133]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[137] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[137]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[138] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[138]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[139] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[139]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[162] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[162]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[172] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[172]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[224] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[224]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[225] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[225]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[226] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[226]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[227] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[227]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[228] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[228]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[229] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[229]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[230] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[230]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[231] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[231]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[232] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[232]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[234] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[234]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[235] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[235]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[236] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[236]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[240] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[240]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[241] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[241]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[243] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[243]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[260] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[260]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[261] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[261]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[288] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[288]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[293] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[293]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[304] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[304]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[309] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[309]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[259] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[259]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[311] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[311]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[313] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[313]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[320] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[320]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[322] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[322]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[323] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[323]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[325] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[325]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[335] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[335]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[338] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[338]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[347] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[347]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[352] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[352]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[355] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[355]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[358] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[358]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[329] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[329]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[333] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[333]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[343] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[343]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[353] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[353]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[356] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[356]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[362] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[362]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[364] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[364]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[365] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[365]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[368] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[368]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[375] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[375]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[382] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[382]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[0]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[1]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[2]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[0]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[2]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[3]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[1]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1|counter_reg_bit[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1|counter_reg_bit[0]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1|counter_reg_bit[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1|counter_reg_bit[1]~DUPLICATE ; ; ; -; riscv_core:core|dstreg[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|dstreg[0]~DUPLICATE ; ; ; -; riscv_core:core|dstreg[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|dstreg[2]~DUPLICATE ; ; ; -; riscv_core:core|imm[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[1]~DUPLICATE ; ; ; -; riscv_core:core|imm[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[3]~DUPLICATE ; ; ; -; riscv_core:core|imm[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[5]~DUPLICATE ; ; ; -; riscv_core:core|imm[9] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[9]~DUPLICATE ; ; ; -; riscv_core:core|imm[10] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[10]~DUPLICATE ; ; ; -; riscv_core:core|imm[19] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[19]~DUPLICATE ; ; ; -; riscv_core:core|imm[21] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[21]~DUPLICATE ; ; ; -; riscv_core:core|imm[23] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[23]~DUPLICATE ; ; ; -; riscv_core:core|imm[28] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[28]~DUPLICATE ; ; ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[0]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[374] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[374]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[380] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[380]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[2]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[1]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[2]~DUPLICATE ; ; ; +; riscv_core:core|dstreg[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|dstreg[3]~DUPLICATE ; ; ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[2]~DUPLICATE ; ; ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[5]~DUPLICATE ; ; ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[2]~DUPLICATE ; ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[3]~DUPLICATE ; ; ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[4]~DUPLICATE ; ; ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[2]~DUPLICATE ; ; ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[7] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[7]~DUPLICATE ; ; ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0]~DUPLICATE ; ; ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[4]~DUPLICATE ; ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[4]~DUPLICATE ; ; ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[5]~DUPLICATE ; ; ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[6] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[6]~DUPLICATE ; ; ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[8] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[8]~DUPLICATE ; ; ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|empty_dff ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|empty_dff~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[7] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[7]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------+---------------------------------------------------+----------------------------+-----------+----------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+-----------------------+ -+-----------------------------------------------------------------------------------------------------------------------------------------------------+ -; Ignored Assignments ; -+--------------------------+----------------+--------------+-------------------------------------------+---------------+------------------------------+ -; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; -+--------------------------+----------------+--------------+-------------------------------------------+---------------+------------------------------+ -; PLL Bandwidth Preset ; de1_riscv ; ; *clk100M_0002*|altera_pll:altera_pll_i*|* ; AUTO ; clk/clk100M/clk100M_0002.qip ; -; PLL Compensation Mode ; de1_riscv ; ; *clk100M_0002*|altera_pll:altera_pll_i*|* ; DIRECT ; clk/clk100M/clk100M_0002.qip ; -; PLL Automatic Self-Reset ; de1_riscv ; ; *clk100M_0002*|altera_pll:altera_pll_i*|* ; OFF ; clk/clk100M/clk100M_0002.qip ; -+--------------------------+----------------+--------------+-------------------------------------------+---------------+------------------------------+ - - +---------------------------------------------------------------------------------------------------+ ; Incremental Compilation Preservation Summary ; +---------------------+---------------------+----------------------------+--------------------------+ ; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; +---------------------+---------------------+----------------------------+--------------------------+ ; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 6532 ) ; 0.00 % ( 0 / 6532 ) ; 0.00 % ( 0 / 6532 ) ; -; -- Achieved ; 0.00 % ( 0 / 6532 ) ; 0.00 % ( 0 / 6532 ) ; 0.00 % ( 0 / 6532 ) ; +; -- Requested ; 0.00 % ( 0 / 6850 ) ; 0.00 % ( 0 / 6850 ) ; 0.00 % ( 0 / 6850 ) ; +; -- Achieved ; 0.00 % ( 0 / 6850 ) ; 0.00 % ( 0 / 6850 ) ; 0.00 % ( 0 / 6850 ) ; ; ; ; ; ; ; Routing (by net) ; ; ; ; ; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; @@ -580,8 +542,8 @@ applicable agreement for further details. +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ ; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 6532 ) ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; 0.00 % ( 0 / 0 ) ; N/A ; Source File ; N/A ; ; +; Top ; 0.00 % ( 0 / 6841 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 9 ) ; N/A ; Source File ; N/A ; ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ @@ -591,107 +553,107 @@ applicable agreement for further details. The pin-out file can be found in D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/de1_riscv.pin. -+-------------------------------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+-------------------------------------------------------------+---------------------+-------+ -; Resource ; Usage ; % ; -+-------------------------------------------------------------+---------------------+-------+ -; Logic utilization (ALMs needed / total ALMs on device) ; 2,653 / 32,070 ; 8 % ; -; ALMs needed [=A-B+C] ; 2,653 ; ; -; [A] ALMs used in final placement [=a+b+c+d] ; 2,682 / 32,070 ; 8 % ; -; [a] ALMs used for LUT logic and registers ; 587 ; ; -; [b] ALMs used for LUT logic ; 1,651 ; ; -; [c] ALMs used for registers ; 354 ; ; -; [d] ALMs used for memory (up to half of total ALMs) ; 90 ; ; -; [B] Estimate of ALMs recoverable by dense packing ; 104 / 32,070 ; < 1 % ; -; [C] Estimate of ALMs unavailable [=a+b+c+d] ; 75 / 32,070 ; < 1 % ; -; [a] Due to location constrained logic ; 4 ; ; -; [b] Due to LAB-wide signal conflicts ; 14 ; ; -; [c] Due to LAB input limits ; 57 ; ; -; [d] Due to virtual I/Os ; 0 ; ; -; ; ; ; -; Difficulty packing design ; Low ; ; -; ; ; ; -; Total LABs: partially or completely used ; 305 / 3,207 ; 10 % ; -; -- Logic LABs ; 296 ; ; -; -- Memory LABs (up to half of total LABs) ; 9 ; ; -; ; ; ; -; Combinational ALUT usage for logic ; 4,060 ; ; -; -- 7 input functions ; 75 ; ; -; -- 6 input functions ; 406 ; ; -; -- 5 input functions ; 448 ; ; -; -- 4 input functions ; 939 ; ; -; -- <=3 input functions ; 2,192 ; ; -; Combinational ALUT usage for route-throughs ; 467 ; ; -; Memory ALUT usage ; 68 ; ; -; -- 64-address deep ; 0 ; ; -; -- 32-address deep ; 68 ; ; -; ; ; ; -; Dedicated logic registers ; 2,042 ; ; -; -- By type: ; ; ; -; -- Primary logic registers ; 1,881 / 64,140 ; 3 % ; -; -- Secondary logic registers ; 161 / 64,140 ; < 1 % ; -; -- By function: ; ; ; -; -- Design implementation registers ; 1,887 ; ; -; -- Routing optimization registers ; 155 ; ; -; ; ; ; -; Virtual pins ; 0 ; ; -; I/O pins ; 204 / 457 ; 45 % ; -; -- Clock pins ; 8 / 8 ; 100 % ; -; -- Dedicated input pins ; 0 / 21 ; 0 % ; -; ; ; ; -; Hard processor system peripheral utilization ; ; ; -; -- Boot from FPGA ; 0 / 1 ( 0 % ) ; ; -; -- Clock resets ; 0 / 1 ( 0 % ) ; ; -; -- Cross trigger ; 0 / 1 ( 0 % ) ; ; -; -- S2F AXI ; 0 / 1 ( 0 % ) ; ; -; -- F2S AXI ; 0 / 1 ( 0 % ) ; ; -; -- AXI Lightweight ; 0 / 1 ( 0 % ) ; ; -; -- SDRAM ; 0 / 1 ( 0 % ) ; ; -; -- Interrupts ; 0 / 1 ( 0 % ) ; ; -; -- JTAG ; 0 / 1 ( 0 % ) ; ; -; -- Loan I/O ; 0 / 1 ( 0 % ) ; ; -; -- MPU event standby ; 0 / 1 ( 0 % ) ; ; -; -- MPU general purpose ; 0 / 1 ( 0 % ) ; ; -; -- STM event ; 0 / 1 ( 0 % ) ; ; -; -- TPIU trace ; 0 / 1 ( 0 % ) ; ; -; -- DMA ; 0 / 1 ( 0 % ) ; ; -; -- CAN ; 0 / 2 ( 0 % ) ; ; -; -- EMAC ; 0 / 2 ( 0 % ) ; ; -; -- I2C ; 0 / 4 ( 0 % ) ; ; -; -- NAND Flash ; 0 / 1 ( 0 % ) ; ; -; -- QSPI ; 0 / 1 ( 0 % ) ; ; -; -- SDMMC ; 0 / 1 ( 0 % ) ; ; -; -- SPI Master ; 0 / 2 ( 0 % ) ; ; -; -- SPI Slave ; 0 / 2 ( 0 % ) ; ; -; -- UART ; 0 / 2 ( 0 % ) ; ; -; -- USB ; 0 / 2 ( 0 % ) ; ; -; ; ; ; -; Global signals ; 1 ; ; -; M10K blocks ; 11 / 397 ; 3 % ; -; Total MLAB memory bits ; 521 ; ; -; Total block memory bits ; 82,944 / 4,065,280 ; 2 % ; -; Total block memory implementation bits ; 112,640 / 4,065,280 ; 3 % ; -; Total DSP Blocks ; 10 / 87 ; 11 % ; -; Fractional PLLs ; 0 / 6 ; 0 % ; -; Global clocks ; 1 / 16 ; 6 % ; -; Quadrant clocks ; 0 / 66 ; 0 % ; -; Horizontal periphery clocks and Vertical periphery clocks ; 0 / 18 ; 0 % ; -; SERDES Transmitters ; 0 / 100 ; 0 % ; -; SERDES Receivers ; 0 / 100 ; 0 % ; -; JTAGs ; 0 / 1 ; 0 % ; -; ASMI blocks ; 0 / 1 ; 0 % ; -; CRC blocks ; 0 / 1 ; 0 % ; -; Remote update blocks ; 0 / 1 ; 0 % ; -; Impedance control blocks ; 0 / 4 ; 0 % ; -; Hard Memory Controllers ; 0 / 2 ; 0 % ; -; Average interconnect usage (total/H/V) ; 2% / 2% / 3% ; ; -; Peak interconnect usage (total/H/V) ; 33% / 32% / 37% ; ; -; Maximum fan-out ; 2192 ; ; -; Highest non-global fan-out ; 356 ; ; -; Total fan-out ; 22768 ; ; -; Average fan-out ; 3.19 ; ; -+-------------------------------------------------------------+---------------------+-------+ ++---------------------------------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++-------------------------------------------------------------+-----------------------+-------+ +; Resource ; Usage ; % ; ++-------------------------------------------------------------+-----------------------+-------+ +; Logic utilization (ALMs needed / total ALMs on device) ; 2,789 / 32,070 ; 9 % ; +; ALMs needed [=A-B+C] ; 2,789 ; ; +; [A] ALMs used in final placement [=a+b+c+d] ; 2,828 / 32,070 ; 9 % ; +; [a] ALMs used for LUT logic and registers ; 564 ; ; +; [b] ALMs used for LUT logic ; 1,794 ; ; +; [c] ALMs used for registers ; 370 ; ; +; [d] ALMs used for memory (up to half of total ALMs) ; 100 ; ; +; [B] Estimate of ALMs recoverable by dense packing ; 121 / 32,070 ; < 1 % ; +; [C] Estimate of ALMs unavailable [=a+b+c+d] ; 82 / 32,070 ; < 1 % ; +; [a] Due to location constrained logic ; 4 ; ; +; [b] Due to LAB-wide signal conflicts ; 23 ; ; +; [c] Due to LAB input limits ; 55 ; ; +; [d] Due to virtual I/Os ; 0 ; ; +; ; ; ; +; Difficulty packing design ; Low ; ; +; ; ; ; +; Total LABs: partially or completely used ; 327 / 3,207 ; 10 % ; +; -- Logic LABs ; 317 ; ; +; -- Memory LABs (up to half of total LABs) ; 10 ; ; +; ; ; ; +; Combinational ALUT usage for logic ; 4,229 ; ; +; -- 7 input functions ; 50 ; ; +; -- 6 input functions ; 464 ; ; +; -- 5 input functions ; 466 ; ; +; -- 4 input functions ; 959 ; ; +; -- <=3 input functions ; 2,290 ; ; +; Combinational ALUT usage for route-throughs ; 521 ; ; +; Memory ALUT usage ; 103 ; ; +; -- 64-address deep ; 0 ; ; +; -- 32-address deep ; 103 ; ; +; ; ; ; +; Dedicated logic registers ; 1,998 ; ; +; -- By type: ; ; ; +; -- Primary logic registers ; 1,866 / 64,140 ; 3 % ; +; -- Secondary logic registers ; 132 / 64,140 ; < 1 % ; +; -- By function: ; ; ; +; -- Design implementation registers ; 1,871 ; ; +; -- Routing optimization registers ; 127 ; ; +; ; ; ; +; Virtual pins ; 0 ; ; +; I/O pins ; 204 / 457 ; 45 % ; +; -- Clock pins ; 8 / 8 ; 100 % ; +; -- Dedicated input pins ; 0 / 21 ; 0 % ; +; ; ; ; +; Hard processor system peripheral utilization ; ; ; +; -- Boot from FPGA ; 0 / 1 ( 0 % ) ; ; +; -- Clock resets ; 0 / 1 ( 0 % ) ; ; +; -- Cross trigger ; 0 / 1 ( 0 % ) ; ; +; -- S2F AXI ; 0 / 1 ( 0 % ) ; ; +; -- F2S AXI ; 0 / 1 ( 0 % ) ; ; +; -- AXI Lightweight ; 0 / 1 ( 0 % ) ; ; +; -- SDRAM ; 0 / 1 ( 0 % ) ; ; +; -- Interrupts ; 0 / 1 ( 0 % ) ; ; +; -- JTAG ; 0 / 1 ( 0 % ) ; ; +; -- Loan I/O ; 0 / 1 ( 0 % ) ; ; +; -- MPU event standby ; 0 / 1 ( 0 % ) ; ; +; -- MPU general purpose ; 0 / 1 ( 0 % ) ; ; +; -- STM event ; 0 / 1 ( 0 % ) ; ; +; -- TPIU trace ; 0 / 1 ( 0 % ) ; ; +; -- DMA ; 0 / 1 ( 0 % ) ; ; +; -- CAN ; 0 / 2 ( 0 % ) ; ; +; -- EMAC ; 0 / 2 ( 0 % ) ; ; +; -- I2C ; 0 / 4 ( 0 % ) ; ; +; -- NAND Flash ; 0 / 1 ( 0 % ) ; ; +; -- QSPI ; 0 / 1 ( 0 % ) ; ; +; -- SDMMC ; 0 / 1 ( 0 % ) ; ; +; -- SPI Master ; 0 / 2 ( 0 % ) ; ; +; -- SPI Slave ; 0 / 2 ( 0 % ) ; ; +; -- UART ; 0 / 2 ( 0 % ) ; ; +; -- USB ; 0 / 2 ( 0 % ) ; ; +; ; ; ; +; Global signals ; 1 ; ; +; M10K blocks ; 132 / 397 ; 33 % ; +; Total MLAB memory bits ; 736 ; ; +; Total block memory bits ; 1,067,008 / 4,065,280 ; 26 % ; +; Total block memory implementation bits ; 1,351,680 / 4,065,280 ; 33 % ; +; Total DSP Blocks ; 10 / 87 ; 11 % ; +; Fractional PLLs ; 1 / 6 ; 17 % ; +; Global clocks ; 1 / 16 ; 6 % ; +; Quadrant clocks ; 0 / 66 ; 0 % ; +; Horizontal periphery clocks and Vertical periphery clocks ; 0 / 18 ; 0 % ; +; SERDES Transmitters ; 0 / 100 ; 0 % ; +; SERDES Receivers ; 0 / 100 ; 0 % ; +; JTAGs ; 0 / 1 ; 0 % ; +; ASMI blocks ; 0 / 1 ; 0 % ; +; CRC blocks ; 0 / 1 ; 0 % ; +; Remote update blocks ; 0 / 1 ; 0 % ; +; Impedance control blocks ; 0 / 4 ; 0 % ; +; Hard Memory Controllers ; 0 / 2 ; 0 % ; +; Average interconnect usage (total/H/V) ; 4% / 4% / 4% ; ; +; Peak interconnect usage (total/H/V) ; 46% / 47% / 45% ; ; +; Maximum fan-out ; 2340 ; ; +; Highest non-global fan-out ; 277 ; ; +; Total fan-out ; 25984 ; ; +; Average fan-out ; 3.48 ; ; ++-------------------------------------------------------------+-----------------------+-------+ +----------------------------------------------------------------------------------------------------------------------+ @@ -699,72 +661,76 @@ The pin-out file can be found in D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/de1 +-------------------------------------------------------------+-----------------------+--------------------------------+ ; Statistic ; Top ; hard_block:auto_generated_inst ; +-------------------------------------------------------------+-----------------------+--------------------------------+ -; Logic utilization (ALMs needed / total ALMs on device) ; 2653 / 32070 ( 8 % ) ; 0 / 32070 ( 0 % ) ; -; ALMs needed [=A-B+C] ; 2653 ; 0 ; -; [A] ALMs used in final placement [=a+b+c+d] ; 2682 / 32070 ( 8 % ) ; 0 / 32070 ( 0 % ) ; -; [a] ALMs used for LUT logic and registers ; 587 ; 0 ; -; [b] ALMs used for LUT logic ; 1651 ; 0 ; -; [c] ALMs used for registers ; 354 ; 0 ; -; [d] ALMs used for memory (up to half of total ALMs) ; 90 ; 0 ; -; [B] Estimate of ALMs recoverable by dense packing ; 104 / 32070 ( < 1 % ) ; 0 / 32070 ( 0 % ) ; -; [C] Estimate of ALMs unavailable [=a+b+c+d] ; 75 / 32070 ( < 1 % ) ; 0 / 32070 ( 0 % ) ; +; Logic utilization (ALMs needed / total ALMs on device) ; 2789 / 32070 ( 9 % ) ; 0 / 32070 ( 0 % ) ; +; ALMs needed [=A-B+C] ; 2789 ; 0 ; +; [A] ALMs used in final placement [=a+b+c+d] ; 2828 / 32070 ( 9 % ) ; 0 / 32070 ( 0 % ) ; +; [a] ALMs used for LUT logic and registers ; 564 ; 0 ; +; [b] ALMs used for LUT logic ; 1794 ; 0 ; +; [c] ALMs used for registers ; 370 ; 0 ; +; [d] ALMs used for memory (up to half of total ALMs) ; 100 ; 0 ; +; [B] Estimate of ALMs recoverable by dense packing ; 121 / 32070 ( < 1 % ) ; 0 / 32070 ( 0 % ) ; +; [C] Estimate of ALMs unavailable [=a+b+c+d] ; 82 / 32070 ( < 1 % ) ; 0 / 32070 ( 0 % ) ; ; [a] Due to location constrained logic ; 4 ; 0 ; -; [b] Due to LAB-wide signal conflicts ; 14 ; 0 ; -; [c] Due to LAB input limits ; 57 ; 0 ; +; [b] Due to LAB-wide signal conflicts ; 23 ; 0 ; +; [c] Due to LAB input limits ; 55 ; 0 ; ; [d] Due to virtual I/Os ; 0 ; 0 ; ; ; ; ; ; Difficulty packing design ; Low ; Low ; ; ; ; ; -; Total LABs: partially or completely used ; 305 / 3207 ( 10 % ) ; 0 / 3207 ( 0 % ) ; -; -- Logic LABs ; 296 ; 0 ; -; -- Memory LABs (up to half of total LABs) ; 9 ; 0 ; +; Total LABs: partially or completely used ; 327 / 3207 ( 10 % ) ; 0 / 3207 ( 0 % ) ; +; -- Logic LABs ; 317 ; 0 ; +; -- Memory LABs (up to half of total LABs) ; 10 ; 0 ; ; ; ; ; -; Combinational ALUT usage for logic ; 4128 ; 0 ; -; -- 7 input functions ; 75 ; 0 ; -; -- 6 input functions ; 406 ; 0 ; -; -- 5 input functions ; 448 ; 0 ; -; -- 4 input functions ; 939 ; 0 ; -; -- <=3 input functions ; 2192 ; 0 ; -; Combinational ALUT usage for route-throughs ; 467 ; 0 ; -; Memory ALUT usage ; 68 ; 0 ; +; Combinational ALUT usage for logic ; 4332 ; 0 ; +; -- 7 input functions ; 50 ; 0 ; +; -- 6 input functions ; 464 ; 0 ; +; -- 5 input functions ; 466 ; 0 ; +; -- 4 input functions ; 959 ; 0 ; +; -- <=3 input functions ; 2290 ; 0 ; +; Combinational ALUT usage for route-throughs ; 521 ; 0 ; +; Memory ALUT usage ; 103 ; 0 ; ; -- 64-address deep ; 0 ; 0 ; -; -- 32-address deep ; 68 ; 0 ; +; -- 32-address deep ; 103 ; 0 ; ; ; ; ; ; Dedicated logic registers ; 0 ; 0 ; ; -- By type: ; ; ; -; -- Primary logic registers ; 1881 / 64140 ( 3 % ) ; 0 / 64140 ( 0 % ) ; -; -- Secondary logic registers ; 161 / 64140 ( < 1 % ) ; 0 / 64140 ( 0 % ) ; +; -- Primary logic registers ; 1866 / 64140 ( 3 % ) ; 0 / 64140 ( 0 % ) ; +; -- Secondary logic registers ; 132 / 64140 ( < 1 % ) ; 0 / 64140 ( 0 % ) ; ; -- By function: ; ; ; -; -- Design implementation registers ; 1887 ; 0 ; -; -- Routing optimization registers ; 155 ; 0 ; +; -- Design implementation registers ; 1871 ; 0 ; +; -- Routing optimization registers ; 127 ; 0 ; ; ; ; ; ; ; ; ; ; Virtual pins ; 0 ; 0 ; -; I/O pins ; 204 ; 0 ; +; I/O pins ; 202 ; 2 ; ; I/O registers ; 0 ; 0 ; -; Total block memory bits ; 82944 ; 0 ; -; Total block memory implementation bits ; 112640 ; 0 ; -; M10K block ; 11 / 397 ( 2 % ) ; 0 / 397 ( 0 % ) ; +; Total block memory bits ; 1067008 ; 0 ; +; Total block memory implementation bits ; 1351680 ; 0 ; +; M10K block ; 132 / 397 ( 33 % ) ; 0 / 397 ( 0 % ) ; ; DSP block ; 10 / 87 ( 11 % ) ; 0 / 87 ( 0 % ) ; -; Clock enable block ; 1 / 116 ( < 1 % ) ; 0 / 116 ( 0 % ) ; +; Clock enable block ; 0 / 116 ( 0 % ) ; 1 / 116 ( < 1 % ) ; +; Fractional PLL ; 0 / 6 ( 0 % ) ; 1 / 6 ( 16 % ) ; +; PLL Output Counter ; 0 / 54 ( 0 % ) ; 1 / 54 ( 1 % ) ; +; PLL Reconfiguration Block ; 0 / 6 ( 0 % ) ; 1 / 6 ( 16 % ) ; +; PLL Reference Clock Select Block ; 0 / 6 ( 0 % ) ; 1 / 6 ( 16 % ) ; ; ; ; ; ; Connections ; ; ; -; -- Input Connections ; 60 ; 0 ; -; -- Registered Input Connections ; 0 ; 0 ; -; -- Output Connections ; 60 ; 0 ; +; -- Input Connections ; 2670 ; 0 ; +; -- Registered Input Connections ; 2223 ; 0 ; +; -- Output Connections ; 60 ; 2610 ; ; -- Registered Output Connections ; 0 ; 0 ; ; ; ; ; ; Internal Connections ; ; ; -; -- Total Connections ; 23931 ; 0 ; -; -- Registered Connections ; 7007 ; 0 ; +; -- Total Connections ; 28826 ; 2644 ; +; -- Registered Connections ; 11155 ; 0 ; ; ; ; ; ; External Connections ; ; ; -; -- Top ; 120 ; 0 ; -; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; -- Top ; 120 ; 2610 ; +; -- hard_block:auto_generated_inst ; 2610 ; 0 ; ; ; ; ; ; Partition Interface ; ; ; -; -- Input Ports ; 32 ; 0 ; -; -- Output Ports ; 112 ; 0 ; +; -- Input Ports ; 32 ; 2 ; +; -- Output Ports ; 112 ; 2 ; ; -- Bidir Ports ; 60 ; 0 ; ; ; ; ; ; Registered Ports ; ; ; @@ -793,12 +759,12 @@ The pin-out file can be found in D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/de1 ; CLOCK2_50 ; AA16 ; 4A ; 56 ; 0 ; 0 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; CLOCK3_50 ; Y26 ; 5B ; 89 ; 25 ; 3 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; CLOCK4_50 ; K14 ; 8A ; 32 ; 81 ; 0 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; -; CLOCK_50 ; AF14 ; 3B ; 32 ; 0 ; 0 ; 2192 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; +; CLOCK_50 ; AF14 ; 3B ; 32 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; IRDA_RXD ; AA30 ; 5B ; 89 ; 21 ; 20 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; KEY[0] ; AA14 ; 3B ; 36 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; -; KEY[1] ; AA15 ; 3B ; 36 ; 0 ; 17 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; +; KEY[1] ; AA15 ; 3B ; 36 ; 0 ; 17 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; KEY[2] ; W15 ; 3B ; 40 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; -; KEY[3] ; Y16 ; 3B ; 40 ; 0 ; 17 ; 267 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; +; KEY[3] ; Y16 ; 3B ; 40 ; 0 ; 17 ; 271 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; SW[0] ; AB12 ; 3A ; 12 ; 0 ; 17 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; SW[1] ; AC12 ; 3A ; 16 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; SW[2] ; AF9 ; 3A ; 8 ; 0 ; 34 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; @@ -806,7 +772,7 @@ The pin-out file can be found in D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/de1 ; SW[4] ; AD11 ; 3A ; 2 ; 0 ; 40 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; SW[5] ; AD12 ; 3A ; 16 ; 0 ; 17 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; SW[6] ; AE11 ; 3A ; 4 ; 0 ; 34 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; -; SW[7] ; AC9 ; 3A ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; +; SW[7] ; AC9 ; 3A ; 4 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; SW[8] ; AD10 ; 3A ; 4 ; 0 ; 17 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; SW[9] ; AE12 ; 3A ; 2 ; 0 ; 57 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; TD_CLK27 ; H15 ; 8A ; 40 ; 81 ; 0 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; @@ -1938,97 +1904,158 @@ The pin-out file can be found in D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/de1 Note: Pin directions (input, output or bidir) are based on device operating in user mode. ++---------------------------------------------------------------------------------------------------------------------------------------------+ +; PLL Usage Summary ; ++----------------------------------------------------------------------------------------------------------------+----------------------------+ +; ; ; ++----------------------------------------------------------------------------------------------------------------+----------------------------+ +; clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|general[0].gpll~FRACTIONAL_PLL ; ; +; -- PLL Type ; Integer PLL ; +; -- PLL Location ; FRACTIONALPLL_X0_Y15_N0 ; +; -- PLL Feedback clock type ; none ; +; -- PLL Bandwidth ; Auto (Low) ; +; -- PLL Bandwidth Range ; 2000000 to 1500000 Hz ; +; -- Reference Clock Frequency ; 50.0 MHz ; +; -- Reference Clock Sourced by ; Dedicated Pin ; +; -- PLL VCO Frequency ; 300.0 MHz ; +; -- PLL Operation Mode ; Direct ; +; -- PLL Freq Min Lock ; 50.000000 MHz ; +; -- PLL Freq Max Lock ; 133.333333 MHz ; +; -- PLL Enable ; On ; +; -- PLL Fractional Division ; N/A ; +; -- M Counter ; 6 ; +; -- N Counter ; 1 ; +; -- PLL Refclk Select ; ; +; -- PLL Refclk Select Location ; PLLREFCLKSELECT_X0_Y21_N0 ; +; -- PLL Reference Clock Input 0 source ; clk_0 ; +; -- PLL Reference Clock Input 1 source ; ref_clk1 ; +; -- ADJPLLIN source ; N/A ; +; -- CORECLKIN source ; N/A ; +; -- IQTXRXCLKIN source ; N/A ; +; -- PLLIQCLKIN source ; N/A ; +; -- RXIQCLKIN source ; N/A ; +; -- CLKIN(0) source ; CLOCK_50~input ; +; -- CLKIN(1) source ; N/A ; +; -- CLKIN(2) source ; N/A ; +; -- CLKIN(3) source ; N/A ; +; -- PLL Output Counter ; ; +; -- clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER ; ; +; -- Output Clock Frequency ; 50.0 MHz ; +; -- Output Clock Location ; PLLOUTPUTCOUNTER_X0_Y20_N1 ; +; -- C Counter Odd Divider Even Duty Enable ; Off ; +; -- Duty Cycle ; 50.0000 ; +; -- Phase Shift ; 0.000000 degrees ; +; -- C Counter ; 6 ; +; -- C Counter PH Mux PRST ; 0 ; +; -- C Counter PRST ; 1 ; +; ; ; ++----------------------------------------------------------------------------------------------------------------+----------------------------+ + + +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fitter Resource Utilization by Entity ; +-------------------------------------------------------------------+----------------------+----------------------------------+---------------------------------------------------+----------------------------------+----------------------+---------------------+---------------------------+---------------+-------------------+-------+------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; ALMs needed [=A-B+C] ; [A] ALMs used in final placement ; [B] Estimate of ALMs recoverable by dense packing ; [C] Estimate of ALMs unavailable ; ALMs used for memory ; Combinational ALUTs ; Dedicated Logic Registers ; I/O Registers ; Block Memory Bits ; M10Ks ; DSP Blocks ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; +-------------------------------------------------------------------+----------------------+----------------------------------+---------------------------------------------------+----------------------------------+----------------------+---------------------+---------------------------+---------------+-------------------+-------+------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ -; |de1_riscv ; 2652.5 (78.2) ; 2681.5 (79.5) ; 103.0 (2.4) ; 74.0 (1.2) ; 90.0 (0.0) ; 4060 (132) ; 2042 (71) ; 0 (0) ; 82944 ; 11 ; 10 ; 204 ; 0 ; |de1_riscv ; work ; -; |ram8kb:ram| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |de1_riscv|ram8kb:ram ; work ; -; |altsyncram:altsyncram_component| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |de1_riscv|ram8kb:ram|altsyncram:altsyncram_component ; work ; -; |altsyncram_vgr1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |de1_riscv|ram8kb:ram|altsyncram:altsyncram_component|altsyncram_vgr1:auto_generated ; work ; +; |de1_riscv ; 2789.0 (128.0) ; 2826.5 (126.7) ; 118.5 (4.6) ; 81.0 (6.0) ; 100.0 (0.0) ; 4229 (203) ; 1998 (76) ; 0 (0) ; 1067008 ; 132 ; 10 ; 204 ; 0 ; |de1_riscv ; work ; +; |clk100M:clk100| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|clk100M:clk100 ; clk100M ; +; |clk100M_0002:clk100m_inst| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|clk100M:clk100|clk100M_0002:clk100m_inst ; clk100M ; +; |altera_pll:altera_pll_i| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i ; work ; +; |ram128kB:ram| ; 6.4 (0.0) ; 6.3 (0.0) ; 0.0 (0.0) ; 0.1 (0.0) ; 0.0 (0.0) ; 10 (0) ; 2 (0) ; 0 (0) ; 1048576 ; 128 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram ; work ; +; |altsyncram:altsyncram_component| ; 6.4 (0.0) ; 6.3 (0.0) ; 0.0 (0.0) ; 0.1 (0.0) ; 0.0 (0.0) ; 10 (0) ; 2 (0) ; 0 (0) ; 1048576 ; 128 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component ; work ; +; |altsyncram_vir1:auto_generated| ; 6.4 (0.6) ; 6.3 (0.6) ; 0.0 (0.0) ; 0.1 (0.0) ; 0.0 (0.0) ; 10 (0) ; 2 (2) ; 0 (0) ; 1048576 ; 128 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated ; work ; +; |decode_11a:rden_decode| ; 1.3 (1.3) ; 1.3 (1.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode ; work ; +; |decode_8la:decode3| ; 2.3 (2.3) ; 2.3 (2.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3 ; work ; +; |mux_5hb:mux2| ; 2.1 (2.1) ; 2.0 (2.0) ; 0.0 (0.0) ; 0.1 (0.1) ; 0.0 (0.0) ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|mux_5hb:mux2 ; work ; ; |regfile:regs| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs ; work ; ; |altsyncram:altsyncram_component| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs|altsyncram:altsyncram_component ; work ; ; |altsyncram_nco1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated ; work ; -; |riscv_core:core| ; 2387.6 (834.1) ; 2416.3 (817.2) ; 88.6 (9.6) ; 59.8 (26.6) ; 90.0 (0.0) ; 3661 (1213) ; 1664 (232) ; 0 (0) ; 0 ; 0 ; 10 ; 0 ; 0 ; |de1_riscv|riscv_core:core ; work ; -; |adder:add| ; 17.0 (0.0) ; 16.5 (0.0) ; 0.0 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 33 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add ; work ; -; |lpm_add_sub:LPM_ADD_SUB_component| ; 17.0 (0.0) ; 16.5 (0.0) ; 0.0 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 33 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component ; work ; -; |add_sub_tih:auto_generated| ; 17.0 (17.0) ; 16.5 (16.5) ; 0.0 (0.0) ; 0.5 (0.5) ; 0.0 (0.0) ; 33 (33) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component|add_sub_tih:auto_generated ; work ; -; |div:div| ; 624.6 (0.0) ; 638.2 (0.0) ; 32.3 (0.0) ; 18.7 (0.0) ; 0.0 (0.0) ; 1030 (0) ; 644 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div ; work ; -; |lpm_divide:LPM_DIVIDE_component| ; 624.6 (0.0) ; 638.2 (0.0) ; 32.3 (0.0) ; 18.7 (0.0) ; 0.0 (0.0) ; 1030 (0) ; 644 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component ; work ; -; |lpm_divide_2jt:auto_generated| ; 624.6 (0.0) ; 638.2 (0.0) ; 32.3 (0.0) ; 18.7 (0.0) ; 0.0 (0.0) ; 1030 (0) ; 644 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated ; work ; -; |sign_div_unsign_8ai:divider| ; 624.6 (0.0) ; 638.2 (0.0) ; 32.3 (0.0) ; 18.7 (0.0) ; 0.0 (0.0) ; 1030 (0) ; 644 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider ; work ; -; |alt_u_div_nlf:divider| ; 624.6 (624.6) ; 638.2 (638.2) ; 32.3 (32.3) ; 18.7 (18.7) ; 0.0 (0.0) ; 1030 (1030) ; 644 (644) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider ; work ; -; |div_s:divs| ; 844.7 (0.0) ; 879.4 (0.0) ; 46.6 (0.0) ; 12.0 (0.0) ; 90.0 (0.0) ; 1255 (0) ; 788 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs ; work ; -; |lpm_divide:LPM_DIVIDE_component| ; 844.7 (0.0) ; 879.4 (0.0) ; 46.6 (0.0) ; 12.0 (0.0) ; 90.0 (0.0) ; 1255 (0) ; 788 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component ; work ; -; |lpm_divide_s4t:auto_generated| ; 844.7 (0.0) ; 879.4 (0.0) ; 46.6 (0.0) ; 12.0 (0.0) ; 90.0 (0.0) ; 1255 (0) ; 788 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated ; work ; -; |sign_div_unsign_2sh:divider| ; 844.7 (61.1) ; 879.4 (58.1) ; 46.6 (1.1) ; 12.0 (4.1) ; 90.0 (0.0) ; 1255 (138) ; 788 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider ; work ; -; |alt_u_div_5eg:divider| ; 783.7 (638.1) ; 821.3 (666.1) ; 45.5 (35.9) ; 7.9 (7.9) ; 90.0 (0.0) ; 1117 (1008) ; 776 (673) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider ; work ; -; |altshift_taps:DFFNumerator_rtl_0| ; 16.6 (0.0) ; 17.8 (0.0) ; 1.2 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (0) ; 12 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 ; work ; -; |shift_taps_bl21:auto_generated| ; 16.6 (2.4) ; 17.8 (2.7) ; 1.2 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (7) ; 12 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated ; work ; -; |altsyncram_fr91:altsyncram5| ; 11.1 (11.1) ; 11.7 (11.7) ; 0.6 (0.6) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5 ; work ; -; |cntr_8jf:cntr1| ; 3.2 (3.2) ; 3.5 (3.5) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_1| ; 17.2 (0.0) ; 18.5 (0.0) ; 1.3 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 15 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 ; work ; -; |shift_taps_cl21:auto_generated| ; 17.2 (3.0) ; 18.5 (3.4) ; 1.3 (0.4) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (8) ; 15 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated ; work ; -; |altsyncram_mr91:altsyncram5| ; 11.2 (11.2) ; 11.6 (11.6) ; 0.4 (0.4) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5 ; work ; -; |cntr_9jf:cntr1| ; 3.0 (3.0) ; 3.5 (3.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_2| ; 16.5 (0.0) ; 18.0 (0.0) ; 1.5 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 ; work ; -; |shift_taps_dl21:auto_generated| ; 16.5 (2.3) ; 18.0 (2.8) ; 1.5 (0.5) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (7) ; 16 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated ; work ; -; |altsyncram_nr91:altsyncram5| ; 11.3 (11.3) ; 11.8 (11.8) ; 0.5 (0.5) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5 ; work ; -; |cntr_8jf:cntr1| ; 3.0 (3.0) ; 3.5 (3.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_3| ; 17.2 (0.0) ; 18.7 (0.0) ; 1.5 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 15 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 ; work ; -; |shift_taps_0k21:auto_generated| ; 17.2 (3.0) ; 18.7 (3.5) ; 1.5 (0.5) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (8) ; 15 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated ; work ; -; |altsyncram_7p91:altsyncram5| ; 11.2 (11.2) ; 11.7 (11.7) ; 0.5 (0.5) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5 ; work ; -; |cntr_0if:cntr1| ; 3.0 (3.0) ; 3.5 (3.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_4| ; 14.3 (0.0) ; 15.0 (0.0) ; 0.8 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 8 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 ; work ; -; |shift_taps_tj21:auto_generated| ; 14.3 (2.2) ; 15.0 (2.4) ; 0.8 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 8 (5) ; 9 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated ; work ; -; |altsyncram_vo91:altsyncram4| ; 10.7 (10.7) ; 10.9 (10.9) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4 ; work ; -; |cntr_uhf:cntr1| ; 1.4 (1.4) ; 1.7 (1.7) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|cntr_uhf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_5| ; 17.2 (0.0) ; 18.0 (0.0) ; 0.8 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 ; work ; -; |shift_taps_uj21:auto_generated| ; 17.2 (3.1) ; 18.0 (3.4) ; 0.8 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (7) ; 9 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated ; work ; -; |altsyncram_0p91:altsyncram5| ; 10.6 (10.6) ; 10.8 (10.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5 ; work ; -; |cntr_thf:cntr1| ; 3.5 (3.5) ; 3.8 (3.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 7 (7) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|cntr_thf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_6| ; 16.7 (0.0) ; 17.5 (0.0) ; 0.8 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 ; work ; -; |shift_taps_vj21:auto_generated| ; 16.7 (2.3) ; 17.5 (2.6) ; 0.8 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (6) ; 9 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated ; work ; -; |altsyncram_1p91:altsyncram5| ; 10.7 (10.7) ; 10.9 (10.9) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5 ; work ; -; |cntr_shf:cntr1| ; 3.7 (3.7) ; 4.0 (4.0) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 7 (7) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_7| ; 17.2 (0.0) ; 18.0 (0.0) ; 0.8 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 10 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 ; work ; -; |shift_taps_qj21:auto_generated| ; 17.2 (3.1) ; 18.0 (3.4) ; 0.8 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (7) ; 10 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated ; work ; -; |altsyncram_po91:altsyncram5| ; 10.6 (10.6) ; 10.8 (10.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5 ; work ; -; |cntr_rhf:cntr1| ; 3.5 (3.5) ; 3.8 (3.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 7 (7) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_8| ; 12.8 (0.0) ; 13.7 (0.0) ; 0.8 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 6 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 ; work ; -; |shift_taps_rj21:auto_generated| ; 12.8 (1.7) ; 13.7 (2.0) ; 0.8 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 6 (4) ; 8 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated ; work ; -; |altsyncram_no91:altsyncram4| ; 10.4 (10.4) ; 10.7 (10.7) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4 ; work ; -; |cntr_phf:cntr1| ; 0.7 (0.7) ; 1.0 (1.0) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 2 (2) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1 ; work ; -; |mulsu:mul_su| ; 19.8 (0.0) ; 19.0 (0.0) ; 0.0 (0.0) ; 0.8 (0.0) ; 0.0 (0.0) ; 38 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su ; work ; -; |lpm_mult:lpm_mult_component| ; 19.8 (0.0) ; 19.0 (0.0) ; 0.0 (0.0) ; 0.8 (0.0) ; 0.0 (0.0) ; 38 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component ; work ; -; |mult_61n:auto_generated| ; 19.8 (19.8) ; 19.0 (19.0) ; 0.0 (0.0) ; 0.8 (0.8) ; 0.0 (0.0) ; 38 (38) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component|mult_61n:auto_generated ; work ; +; |regfile:regs2| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs2 ; work ; +; |altsyncram:altsyncram_component| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs2|altsyncram:altsyncram_component ; work ; +; |altsyncram_nco1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated ; work ; +; |riscv_core:core| ; 2466.6 (859.3) ; 2508.7 (853.8) ; 103.7 (23.2) ; 61.5 (28.6) ; 100.0 (0.0) ; 3743 (1237) ; 1612 (207) ; 0 (0) ; 0 ; 0 ; 10 ; 0 ; 0 ; |de1_riscv|riscv_core:core ; work ; +; |adder:add| ; 17.5 (0.0) ; 17.0 (0.0) ; 0.0 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 33 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add ; work ; +; |lpm_add_sub:LPM_ADD_SUB_component| ; 17.5 (0.0) ; 17.0 (0.0) ; 0.0 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 33 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component ; work ; +; |add_sub_tih:auto_generated| ; 17.5 (17.5) ; 17.0 (17.0) ; 0.0 (0.0) ; 0.5 (0.5) ; 0.0 (0.0) ; 33 (33) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component|add_sub_tih:auto_generated ; work ; +; |div:div| ; 626.6 (0.0) ; 646.3 (0.0) ; 28.6 (0.0) ; 8.9 (0.0) ; 0.0 (0.0) ; 1008 (0) ; 645 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div ; work ; +; |lpm_divide:LPM_DIVIDE_component| ; 626.6 (0.0) ; 646.3 (0.0) ; 28.6 (0.0) ; 8.9 (0.0) ; 0.0 (0.0) ; 1008 (0) ; 645 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component ; work ; +; |lpm_divide_2jt:auto_generated| ; 626.6 (0.0) ; 646.3 (0.0) ; 28.6 (0.0) ; 8.9 (0.0) ; 0.0 (0.0) ; 1008 (0) ; 645 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated ; work ; +; |sign_div_unsign_8ai:divider| ; 626.6 (0.0) ; 646.3 (0.0) ; 28.6 (0.0) ; 8.9 (0.0) ; 0.0 (0.0) ; 1008 (0) ; 645 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider ; work ; +; |alt_u_div_nlf:divider| ; 626.6 (626.6) ; 646.3 (646.3) ; 28.6 (28.6) ; 8.9 (8.9) ; 0.0 (0.0) ; 1008 (1008) ; 645 (645) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider ; work ; +; |div_s:divs| ; 897.7 (0.0) ; 926.6 (0.0) ; 51.9 (0.0) ; 23.0 (0.0) ; 100.0 (0.0) ; 1335 (0) ; 760 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs ; work ; +; |lpm_divide:LPM_DIVIDE_component| ; 897.7 (0.0) ; 926.6 (0.0) ; 51.9 (0.0) ; 23.0 (0.0) ; 100.0 (0.0) ; 1335 (0) ; 760 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component ; work ; +; |lpm_divide_s4t:auto_generated| ; 897.7 (0.0) ; 926.6 (0.0) ; 51.9 (0.0) ; 23.0 (0.0) ; 100.0 (0.0) ; 1335 (0) ; 760 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated ; work ; +; |sign_div_unsign_2sh:divider| ; 897.7 (97.2) ; 926.6 (93.2) ; 51.9 (0.7) ; 23.0 (4.8) ; 100.0 (0.0) ; 1335 (209) ; 760 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider ; work ; +; |alt_u_div_5eg:divider| ; 783.9 (641.9) ; 815.4 (663.9) ; 49.8 (40.3) ; 18.3 (18.3) ; 90.0 (0.0) ; 1113 (1010) ; 734 (645) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider ; work ; +; |altshift_taps:DFFNumerator_rtl_0| ; 17.0 (0.0) ; 18.5 (0.0) ; 1.5 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 14 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 ; work ; +; |shift_taps_hm21:auto_generated| ; 17.0 (2.9) ; 18.5 (3.4) ; 1.5 (0.5) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (8) ; 14 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated ; work ; +; |altsyncram_9u91:altsyncram5| ; 11.1 (11.1) ; 11.6 (11.6) ; 0.5 (0.5) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5 ; work ; +; |cntr_9jf:cntr1| ; 3.0 (3.0) ; 3.5 (3.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_1| ; 16.5 (0.0) ; 18.0 (0.0) ; 1.5 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (0) ; 13 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 ; work ; +; |shift_taps_gm21:auto_generated| ; 16.5 (2.3) ; 18.0 (2.8) ; 1.5 (0.5) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (7) ; 13 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated ; work ; +; |altsyncram_7u91:altsyncram5| ; 11.3 (11.3) ; 11.8 (11.8) ; 0.5 (0.5) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5 ; work ; +; |cntr_8jf:cntr1| ; 3.0 (3.0) ; 3.5 (3.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_2| ; 17.0 (0.0) ; 18.5 (0.0) ; 1.5 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 13 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 ; work ; +; |shift_taps_bl21:auto_generated| ; 17.0 (2.9) ; 18.5 (3.4) ; 1.5 (0.5) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (8) ; 13 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated ; work ; +; |altsyncram_rr91:altsyncram5| ; 11.1 (11.1) ; 11.6 (11.6) ; 0.5 (0.5) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5 ; work ; +; |cntr_0if:cntr1| ; 3.0 (3.0) ; 3.5 (3.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_3| ; 14.5 (0.0) ; 15.0 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 8 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 ; work ; +; |shift_taps_9l21:auto_generated| ; 14.5 (2.3) ; 15.0 (2.4) ; 0.5 (0.2) ; 0.0 (0.0) ; 10.0 (0.0) ; 8 (5) ; 9 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated ; work ; +; |altsyncram_lr91:altsyncram4| ; 10.8 (10.8) ; 10.9 (10.9) ; 0.2 (0.2) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4 ; work ; +; |cntr_uhf:cntr1| ; 1.5 (1.5) ; 1.7 (1.7) ; 0.2 (0.2) ; 0.0 (0.0) ; 0.0 (0.0) ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|cntr_uhf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_4| ; 17.0 (0.0) ; 18.0 (0.0) ; 1.0 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 10 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 ; work ; +; |shift_taps_cl21:auto_generated| ; 17.0 (3.1) ; 18.0 (3.4) ; 1.0 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (7) ; 10 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated ; work ; +; |altsyncram_hr91:altsyncram5| ; 10.5 (10.5) ; 10.8 (10.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5 ; work ; +; |cntr_thf:cntr1| ; 3.4 (3.4) ; 3.8 (3.8) ; 0.4 (0.4) ; 0.0 (0.0) ; 0.0 (0.0) ; 7 (7) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_5| ; 16.5 (0.0) ; 17.5 (0.0) ; 1.0 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 ; work ; +; |shift_taps_dl21:auto_generated| ; 16.5 (2.3) ; 17.5 (2.6) ; 1.0 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (6) ; 9 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated ; work ; +; |altsyncram_mr91:altsyncram5| ; 10.6 (10.6) ; 10.9 (10.9) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5 ; work ; +; |cntr_shf:cntr1| ; 3.7 (3.7) ; 4.0 (4.0) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 7 (7) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_6| ; 17.0 (0.0) ; 18.0 (0.0) ; 1.0 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 ; work ; +; |shift_taps_4l21:auto_generated| ; 17.0 (3.1) ; 18.0 (3.4) ; 1.0 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (7) ; 9 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated ; work ; +; |altsyncram_dr91:altsyncram5| ; 10.5 (10.5) ; 10.8 (10.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5 ; work ; +; |cntr_rhf:cntr1| ; 3.4 (3.4) ; 3.8 (3.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 7 (7) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_7| ; 13.0 (0.0) ; 13.5 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 6 (0) ; 6 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 ; work ; +; |shift_taps_3l21:auto_generated| ; 13.0 (1.8) ; 13.5 (1.9) ; 0.5 (0.2) ; 0.0 (0.0) ; 10.0 (0.0) ; 6 (4) ; 6 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated ; work ; +; |altsyncram_9r91:altsyncram4| ; 10.4 (10.4) ; 10.6 (10.6) ; 0.2 (0.2) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4 ; work ; +; |cntr_phf:cntr1| ; 0.8 (0.8) ; 1.0 (1.0) ; 0.2 (0.2) ; 0.0 (0.0) ; 0.0 (0.0) ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|cntr_phf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_8| ; 13.5 (0.0) ; 14.5 (0.0) ; 1.0 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 7 (0) ; 6 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 ; work ; +; |shift_taps_5l21:auto_generated| ; 13.5 (1.1) ; 14.5 (1.4) ; 1.0 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 7 (2) ; 6 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated ; work ; +; |altsyncram_br91:altsyncram4| ; 10.3 (10.3) ; 10.6 (10.6) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4 ; work ; +; |cntr_ohf:cntr1| ; 2.2 (2.2) ; 2.5 (2.5) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 5 (5) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|cntr_ohf:cntr1 ; work ; +; |altshift_taps:DFF_Num_Sign_rtl_0| ; 16.6 (0.0) ; 18.0 (0.0) ; 1.4 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (0) ; 12 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0 ; work ; +; |shift_taps_7l21:auto_generated| ; 16.6 (2.3) ; 18.0 (2.8) ; 1.4 (0.5) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (7) ; 12 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated ; work ; +; |altsyncram_kr91:altsyncram5| ; 11.3 (11.3) ; 11.8 (11.8) ; 0.5 (0.5) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5 ; work ; +; |cntr_8jf:cntr1| ; 3.0 (3.0) ; 3.5 (3.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1 ; work ; +; |mulsu:mul_su| ; 19.5 (0.0) ; 19.0 (0.0) ; 0.0 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 38 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su ; work ; +; |lpm_mult:lpm_mult_component| ; 19.5 (0.0) ; 19.0 (0.0) ; 0.0 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 38 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component ; work ; +; |mult_61n:auto_generated| ; 19.5 (19.5) ; 19.0 (19.0) ; 0.0 (0.0) ; 0.5 (0.5) ; 0.0 (0.0) ; 38 (38) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component|mult_61n:auto_generated ; work ; ; |mult:mul| ; 23.0 (0.0) ; 23.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 46 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult:mul ; work ; ; |lpm_mult:lpm_mult_component| ; 23.0 (0.0) ; 23.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 46 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult:mul|lpm_mult:lpm_mult_component ; work ; ; |mult_b8n:auto_generated| ; 23.0 (23.0) ; 23.0 (23.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 46 (46) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult:mul|lpm_mult:lpm_mult_component|mult_b8n:auto_generated ; work ; -; |mult_s:mul_s| ; 24.3 (0.0) ; 23.0 (0.0) ; 0.0 (0.0) ; 1.3 (0.0) ; 0.0 (0.0) ; 46 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s ; work ; -; |lpm_mult:lpm_mult_component| ; 24.3 (0.0) ; 23.0 (0.0) ; 0.0 (0.0) ; 1.3 (0.0) ; 0.0 (0.0) ; 46 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component ; work ; -; |mult_81n:auto_generated| ; 24.3 (24.3) ; 23.0 (23.0) ; 0.0 (0.0) ; 1.3 (1.3) ; 0.0 (0.0) ; 46 (46) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component|mult_81n:auto_generated ; work ; -; |uart_ctrl:uart_ctrl| ; 186.7 (58.4) ; 185.7 (55.2) ; 12.0 (4.3) ; 13.0 (7.6) ; 0.0 (0.0) ; 267 (48) ; 307 (100) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl ; work ; -; |altera_uart:uart| ; 65.5 (0.0) ; 70.0 (0.0) ; 7.1 (0.0) ; 2.6 (0.0) ; 0.0 (0.0) ; 102 (0) ; 109 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart ; work ; -; |altera_uart_regs:the_altera_uart_regs| ; 17.2 (17.2) ; 18.7 (18.7) ; 3.4 (3.4) ; 1.9 (1.9) ; 0.0 (0.0) ; 21 (21) ; 36 (36) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs ; work ; -; |altera_uart_rx:the_altera_uart_rx| ; 29.0 (28.3) ; 31.8 (31.3) ; 2.9 (3.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 52 (52) ; 42 (40) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx ; work ; +; |mult_s:mul_s| ; 23.0 (0.0) ; 23.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 46 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s ; work ; +; |lpm_mult:lpm_mult_component| ; 23.0 (0.0) ; 23.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 46 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component ; work ; +; |mult_81n:auto_generated| ; 23.0 (23.0) ; 23.0 (23.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 46 (46) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component|mult_81n:auto_generated ; work ; +; |uart_ctrl:uart_ctrl| ; 187.6 (61.2) ; 184.8 (55.7) ; 10.6 (3.4) ; 13.4 (8.8) ; 0.0 (0.0) ; 273 (54) ; 308 (101) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl ; work ; +; |altera_uart:uart| ; 66.9 (0.0) ; 69.3 (0.0) ; 6.9 (0.0) ; 4.5 (0.0) ; 0.0 (0.0) ; 102 (0) ; 113 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart ; work ; +; |altera_uart_regs:the_altera_uart_regs| ; 14.9 (14.9) ; 18.1 (18.1) ; 3.7 (3.7) ; 0.4 (0.4) ; 0.0 (0.0) ; 21 (21) ; 39 (39) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs ; work ; +; |altera_uart_rx:the_altera_uart_rx| ; 29.8 (29.1) ; 31.9 (31.4) ; 2.2 (2.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 52 (52) ; 42 (40) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx ; work ; ; |altera_std_synchronizer:the_altera_std_synchronizer| ; 0.5 (0.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer ; work ; -; |altera_uart_tx:the_altera_uart_tx| ; 19.4 (19.4) ; 19.5 (19.5) ; 0.8 (0.8) ; 0.6 (0.6) ; 0.0 (0.0) ; 29 (29) ; 31 (31) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx ; work ; -; |uart_fifo:uart_recv_buf| ; 30.2 (0.0) ; 30.2 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 59 (0) ; 52 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf ; work ; -; |scfifo:scfifo_component| ; 30.2 (0.0) ; 30.2 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 59 (0) ; 52 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component ; work ; -; |scfifo_nrc1:auto_generated| ; 30.2 (3.2) ; 30.2 (3.2) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 59 (6) ; 52 (1) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated ; work ; -; |a_dpfifo_br91:dpfifo| ; 27.0 (12.5) ; 27.0 (12.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 53 (24) ; 51 (17) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo ; work ; +; |altera_uart_tx:the_altera_uart_tx| ; 22.3 (22.3) ; 19.3 (19.3) ; 1.1 (1.1) ; 4.1 (4.1) ; 0.0 (0.0) ; 29 (29) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx ; work ; +; |uart_fifo:uart_recv_buf| ; 30.3 (0.0) ; 30.3 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 59 (0) ; 47 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf ; work ; +; |scfifo:scfifo_component| ; 30.3 (0.0) ; 30.3 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 59 (0) ; 47 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component ; work ; +; |scfifo_nrc1:auto_generated| ; 30.3 (3.0) ; 30.3 (3.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 59 (6) ; 47 (1) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated ; work ; +; |a_dpfifo_br91:dpfifo| ; 27.1 (12.6) ; 27.3 (12.7) ; 0.2 (0.2) ; 0.0 (0.0) ; 0.0 (0.0) ; 53 (24) ; 46 (16) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo ; work ; ; |altsyncram_fqe1:FIFOram| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram ; work ; -; |cntr_847:usedw_counter| ; 5.0 (5.0) ; 5.0 (5.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 10 (10) ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter ; work ; +; |cntr_847:usedw_counter| ; 5.0 (5.0) ; 5.0 (5.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 10 (10) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter ; work ; ; |cntr_k2b:rd_ptr_msb| ; 4.5 (4.5) ; 4.5 (4.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb ; work ; ; |cntr_s3b:wr_ptr| ; 5.0 (5.0) ; 5.0 (5.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_s3b:wr_ptr ; work ; -; |uart_fifo:uart_send_buf| ; 32.6 (0.0) ; 30.3 (0.0) ; 0.5 (0.0) ; 2.8 (0.0) ; 0.0 (0.0) ; 58 (0) ; 46 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf ; work ; -; |scfifo:scfifo_component| ; 32.6 (0.0) ; 30.3 (0.0) ; 0.5 (0.0) ; 2.8 (0.0) ; 0.0 (0.0) ; 58 (0) ; 46 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component ; work ; -; |scfifo_nrc1:auto_generated| ; 32.6 (3.3) ; 30.3 (3.3) ; 0.5 (0.0) ; 2.8 (0.0) ; 0.0 (0.0) ; 58 (6) ; 46 (1) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated ; work ; -; |a_dpfifo_br91:dpfifo| ; 29.3 (14.8) ; 27.0 (12.5) ; 0.5 (0.5) ; 2.8 (2.8) ; 0.0 (0.0) ; 52 (23) ; 45 (16) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo ; work ; +; |uart_fifo:uart_send_buf| ; 28.9 (0.0) ; 29.5 (0.0) ; 0.6 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 58 (0) ; 47 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf ; work ; +; |scfifo:scfifo_component| ; 28.9 (0.0) ; 29.5 (0.0) ; 0.6 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 58 (0) ; 47 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component ; work ; +; |scfifo_nrc1:auto_generated| ; 28.9 (2.8) ; 29.5 (2.8) ; 0.6 (0.1) ; 0.0 (0.0) ; 0.0 (0.0) ; 58 (6) ; 47 (1) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated ; work ; +; |a_dpfifo_br91:dpfifo| ; 26.1 (11.6) ; 26.7 (12.2) ; 0.6 (0.6) ; 0.0 (0.0) ; 0.0 (0.0) ; 52 (23) ; 46 (16) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo ; work ; ; |altsyncram_fqe1:FIFOram| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram ; work ; -; |cntr_847:usedw_counter| ; 5.0 (5.0) ; 5.0 (5.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter ; work ; +; |cntr_847:usedw_counter| ; 5.0 (5.0) ; 5.0 (5.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 10 (10) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter ; work ; ; |cntr_k2b:rd_ptr_msb| ; 4.5 (4.5) ; 4.5 (4.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb ; work ; ; |cntr_s3b:wr_ptr| ; 5.0 (5.0) ; 5.0 (5.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_s3b:wr_ptr ; work ; +-------------------------------------------------------------------+----------------------+----------------------------------+---------------------------------------------------+----------------------------------+----------------------+---------------------+---------------------------+---------------+-------------------+-------+------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ @@ -2229,510 +2256,522 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; GPIO[35] ; Bidir ; -- ; -- ; -- ; -- ; (0) ; (31) ; -- ; -- ; -- ; ; GPIO[5] ; Bidir ; -- ; -- ; -- ; -- ; (0) ; (31) ; -- ; -- ; -- ; ; GPIO[7] ; Bidir ; -- ; (0) ; -- ; -- ; (0) ; (31) ; -- ; -- ; -- ; -; CLOCK_50 ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ; KEY[3] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ; SW[8] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; ; SW[9] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; +; CLOCK_50 ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ; KEY[2] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; +; SW[5] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; ; SW[2] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; +; SW[4] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ; SW[3] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ; SW[6] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -; SW[4] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -; SW[5] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -; SW[0] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -; SW[1] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; +; SW[0] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ; KEY[0] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -; KEY[1] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; +; KEY[1] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ; SW[7] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; +; SW[1] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; +---------------+----------+----+------+------+----+------+-------+--------+------------------------+--------------------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+----------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+----------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ -; ADC_DOUT ; ; ; -; AUD_ADCDAT ; ; ; -; CLOCK2_50 ; ; ; -; CLOCK3_50 ; ; ; -; CLOCK4_50 ; ; ; -; IRDA_RXD ; ; ; -; TD_CLK27 ; ; ; -; TD_DATA[0] ; ; ; -; TD_DATA[1] ; ; ; -; TD_DATA[2] ; ; ; -; TD_DATA[3] ; ; ; -; TD_DATA[4] ; ; ; -; TD_DATA[5] ; ; ; -; TD_DATA[6] ; ; ; -; TD_DATA[7] ; ; ; -; TD_HS ; ; ; -; TD_VS ; ; ; -; AUD_ADCLRCK ; ; ; -; AUD_BCLK ; ; ; -; AUD_DACLRCK ; ; ; -; DRAM_DQ[0] ; ; ; -; DRAM_DQ[1] ; ; ; -; DRAM_DQ[2] ; ; ; -; DRAM_DQ[3] ; ; ; -; DRAM_DQ[4] ; ; ; -; DRAM_DQ[5] ; ; ; -; DRAM_DQ[6] ; ; ; -; DRAM_DQ[7] ; ; ; -; DRAM_DQ[8] ; ; ; -; DRAM_DQ[9] ; ; ; -; DRAM_DQ[10] ; ; ; -; DRAM_DQ[11] ; ; ; -; DRAM_DQ[12] ; ; ; -; DRAM_DQ[13] ; ; ; -; DRAM_DQ[14] ; ; ; -; DRAM_DQ[15] ; ; ; -; FPGA_I2C_SDAT ; ; ; -; PS2_CLK ; ; ; -; PS2_CLK2 ; ; ; -; PS2_DAT ; ; ; -; PS2_DAT2 ; ; ; -; GPIO[0] ; ; ; -; GPIO[1] ; ; ; -; GPIO[2] ; ; ; -; GPIO[3] ; ; ; -; GPIO[4] ; ; ; -; GPIO[6] ; ; ; -; GPIO[8] ; ; ; -; GPIO[9] ; ; ; -; GPIO[10] ; ; ; -; GPIO[11] ; ; ; -; GPIO[12] ; ; ; -; GPIO[13] ; ; ; -; GPIO[14] ; ; ; -; GPIO[15] ; ; ; -; GPIO[16] ; ; ; -; GPIO[17] ; ; ; -; GPIO[18] ; ; ; -; GPIO[19] ; ; ; -; GPIO[20] ; ; ; -; GPIO[21] ; ; ; -; GPIO[22] ; ; ; -; GPIO[23] ; ; ; -; GPIO[24] ; ; ; -; GPIO[25] ; ; ; -; GPIO[26] ; ; ; -; GPIO[27] ; ; ; -; GPIO[28] ; ; ; -; GPIO[29] ; ; ; -; GPIO[30] ; ; ; -; GPIO[31] ; ; ; -; GPIO[32] ; ; ; -; GPIO[33] ; ; ; -; GPIO[34] ; ; ; -; GPIO[35] ; ; ; -; GPIO[5] ; ; ; -; GPIO[7] ; ; ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; 0 ; 0 ; -; - LEDR[1]~output ; 0 ; 0 ; -; CLOCK_50 ; ; ; -; KEY[3] ; ; ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|d1_rx_char_ready ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|d1_tx_ready ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[9] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[14] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[13] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[15] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[12] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[11] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[10] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[8] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[8] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[2] ; 0 ; 0 ; -; - readaddr[13] ; 0 ; 0 ; -; - readaddr[12] ; 0 ; 0 ; -; - readaddr[11] ; 0 ; 0 ; -; - readaddr[10] ; 0 ; 0 ; -; - readaddr[9] ; 0 ; 0 ; -; - readaddr[8] ; 0 ; 0 ; -; - readaddr[31] ; 0 ; 0 ; -; - readaddr[30] ; 0 ; 0 ; -; - readaddr[14] ; 0 ; 0 ; -; - readaddr[15] ; 0 ; 0 ; -; - readaddr[16] ; 0 ; 0 ; -; - readaddr[17] ; 0 ; 0 ; -; - readaddr[18] ; 0 ; 0 ; -; - readaddr[19] ; 0 ; 0 ; -; - readaddr[20] ; 0 ; 0 ; -; - readaddr[21] ; 0 ; 0 ; -; - readaddr[22] ; 0 ; 0 ; -; - readaddr[23] ; 0 ; 0 ; -; - readaddr[24] ; 0 ; 0 ; -; - readaddr[26] ; 0 ; 0 ; -; - readaddr[27] ; 0 ; 0 ; -; - readaddr[25] ; 0 ; 0 ; -; - readaddr[28] ; 0 ; 0 ; -; - readaddr[29] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[9] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[11] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[12] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[13] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[14] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[15] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[14] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[13] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[9] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[11] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[12] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[15] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[3] ; 0 ; 0 ; -; - riscv_core:core|pc[17] ; 0 ; 0 ; -; - riscv_core:core|pc[30] ; 0 ; 0 ; -; - riscv_core:core|pc[29] ; 0 ; 0 ; -; - riscv_core:core|pc[28] ; 0 ; 0 ; -; - riscv_core:core|pc[27] ; 0 ; 0 ; -; - riscv_core:core|pc[26] ; 0 ; 0 ; -; - riscv_core:core|pc[25] ; 0 ; 0 ; -; - riscv_core:core|pc[24] ; 0 ; 0 ; -; - riscv_core:core|pc[23] ; 0 ; 0 ; -; - riscv_core:core|pc[22] ; 0 ; 0 ; -; - riscv_core:core|pc[21] ; 0 ; 0 ; -; - riscv_core:core|pc[20] ; 0 ; 0 ; -; - riscv_core:core|pc[19] ; 0 ; 0 ; -; - riscv_core:core|pc[18] ; 0 ; 0 ; -; - riscv_core:core|pc[16] ; 0 ; 0 ; -; - riscv_core:core|pc[15] ; 0 ; 0 ; -; - riscv_core:core|pc[14] ; 0 ; 0 ; -; - riscv_core:core|pc[13] ; 0 ; 0 ; -; - riscv_core:core|pc[12] ; 0 ; 0 ; -; - riscv_core:core|pc[11] ; 0 ; 0 ; -; - riscv_core:core|pc[10] ; 0 ; 0 ; -; - riscv_core:core|pc[7] ; 0 ; 0 ; -; - riscv_core:core|pc[9] ; 0 ; 0 ; -; - riscv_core:core|pc[8] ; 0 ; 0 ; -; - riscv_core:core|pc[3] ; 0 ; 0 ; -; - riscv_core:core|write ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|send_buf_write ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|send_buf_read ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_read ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_write ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_read ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_addr[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_addr[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[31] ; 0 ; 0 ; -; - riscv_core:core|pc[0] ; 0 ; 0 ; -; - riscv_core:core|pc[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|txd ; 0 ; 0 ; -; - riscv_core:core|state.0100 ; 0 ; 0 ; -; - riscv_core:core|state.0010 ; 0 ; 0 ; -; - riscv_core:core|pc[31] ; 0 ; 0 ; -; - riscv_core:core|state.1000 ; 0 ; 0 ; -; - led0~0 ; 0 ; 0 ; -; - led2~0 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|pre_txd ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_char_ready ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|tx_ready ; 0 ; 0 ; -; - riscv_core:core|writedata[0]~2 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[9] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|delayed_unxrx_in_processxx3 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|do_load_shifter ; 0 ; 0 ; -; - riscv_core:core|state.0000 ; 0 ; 0 ; -; - bReadData[13]~8 ; 0 ; 0 ; -; - riscv_core:core|state~22 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_clk_en ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|ctrlstate~16 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|do_start_rx ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_clk_en ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|ctrlstate~18 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|ctrlstate~19 ; 0 ; 0 ; -; - riscv_core:core|pc[31]~3 ; 0 ; 0 ; -; - riscv_core:core|pc~4 ; 0 ; 0 ; -; - riscv_core:core|pc~5 ; 0 ; 0 ; -; - riscv_core:core|pc~6 ; 0 ; 0 ; -; - riscv_core:core|pc~7 ; 0 ; 0 ; -; - riscv_core:core|state~23 ; 0 ; 0 ; -; - riscv_core:core|state~24 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[8]~0 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[1]~1 ; 0 ; 0 ; -; - riscv_core:core|Mux12~0 ; 0 ; 0 ; -; - riscv_core:core|Selector262~9 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[9]~0 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[3]~0 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv~1 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv~1 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv~2 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv~2 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv~3 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv~3 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv~4 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv~4 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv~5 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv~5 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|delayed_unxsync_rxdxx1 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|dreg[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[10] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[15] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[14] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[13] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[12] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[11] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[9] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[8] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|ctrlstate~21 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|ctrlstate~22 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|ctrlstate~23 ; 0 ; 0 ; -; - riscv_core:core|state~25 ; 0 ; 0 ; -; - riscv_core:core|divclk[4]~0 ; 0 ; 0 ; -; - riscv_core:core|divclk[3]~1 ; 0 ; 0 ; -; - riscv_core:core|divclk[2]~2 ; 0 ; 0 ; -; - riscv_core:core|divclk[0]~3 ; 0 ; 0 ; -; - riscv_core:core|divclk[1]~4 ; 0 ; 0 ; -; - riscv_core:core|pc[0]~33 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[11] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[9] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[14] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[13] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[15] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[12] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[10] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[8] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[0]~0 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[0]~0 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[13]~1 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|send_buf_data[7]~1 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[8] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[9] ; 0 ; 0 ; -; - KEY[3]~_wirecell ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[3]~DUPLICATE ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[0]~DUPLICATE ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[4]~DUPLICATE ; 0 ; 0 ; -; SW[8] ; ; ; -; - led0~0 ; 1 ; 0 ; -; - led2~0 ; 1 ; 0 ; -; - bReadData[8]~17 ; 1 ; 0 ; -; SW[9] ; ; ; -; - led3[2]~0 ; 0 ; 0 ; -; - led3[2]~4 ; 0 ; 0 ; -; - led2~1 ; 0 ; 0 ; -; - led3~5 ; 0 ; 0 ; -; - led5[0]~0 ; 0 ; 0 ; -; - led4~0 ; 0 ; 0 ; -; - led5~1 ; 0 ; 0 ; -; - bReadData[9]~20 ; 0 ; 0 ; -; KEY[2] ; ; ; -; - bReadData[12]~7 ; 1 ; 0 ; -; - riscv_core:core|Selector263~7 ; 1 ; 0 ; -; - riscv_core:core|Selector263~8 ; 1 ; 0 ; -; SW[2] ; ; ; -; - bReadData[2]~10 ; 0 ; 0 ; -; SW[3] ; ; ; -; - bReadData[3]~11 ; 0 ; 0 ; -; SW[6] ; ; ; -; - bReadData[6]~12 ; 1 ; 0 ; -; SW[4] ; ; ; -; - bReadData[4]~13 ; 1 ; 0 ; -; SW[5] ; ; ; -; - bReadData[5]~14 ; 0 ; 0 ; -; SW[0] ; ; ; -; - riscv_core:core|Selector267~2 ; 1 ; 0 ; -; - riscv_core:core|Selector267~3 ; 1 ; 0 ; -; SW[1] ; ; ; -; - riscv_core:core|Selector266~3 ; 1 ; 0 ; -; - riscv_core:core|Selector266~4 ; 1 ; 0 ; -; KEY[0] ; ; ; -; - bReadData[10]~21 ; 0 ; 0 ; -; KEY[1] ; ; ; -; - riscv_core:core|Selector264~2 ; 1 ; 0 ; -; - riscv_core:core|Selector264~3 ; 1 ; 0 ; -; - bReadData[11]~35 ; 1 ; 0 ; -; SW[7] ; ; ; -; - bReadData[7]~34 ; 0 ; 0 ; -+----------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ +; ADC_DOUT ; ; ; +; AUD_ADCDAT ; ; ; +; CLOCK2_50 ; ; ; +; CLOCK3_50 ; ; ; +; CLOCK4_50 ; ; ; +; IRDA_RXD ; ; ; +; TD_CLK27 ; ; ; +; TD_DATA[0] ; ; ; +; TD_DATA[1] ; ; ; +; TD_DATA[2] ; ; ; +; TD_DATA[3] ; ; ; +; TD_DATA[4] ; ; ; +; TD_DATA[5] ; ; ; +; TD_DATA[6] ; ; ; +; TD_DATA[7] ; ; ; +; TD_HS ; ; ; +; TD_VS ; ; ; +; AUD_ADCLRCK ; ; ; +; AUD_BCLK ; ; ; +; AUD_DACLRCK ; ; ; +; DRAM_DQ[0] ; ; ; +; DRAM_DQ[1] ; ; ; +; DRAM_DQ[2] ; ; ; +; DRAM_DQ[3] ; ; ; +; DRAM_DQ[4] ; ; ; +; DRAM_DQ[5] ; ; ; +; DRAM_DQ[6] ; ; ; +; DRAM_DQ[7] ; ; ; +; DRAM_DQ[8] ; ; ; +; DRAM_DQ[9] ; ; ; +; DRAM_DQ[10] ; ; ; +; DRAM_DQ[11] ; ; ; +; DRAM_DQ[12] ; ; ; +; DRAM_DQ[13] ; ; ; +; DRAM_DQ[14] ; ; ; +; DRAM_DQ[15] ; ; ; +; FPGA_I2C_SDAT ; ; ; +; PS2_CLK ; ; ; +; PS2_CLK2 ; ; ; +; PS2_DAT ; ; ; +; PS2_DAT2 ; ; ; +; GPIO[0] ; ; ; +; GPIO[1] ; ; ; +; GPIO[2] ; ; ; +; GPIO[3] ; ; ; +; GPIO[4] ; ; ; +; GPIO[6] ; ; ; +; GPIO[8] ; ; ; +; GPIO[9] ; ; ; +; GPIO[10] ; ; ; +; GPIO[11] ; ; ; +; GPIO[12] ; ; ; +; GPIO[13] ; ; ; +; GPIO[14] ; ; ; +; GPIO[15] ; ; ; +; GPIO[16] ; ; ; +; GPIO[17] ; ; ; +; GPIO[18] ; ; ; +; GPIO[19] ; ; ; +; GPIO[20] ; ; ; +; GPIO[21] ; ; ; +; GPIO[22] ; ; ; +; GPIO[23] ; ; ; +; GPIO[24] ; ; ; +; GPIO[25] ; ; ; +; GPIO[26] ; ; ; +; GPIO[27] ; ; ; +; GPIO[28] ; ; ; +; GPIO[29] ; ; ; +; GPIO[30] ; ; ; +; GPIO[31] ; ; ; +; GPIO[32] ; ; ; +; GPIO[33] ; ; ; +; GPIO[34] ; ; ; +; GPIO[35] ; ; ; +; GPIO[5] ; ; ; +; GPIO[7] ; ; ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; 0 ; 0 ; +; - LEDR[1]~output ; 0 ; 0 ; +; KEY[3] ; ; ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|d1_rx_char_ready ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|d1_tx_ready ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[15] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[14] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[13] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[12] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[11] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[10] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[9] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[8] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[8] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[4] ; 0 ; 0 ; +; - readaddr[18] ; 0 ; 0 ; +; - readaddr[15] ; 0 ; 0 ; +; - readaddr[16] ; 0 ; 0 ; +; - readaddr[12] ; 0 ; 0 ; +; - readaddr[24] ; 0 ; 0 ; +; - readaddr[19] ; 0 ; 0 ; +; - readaddr[13] ; 0 ; 0 ; +; - readaddr[21] ; 0 ; 0 ; +; - readaddr[22] ; 0 ; 0 ; +; - readaddr[23] ; 0 ; 0 ; +; - readaddr[25] ; 0 ; 0 ; +; - readaddr[20] ; 0 ; 0 ; +; - readaddr[26] ; 0 ; 0 ; +; - readaddr[8] ; 0 ; 0 ; +; - readaddr[9] ; 0 ; 0 ; +; - readaddr[10] ; 0 ; 0 ; +; - readaddr[11] ; 0 ; 0 ; +; - readaddr[17] ; 0 ; 0 ; +; - readaddr[27] ; 0 ; 0 ; +; - readaddr[14] ; 0 ; 0 ; +; - readaddr[30] ; 0 ; 0 ; +; - readaddr[28] ; 0 ; 0 ; +; - readaddr[31] ; 0 ; 0 ; +; - readaddr[29] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[9] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[15] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[14] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[13] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[12] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[11] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[9] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[15] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[13] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[12] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[11] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[14] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[2] ; 0 ; 0 ; +; - riscv_core:core|pc[13] ; 0 ; 0 ; +; - riscv_core:core|pc[12] ; 0 ; 0 ; +; - riscv_core:core|pc[11] ; 0 ; 0 ; +; - riscv_core:core|pc[10] ; 0 ; 0 ; +; - riscv_core:core|pc[16] ; 0 ; 0 ; +; - riscv_core:core|pc[15] ; 0 ; 0 ; +; - riscv_core:core|pc[30] ; 0 ; 0 ; +; - riscv_core:core|pc[9] ; 0 ; 0 ; +; - riscv_core:core|pc[14] ; 0 ; 0 ; +; - riscv_core:core|pc[8] ; 0 ; 0 ; +; - riscv_core:core|pc[7] ; 0 ; 0 ; +; - riscv_core:core|pc[3] ; 0 ; 0 ; +; - riscv_core:core|pc[31] ; 0 ; 0 ; +; - riscv_core:core|pc[29] ; 0 ; 0 ; +; - riscv_core:core|pc[28] ; 0 ; 0 ; +; - riscv_core:core|pc[27] ; 0 ; 0 ; +; - riscv_core:core|pc[26] ; 0 ; 0 ; +; - riscv_core:core|pc[25] ; 0 ; 0 ; +; - riscv_core:core|pc[24] ; 0 ; 0 ; +; - riscv_core:core|pc[23] ; 0 ; 0 ; +; - riscv_core:core|pc[22] ; 0 ; 0 ; +; - riscv_core:core|pc[21] ; 0 ; 0 ; +; - riscv_core:core|pc[20] ; 0 ; 0 ; +; - riscv_core:core|pc[19] ; 0 ; 0 ; +; - riscv_core:core|pc[18] ; 0 ; 0 ; +; - riscv_core:core|pc[17] ; 0 ; 0 ; +; - riscv_core:core|write ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|send_buf_write ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|send_buf_read ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_read ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_write ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_read ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_addr[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_addr[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[31] ; 0 ; 0 ; +; - riscv_core:core|pc[0] ; 0 ; 0 ; +; - riscv_core:core|pc[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|txd ; 0 ; 0 ; +; - riscv_core:core|state.0101 ; 0 ; 0 ; +; - riscv_core:core|state.0010 ; 0 ; 0 ; +; - riscv_core:core|state.1000 ; 0 ; 0 ; +; - led0~0 ; 0 ; 0 ; +; - led2~0 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|pre_txd ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_char_ready ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|tx_ready ; 0 ; 0 ; +; - riscv_core:core|writedata[0]~2 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[9] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|delayed_unxrx_in_processxx3 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|do_load_shifter ; 0 ; 0 ; +; - riscv_core:core|state.0000 ; 0 ; 0 ; +; - bReadData[13]~15 ; 0 ; 0 ; +; - riscv_core:core|state~22 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_clk_en ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_addr[2]~0 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|do_start_rx ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_clk_en ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|ctrlstate~20 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|ctrlstate~21 ; 0 ; 0 ; +; - riscv_core:core|pc[6]~5 ; 0 ; 0 ; +; - riscv_core:core|pc~6 ; 0 ; 0 ; +; - riscv_core:core|pc~7 ; 0 ; 0 ; +; - riscv_core:core|pc~8 ; 0 ; 0 ; +; - riscv_core:core|pc~9 ; 0 ; 0 ; +; - riscv_core:core|state~23 ; 0 ; 0 ; +; - riscv_core:core|state~24 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[25]~0 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[4]~1 ; 0 ; 0 ; +; - riscv_core:core|Selector270~1 ; 0 ; 0 ; +; - riscv_core:core|Selector270~2 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[9]~0 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[9]~0 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv~1 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv~1 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv~2 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv~2 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv~3 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv~3 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv~4 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv~4 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv~5 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv~5 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|delayed_unxsync_rxdxx1 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|dreg[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[10] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[15] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[14] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[13] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[12] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[11] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[9] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[8] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|ctrlstate~22 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|ctrlstate.00000010~0 ; 0 ; 0 ; +; - riscv_core:core|state~25 ; 0 ; 0 ; +; - riscv_core:core|divclk[4]~0 ; 0 ; 0 ; +; - riscv_core:core|divclk[3]~1 ; 0 ; 0 ; +; - riscv_core:core|divclk[2]~2 ; 0 ; 0 ; +; - riscv_core:core|divclk[0]~3 ; 0 ; 0 ; +; - riscv_core:core|divclk[1]~4 ; 0 ; 0 ; +; - riscv_core:core|pc[0]~37 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[10] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[15] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[14] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[13] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[12] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[11] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[9] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[8] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[7]~0 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[6]~0 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[12]~1 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|send_buf_data[7]~1 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[8] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[9] ; 0 ; 0 ; +; - KEY[3]~_wirecell ; 0 ; 0 ; +; - clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|general[0].gpll~FRACTIONAL_PLL ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[4]~DUPLICATE ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0]~DUPLICATE ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[2]~DUPLICATE ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[7]~DUPLICATE ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[3]~DUPLICATE ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[2]~DUPLICATE ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[5]~DUPLICATE ; 0 ; 0 ; +; SW[8] ; ; ; +; - led0~0 ; 1 ; 0 ; +; - led2~0 ; 1 ; 0 ; +; - bReadData[8]~36 ; 1 ; 0 ; +; SW[9] ; ; ; +; - led1[1]~0 ; 0 ; 0 ; +; - led1[1]~4 ; 0 ; 0 ; +; - led2~1 ; 0 ; 0 ; +; - led3~0 ; 0 ; 0 ; +; - led4[1]~0 ; 0 ; 0 ; +; - led4~1 ; 0 ; 0 ; +; - led5~0 ; 0 ; 0 ; +; - bReadData[9]~46 ; 0 ; 0 ; +; CLOCK_50 ; ; ; +; KEY[2] ; ; ; +; - bReadData[12]~13 ; 1 ; 0 ; +; - riscv_core:core|Selector271~2 ; 1 ; 0 ; +; - riscv_core:core|Selector271~3 ; 1 ; 0 ; +; SW[5] ; ; ; +; - bReadData[5]~22 ; 1 ; 0 ; +; SW[2] ; ; ; +; - bReadData[2]~25 ; 0 ; 0 ; +; SW[4] ; ; ; +; - bReadData[4]~27 ; 0 ; 0 ; +; SW[3] ; ; ; +; - bReadData[3]~29 ; 0 ; 0 ; +; SW[6] ; ; ; +; - bReadData[6]~31 ; 1 ; 0 ; +; SW[0] ; ; ; +; - riscv_core:core|Selector275~3 ; 0 ; 0 ; +; - riscv_core:core|Selector275~4 ; 0 ; 0 ; +; KEY[0] ; ; ; +; - bReadData[10]~48 ; 0 ; 0 ; +; KEY[1] ; ; ; +; - bReadData[11]~56 ; 0 ; 0 ; +; SW[7] ; ; ; +; - riscv_core:core|Mux1~3 ; 0 ; 0 ; +; - bReadData[7]~60 ; 0 ; 0 ; +; SW[1] ; ; ; +; - riscv_core:core|Selector274~3 ; 1 ; 0 ; +; - riscv_core:core|Selector274~4 ; 1 ; 0 ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ -; CLOCK_50 ; PIN_AF14 ; 2122 ; Clock ; yes ; Global Clock ; GCLK6 ; -- ; -; Equal6~0 ; LABCELL_X33_Y28_N12 ; 356 ; Sync. clear ; no ; -- ; -- ; -- ; -; KEY[3] ; PIN_Y16 ; 267 ; Async. clear, Sync. clear ; no ; -- ; -- ; -- ; -; comb~42 ; MLABCELL_X39_Y26_N12 ; 8 ; Write enable ; no ; -- ; -- ; -- ; -; led3[2]~0 ; LABCELL_X40_Y29_N45 ; 38 ; Sync. load ; no ; -- ; -- ; -- ; -; led3[2]~4 ; LABCELL_X40_Y29_N15 ; 28 ; Clock enable ; no ; -- ; -- ; -- ; -; led5[0]~0 ; LABCELL_X40_Y29_N3 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[31] ; M10K_X38_Y28_N0 ; 52 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|Selector165~1 ; LABCELL_X40_Y28_N9 ; 2 ; Write enable ; no ; -- ; -- ; -- ; -; riscv_core:core|bReadAddr[4]~0 ; LABCELL_X31_Y23_N3 ; 39 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|bReadAddr[4]~8 ; MLABCELL_X25_Y26_N33 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[561] ; MLABCELL_X52_Y23_N39 ; 20 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[627] ; MLABCELL_X52_Y25_N9 ; 33 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[726] ; LABCELL_X56_Y31_N33 ; 33 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[825] ; LABCELL_X53_Y32_N36 ; 37 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[891] ; MLABCELL_X52_Y32_N51 ; 38 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[990] ; LABCELL_X50_Y28_N3 ; 35 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1|cout_actual ; LABCELL_X42_Y31_N18 ; 4 ; Sync. clear ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|cout_actual ; LABCELL_X24_Y34_N24 ; 7 ; Sync. clear ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|cout_actual ; LABCELL_X46_Y31_N54 ; 8 ; Sync. clear ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|cout_actual ; LABCELL_X43_Y31_N42 ; 7 ; Sync. clear ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[198] ; LABCELL_X37_Y33_N21 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[297] ; LABCELL_X46_Y27_N51 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[363] ; MLABCELL_X47_Y28_N42 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[462] ; LABCELL_X43_Y24_N27 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[561] ; LABCELL_X42_Y28_N18 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[627] ; LABCELL_X43_Y30_N39 ; 36 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[726] ; MLABCELL_X39_Y36_N18 ; 26 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[825] ; MLABCELL_X34_Y36_N42 ; 31 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[891] ; MLABCELL_X34_Y36_N30 ; 35 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[990] ; LABCELL_X24_Y36_N42 ; 39 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[99] ; LABCELL_X37_Y34_N45 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|dstvalue[19]~49 ; LABCELL_X31_Y23_N57 ; 12 ; Sync. clear ; no ; -- ; -- ; -- ; -; riscv_core:core|dstvalue[5]~2 ; LABCELL_X29_Y24_N0 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|dstvalue[5]~7 ; LABCELL_X29_Y24_N21 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|imm[18]~11 ; LABCELL_X27_Y26_N54 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|imm[19]~3 ; LABCELL_X31_Y23_N12 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|imm[26]~6 ; LABCELL_X31_Y23_N42 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|imm[4]~1 ; LABCELL_X35_Y24_N48 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|imm[4]~2 ; LABCELL_X35_Y24_N36 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|pc[0]~33 ; MLABCELL_X34_Y27_N15 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|pc[31]~3 ; LABCELL_X30_Y24_N15 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|state.0011 ; FF_X30_Y31_N17 ; 40 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|writedata[0]~2 ; LABCELL_X31_Y23_N51 ; 69 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|writedata[16]~5 ; LABCELL_X37_Y31_N15 ; 9 ; Sync. clear ; no ; -- ; -- ; -- ; -; riscv_core:core|writedata[3]~0 ; LABCELL_X37_Y31_N3 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|Equal1~0 ; MLABCELL_X25_Y27_N48 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|divisor_wr_strobe ; LABCELL_X43_Y26_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_wr_strobe ; LABCELL_X43_Y26_N51 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|got_new_char ; LABCELL_X46_Y25_N3 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0]~0 ; LABCELL_X46_Y25_N18 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|always4~0 ; LABCELL_X40_Y29_N27 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|do_load_shifter ; FF_X40_Y29_N23 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_in[0]~1 ; LABCELL_X40_Y29_N54 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|always0~0 ; LABCELL_X31_Y22_N15 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|ctrlstate.000 ; FF_X40_Y30_N29 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|ctrlstate~16 ; LABCELL_X40_Y30_N24 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|ctrlstate~20 ; LABCELL_X40_Y30_N42 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|lastdiv[3]~0 ; LABCELL_X40_Y26_N27 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|newdiv[9]~0 ; LABCELL_X37_Y30_N27 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|readdata[1]~1 ; MLABCELL_X28_Y22_N45 ; 22 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|readdata[8]~0 ; MLABCELL_X28_Y22_N9 ; 14 ; Sync. clear ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|recv_buf_data[0]~0 ; LABCELL_X40_Y31_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|send_buf_data[7]~1 ; LABCELL_X40_Y29_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~6 ; MLABCELL_X28_Y22_N39 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~7 ; LABCELL_X27_Y21_N54 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_rreq ; MLABCELL_X28_Y22_N57 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_wreq ; MLABCELL_X28_Y22_N12 ; 26 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~1 ; LABCELL_X31_Y22_N51 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~7 ; MLABCELL_X25_Y22_N18 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_rreq ; LABCELL_X31_Y22_N12 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_wreq ; LABCELL_X43_Y26_N15 ; 26 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_write_data[0]~0 ; LABCELL_X40_Y30_N51 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_write_data[13]~1 ; LABCELL_X42_Y24_N6 ; 8 ; Sync. clear ; no ; -- ; -- ; -- ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ +; Equal7~0 ; MLABCELL_X25_Y29_N18 ; 160 ; Sync. clear ; no ; -- ; -- ; -- ; +; KEY[3] ; PIN_Y16 ; 271 ; Async. clear, Sync. clear ; no ; -- ; -- ; -- ; +; clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|outclk_wire[0] ; PLLOUTPUTCOUNTER_X0_Y20_N1 ; 2235 ; Clock ; yes ; Global Clock ; GCLK0 ; -- ; +; led1[1]~0 ; MLABCELL_X34_Y22_N33 ; 38 ; Sync. load ; no ; -- ; -- ; -- ; +; led1[1]~4 ; MLABCELL_X34_Y22_N45 ; 28 ; Clock enable ; no ; -- ; -- ; -- ; +; led4[1]~0 ; MLABCELL_X34_Y22_N42 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode|w_anode1121w[2]~0 ; MLABCELL_X34_Y23_N3 ; 33 ; Clock enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode|w_anode1135w[2]~0 ; MLABCELL_X34_Y23_N30 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode|w_anode1135w[2]~1 ; MLABCELL_X34_Y23_N39 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1083w[2] ; MLABCELL_X34_Y23_N15 ; 32 ; Write enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1096w[2] ; MLABCELL_X34_Y23_N12 ; 32 ; Write enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1096w[2]~0 ; MLABCELL_X34_Y23_N6 ; 33 ; Clock enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1104w[2] ; MLABCELL_X34_Y23_N33 ; 32 ; Write enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1112w[2] ; MLABCELL_X34_Y23_N36 ; 32 ; Write enable ; no ; -- ; -- ; -- ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[31] ; M10K_X26_Y29_N0 ; 36 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|Selector186~1 ; LABCELL_X24_Y28_N48 ; 4 ; Write enable ; no ; -- ; -- ; -- ; +; riscv_core:core|bReadAddr[21]~0 ; LABCELL_X23_Y22_N48 ; 39 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|bReadAddr[21]~19 ; LABCELL_X30_Y23_N45 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[198] ; LABCELL_X40_Y30_N48 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[297] ; LABCELL_X40_Y31_N15 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[363] ; LABCELL_X37_Y22_N9 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[462] ; MLABCELL_X47_Y34_N21 ; 17 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[561] ; LABCELL_X48_Y34_N27 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[627] ; MLABCELL_X39_Y34_N3 ; 33 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[726] ; LABCELL_X45_Y31_N51 ; 32 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[825] ; LABCELL_X48_Y31_N57 ; 28 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[891] ; LABCELL_X56_Y30_N6 ; 36 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[990] ; LABCELL_X56_Y27_N42 ; 36 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[99] ; LABCELL_X43_Y29_N39 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|cout_actual ; LABCELL_X48_Y29_N48 ; 6 ; Sync. clear ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|cout_actual ; LABCELL_X35_Y24_N48 ; 5 ; Sync. clear ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|cout_actual ; LABCELL_X40_Y28_N48 ; 5 ; Sync. clear ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[198] ; LABCELL_X31_Y25_N0 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[297] ; LABCELL_X35_Y32_N48 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[363] ; LABCELL_X33_Y31_N6 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[462] ; LABCELL_X31_Y30_N45 ; 18 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[561] ; LABCELL_X37_Y29_N30 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[627] ; LABCELL_X40_Y36_N39 ; 25 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[726] ; MLABCELL_X39_Y36_N18 ; 25 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[825] ; LABCELL_X51_Y28_N9 ; 29 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[891] ; LABCELL_X50_Y26_N48 ; 35 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[990] ; MLABCELL_X47_Y21_N27 ; 39 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[99] ; LABCELL_X35_Y26_N15 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|cout_actual ; LABCELL_X55_Y26_N48 ; 4 ; Sync. clear ; no ; -- ; -- ; -- ; +; riscv_core:core|dstvalue[16]~46 ; LABCELL_X23_Y24_N24 ; 12 ; Sync. clear ; no ; -- ; -- ; -- ; +; riscv_core:core|dstvalue[4]~5 ; LABCELL_X19_Y27_N3 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|dstvalue[4]~9 ; LABCELL_X19_Y27_N39 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|imm[0]~3 ; MLABCELL_X34_Y26_N39 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|imm[18]~7 ; MLABCELL_X34_Y26_N57 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|imm[24]~4 ; LABCELL_X30_Y25_N24 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|imm[4]~0 ; MLABCELL_X34_Y26_N6 ; 29 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|pc[0]~37 ; LABCELL_X19_Y27_N27 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|pc[6]~5 ; LABCELL_X24_Y25_N3 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|state.0011 ; FF_X24_Y25_N20 ; 29 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|writedata[0]~2 ; MLABCELL_X39_Y26_N33 ; 69 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|writedata[19]~5 ; MLABCELL_X28_Y22_N51 ; 9 ; Sync. clear ; no ; -- ; -- ; -- ; +; riscv_core:core|writedata[4]~0 ; MLABCELL_X28_Y22_N36 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|divisor_wr_strobe ; LABCELL_X42_Y27_N30 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_wr_strobe ; LABCELL_X42_Y27_N9 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|got_new_char ; MLABCELL_X59_Y31_N33 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[3]~0 ; MLABCELL_X59_Y31_N18 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|always4~0 ; LABCELL_X37_Y27_N57 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|do_load_shifter ; FF_X37_Y24_N20 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_in[0]~1 ; LABCELL_X37_Y24_N27 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|always0~0 ; MLABCELL_X39_Y23_N12 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|ctrlstate.00000000 ; FF_X34_Y29_N56 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|lastdiv[9]~0 ; MLABCELL_X34_Y29_N57 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|newdiv[9]~0 ; MLABCELL_X34_Y22_N39 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|readdata[25]~0 ; LABCELL_X33_Y24_N30 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|readdata[4]~1 ; LABCELL_X29_Y23_N12 ; 24 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|recv_buf_data[6]~0 ; LABCELL_X37_Y26_N3 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|send_buf_data[7]~1 ; MLABCELL_X34_Y22_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_addr[2]~0 ; MLABCELL_X34_Y29_N39 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~6 ; LABCELL_X36_Y26_N0 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~7 ; MLABCELL_X39_Y28_N54 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_rreq ; LABCELL_X29_Y23_N36 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_wreq ; LABCELL_X37_Y26_N15 ; 26 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~1 ; MLABCELL_X39_Y23_N9 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~7 ; LABCELL_X40_Y23_N18 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_rreq ; MLABCELL_X39_Y23_N3 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_wreq ; MLABCELL_X39_Y23_N6 ; 26 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_write_data[12]~1 ; LABCELL_X43_Y27_N57 ; 8 ; Sync. clear ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_write_data[7]~0 ; MLABCELL_X34_Y29_N51 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ -+-----------------------------------------------------------------------------------------------------+ -; Global & Other Fast Signals ; -+----------+----------+---------+----------------------+------------------+---------------------------+ -; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; -+----------+----------+---------+----------------------+------------------+---------------------------+ -; CLOCK_50 ; PIN_AF14 ; 2122 ; Global Clock ; GCLK6 ; -- ; -+----------+----------+---------+----------------------+------------------+---------------------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++---------------------------------------------------------------------------------+----------------------------+---------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++---------------------------------------------------------------------------------+----------------------------+---------+----------------------+------------------+---------------------------+ +; clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|outclk_wire[0] ; PLLOUTPUTCOUNTER_X0_Y20_N1 ; 2235 ; Global Clock ; GCLK0 ; -- ; ++---------------------------------------------------------------------------------+----------------------------+---------+----------------------+------------------+---------------------------+ +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2740,455 +2779,535 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ ; Name ; Fan-Out ; +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ -; Equal6~0 ; 356 ; -; KEY[3]~input ; 267 ; -; riscv_core:core|instr[12] ; 205 ; +; Equal6~0 ; 277 ; +; KEY[3]~input ; 271 ; +; riscv_core:core|instr[12] ; 179 ; ; riscv_core:core|instr[13] ; 175 ; -; comb~38 ; 102 ; -; riscv_core:core|imm[1]~DUPLICATE ; 80 ; -; comb~5 ; 75 ; -; riscv_core:core|instr[2] ; 73 ; -; comb~3 ; 72 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[0] ; 71 ; -; riscv_core:core|imm[0] ; 71 ; +; Equal7~0 ; 160 ; +; ramaddr[12]~14 ; 128 ; +; ramaddr[11]~13 ; 128 ; +; ramaddr[10]~12 ; 128 ; +; ramaddr[9]~11 ; 128 ; +; ramaddr[8]~10 ; 128 ; +; ramaddr[7]~9 ; 128 ; +; ramaddr[6]~8 ; 128 ; +; ramaddr[5]~7 ; 128 ; +; ramaddr[4]~6 ; 128 ; +; ramaddr[3]~5 ; 128 ; +; ramaddr[2]~4 ; 128 ; +; ramaddr[1]~3 ; 128 ; +; ramaddr[0]~2 ; 128 ; +; comb~3 ; 103 ; +; riscv_core:core|imm[1] ; 96 ; +; comb~44 ; 88 ; +; comb~1 ; 84 ; +; riscv_core:core|imm[0] ; 76 ; ; riscv_core:core|writedata[0]~2 ; 69 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|add_sub_31_result_int[32]~1 ; 66 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[3] ; 62 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[0] ; 68 ; +; riscv_core:core|imm[3] ; 68 ; +; riscv_core:core|writedata[6] ; 68 ; +; riscv_core:core|writedata[5] ; 68 ; +; riscv_core:core|writedata[4] ; 68 ; +; riscv_core:core|writedata[3] ; 68 ; +; riscv_core:core|writedata[2] ; 68 ; +; riscv_core:core|writedata[1] ; 68 ; +; riscv_core:core|writedata[0] ; 68 ; +; riscv_core:core|writedata[14] ; 67 ; +; riscv_core:core|writedata[13] ; 67 ; +; riscv_core:core|writedata[12] ; 67 ; +; riscv_core:core|writedata[11] ; 67 ; +; riscv_core:core|writedata[10] ; 67 ; +; riscv_core:core|writedata[9] ; 67 ; +; riscv_core:core|writedata[8] ; 67 ; +; riscv_core:core|writedata[7] ; 66 ; +; riscv_core:core|writedata[15] ; 65 ; +; riscv_core:core|writedata[30] ; 65 ; +; riscv_core:core|writedata[29] ; 65 ; +; riscv_core:core|writedata[28] ; 65 ; +; riscv_core:core|writedata[27] ; 65 ; +; riscv_core:core|writedata[26] ; 65 ; +; riscv_core:core|writedata[25] ; 65 ; +; riscv_core:core|writedata[24] ; 65 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|add_sub_31_result_int[32]~1 ; 65 ; +; riscv_core:core|writedata[22] ; 65 ; +; riscv_core:core|writedata[21] ; 65 ; +; riscv_core:core|writedata[20] ; 65 ; +; riscv_core:core|writedata[19] ; 65 ; +; riscv_core:core|writedata[18] ; 65 ; +; riscv_core:core|writedata[17] ; 65 ; +; riscv_core:core|writedata[16] ; 65 ; +; riscv_core:core|writedata[31] ; 64 ; +; riscv_core:core|writedata[23] ; 64 ; +; comb~5 ; 63 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[957] ; 61 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[957] ; 61 ; -; riscv_core:core|instr[14] ; 60 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[924] ; 59 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[924] ; 59 ; +; riscv_core:core|instr[14] ; 56 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[858] ; 55 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[858] ; 55 ; -; riscv_core:core|instr[5] ; 55 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[31] ; 52 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[792] ; 51 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[792] ; 51 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[759] ; 49 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[759] ; 49 ; -; riscv_core:core|imm[2] ; 46 ; -; riscv_core:core|imm[3]~DUPLICATE ; 45 ; +; riscv_core:core|instr[2] ; 48 ; +; riscv_core:core|state.0100 ; 47 ; +; riscv_core:core|state.0101 ; 46 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[693] ; 45 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[693] ; 45 ; +; riscv_core:core|imm[2] ; 45 ; +; comb~4 ; 44 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[660] ; 43 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[660] ; 43 ; -; riscv_core:core|state.1000 ; 43 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[1] ; 43 ; -; riscv_core:core|dstvalue[30]~1 ; 42 ; -; riscv_core:core|state.0101 ; 42 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[2] ; 42 ; -; riscv_core:core|state.0011 ; 40 ; -; riscv_core:core|instr[6] ; 40 ; -; riscv_core:core|state.0100 ; 40 ; +; riscv_core:core|state.1000 ; 42 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[594] ; 39 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[594] ; 39 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[990] ; 39 ; -; riscv_core:core|bReadAddr[4]~0 ; 39 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[891] ; 38 ; -; led3[2]~0 ; 38 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[825] ; 37 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[627] ; 36 ; -; riscv_core:core|instr[25] ; 36 ; +; riscv_core:core|bReadAddr[21]~0 ; 39 ; +; riscv_core:core|instr[5] ; 38 ; +; led1[1]~0 ; 38 ; +; riscv_core:core|Equal11~7 ; 37 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[891] ; 36 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[990] ; 36 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|address_reg_a[0] ; 36 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|address_reg_a[1] ; 36 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[31] ; 36 ; ; riscv_core:core|state.0010 ; 36 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[891] ; 35 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[528] ; 35 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[528] ; 35 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[990] ; 35 ; -; riscv_core:core|instr[30] ; 35 ; -; riscv_core:core|Equal11~7 ; 35 ; -; comb~7 ; 35 ; -; Equal1~4 ; 34 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[495] ; 33 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[495] ; 33 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[627] ; 33 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[726] ; 33 ; -; riscv_core:core|dstvalue[10]~0 ; 33 ; -; riscv_core:core|instr[3] ; 33 ; -; riscv_core:core|imm[19]~3 ; 32 ; -; comb~6 ; 32 ; -; readaddr[8] ; 32 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[825] ; 31 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[495] ; 31 ; -; riscv_core:core|dstvalue[5]~7 ; 30 ; -; riscv_core:core|pc[31]~3 ; 30 ; -; riscv_core:core|pc[17]~1 ; 30 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[0] ; 30 ; +; riscv_core:core|instr[30] ; 33 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode|w_anode1121w[2]~0 ; 33 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1096w[2]~0 ; 33 ; +; riscv_core:core|writemask[3]~_wirecell ; 32 ; +; riscv_core:core|writemask[2]~_wirecell ; 32 ; +; riscv_core:core|writemask[1]~_wirecell ; 32 ; +; riscv_core:core|writemask[0]~_wirecell ; 32 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[726] ; 32 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1083w[2] ; 32 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1096w[2] ; 32 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode|w_anode1135w[2]~1 ; 32 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1112w[2] ; 32 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode|w_anode1135w[2]~0 ; 32 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1104w[2] ; 32 ; +; riscv_core:core|pc[13]~1 ; 31 ; +; riscv_core:core|dstvalue[4]~9 ; 30 ; +; riscv_core:core|pc[6]~5 ; 30 ; +; riscv_core:core|pc[13]~3 ; 30 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[429] ; 29 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[429] ; 29 ; -; Equal0~2 ; 29 ; -; led3[2]~4 ; 28 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[825] ; 29 ; +; riscv_core:core|dstvalue[13]~26 ; 29 ; +; riscv_core:core|imm[4]~0 ; 29 ; +; riscv_core:core|state.0011 ; 29 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[825] ; 28 ; +; riscv_core:core|ldaddr[1] ; 28 ; +; comb~6 ; 28 ; +; led1[1]~4 ; 28 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[396] ; 27 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[396] ; 27 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[429] ; 27 ; -; riscv_core:core|dstvalue[19]~12 ; 27 ; -; riscv_core:core|ldaddr[1] ; 27 ; +; riscv_core:core|dstvalue[13]~25 ; 27 ; +; riscv_core:core|dstvalue[13]~24 ; 27 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_wreq ; 27 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_wreq ; 27 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[15] ; 27 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[726] ; 26 ; -; riscv_core:core|instr[31] ; 26 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[13] ; 26 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[396] ; 25 ; -; riscv_core:core|dstvalue[10]~34 ; 25 ; -; riscv_core:core|imm[4] ; 25 ; -; riscv_core:core|dstvalue[10]~28 ; 24 ; -; comb~29 ; 24 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[4] ; 24 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[17] ; 24 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[19] ; 24 ; +; riscv_core:core|imm[4] ; 26 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[627] ; 25 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[726] ; 25 ; +; bReadData[31]~62 ; 25 ; +; uart_ctrl:uart_ctrl|readdata[4]~1 ; 24 ; +; comb~43 ; 24 ; +; Equal0~7 ; 24 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[16] ; 24 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[330] ; 23 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[330] ; 23 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[16] ; 23 ; -; riscv_core:core|Selector229~2 ; 22 ; -; riscv_core:core|dstvalue[10]~32 ; 22 ; -; uart_ctrl:uart_ctrl|readdata[1]~1 ; 22 ; -; comb~13 ; 22 ; -; comb~12 ; 22 ; -; comb~11 ; 22 ; -; comb~10 ; 22 ; -; comb~9 ; 22 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[11] ; 22 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[18] ; 22 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[21] ; 22 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[330] ; 21 ; -; riscv_core:core|dstvalue[19]~37 ; 21 ; -; riscv_core:core|imm[3] ; 21 ; -; comb~25 ; 21 ; -; comb~16 ; 21 ; -; comb~15 ; 21 ; -; comb~14 ; 21 ; -; comb~8 ; 21 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[561] ; 20 ; -; riscv_core:core|dstvalue[10]~10 ; 20 ; -; comb~41 ; 20 ; -; comb~40 ; 20 ; -; comb~39 ; 20 ; -; comb~28 ; 20 ; -; comb~27 ; 20 ; -; comb~26 ; 20 ; -; comb~24 ; 20 ; -; comb~22 ; 20 ; -; comb~21 ; 20 ; -; comb~20 ; 20 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[23] ; 20 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[5] ; 20 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[10] ; 20 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[14] ; 20 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[20] ; 20 ; +; riscv_core:core|dstvalue[13]~32 ; 23 ; +; riscv_core:core|instr[6] ; 23 ; +; riscv_core:core|dstvalue[13]~31 ; 22 ; +; riscv_core:core|Selector237~2 ; 22 ; +; riscv_core:core|dstvalue[13]~30 ; 21 ; +; bReadData[2]~25 ; 21 ; +; Equal1~1 ; 21 ; +; riscv_core:core|state.0001 ; 21 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[14] ; 21 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[15] ; 21 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[18] ; 21 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[31] ; 21 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[0] ; 21 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[13] ; 20 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[17] ; 20 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[19] ; 20 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[264] ; 19 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[264] ; 19 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[363] ; 19 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[363] ; 19 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[561] ; 19 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1|counter_reg_bit[2] ; 19 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[561] ; 19 ; -; riscv_core:core|Mux26~0 ; 19 ; -; comb~30 ; 19 ; -; comb~23 ; 19 ; -; comb~19 ; 19 ; -; comb~18 ; 19 ; -; comb~17 ; 19 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[7] ; 19 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[9] ; 19 ; -; riscv_core:core|instr[4] ; 18 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[22] ; 18 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[25] ; 18 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[27] ; 18 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[8] ; 18 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|divisor_wr_strobe ; 18 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[462] ; 18 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1|counter_reg_bit[1] ; 18 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1|counter_reg_bit[0] ; 18 ; +; bReadData[6]~31 ; 18 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[10] ; 18 ; ; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[12] ; 18 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[264] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[20] ; 18 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[21] ; 18 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[231] ; 17 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[231] ; 17 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[462] ; 17 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[1] ; 17 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[0] ; 17 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[2] ; 17 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[1] ; 17 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[0] ; 17 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|Equal0~3 ; 17 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rxd_edge ; 17 ; +; comb~70 ; 17 ; +; comb~58 ; 17 ; +; comb~57 ; 17 ; +; comb~56 ; 17 ; +; comb~55 ; 17 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_rreq ; 17 ; -; riscv_core:core|writedata[16]~3 ; 17 ; +; riscv_core:core|writedata[19]~3 ; 17 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_rreq ; 17 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[28] ; 17 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[29] ; 17 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[30] ; 17 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[6] ; 17 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|divisor_wr_strobe ; 16 ; -; uart_ctrl:uart_ctrl|uart_write_data[0]~0 ; 16 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[3] ; 17 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[2] ; 17 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[0] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[11] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[1] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[2] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[7] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[22] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[23] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[25] ; 17 ; +; riscv_core:core|write ; 17 ; +; uart_ctrl:uart_ctrl|uart_write_data[7]~0 ; 16 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|always4~0 ; 16 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[462] ; 16 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1|counter_reg_bit[2] ; 16 ; -; uart_ctrl:uart_ctrl|lastdiv[3]~0 ; 16 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|cntr_ohf:cntr1|counter_reg_bit[1] ; 16 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|cntr_ohf:cntr1|counter_reg_bit[0] ; 16 ; +; uart_ctrl:uart_ctrl|lastdiv[9]~0 ; 16 ; ; uart_ctrl:uart_ctrl|newdiv[9]~0 ; 16 ; -; riscv_core:core|dstvalue[10]~27 ; 16 ; -; riscv_core:core|ldaddr[0] ; 16 ; -; riscv_core:core|writedata[3]~0 ; 16 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[24] ; 16 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[231] ; 15 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[462] ; 15 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|cntr_thf:cntr1|counter_reg_bit[2] ; 15 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|cntr_thf:cntr1|counter_reg_bit[1] ; 15 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|cntr_thf:cntr1|counter_reg_bit[0] ; 15 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1|counter_reg_bit[1] ; 15 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1|counter_reg_bit[0] ; 15 ; -; riscv_core:core|dstreg[4]~0 ; 15 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~6 ; 15 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[26] ; 15 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[297] ; 14 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[363] ; 14 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[2] ; 14 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[0] ; 14 ; -; riscv_core:core|imm[26]~6 ; 14 ; -; uart_ctrl:uart_ctrl|readdata[8]~0 ; 14 ; -; riscv_core:core|dstvalue[30]~5 ; 14 ; -; led5[0]~0 ; 14 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[1] ; 14 ; +; riscv_core:core|dstvalue[13]~23 ; 16 ; +; uart_ctrl:uart_ctrl|readdata[25]~0 ; 16 ; +; riscv_core:core|instr[25] ; 16 ; +; comb~54 ; 16 ; +; comb~51 ; 16 ; +; comb~50 ; 16 ; +; riscv_core:core|writedata[4]~0 ; 16 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[4] ; 16 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[8] ; 16 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[27] ; 16 ; +; riscv_core:core|dstvalue[3]~19 ; 15 ; +; riscv_core:core|ldaddr[0] ; 15 ; +; comb~71 ; 15 ; +; comb~69 ; 15 ; +; comb~68 ; 15 ; +; comb~67 ; 15 ; +; comb~64 ; 15 ; +; comb~61 ; 15 ; +; comb~60 ; 15 ; +; comb~59 ; 15 ; +; comb~47 ; 15 ; +; comb~46 ; 15 ; +; comb~45 ; 15 ; +; comb~39 ; 15 ; +; comb~8 ; 15 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[1] ; 15 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[0] ; 15 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3] ; 15 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[9] ; 15 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[3] ; 15 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[6] ; 15 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[28] ; 15 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[29] ; 15 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[30] ; 15 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[2] ; 15 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[3] ; 15 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[2]~DUPLICATE ; 14 ; +; riscv_core:core|dstvalue[16]~43 ; 14 ; +; riscv_core:core|dstvalue[16]~42 ; 14 ; +; riscv_core:core|dstvalue[13]~22 ; 14 ; +; riscv_core:core|dstvalue[3]~8 ; 14 ; +; comb~66 ; 14 ; +; comb~63 ; 14 ; +; comb~53 ; 14 ; +; comb~52 ; 14 ; +; comb~41 ; 14 ; +; comb~40 ; 14 ; +; Equal0~6 ; 14 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|do_load_shifter ; 14 ; +; comb~12 ; 14 ; +; comb~11 ; 14 ; +; comb~10 ; 14 ; +; comb~9 ; 14 ; +; led4[1]~0 ; 14 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[2] ; 14 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1] ; 14 ; ; riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component|mult_81n:auto_generated|Mult0~523 ; 14 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[5] ; 14 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[24] ; 14 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3]~DUPLICATE ; 13 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[165] ; 13 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[165] ; 13 ; -; riscv_core:core|Mux183~0 ; 13 ; ; riscv_core:core|state.0110 ; 13 ; -; riscv_core:core|state.0001 ; 13 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|do_load_shifter ; 13 ; -; riscv_core:core|write ; 13 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[363] ; 12 ; -; riscv_core:core|dstvalue[19]~49 ; 12 ; -; riscv_core:core|dstvalue[19]~46 ; 12 ; -; riscv_core:core|dstvalue[19]~45 ; 12 ; -; riscv_core:core|dstvalue[10]~36 ; 12 ; -; riscv_core:core|ShiftRight1~22 ; 12 ; -; riscv_core:core|dstvalue[10]~19 ; 12 ; -; riscv_core:core|dstvalue[10]~17 ; 12 ; -; Equal0~3 ; 12 ; -; uart_ctrl:uart_ctrl|ctrlstate.000 ; 12 ; +; comb~65 ; 13 ; +; comb~62 ; 13 ; +; comb~48 ; 13 ; +; comb~42 ; 13 ; +; bReadData[25]~1 ; 13 ; +; bReadData[25]~0 ; 13 ; +; comb~28 ; 13 ; +; comb~27 ; 13 ; +; comb~15 ; 13 ; +; comb~14 ; 13 ; +; comb~13 ; 13 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[1] ; 13 ; +; readaddr[8] ; 13 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[297] ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[297] ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|cntr_uhf:cntr1|counter_reg_bit[2] ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|cntr_uhf:cntr1|counter_reg_bit[1] ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|cntr_uhf:cntr1|counter_reg_bit[0] ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|cntr_phf:cntr1|counter_reg_bit[1] ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|cntr_phf:cntr1|counter_reg_bit[0] ; 12 ; +; riscv_core:core|dstvalue[16]~46 ; 12 ; +; riscv_core:core|dstvalue[16]~41 ; 12 ; +; riscv_core:core|dstvalue[16]~40 ; 12 ; +; riscv_core:core|dstvalue[13]~21 ; 12 ; +; riscv_core:core|dstvalue[13]~20 ; 12 ; +; riscv_core:core|dstvalue[13]~17 ; 12 ; +; riscv_core:core|dstvalue[13]~16 ; 12 ; +; riscv_core:core|dstvalue[13]~12 ; 12 ; +; riscv_core:core|dstvalue[13]~11 ; 12 ; +; riscv_core:core|Selector275~6 ; 12 ; +; comb~72 ; 12 ; +; comb~49 ; 12 ; +; comb~38 ; 12 ; ; uart_ctrl:uart_ctrl|always0~0 ; 12 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_wr_strobe ; 12 ; +; comb~26 ; 12 ; +; comb~25 ; 12 ; +; comb~24 ; 12 ; +; comb~23 ; 12 ; +; comb~20 ; 12 ; +; comb~19 ; 12 ; +; comb~17 ; 12 ; +; comb~7 ; 12 ; ; riscv_core:core|Equal6~0 ; 12 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[2] ; 12 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3]~DUPLICATE ; 11 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3] ; 12 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[26] ; 12 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[1] ; 12 ; +; riscv_core:core|Selector259~13 ; 11 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[0] ; 11 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[132] ; 11 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[132] ; 11 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[165] ; 11 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[198] ; 11 ; -; riscv_core:core|Selector251~5 ; 11 ; -; riscv_core:core|dstvalue[10]~33 ; 11 ; +; riscv_core:core|imm[24]~4 ; 11 ; ; uart_ctrl:uart_ctrl|Equal1~0 ; 11 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~6 ; 11 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[3]~0 ; 11 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|do_start_rx ; 11 ; -; riscv_core:core|imm[1] ; 11 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_wr_strobe ; 11 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_in[0]~1 ; 11 ; +; bReadData[5]~22 ; 11 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~1 ; 11 ; +; comb~29 ; 11 ; +; comb~22 ; 11 ; +; comb~21 ; 11 ; +; comb~18 ; 11 ; +; comb~16 ; 11 ; ; led0~0 ; 11 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[2] ; 11 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1] ; 11 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; 11 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[2] ; 11 ; ; uart_ctrl:uart_ctrl|uart_addr[2] ; 11 ; ; uart_ctrl:uart_ctrl|uart_addr[0] ; 11 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[3]~DUPLICATE ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0]~DUPLICATE ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~portb_address_reg2FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; 10 ; ; KEY[3]~_wirecell ; 10 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[297] ; 10 ; -; riscv_core:core|dstvalue[10]~31 ; 10 ; -; riscv_core:core|dstvalue[10]~29 ; 10 ; -; riscv_core:core|dstvalue[10]~26 ; 10 ; -; riscv_core:core|dstvalue[5]~2 ; 10 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0]~0 ; 10 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_in[0]~1 ; 10 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~1 ; 10 ; -; riscv_core:core|writedata[16]~4 ; 10 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3] ; 10 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[2] ; 10 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[0] ; 10 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 9 ; +; comb~73 ; 10 ; +; bReadData[4]~27 ; 10 ; +; uart_ctrl:uart_ctrl|ctrlstate.00000000 ; 10 ; +; riscv_core:core|writedata[19]~4 ; 10 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~7 ; 9 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~7 ; 9 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[132] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|cntr_uhf:cntr1|counter_reg_bit[2] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|cntr_uhf:cntr1|counter_reg_bit[1] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|cntr_uhf:cntr1|counter_reg_bit[0] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[1] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1|counter_reg_bit[0] ; 9 ; -; riscv_core:core|ShiftLeft1~14 ; 9 ; -; riscv_core:core|dstvalue[30]~21 ; 9 ; -; riscv_core:core|Selector264~0 ; 9 ; -; riscv_core:core|ShiftRight0~12 ; 9 ; -; riscv_core:core|imm[18]~11 ; 9 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[198] ; 9 ; +; riscv_core:core|Selector272~0 ; 9 ; +; riscv_core:core|dstvalue[4]~5 ; 9 ; +; bReadData[23]~9 ; 9 ; +; riscv_core:core|state.0000 ; 9 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|got_new_char ; 9 ; -; riscv_core:core|writedata[16]~5 ; 9 ; +; riscv_core:core|writedata[19]~5 ; 9 ; +; riscv_core:core|instr[4] ; 9 ; ; riscv_core:core|writeaddr[1] ; 9 ; ; riscv_core:core|writeaddr[0] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[2] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1|counter_reg_bit[1]~DUPLICATE ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[2]~DUPLICATE ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; 8 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[4] ; 9 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[0] ; 9 ; ; SW[9]~input ; 8 ; -; riscv_core:core|Selector244~13 ; 8 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[256] ; 8 ; ; uart_ctrl:uart_ctrl|send_buf_data[7]~1 ; 8 ; -; uart_ctrl:uart_ctrl|uart_write_data[13]~1 ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|cout_actual ; 8 ; -; uart_ctrl:uart_ctrl|recv_buf_data[0]~0 ; 8 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[57] ; 8 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[176] ; 8 ; -; riscv_core:core|dstvalue[30]~22 ; 8 ; -; riscv_core:core|dstvalue[30]~11 ; 8 ; -; riscv_core:core|dstvalue[30]~9 ; 8 ; -; ramaddr[10]~10 ; 8 ; -; ramaddr[9]~9 ; 8 ; -; ramaddr[8]~8 ; 8 ; -; ramaddr[7]~7 ; 8 ; -; ramaddr[6]~6 ; 8 ; -; ramaddr[5]~5 ; 8 ; -; ramaddr[4]~4 ; 8 ; -; ramaddr[3]~3 ; 8 ; -; ramaddr[2]~2 ; 8 ; -; ramaddr[1]~1 ; 8 ; -; ramaddr[0]~0 ; 8 ; -; comb~42 ; 8 ; -; riscv_core:core|dstvalue[19]~6 ; 8 ; -; riscv_core:core|always5~0 ; 8 ; +; uart_ctrl:uart_ctrl|uart_write_data[12]~1 ; 8 ; +; uart_ctrl:uart_ctrl|recv_buf_data[6]~0 ; 8 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[198] ; 8 ; +; riscv_core:core|ShiftRight1~22 ; 8 ; +; riscv_core:core|imm[18]~7 ; 8 ; +; bReadData[7]~60 ; 8 ; +; riscv_core:core|Selector275~0 ; 8 ; ; riscv_core:core|imm[11] ; 8 ; -; riscv_core:core|writedata[26]~7 ; 8 ; -; riscv_core:core|writedata[26]~6 ; 8 ; -; riscv_core:core|Equal2~1 ; 8 ; +; riscv_core:core|regena~0 ; 8 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|dffe_af ; 8 ; +; riscv_core:core|writedata[25]~7 ; 8 ; +; riscv_core:core|writedata[25]~6 ; 8 ; +; riscv_core:core|instr[3] ; 8 ; +; riscv_core:core|Equal2~4 ; 8 ; ; led2~0 ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[1] ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[0] ; 8 ; -; riscv_core:core|writedata[6] ; 8 ; -; riscv_core:core|writedata[5] ; 8 ; -; riscv_core:core|writedata[4] ; 8 ; -; riscv_core:core|writedata[3] ; 8 ; -; riscv_core:core|writedata[2] ; 8 ; -; riscv_core:core|writedata[1] ; 8 ; -; riscv_core:core|writedata[0] ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~portb_address_reg2FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 7 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 7 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 7 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 7 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 7 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[64] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[32] ; 7 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[128] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[160] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[235] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[234] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[263] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[224] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[175] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[264] ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[256] ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|cout_actual ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|cout_actual ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[66] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[29] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[198] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[146] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[147] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[323] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[322] ; 7 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[32] ; 7 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[160] ; 7 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[224] ; 7 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[320] ; 7 ; -; riscv_core:core|Selector258~1 ; 7 ; -; riscv_core:core|Selector258~0 ; 7 ; -; bReadData[23]~33 ; 7 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[66] ; 7 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[66] ; 7 ; +; riscv_core:core|Selector259~9 ; 7 ; +; riscv_core:core|Selector267~4 ; 7 ; +; riscv_core:core|Selector267~3 ; 7 ; +; riscv_core:core|Selector267~2 ; 7 ; +; riscv_core:core|ShiftRight1~28 ; 7 ; +; bReadData[15]~44 ; 7 ; +; ramaddr[13]~1 ; 7 ; +; ramaddr[14]~0 ; 7 ; +; riscv_core:core|imm[10] ; 7 ; +; riscv_core:core|imm[9] ; 7 ; ; riscv_core:core|imm[31] ; 7 ; ; riscv_core:core|imm[8] ; 7 ; ; riscv_core:core|imm[6] ; 7 ; -; riscv_core:core|state.0000 ; 7 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|dffe_af ; 7 ; -; riscv_core:core|writedata[14] ; 7 ; -; riscv_core:core|writedata[13] ; 7 ; -; riscv_core:core|writedata[12] ; 7 ; -; riscv_core:core|writedata[11] ; 7 ; -; riscv_core:core|writedata[10] ; 7 ; -; riscv_core:core|writedata[9] ; 7 ; -; riscv_core:core|writedata[8] ; 7 ; -; riscv_core:core|imm[30] ; 7 ; -; riscv_core:core|imm[5]~DUPLICATE ; 6 ; -; riscv_core:core|imm[28]~DUPLICATE ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[205] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[174] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[233] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[262] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[293] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[38] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[59] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[58] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[73] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[117] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[142] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[321] ; 6 ; +; riscv_core:core|imm[5] ; 7 ; +; bReadData[3]~29 ; 7 ; +; bReadData[20]~3 ; 7 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[5] ; 7 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|cout_actual ; 6 ; ; riscv_core:core|Selector123~0 ; 6 ; -; riscv_core:core|dstvalue[30]~53 ; 6 ; -; bReadData[7]~34 ; 6 ; -; riscv_core:core|Selector263~11 ; 6 ; -; riscv_core:core|dstvalue[3]~24 ; 6 ; -; riscv_core:core|dstvalue[5]~16 ; 6 ; -; riscv_core:core|dstvalue[10]~15 ; 6 ; -; riscv_core:core|imm[4]~2 ; 6 ; -; riscv_core:core|Selector119~0 ; 6 ; +; riscv_core:core|dstvalue[28]~53 ; 6 ; +; riscv_core:core|dstvalue[4]~29 ; 6 ; +; riscv_core:core|dstvalue[13]~28 ; 6 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[0] ; 6 ; +; bReadData[11]~56 ; 6 ; +; bReadData[25]~50 ; 6 ; +; bReadData[10]~48 ; 6 ; +; riscv_core:core|Selector120~0 ; 6 ; ; riscv_core:core|imm[7] ; 6 ; +; bReadData[24]~11 ; 6 ; +; bReadData[22]~7 ; 6 ; +; bReadData[21]~5 ; 6 ; ; Equal2~0 ; 6 ; -; bReadData[15]~0 ; 6 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[4] ; 6 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|d1_rx_char_ready ; 6 ; -; riscv_core:core|writedata[7] ; 6 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|add_sub_31_result_int[32]~1 ; 6 ; -; riscv_core:core|imm[24] ; 6 ; -; riscv_core:core|imm[20] ; 6 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[22] ; 6 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[30] ; 6 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[7] ; 6 ; ; riscv_core:core|imm[18] ; 6 ; ; riscv_core:core|imm[17] ; 6 ; ; riscv_core:core|imm[16] ; 6 ; ; riscv_core:core|imm[15] ; 6 ; +; riscv_core:core|imm[13] ; 6 ; +; riscv_core:core|imm[12] ; 6 ; +; riscv_core:core|imm[20] ; 6 ; +; riscv_core:core|imm[19] ; 6 ; ; riscv_core:core|imm[14] ; 6 ; ; riscv_core:core|imm[27] ; 6 ; ; riscv_core:core|imm[26] ; 6 ; ; riscv_core:core|imm[25] ; 6 ; -; riscv_core:core|imm[13] ; 6 ; -; riscv_core:core|imm[12] ; 6 ; +; riscv_core:core|imm[24] ; 6 ; +; riscv_core:core|imm[23] ; 6 ; +; riscv_core:core|imm[21] ; 6 ; +; riscv_core:core|imm[30] ; 6 ; ; riscv_core:core|imm[29] ; 6 ; +; riscv_core:core|imm[28] ; 6 ; ; riscv_core:core|Add0~5 ; 6 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[1]~DUPLICATE ; 5 ; -; riscv_core:core|imm[10]~DUPLICATE ; 5 ; -; riscv_core:core|imm[21]~DUPLICATE ; 5 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 5 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 5 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 5 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[96] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[204] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[18] ; 6 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[96] ; 5 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[192] ; 5 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[278] ; 5 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[258] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[292] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[288] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[66] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[28] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[230] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|cout_actual ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|cout_actual ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[330] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[329] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[327] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[326] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[325] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[323] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[322] ; 5 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[99] ; 5 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[99] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[37] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[72] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[86] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[116] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[141] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[145] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[177] ; 5 ; -; riscv_core:core|Selector250~8 ; 5 ; -; riscv_core:core|dstvalue[30]~25 ; 5 ; -; riscv_core:core|dstvalue[30]~20 ; 5 ; -; bReadData[10]~21 ; 5 ; -; riscv_core:core|dstvalue[5]~14 ; 5 ; -; riscv_core:core|writedata[15] ; 5 ; -; riscv_core:core|Mux122~0 ; 5 ; -; riscv_core:core|dstreg[4]~2 ; 5 ; -; uart_ctrl:uart_ctrl|Equal0~8 ; 5 ; -; uart_ctrl:uart_ctrl|ctrlstate~15 ; 5 ; -; bReadData[19]~6 ; 5 ; -; bReadData[17]~2 ; 5 ; -; bReadData[16]~1 ; 5 ; -; Equal1~2 ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[246] ; 5 ; +; riscv_core:core|Mux26~1 ; 5 ; +; riscv_core:core|Selector258~10 ; 5 ; +; riscv_core:core|dstvalue[4]~27 ; 5 ; +; riscv_core:core|dstvalue[3]~18 ; 5 ; +; riscv_core:core|dstvalue[3]~13 ; 5 ; +; bReadData[30]~66 ; 5 ; +; bReadData[29]~64 ; 5 ; +; bReadData[28]~58 ; 5 ; +; bReadData[27]~54 ; 5 ; +; bReadData[26]~52 ; 5 ; +; bReadData[9]~46 ; 5 ; +; bReadData[17]~42 ; 5 ; +; bReadData[18]~40 ; 5 ; +; bReadData[19]~38 ; 5 ; +; bReadData[8]~36 ; 5 ; +; bReadData[16]~34 ; 5 ; +; riscv_core:core|dstreg[1]~1 ; 5 ; +; lastregno[1] ; 5 ; +; lastregno[0] ; 5 ; +; lastregno[2] ; 5 ; +; lastregno[3] ; 5 ; +; lastregno[4] ; 5 ; +; bReadData[14]~20 ; 5 ; +; bReadData[15]~19 ; 5 ; +; bReadData[14]~18 ; 5 ; +; bReadData[15]~16 ; 5 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[4] ; 5 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[8] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[9] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[0] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[1] ; 5 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[5] ; 5 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[6] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[7] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[0] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[1] ; 5 ; @@ -3196,84 +3315,86 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[9] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[5] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[6] ; 5 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[7] ; 5 ; -; riscv_core:core|writedata[30] ; 5 ; -; riscv_core:core|writedata[29] ; 5 ; -; riscv_core:core|writedata[28] ; 5 ; -; riscv_core:core|writedata[27] ; 5 ; -; riscv_core:core|writedata[26] ; 5 ; -; riscv_core:core|writedata[25] ; 5 ; -; riscv_core:core|writedata[24] ; 5 ; ; riscv_core:core|writeaddr[4] ; 5 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|empty_dff ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[15] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[23] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[24] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[25] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[27] ; 5 ; ; riscv_core:core|imm[22] ; 5 ; ; riscv_core:core|pc[3] ; 5 ; -; riscv_core:core|writedata[22] ; 5 ; -; riscv_core:core|writedata[21] ; 5 ; -; riscv_core:core|writedata[20] ; 5 ; -; riscv_core:core|writedata[19] ; 5 ; -; riscv_core:core|writedata[18] ; 5 ; -; riscv_core:core|writedata[17] ; 5 ; -; riscv_core:core|writedata[16] ; 5 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[4]~DUPLICATE ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[1]~DUPLICATE ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[0]~DUPLICATE ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[2]~DUPLICATE ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[0]~DUPLICATE ; 4 ; -; riscv_core:core|imm[19]~DUPLICATE ; 4 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[6] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[8] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[9] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[10] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[11] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[12] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[13] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[14] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[16] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[17] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[19] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[20] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[21] ; 5 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[288]~DUPLICATE ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[2]~DUPLICATE ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[1]~DUPLICATE ; 4 ; ; ~GND ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[65] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[65] ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[65] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[66] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[66] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[135] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[135] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[134] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[134] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[133] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[133] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[100] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[132] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[132] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[131] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[131] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[130] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[130] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[129] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[129] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[135] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[67] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[67] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[136] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[136] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[171] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[171] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[170] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[170] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[169] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[169] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[136] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[167] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[168] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[168] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[167] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[134] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[166] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[166] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[133] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[165] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[165] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[164] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[164] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[131] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[163] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[163] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[130] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[162] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[162] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[129] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[161] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[161] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[167] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[33] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[33] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[33] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[68] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[68] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[137] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[137] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[172] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[172] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[172] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[171] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[170] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[169] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[168] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[166] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[165] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[164] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[163] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[162] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[161] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[34] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[194] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[34] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[34] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[69] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[69] ; 4 ; @@ -3281,7 +3402,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[138] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[241] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[241] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[173] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[173] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[239] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[240] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[240] ; 4 ; @@ -3294,30 +3415,36 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[236] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[236] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[242] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[235] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[235] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[242] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[234] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[234] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[233] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[233] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[231] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[232] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[232] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[231] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[230] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[230] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[229] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[229] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[228] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[228] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[227] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[227] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[226] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[225] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[225] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[231] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[35] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[35] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[35] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[70] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[279] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[279] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[70] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[278] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[277] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[277] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[276] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[276] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[139] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[275] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[275] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[139] ; 4 ; @@ -3325,6 +3452,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[274] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[273] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[273] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[174] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[271] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[272] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[272] ; 4 ; @@ -3344,38 +3472,36 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[266] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[265] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[265] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[232] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[278] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[263] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[264] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[264] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[263] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[230] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[262] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[229] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[262] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[261] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[261] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[228] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[260] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[260] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[227] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[259] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[259] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[226] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[225] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[258] ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[258] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[257] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[257] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[36] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[36] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[36] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[71] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[71] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[140] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[140] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[175] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[175] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[244] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[244] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[280] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[280] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[261] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[260] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[259] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[258] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[257] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1|cout_actual ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[33]~44 ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[279] ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[279] ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|cout_actual ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[33]~47 ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[348] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[348] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[347] ; 4 ; @@ -3413,29 +3539,24 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[331] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[331] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[330] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[330] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[329] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[329] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[327] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[328] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[328] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[327] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[326] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[326] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[325] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[325] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[324] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[324] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[323] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[322] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[323] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[322] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[321] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[321] ; 4 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[5] ; 4 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[6] ; 4 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[7] ; 4 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[1] ; 4 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[2] ; 4 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[3] ; 4 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[4] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[29] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[0] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[1] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[1] ; 4 ; @@ -3443,73 +3564,48 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[29] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[0] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[1] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[99] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[37] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[37] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[38] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[42] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[73] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[72] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[73] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[72] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[87] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[88] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[107] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[141] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[141] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[142] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[142] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[177] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[176] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[177] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[176] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[561]~7 ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[245] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[245] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[246] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[246] ; 4 ; -; riscv_core:core|writedata[31] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[280] ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[280] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[349] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[349] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[350] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[350] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[351] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[1] ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[1] ; 4 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|dreg[0] ; 4 ; -; riscv_core:core|Mux159~0 ; 4 ; -; bReadData[31]~32 ; 4 ; -; bReadData[22]~31 ; 4 ; -; riscv_core:core|ShiftRight0~57 ; 4 ; -; bReadData[21]~30 ; 4 ; -; bReadData[29]~29 ; 4 ; -; bReadData[20]~27 ; 4 ; -; bReadData[28]~26 ; 4 ; -; riscv_core:core|dstvalue[10]~35 ; 4 ; -; riscv_core:core|dstvalue[10]~30 ; 4 ; -; riscv_core:core|ShiftRight1~31 ; 4 ; -; bReadData[27]~24 ; 4 ; -; riscv_core:core|ShiftRight1~27 ; 4 ; -; riscv_core:core|ShiftRight1~20 ; 4 ; -; riscv_core:core|ShiftRight0~34 ; 4 ; -; riscv_core:core|dstvalue[30]~18 ; 4 ; -; riscv_core:core|Selector265~2 ; 4 ; -; bReadData[26]~22 ; 4 ; -; riscv_core:core|dstvalue[19]~13 ; 4 ; -; bReadData[9]~20 ; 4 ; -; bReadData[25]~19 ; 4 ; -; riscv_core:core|ShiftLeft0~8 ; 4 ; -; riscv_core:core|Selector267~5 ; 4 ; -; bReadData[8]~17 ; 4 ; -; bReadData[24]~16 ; 4 ; -; riscv_core:core|ShiftRight1~5 ; 4 ; -; riscv_core:core|instr[7] ; 4 ; -; riscv_core:core|Equal10~1 ; 4 ; +; riscv_core:core|Selector259~11 ; 4 ; +; riscv_core:core|ShiftRight1~41 ; 4 ; +; riscv_core:core|ShiftRight1~38 ; 4 ; +; riscv_core:core|Selector273~13 ; 4 ; +; riscv_core:core|dstvalue[13]~14 ; 4 ; +; riscv_core:core|ShiftRight0~30 ; 4 ; +; riscv_core:core|ShiftRight0~27 ; 4 ; +; riscv_core:core|ShiftRight1~4 ; 4 ; +; riscv_core:core|ShiftLeft1~0 ; 4 ; +; riscv_core:core|ShiftLeft0~2 ; 4 ; +; comb~74 ; 4 ; ; riscv_core:core|divclk[0] ; 4 ; -; riscv_core:core|divclk[4] ; 4 ; -; riscv_core:core|imm[1]~0 ; 4 ; -; riscv_core:core|imm[9] ; 4 ; -; riscv_core:core|regena~0 ; 4 ; -; bReadData[18]~5 ; 4 ; -; Equal1~3 ; 4 ; -; Equal0~1 ; 4 ; -; uart_ctrl:uart_ctrl|ctrlstate.011 ; 4 ; +; bReadData[13]~15 ; 4 ; +; bReadData[12]~13 ; 4 ; +; riscv_core:core|Selector186~1 ; 4 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[2] ; 4 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[3] ; 4 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[5] ; 4 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[6] ; 4 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0] ; 4 ; ; riscv_core:core|Equal1~0 ; 4 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[2] ; 4 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[3] ; 4 ; @@ -3517,9 +3613,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|writeaddr[2] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[1] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[2] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[2] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[3] ; 4 ; -; riscv_core:core|writedata[23] ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; 4 ; +; uart_ctrl:uart_ctrl|uart_write_data[2] ; 4 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[26] ; 4 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[28] ; 4 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[29] ; 4 ; ; riscv_core:core|Add0~125 ; 4 ; ; riscv_core:core|Add0~121 ; 4 ; ; riscv_core:core|Add0~117 ; 4 ; @@ -3551,217 +3649,160 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|Add0~13 ; 4 ; ; riscv_core:core|Add0~9 ; 4 ; ; riscv_core:core|Add0~1 ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[87]~DUPLICATE ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[88]~DUPLICATE ; 3 ; -; riscv_core:core|imm[9]~DUPLICATE ; 3 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[8]~DUPLICATE ; 3 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|empty_dff~DUPLICATE ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3]~DUPLICATE ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1]~DUPLICATE ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0]~DUPLICATE ; 3 ; -; riscv_core:core|imm[23]~DUPLICATE ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a1 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a1 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a1 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a1 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a2 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a1 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0 ; 3 ; -; KEY[1]~input ; 3 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[5]~DUPLICATE ; 3 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[2]~DUPLICATE ; 3 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0]~DUPLICATE ; 3 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[7]~DUPLICATE ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a5 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a5 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a5 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a7 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a5 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a5 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a5 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a7 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a7 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a7 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0 ; 3 ; +; clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|general[0].gpll~PLL_RECONFIG_O_SHIFT ; 3 ; ; KEY[2]~input ; 3 ; ; SW[8]~input ; 3 ; ; led2~0_wirecell ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[87] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[88] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[64] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[100] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[100] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[99] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[99] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[98] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[98] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[97] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[97] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[99] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[58] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[58] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[65] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[96] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[101] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[101] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[100] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[101] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[99] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[98] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[97] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[131] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[134] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[59] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[59] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[132] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[66] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[130] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[102] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[129] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[102] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[102] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[101] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[128] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[135] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[166] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[170] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[169] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[32] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[168] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[67] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[165] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[103] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[164] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[103] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[103] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[102] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[163] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[162] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[161] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[136] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[160] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[171] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[206] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[206] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[207] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[205] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[205] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[207] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[204] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[204] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[203] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[203] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[202] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[202] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[201] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[201] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[199] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[200] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[200] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[199] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[198] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[198] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[197] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[197] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[196] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[196] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[195] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[195] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[194] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[193] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[193] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[199] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[33] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[68] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[104] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[104] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[104] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[103] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[137] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[172] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[208] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[208] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[203] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[202] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[201] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[200] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[198] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[197] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[196] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[195] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[194] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[193] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[34] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[69] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[105] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[226] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[105] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[105] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[104] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[139] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[138] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[173] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[209] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[209] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cmpr4_aeb_int~0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|op_2~0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[278] ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[262] ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cmpr4_aeb_int~0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|op_2~0 ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[314] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[314] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[35] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[313] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[313] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[311] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[312] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[312] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[311] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[70] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[310] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[106] ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[310] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[106] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[309] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[309] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[106] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[105] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[308] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[308] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[307] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[307] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[306] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[306] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[139] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[305] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[305] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|cmpr4_aeb_int~0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|op_2~0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cmpr4_aeb_int~0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|op_2~0 ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[303] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[304] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[304] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[303] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[174] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[302] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[302] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[210] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[301] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[301] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[210] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[300] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[300] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[299] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[299] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[298] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[298] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[297] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[297] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[281] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[295] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[296] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[296] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[295] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[281] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[294] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[294] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[293] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[292] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[285] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[291] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[285] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[289] ; 3 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|rd_ptr_lsb ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[33]~13 ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[315] ; 3 ; +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter RAM Summary ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+------------+-----------------------+----------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+-------------------------------------------------------------------+ -; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M10K blocks ; MLAB cells ; MIF ; Location ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ; Fits in MLABs ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+------------+-----------------------+----------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+-------------------------------------------------------------------+ -; ram8kb:ram|altsyncram:altsyncram_component|altsyncram_vgr1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 2048 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 65536 ; 2048 ; 32 ; -- ; -- ; 65536 ; 8 ; 0 ; ../test_code/test.mif ; M10K_X26_Y23_N0, M10K_X38_Y24_N0, M10K_X38_Y25_N0, M10K_X38_Y27_N0, M10K_X38_Y26_N0, M10K_X26_Y24_N0, M10K_X26_Y27_N0, M10K_X38_Y23_N0 ; Don't care ; New data ; New data ; No - Address Too Wide ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 32 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 1024 ; 32 ; 32 ; -- ; -- ; 1024 ; 1 ; 0 ; None ; M10K_X38_Y28_N0 ; Don't care ; New data ; New data ; No - Single Port Feed Through New Data with Unregistered Data Out ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 10 ; 5 ; 10 ; 5 ; yes ; no ; no ; yes ; 50 ; 10 ; 5 ; 10 ; 5 ; 50 ; 0 ; 5 ; None ; LAB_X34_Y31_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 11 ; 7 ; 11 ; 7 ; yes ; no ; no ; yes ; 77 ; 11 ; 7 ; 11 ; 7 ; 77 ; 0 ; 7 ; None ; LAB_X25_Y34_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 10 ; 8 ; 10 ; 8 ; yes ; no ; no ; yes ; 80 ; 10 ; 8 ; 10 ; 8 ; 80 ; 0 ; 8 ; None ; LAB_X47_Y31_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 9 ; 9 ; 9 ; 9 ; yes ; no ; no ; yes ; 81 ; 9 ; 9 ; 9 ; 9 ; 81 ; 0 ; 9 ; None ; LAB_X39_Y27_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 8 ; 7 ; 8 ; 7 ; yes ; no ; no ; yes ; 56 ; 8 ; 7 ; 8 ; 7 ; 56 ; 0 ; 7 ; None ; LAB_X39_Y31_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 7 ; 8 ; 7 ; 8 ; yes ; no ; no ; yes ; 56 ; 7 ; 8 ; 7 ; 8 ; 56 ; 0 ; 8 ; None ; LAB_X39_Y23_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 6 ; 9 ; 6 ; 9 ; yes ; no ; no ; yes ; 54 ; 6 ; 9 ; 6 ; 9 ; 54 ; 0 ; 9 ; None ; LAB_X47_Y24_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 5 ; 7 ; 5 ; 7 ; yes ; no ; no ; yes ; 35 ; 5 ; 7 ; 5 ; 7 ; 35 ; 0 ; 7 ; None ; LAB_X47_Y27_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 4 ; 8 ; 4 ; 8 ; yes ; no ; no ; yes ; 32 ; 4 ; 8 ; 4 ; 8 ; 32 ; 0 ; 8 ; None ; LAB_X47_Y32_N0 ; ; ; ; ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 8 ; 1024 ; 8 ; yes ; no ; yes ; no ; 8192 ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; 1 ; 0 ; None ; M10K_X26_Y21_N0 ; Don't care ; New data ; New data ; No - Address Too Wide ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 8 ; 1024 ; 8 ; yes ; no ; yes ; no ; 8192 ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; 1 ; 0 ; None ; M10K_X26_Y22_N0 ; Don't care ; New data ; New data ; No - Address Too Wide ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+------------+-----------------------+----------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+-------------------------------------------------------------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter RAM Summary ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+---------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+------------+-----------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+-------------------------------------------------------------------+ +; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M10K blocks ; MLAB cells ; MIF ; Location ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ; Fits in MLABs ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+---------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+------------+-----------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+-------------------------------------------------------------------+ +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 32768 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 1048576 ; 32768 ; 32 ; -- ; -- ; 1048576 ; 128 ; 0 ; ../test_code/test.mif ; M10K_X41_Y27_N0, M10K_X14_Y11_N0, M10K_X38_Y9_N0, M10K_X38_Y30_N0, M10K_X26_Y21_N0, M10K_X49_Y26_N0, M10K_X38_Y24_N0, M10K_X69_Y20_N0, M10K_X26_Y30_N0, M10K_X26_Y18_N0, M10K_X38_Y19_N0, M10K_X38_Y22_N0, M10K_X26_Y11_N0, M10K_X26_Y23_N0, M10K_X58_Y30_N0, M10K_X26_Y24_N0, M10K_X14_Y29_N0, M10K_X49_Y34_N0, M10K_X38_Y33_N0, M10K_X38_Y29_N0, M10K_X41_Y25_N0, M10K_X69_Y28_N0, M10K_X41_Y31_N0, M10K_X41_Y29_N0, M10K_X26_Y12_N0, M10K_X41_Y28_N0, M10K_X49_Y20_N0, M10K_X49_Y24_N0, M10K_X26_Y35_N0, M10K_X49_Y36_N0, M10K_X41_Y35_N0, M10K_X26_Y26_N0, M10K_X38_Y32_N0, M10K_X49_Y14_N0, M10K_X14_Y20_N0, M10K_X38_Y14_N0, M10K_X58_Y26_N0, M10K_X38_Y28_N0, M10K_X38_Y31_N0, M10K_X38_Y25_N0, M10K_X41_Y22_N0, M10K_X38_Y17_N0, M10K_X49_Y28_N0, M10K_X41_Y20_N0, M10K_X41_Y11_N0, M10K_X14_Y18_N0, M10K_X58_Y27_N0, M10K_X38_Y23_N0, M10K_X26_Y16_N0, M10K_X58_Y13_N0, M10K_X14_Y23_N0, M10K_X14_Y17_N0, M10K_X69_Y23_N0, M10K_X38_Y39_N0, M10K_X38_Y21_N0, M10K_X26_Y39_N0, M10K_X26_Y15_N0, M10K_X14_Y26_N0, M10K_X26_Y22_N0, M10K_X58_Y16_N0, M10K_X38_Y11_N0, M10K_X41_Y30_N0, M10K_X38_Y12_N0, M10K_X58_Y18_N0, M10K_X49_Y21_N0, M10K_X14_Y28_N0, M10K_X26_Y19_N0, M10K_X38_Y20_N0, M10K_X49_Y13_N0, M10K_X38_Y10_N0, M10K_X49_Y31_N0, M10K_X14_Y19_N0, M10K_X26_Y36_N0, M10K_X26_Y37_N0, M10K_X49_Y19_N0, M10K_X26_Y34_N0, M10K_X38_Y18_N0, M10K_X49_Y15_N0, M10K_X49_Y23_N0, M10K_X41_Y13_N0, M10K_X49_Y33_N0, M10K_X49_Y35_N0, M10K_X49_Y32_N0, M10K_X41_Y21_N0, M10K_X14_Y25_N0, M10K_X58_Y25_N0, M10K_X26_Y14_N0, M10K_X38_Y27_N0, M10K_X49_Y17_N0, M10K_X58_Y17_N0, M10K_X58_Y21_N0, M10K_X38_Y16_N0, M10K_X26_Y25_N0, M10K_X49_Y18_N0, M10K_X49_Y29_N0, M10K_X26_Y32_N0, M10K_X26_Y17_N0, M10K_X58_Y28_N0, M10K_X41_Y26_N0, M10K_X49_Y30_N0, M10K_X58_Y14_N0, M10K_X49_Y25_N0, M10K_X14_Y13_N0, M10K_X41_Y12_N0, M10K_X41_Y18_N0, M10K_X41_Y17_N0, M10K_X41_Y16_N0, M10K_X41_Y19_N0, M10K_X49_Y27_N0, M10K_X41_Y9_N0, M10K_X41_Y15_N0, M10K_X41_Y24_N0, M10K_X26_Y31_N0, M10K_X49_Y22_N0, M10K_X14_Y24_N0, M10K_X14_Y22_N0, M10K_X69_Y17_N0, M10K_X58_Y15_N0, M10K_X58_Y23_N0, M10K_X69_Y24_N0, M10K_X41_Y14_N0, M10K_X26_Y33_N0, M10K_X26_Y9_N0, M10K_X38_Y13_N0, M10K_X26_Y27_N0, M10K_X38_Y15_N0, M10K_X26_Y20_N0, M10K_X49_Y16_N0 ; Don't care ; New data ; New data ; No - Address Too Wide ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 32 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 1024 ; 32 ; 32 ; -- ; -- ; 1024 ; 1 ; 0 ; None ; M10K_X26_Y29_N0 ; Don't care ; New data ; New data ; No - Single Port Feed Through New Data with Unregistered Data Out ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 32 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 1024 ; 32 ; 32 ; -- ; -- ; 1024 ; 1 ; 0 ; None ; M10K_X26_Y28_N0 ; Don't care ; New data ; New data ; No - Single Port Feed Through New Data with Unregistered Data Out ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 11 ; 10 ; 11 ; 10 ; yes ; no ; no ; yes ; 110 ; 11 ; 10 ; 11 ; 10 ; 110 ; 0 ; 10 ; None ; LAB_X47_Y29_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 10 ; 10 ; 10 ; 10 ; yes ; no ; no ; yes ; 100 ; 10 ; 10 ; 10 ; 10 ; 100 ; 0 ; 10 ; None ; LAB_X34_Y24_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 9 ; 12 ; 9 ; 12 ; yes ; no ; no ; yes ; 108 ; 9 ; 12 ; 9 ; 12 ; 108 ; 0 ; 12 ; None ; LAB_X34_Y28_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 8 ; 10 ; 8 ; 10 ; yes ; no ; no ; yes ; 80 ; 8 ; 10 ; 8 ; 10 ; 80 ; 0 ; 10 ; None ; LAB_X28_Y32_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 7 ; 10 ; 7 ; 10 ; yes ; no ; no ; yes ; 70 ; 7 ; 10 ; 7 ; 10 ; 70 ; 0 ; 10 ; None ; LAB_X34_Y34_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 6 ; 12 ; 6 ; 12 ; yes ; no ; no ; yes ; 72 ; 6 ; 12 ; 6 ; 12 ; 72 ; 0 ; 12 ; None ; LAB_X28_Y33_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 5 ; 10 ; 5 ; 10 ; yes ; no ; no ; yes ; 50 ; 5 ; 10 ; 5 ; 10 ; 50 ; 0 ; 10 ; None ; LAB_X34_Y33_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 4 ; 10 ; 4 ; 10 ; yes ; no ; no ; yes ; 40 ; 4 ; 10 ; 4 ; 10 ; 40 ; 0 ; 10 ; None ; LAB_X39_Y33_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 3 ; 12 ; 3 ; 12 ; yes ; no ; no ; yes ; 36 ; 3 ; 12 ; 3 ; 12 ; 36 ; 0 ; 12 ; None ; LAB_X47_Y28_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 10 ; 7 ; 10 ; 7 ; yes ; no ; no ; yes ; 70 ; 10 ; 7 ; 10 ; 7 ; 70 ; 0 ; 7 ; None ; LAB_X52_Y26_N0 ; ; ; ; ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 8 ; 1024 ; 8 ; yes ; no ; yes ; no ; 8192 ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; 1 ; 0 ; None ; M10K_X38_Y26_N0 ; Don't care ; New data ; New data ; No - Address Too Wide ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 8 ; 1024 ; 8 ; yes ; no ; yes ; no ; 8192 ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; 1 ; 0 ; None ; M10K_X41_Y23_N0 ; Don't care ; New data ; New data ; No - Address Too Wide ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+---------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+------------+-----------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+-------------------------------------------------------------------+ Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. @@ -3783,83 +3824,83 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. +------------------------------+-------------+---------------------+-------------------+ -+-----------------------------------------------------------------------+ -; Routing Usage Summary ; -+---------------------------------------------+-------------------------+ -; Routing Resource Type ; Usage ; -+---------------------------------------------+-------------------------+ -; Block interconnects ; 9,047 / 289,320 ( 3 % ) ; -; C12 interconnects ; 208 / 13,420 ( 2 % ) ; -; C2 interconnects ; 3,975 / 119,108 ( 3 % ) ; -; C4 interconnects ; 1,974 / 56,300 ( 4 % ) ; -; DQS bus muxes ; 0 / 25 ( 0 % ) ; -; DQS-18 I/O buses ; 0 / 25 ( 0 % ) ; -; DQS-9 I/O buses ; 0 / 25 ( 0 % ) ; -; Direct links ; 803 / 289,320 ( < 1 % ) ; -; Global clocks ; 1 / 16 ( 6 % ) ; -; HPS SDRAM PLL inputs ; 0 / 1 ( 0 % ) ; -; HPS SDRAM PLL outputs ; 0 / 1 ( 0 % ) ; -; HPS_INTERFACE_BOOT_FROM_FPGA_INPUTs ; 0 / 9 ( 0 % ) ; -; HPS_INTERFACE_CLOCKS_RESETS_INPUTs ; 0 / 7 ( 0 % ) ; -; HPS_INTERFACE_CLOCKS_RESETS_OUTPUTs ; 0 / 6 ( 0 % ) ; -; HPS_INTERFACE_CROSS_TRIGGER_INPUTs ; 0 / 18 ( 0 % ) ; -; HPS_INTERFACE_CROSS_TRIGGER_OUTPUTs ; 0 / 24 ( 0 % ) ; -; HPS_INTERFACE_DBG_APB_INPUTs ; 0 / 37 ( 0 % ) ; -; HPS_INTERFACE_DBG_APB_OUTPUTs ; 0 / 55 ( 0 % ) ; -; HPS_INTERFACE_DMA_INPUTs ; 0 / 16 ( 0 % ) ; -; HPS_INTERFACE_DMA_OUTPUTs ; 0 / 8 ( 0 % ) ; -; HPS_INTERFACE_FPGA2HPS_INPUTs ; 0 / 287 ( 0 % ) ; -; HPS_INTERFACE_FPGA2HPS_OUTPUTs ; 0 / 154 ( 0 % ) ; -; HPS_INTERFACE_FPGA2SDRAM_INPUTs ; 0 / 852 ( 0 % ) ; -; HPS_INTERFACE_FPGA2SDRAM_OUTPUTs ; 0 / 408 ( 0 % ) ; -; HPS_INTERFACE_HPS2FPGA_INPUTs ; 0 / 165 ( 0 % ) ; -; HPS_INTERFACE_HPS2FPGA_LIGHT_WEIGHT_INPUTs ; 0 / 67 ( 0 % ) ; -; HPS_INTERFACE_HPS2FPGA_LIGHT_WEIGHT_OUTPUTs ; 0 / 156 ( 0 % ) ; -; HPS_INTERFACE_HPS2FPGA_OUTPUTs ; 0 / 282 ( 0 % ) ; -; HPS_INTERFACE_INTERRUPTS_INPUTs ; 0 / 64 ( 0 % ) ; -; HPS_INTERFACE_INTERRUPTS_OUTPUTs ; 0 / 42 ( 0 % ) ; -; HPS_INTERFACE_JTAG_OUTPUTs ; 0 / 5 ( 0 % ) ; -; HPS_INTERFACE_LOAN_IO_INPUTs ; 0 / 142 ( 0 % ) ; -; HPS_INTERFACE_LOAN_IO_OUTPUTs ; 0 / 85 ( 0 % ) ; -; HPS_INTERFACE_MPU_EVENT_STANDBY_INPUTs ; 0 / 1 ( 0 % ) ; -; HPS_INTERFACE_MPU_EVENT_STANDBY_OUTPUTs ; 0 / 5 ( 0 % ) ; -; HPS_INTERFACE_MPU_GENERAL_PURPOSE_INPUTs ; 0 / 32 ( 0 % ) ; -; HPS_INTERFACE_MPU_GENERAL_PURPOSE_OUTPUTs ; 0 / 32 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_CAN_INPUTs ; 0 / 2 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_CAN_OUTPUTs ; 0 / 2 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_EMAC_INPUTs ; 0 / 32 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_EMAC_OUTPUTs ; 0 / 34 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_I2C_INPUTs ; 0 / 8 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_I2C_OUTPUTs ; 0 / 8 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_NAND_INPUTs ; 0 / 12 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_NAND_OUTPUTs ; 0 / 18 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_QSPI_INPUTs ; 0 / 4 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_QSPI_OUTPUTs ; 0 / 13 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_SDMMC_INPUTs ; 0 / 13 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_SDMMC_OUTPUTs ; 0 / 22 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_SPI_MASTER_INPUTs ; 0 / 4 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_SPI_MASTER_OUTPUTs ; 0 / 14 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_SPI_SLAVE_INPUTs ; 0 / 6 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_SPI_SLAVE_OUTPUTs ; 0 / 4 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_UART_INPUTs ; 0 / 10 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_UART_OUTPUTs ; 0 / 10 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_USB_INPUTs ; 0 / 22 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_USB_OUTPUTs ; 0 / 34 ( 0 % ) ; -; HPS_INTERFACE_STM_EVENT_INPUTs ; 0 / 28 ( 0 % ) ; -; HPS_INTERFACE_TEST_INPUTs ; 0 / 610 ( 0 % ) ; -; HPS_INTERFACE_TEST_OUTPUTs ; 0 / 513 ( 0 % ) ; -; HPS_INTERFACE_TPIU_TRACE_INPUTs ; 0 / 2 ( 0 % ) ; -; HPS_INTERFACE_TPIU_TRACE_OUTPUTs ; 0 / 33 ( 0 % ) ; -; Horizontal periphery clocks ; 0 / 72 ( 0 % ) ; -; Local interconnects ; 1,651 / 84,580 ( 2 % ) ; -; Quadrant clocks ; 0 / 66 ( 0 % ) ; -; R14 interconnects ; 355 / 12,676 ( 3 % ) ; -; R14/C12 interconnect drivers ; 528 / 20,720 ( 3 % ) ; -; R3 interconnects ; 4,447 / 130,992 ( 3 % ) ; -; R6 interconnects ; 6,379 / 266,960 ( 2 % ) ; -; Spine clocks ; 5 / 360 ( 1 % ) ; -; Wire stub REs ; 0 / 15,858 ( 0 % ) ; -+---------------------------------------------+-------------------------+ ++------------------------------------------------------------------------+ +; Routing Usage Summary ; ++---------------------------------------------+--------------------------+ +; Routing Resource Type ; Usage ; ++---------------------------------------------+--------------------------+ +; Block interconnects ; 11,670 / 289,320 ( 4 % ) ; +; C12 interconnects ; 411 / 13,420 ( 3 % ) ; +; C2 interconnects ; 5,242 / 119,108 ( 4 % ) ; +; C4 interconnects ; 2,779 / 56,300 ( 5 % ) ; +; DQS bus muxes ; 0 / 25 ( 0 % ) ; +; DQS-18 I/O buses ; 0 / 25 ( 0 % ) ; +; DQS-9 I/O buses ; 0 / 25 ( 0 % ) ; +; Direct links ; 637 / 289,320 ( < 1 % ) ; +; Global clocks ; 1 / 16 ( 6 % ) ; +; HPS SDRAM PLL inputs ; 0 / 1 ( 0 % ) ; +; HPS SDRAM PLL outputs ; 0 / 1 ( 0 % ) ; +; HPS_INTERFACE_BOOT_FROM_FPGA_INPUTs ; 0 / 9 ( 0 % ) ; +; HPS_INTERFACE_CLOCKS_RESETS_INPUTs ; 0 / 7 ( 0 % ) ; +; HPS_INTERFACE_CLOCKS_RESETS_OUTPUTs ; 0 / 6 ( 0 % ) ; +; HPS_INTERFACE_CROSS_TRIGGER_INPUTs ; 0 / 18 ( 0 % ) ; +; HPS_INTERFACE_CROSS_TRIGGER_OUTPUTs ; 0 / 24 ( 0 % ) ; +; HPS_INTERFACE_DBG_APB_INPUTs ; 0 / 37 ( 0 % ) ; +; HPS_INTERFACE_DBG_APB_OUTPUTs ; 0 / 55 ( 0 % ) ; +; HPS_INTERFACE_DMA_INPUTs ; 0 / 16 ( 0 % ) ; +; HPS_INTERFACE_DMA_OUTPUTs ; 0 / 8 ( 0 % ) ; +; HPS_INTERFACE_FPGA2HPS_INPUTs ; 0 / 287 ( 0 % ) ; +; HPS_INTERFACE_FPGA2HPS_OUTPUTs ; 0 / 154 ( 0 % ) ; +; HPS_INTERFACE_FPGA2SDRAM_INPUTs ; 0 / 852 ( 0 % ) ; +; HPS_INTERFACE_FPGA2SDRAM_OUTPUTs ; 0 / 408 ( 0 % ) ; +; HPS_INTERFACE_HPS2FPGA_INPUTs ; 0 / 165 ( 0 % ) ; +; HPS_INTERFACE_HPS2FPGA_LIGHT_WEIGHT_INPUTs ; 0 / 67 ( 0 % ) ; +; HPS_INTERFACE_HPS2FPGA_LIGHT_WEIGHT_OUTPUTs ; 0 / 156 ( 0 % ) ; +; HPS_INTERFACE_HPS2FPGA_OUTPUTs ; 0 / 282 ( 0 % ) ; +; HPS_INTERFACE_INTERRUPTS_INPUTs ; 0 / 64 ( 0 % ) ; +; HPS_INTERFACE_INTERRUPTS_OUTPUTs ; 0 / 42 ( 0 % ) ; +; HPS_INTERFACE_JTAG_OUTPUTs ; 0 / 5 ( 0 % ) ; +; HPS_INTERFACE_LOAN_IO_INPUTs ; 0 / 142 ( 0 % ) ; +; HPS_INTERFACE_LOAN_IO_OUTPUTs ; 0 / 85 ( 0 % ) ; +; HPS_INTERFACE_MPU_EVENT_STANDBY_INPUTs ; 0 / 1 ( 0 % ) ; +; HPS_INTERFACE_MPU_EVENT_STANDBY_OUTPUTs ; 0 / 5 ( 0 % ) ; +; HPS_INTERFACE_MPU_GENERAL_PURPOSE_INPUTs ; 0 / 32 ( 0 % ) ; +; HPS_INTERFACE_MPU_GENERAL_PURPOSE_OUTPUTs ; 0 / 32 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_CAN_INPUTs ; 0 / 2 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_CAN_OUTPUTs ; 0 / 2 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_EMAC_INPUTs ; 0 / 32 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_EMAC_OUTPUTs ; 0 / 34 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_I2C_INPUTs ; 0 / 8 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_I2C_OUTPUTs ; 0 / 8 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_NAND_INPUTs ; 0 / 12 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_NAND_OUTPUTs ; 0 / 18 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_QSPI_INPUTs ; 0 / 4 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_QSPI_OUTPUTs ; 0 / 13 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_SDMMC_INPUTs ; 0 / 13 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_SDMMC_OUTPUTs ; 0 / 22 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_SPI_MASTER_INPUTs ; 0 / 4 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_SPI_MASTER_OUTPUTs ; 0 / 14 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_SPI_SLAVE_INPUTs ; 0 / 6 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_SPI_SLAVE_OUTPUTs ; 0 / 4 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_UART_INPUTs ; 0 / 10 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_UART_OUTPUTs ; 0 / 10 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_USB_INPUTs ; 0 / 22 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_USB_OUTPUTs ; 0 / 34 ( 0 % ) ; +; HPS_INTERFACE_STM_EVENT_INPUTs ; 0 / 28 ( 0 % ) ; +; HPS_INTERFACE_TEST_INPUTs ; 0 / 610 ( 0 % ) ; +; HPS_INTERFACE_TEST_OUTPUTs ; 0 / 513 ( 0 % ) ; +; HPS_INTERFACE_TPIU_TRACE_INPUTs ; 0 / 2 ( 0 % ) ; +; HPS_INTERFACE_TPIU_TRACE_OUTPUTs ; 0 / 33 ( 0 % ) ; +; Horizontal periphery clocks ; 0 / 72 ( 0 % ) ; +; Local interconnects ; 1,792 / 84,580 ( 2 % ) ; +; Quadrant clocks ; 0 / 66 ( 0 % ) ; +; R14 interconnects ; 683 / 12,676 ( 5 % ) ; +; R14/C12 interconnect drivers ; 884 / 20,720 ( 4 % ) ; +; R3 interconnects ; 5,950 / 130,992 ( 5 % ) ; +; R6 interconnects ; 9,010 / 266,960 ( 3 % ) ; +; Spine clocks ; 9 / 360 ( 3 % ) ; +; Wire stub REs ; 0 / 15,858 ( 0 % ) ; ++---------------------------------------------+--------------------------+ +------------------------------------------+ @@ -4109,21 +4150,21 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. ; GPIO[35] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; GPIO[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; GPIO[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; -; CLOCK_50 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; KEY[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; SW[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; SW[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; +; CLOCK_50 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; KEY[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; +; SW[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; SW[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; +; SW[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; SW[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; SW[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; -; SW[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; -; SW[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; SW[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; -; SW[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; KEY[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; KEY[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; SW[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; +; SW[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; +--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ @@ -4166,133 +4207,134 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. +---------------------------+--------+ -+------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Summary ; -+-----------------+----------------------+-------------------+ -; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; -+-----------------+----------------------+-------------------+ -; CLOCK_50 ; CLOCK_50 ; 72.5 ; -+-----------------+----------------------+-------------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing Summary ; ++----------------------------------------------------------------------------+----------------------------------------------------------------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++----------------------------------------------------------------------------+----------------------------------------------------------------------------+-------------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 104.1 ; ++----------------------------------------------------------------------------+----------------------------------------------------------------------------+-------------------+ Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. This will disable optimization of problematic paths and expose them for further analysis using the TimeQuest Timing Analyzer. -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Details ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; Source Register ; Destination Register ; Delay Added in ns ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|empty_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; 0.844 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|full_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|dffe_af ; 0.665 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|dffe3a[1] ; 0.648 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[87] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.631 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|empty_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|dffe_af ; 0.627 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; 0.625 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; 0.624 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[110] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.613 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[109] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.613 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[111] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.613 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[112] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.613 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.608 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.592 ; -; uart_ctrl:uart_ctrl|recv_buf_read ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|dffe_af ; 0.585 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[7] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.581 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[3] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.577 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[0] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.577 ; -; uart_ctrl:uart_ctrl|readdata[10] ; riscv_core:core|instr[10] ; 0.571 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[2] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|dffe3a[1] ; 0.568 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[4] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.568 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_2_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.565 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[1] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.563 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[6] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.562 ; -; riscv_core:core|pc[12] ; uart_ctrl:uart_ctrl|readdata[4] ; 0.550 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|dffe3a[1] ; 0.550 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|dffe3a[1] ; 0.550 ; -; lastregno[0] ; riscv_core:core|imm[11] ; 0.547 ; -; lastregno[2] ; riscv_core:core|imm[11] ; 0.531 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|dffe3a[1] ; 0.527 ; -; lastregno[1] ; riscv_core:core|imm[11] ; 0.520 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1|counter_reg_bit[2] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|dffe3a[1] ; 0.520 ; -; lastregno[3] ; riscv_core:core|imm[11] ; 0.503 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[8] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a4~portb_address_reg0 ; 0.500 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[3] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a4~portb_address_reg0 ; 0.492 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|d1_rx_char_ready ; uart_ctrl:uart_ctrl|uart_write_data[15] ; 0.489 ; -; lastregno[4] ; riscv_core:core|imm[11] ; 0.486 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[5] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a4~portb_address_reg0 ; 0.486 ; -; riscv_core:core|pc[21] ; uart_ctrl:uart_ctrl|readdata[4] ; 0.485 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[6] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a4~portb_address_reg0 ; 0.479 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[4] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a4~portb_address_reg0 ; 0.479 ; -; riscv_core:core|pc[24] ; uart_ctrl:uart_ctrl|readdata[4] ; 0.479 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_2_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.473 ; -; riscv_core:core|pc[13] ; uart_ctrl:uart_ctrl|readdata[4] ; 0.472 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[73] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.466 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[29] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[32] ; 0.465 ; -; uart_ctrl:uart_ctrl|readdata[20] ; riscv_core:core|dstvalue[12] ; 0.460 ; -; uart_ctrl:uart_ctrl|readdata[16] ; riscv_core:core|dstvalue[0] ; 0.460 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|dffe3a[1] ; 0.459 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[64] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[66] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[65] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[67] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[66] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[68] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[67] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[69] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[68] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[70] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[69] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[71] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[70] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[72] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[74] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[76] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[78] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[83] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[75] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[77] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[79] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[89] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[90] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[91] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[92] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[94] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[93] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[80] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[84] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[85] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[86] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[88] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[82] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[65] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[81] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[9] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[64] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; uart_ctrl:uart_ctrl|readdata[22] ; riscv_core:core|dstvalue[6] ; 0.450 ; -; uart_ctrl:uart_ctrl|readdata[26] ; riscv_core:core|instr[26] ; 0.449 ; -; riscv_core:core|imm[5] ; HEX0[0] ; 0.434 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[96] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[98] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[97] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[99] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[100] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[99] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[101] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[100] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[102] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[101] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[103] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing Details ; ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ +; Source Register ; Destination Register ; Delay Added in ns ; ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[1] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.781 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[0] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.781 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[7] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.772 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[4] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.767 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[6] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.764 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[3] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.764 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|empty_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.734 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|full_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_2_dff ; 0.712 ; +; lastregno2[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[31] ; 0.710 ; +; lastregno2[2] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[31] ; 0.704 ; +; uart_ctrl:uart_ctrl|recv_buf_write ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_2_dff ; 0.692 ; +; lastregno2[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[31] ; 0.690 ; +; lastregno2[3] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[31] ; 0.669 ; +; riscv_core:core|state.0001 ; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ram_block1a21~porta_address_reg0 ; 0.651 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; 0.648 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; 0.647 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|rd_ptr_lsb ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a6~portb_address_reg0 ; 0.636 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|rd_ptr_lsb ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.634 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.632 ; +; riscv_core:core|pc[9] ; uart_ctrl:uart_ctrl|readdata[2] ; 0.628 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.607 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_2_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.594 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.590 ; +; riscv_core:core|divclk[4] ; riscv_core:core|divclk[4] ; 0.583 ; +; riscv_core:core|divclk[3] ; riscv_core:core|divclk[4] ; 0.583 ; +; riscv_core:core|divclk[2] ; riscv_core:core|divclk[4] ; 0.583 ; +; riscv_core:core|divclk[0] ; riscv_core:core|divclk[4] ; 0.583 ; +; riscv_core:core|divclk[1] ; riscv_core:core|divclk[4] ; 0.583 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|d1_rx_char_ready ; uart_ctrl:uart_ctrl|uart_write_data[15] ; 0.581 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|dffe3a[1] ; 0.578 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|dffe_af ; uart_ctrl:uart_ctrl|uart_write_data[15] ; 0.578 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_2_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.575 ; +; uart_ctrl:uart_ctrl|readdata[4] ; riscv_core:core|imm[31] ; 0.573 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[5] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.569 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[3] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.568 ; +; uart_ctrl:uart_ctrl|readdata[2] ; HEX0[0] ; 0.565 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[2] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.563 ; +; riscv_core:core|pc[11] ; uart_ctrl:uart_ctrl|readdata[2] ; 0.563 ; +; riscv_core:core|pc[19] ; uart_ctrl:uart_ctrl|readdata[2] ; 0.560 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[8] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.558 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[2] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.557 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.557 ; +; riscv_core:core|pc[20] ; uart_ctrl:uart_ctrl|readdata[2] ; 0.554 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[4] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.553 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[6] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.551 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1|counter_reg_bit[2] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|dffe3a[1] ; 0.550 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|dffe3a[1] ; 0.543 ; +; riscv_core:core|dstreg[4] ; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ram_block1a21~porta_address_reg0 ; 0.535 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[315] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[324] ; 0.523 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|dffe3a[1] ; 0.515 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[324] ; 0.495 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; riscv_core:core|dstvalue[30] ; 0.493 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|dffe3a[1] ; 0.485 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|dffe3a[1] ; 0.478 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[324] ; 0.467 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1] ; riscv_core:core|dstvalue[30] ; 0.464 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[324] ; 0.458 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3] ; riscv_core:core|dstvalue[30] ; 0.457 ; +; uart_ctrl:uart_ctrl|readdata[25] ; riscv_core:core|dstvalue[25] ; 0.446 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[8] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.425 ; +; lastregno[4] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[31] ; 0.418 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[7] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.417 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[5] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.414 ; +; riscv_core:core|imm[25] ; uart_ctrl:uart_ctrl|readdata[2] ; 0.402 ; +; lastregno[2] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[31] ; 0.400 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[2] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.398 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.398 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.398 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[3] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.398 ; +; lastregno[3] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[31] ; 0.395 ; +; lastregno2[4] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[31] ; 0.376 ; +; riscv_core:core|state.0101 ; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ram_block1a21~porta_address_reg0 ; 0.365 ; +; riscv_core:core|state.0000 ; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ram_block1a21~porta_address_reg0 ; 0.365 ; +; riscv_core:core|state.0011 ; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ram_block1a21~porta_address_reg0 ; 0.365 ; +; lastregno[1] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[31] ; 0.365 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[2] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.359 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|dffe3a[1] ; riscv_core:core|dstvalue[29] ; 0.358 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|dffe3a[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.356 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|dffe3a[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.355 ; +; uart_ctrl:uart_ctrl|uart_write_data[12] ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[12] ; 0.353 ; +; uart_ctrl:uart_ctrl|ctrlstate.00000000 ; uart_ctrl:uart_ctrl|ctrlstate.00000010 ; 0.350 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|dffe3a[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.350 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[2] ; 0.342 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0] ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|do_start_rx ; 0.342 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|cntr_ohf:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.333 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|dffe3a[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.332 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|dffe3a[1] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[324] ; 0.331 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|cntr_ohf:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|cntr_ohf:cntr1|counter_reg_bit[1] ; 0.331 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|dffe3a[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.329 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|dffe3a[1] ; riscv_core:core|dstvalue[21] ; 0.327 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|tx_ready ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|do_load_shifter ; 0.324 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|dffe3a[1] ; riscv_core:core|dstvalue[30] ; 0.322 ; +; uart_ctrl:uart_ctrl|ctrlstate.00000011 ; uart_ctrl:uart_ctrl|ctrlstate.00000000 ; 0.321 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[0] ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|pre_txd ; 0.319 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|do_start_rx ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[1] ; 0.319 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[2] ; 0.313 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[319] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[325] ; 0.309 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[288] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[325] ; 0.309 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[290] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[325] ; 0.309 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[289] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[325] ; 0.309 ; ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ Note: This table only shows the top 100 path(s) that have the largest delay added for hold. +-----------------+ ; Fitter Messages ; +-----------------+ -Info (11104): Parallel Compilation has detected 20 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 10 of the 10 physical processors detected instead. +Info (11104): Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead. Info (119006): Selected device 5CSEMA5F31C6 for design "de1_riscv" Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C +Warning (21300): LOCKED port on the PLL is not properly connected on instance "clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|general[0].gpll". The LOCKED port on the PLL should be connected when the FBOUTCLK port is connected. Although it is unnecessary to connect the LOCKED signal, any logic driven off of an output clock of the PLL will not know when the PLL is locked and ready. Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. @@ -4300,9 +4342,9 @@ Warning (205009): Dummy RLC values generated in IBIS model files for device 5CSE Info (184020): Starting Fitter periphery placement operations Warning (205009): Dummy RLC values generated in IBIS model files for device 5CSEMA5 with package FBGA and pin count 896 Info (11191): Automatically promoted 1 clock (1 global) - Info (11162): CLOCK_50~inputCLKENA0 with 2089 fanout uses global clock CLKCTRL_G6 + Info (11162): clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|outclk_wire[0]~CLKENA0 with 2269 fanout uses global clock CLKCTRL_G0 Warning (205009): Dummy RLC values generated in IBIS model files for device 5CSEMA5 with package FBGA and pin count 896 -Info (184021): Fitter periphery placement operations ending: elapsed time is 00:00:00 +Info (184021): Fitter periphery placement operations ending: elapsed time is 00:00:01 Info (332164): Evaluating HDL-embedded SDC commands Info (332165): Entity altera_std_synchronizer Info (332166): set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}] @@ -4326,18 +4368,27 @@ Warning (332174): Ignored filter at de1_riscv.sdc(34): altera_reserved_tdo could Warning (332049): Ignored set_output_delay at de1_riscv.sdc(34): Argument is an empty collection Info (332050): set_output_delay -clock altera_reserved_tck 3 [get_ports altera_reserved_tdo] Warning (332049): Ignored set_output_delay at de1_riscv.sdc(34): Argument -clock is not an object ID +Info (332110): Deriving PLL clocks + Info (332110): create_generated_clock -source {clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|refclkin} -multiply_by 6 -duty_cycle 50.00 -name {clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0]} {clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0]} + Info (332110): create_generated_clock -source {clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|vco0ph[0]} -divide_by 6 -duty_cycle 50.00 -name {clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk} {clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk} Info (332151): Clock uncertainty is not calculated until you update the timing netlist. Warning (332174): Ignored filter at de1_riscv.sdc(103): VGA_BLANK could not be matched with a port Warning (332049): Ignored set_output_delay at de1_riscv.sdc(103): Argument is an empty collection Info (332050): set_output_delay -max -clock clk_vga 0.215 [get_ports VGA_BLANK] Warning (332049): Ignored set_output_delay at de1_riscv.sdc(104): Argument is an empty collection Info (332050): set_output_delay -min -clock clk_vga -1.485 [get_ports VGA_BLANK] +Info (332097): The following timing edges are non-unate. TimeQuest will assume pos-unate behavior for these edges in the clock network. + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL from: refclkin to: fbclk + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER from: vco0ph[0] to: divclk + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_REFCLK_SELECT from: clkin[0] to: clkout Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. Warning (332061): Virtual clock clk_core is never referenced in any input or output delay assignment. Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements -Info (332111): Found 9 clocks +Info (332111): Found 11 clocks Info (332111): Period Clock Name Info (332111): ======== ============ + Info (332111): 3.333 clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] + Info (332111): 20.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk Info (332111): 54.253 clk_audxck Info (332111): 2.500 clk_core Info (332111): 10.000 clk_dram @@ -4350,32 +4401,31 @@ Info (332111): Found 9 clocks Info (176233): Starting register packing Info (176235): Finished register packing Extra Info (176219): No registers were packed into other blocks -Info (11798): Fitter preparation operations ending: elapsed time is 00:00:08 +Info (11798): Fitter preparation operations ending: elapsed time is 00:00:18 Info (170189): Fitter placement preparation operations beginning -Warning (170052): Fitter has implemented the following 68 RAMs using MLAB locations, which can behave differently during power up than dedicated RAM locations +Warning (170052): Fitter has implemented the following 103 RAMs using MLAB locations, which can behave differently during power up than dedicated RAM locations Info (170241): For more information about RAMs, refer to the Fitter RAM Summary report. -Info (170056): Fitter has implemented the following 68 RAMs using MLAB locations, which will have the same paused read capabilities as dedicated RAM locations +Info (170056): Fitter has implemented the following 103 RAMs using MLAB locations, which will have the same paused read capabilities as dedicated RAM locations Info (170241): For more information about RAMs, refer to the Fitter RAM Summary report. -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:03 +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:08 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:03 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:08 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 2% of the available device resources - Info (170196): Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X33_Y23 to location X44_Y34 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:05 +Info (170195): Router estimated average interconnect usage is 3% of the available device resources + Info (170196): Router estimated peak interconnect usage is 43% of the available device resources in the region that extends from location X22_Y23 to location X32_Y34 +Info (170194): Fitter routing operations ending: elapsed time is 00:00:16 Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info (170201): Optimizations that may affect the design's routability were skipped Info (170200): Optimizations that may affect the design's timing were skipped -Info (11888): Total time spent on timing analysis during the Fitter is 5.16 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 14.73 seconds. Info (334003): Started post-fitting delay annotation Warning (334000): Timing characteristics of device 5CSEMA5F31C6 are preliminary Info (334004): Delay annotation completed successfully Info (334003): Started post-fitting delay annotation Warning (334000): Timing characteristics of device 5CSEMA5F31C6 are preliminary Info (334004): Delay annotation completed successfully -Info (11801): Fitter post-fit operations ending: elapsed time is 00:00:10 -Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. +Info (11801): Fitter post-fit operations ending: elapsed time is 00:00:41 Warning (169064): Following 60 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results Info (169065): Pin AUD_ADCLRCK has a permanently disabled output enable Info (169065): Pin AUD_BCLK has a permanently disabled output enable @@ -4439,10 +4489,10 @@ Warning (169064): Following 60 pins have no output enable or a GND or VCC output Info (169065): Pin GPIO[7] has a permanently disabled output enable Info (144001): Generated suppressed messages file D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/de1_riscv.fit.smsg Info: Quartus II 64-Bit Fitter was successful. 0 errors, 29 warnings - Info: Peak virtual memory: 6787 megabytes - Info: Processing ended: Sun Aug 29 18:52:03 2021 - Info: Elapsed time: 00:00:48 - Info: Total CPU time (on all processors): 00:01:23 + Info: Peak virtual memory: 2347 megabytes + Info: Processing ended: Mon Aug 30 18:42:28 2021 + Info: Elapsed time: 00:02:20 + Info: Total CPU time (on all processors): 00:03:00 +----------------------------+ diff --git a/examples/hdl4se_riscv/de1/de1_riscv.fit.summary b/examples/hdl4se_riscv/de1/de1_riscv.fit.summary index 74bba6fdd0e9cb704ae3a0ade9b13e13de5f88fb..93d2bb0282cf11e23b9678a58b75795e20ed7d10 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.fit.summary +++ b/examples/hdl4se_riscv/de1/de1_riscv.fit.summary @@ -1,19 +1,19 @@ -Fitter Status : Successful - Sun Aug 29 18:52:02 2021 +Fitter Status : Successful - Mon Aug 30 18:42:25 2021 Quartus II 64-Bit Version : 13.1.0 Build 162 10/23/2013 SJ Full Version Revision Name : de1_riscv Top-level Entity Name : de1_riscv Family : Cyclone V Device : 5CSEMA5F31C6 Timing Models : Preliminary -Logic utilization (in ALMs) : 2,653 / 32,070 ( 8 % ) -Total registers : 2042 +Logic utilization (in ALMs) : 2,789 / 32,070 ( 9 % ) +Total registers : 1998 Total pins : 204 / 457 ( 45 % ) Total virtual pins : 0 -Total block memory bits : 82,944 / 4,065,280 ( 2 % ) +Total block memory bits : 1,067,008 / 4,065,280 ( 26 % ) Total DSP Blocks : 10 / 87 ( 11 % ) Total HSSI RX PCSs : 0 Total HSSI PMA RX Deserializers : 0 Total HSSI TX PCSs : 0 Total HSSI TX Channels : 0 -Total PLLs : 0 / 6 ( 0 % ) +Total PLLs : 1 / 6 ( 17 % ) Total DLLs : 0 / 4 ( 0 % ) diff --git a/examples/hdl4se_riscv/de1/de1_riscv.flow.rpt b/examples/hdl4se_riscv/de1/de1_riscv.flow.rpt index 5137551d965c4172e71e752a996247ce3a291463..6dbf8dff18d57c14a019c86e3a94b4bdfae75689 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.flow.rpt +++ b/examples/hdl4se_riscv/de1/de1_riscv.flow.rpt @@ -1,5 +1,5 @@ Flow report for de1_riscv -Sun Aug 29 18:52:29 2021 +Mon Aug 30 18:43:38 2021 Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version @@ -40,24 +40,24 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------------+---------------------------------------------+ -; Flow Status ; Successful - Sun Aug 29 18:52:14 2021 ; +; Flow Status ; Successful - Mon Aug 30 18:42:50 2021 ; ; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Full Version ; ; Revision Name ; de1_riscv ; ; Top-level Entity Name ; de1_riscv ; ; Family ; Cyclone V ; ; Device ; 5CSEMA5F31C6 ; ; Timing Models ; Preliminary ; -; Logic utilization (in ALMs) ; 2,653 / 32,070 ( 8 % ) ; -; Total registers ; 2042 ; +; Logic utilization (in ALMs) ; 2,789 / 32,070 ( 9 % ) ; +; Total registers ; 1998 ; ; Total pins ; 204 / 457 ( 45 % ) ; ; Total virtual pins ; 0 ; -; Total block memory bits ; 82,944 / 4,065,280 ( 2 % ) ; +; Total block memory bits ; 1,067,008 / 4,065,280 ( 26 % ) ; ; Total DSP Blocks ; 10 / 87 ( 11 % ) ; ; Total HSSI RX PCSs ; 0 ; ; Total HSSI PMA RX Deserializers ; 0 ; ; Total HSSI TX PCSs ; 0 ; ; Total HSSI TX Channels ; 0 ; -; Total PLLs ; 0 / 6 ( 0 % ) ; +; Total PLLs ; 1 / 6 ( 17 % ) ; ; Total DLLs ; 0 / 4 ( 0 % ) ; +---------------------------------+---------------------------------------------+ @@ -67,7 +67,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 08/29/2021 18:50:58 ; +; Start date & time ; 08/30/2021 18:39:31 ; ; Main task ; Compilation ; ; Revision Name ; de1_riscv ; +-------------------+---------------------+ @@ -78,7 +78,7 @@ applicable agreement for further details. +-------------------------------------+---------------------------------------+---------------+--------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------------+---------------------------------------+---------------+--------------+------------+ -; COMPILER_SIGNATURE_ID ; 101574253398716.163023425830188 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 621136229624.163031997154204 ; -- ; -- ; -- ; ; IP_TOOL_ENV ; mwpim ; -- ; clk100M ; -- ; ; IP_TOOL_ENV ; mwpim ; -- ; clk100M ; -- ; ; IP_TOOL_ENV ; mwpim ; -- ; clk100M_0002 ; -- ; @@ -93,6 +93,8 @@ applicable agreement for further details. ; IP_TOOL_NAME ; LPM_MULT ; -- ; -- ; -- ; ; IP_TOOL_NAME ; RAM: 2-PORT ; -- ; -- ; -- ; ; IP_TOOL_NAME ; FIFO ; -- ; -- ; -- ; +; IP_TOOL_NAME ; RAM: 1-PORT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; RAM: 1-PORT ; -- ; -- ; -- ; ; IP_TOOL_NAME ; altera_pll ; -- ; clk100M ; -- ; ; IP_TOOL_NAME ; altera_pll ; -- ; clk100M ; -- ; ; IP_TOOL_NAME ; altera_pll ; -- ; clk100M_0002 ; -- ; @@ -107,6 +109,8 @@ applicable agreement for further details. ; IP_TOOL_VERSION ; 13.1 ; -- ; -- ; -- ; ; IP_TOOL_VERSION ; 13.1 ; -- ; -- ; -- ; ; IP_TOOL_VERSION ; 13.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 13.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 13.1 ; -- ; -- ; -- ; ; IP_TOOL_VERSION ; 13.1 ; -- ; clk100M ; -- ; ; IP_TOOL_VERSION ; 13.1 ; -- ; clk100M ; -- ; ; IP_TOOL_VERSION ; 13.1 ; -- ; clk100M_0002 ; -- ; @@ -125,6 +129,8 @@ applicable agreement for further details. ; MISC_FILE ; clk/clk100M_sim/clk100M.vo ; -- ; -- ; -- ; ; MISC_FILE ; regfile/regfile_2_bb.v ; -- ; -- ; -- ; ; MISC_FILE ; uart/uart_fifo_bb.v ; -- ; -- ; -- ; +; MISC_FILE ; ram/ram16kB_bb.v ; -- ; -- ; -- ; +; MISC_FILE ; ram/ram128kB_bb.v ; -- ; -- ; -- ; ; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; ; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; ; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; @@ -140,11 +146,11 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:15 ; 1.6 ; 4881 MB ; 00:00:15 ; -; Fitter ; 00:00:47 ; 1.7 ; 6787 MB ; 00:01:22 ; -; Assembler ; 00:00:09 ; 1.0 ; 4803 MB ; 00:00:09 ; -; TimeQuest Timing Analyzer ; 00:00:14 ; 2.3 ; 5401 MB ; 00:00:25 ; -; Total ; 00:01:25 ; -- ; -- ; 00:02:11 ; +; Analysis & Synthesis ; 00:00:34 ; 1.0 ; 698 MB ; 00:00:33 ; +; Fitter ; 00:02:17 ; 1.4 ; 2347 MB ; 00:02:57 ; +; Assembler ; 00:00:19 ; 1.0 ; 673 MB ; 00:00:19 ; +; TimeQuest Timing Analyzer ; 00:00:46 ; 1.5 ; 1179 MB ; 00:01:03 ; +; Total ; 00:03:56 ; -- ; -- ; 00:04:52 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ @@ -153,10 +159,10 @@ applicable agreement for further details. +---------------------------+------------------+-----------+------------+----------------+ ; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; +---------------------------+------------------+-----------+------------+----------------+ -; Analysis & Synthesis ; DESKTOP-I91JIJO ; Windows 7 ; 6.2 ; x86_64 ; -; Fitter ; DESKTOP-I91JIJO ; Windows 7 ; 6.2 ; x86_64 ; -; Assembler ; DESKTOP-I91JIJO ; Windows 7 ; 6.2 ; x86_64 ; -; TimeQuest Timing Analyzer ; DESKTOP-I91JIJO ; Windows 7 ; 6.2 ; x86_64 ; +; Analysis & Synthesis ; RG6MXLMTA6KAGXI ; Windows 7 ; 6.1 ; x86_64 ; +; Fitter ; RG6MXLMTA6KAGXI ; Windows 7 ; 6.1 ; x86_64 ; +; Assembler ; RG6MXLMTA6KAGXI ; Windows 7 ; 6.1 ; x86_64 ; +; TimeQuest Timing Analyzer ; RG6MXLMTA6KAGXI ; Windows 7 ; 6.1 ; x86_64 ; +---------------------------+------------------+-----------+------------+----------------+ diff --git a/examples/hdl4se_riscv/de1/de1_riscv.jdi b/examples/hdl4se_riscv/de1/de1_riscv.jdi index cd5124249b95992e88074387e7fbebee0e6772d9..a0c3dd41cee1725e462d033605ac69224ebbcd6d 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.jdi +++ b/examples/hdl4se_riscv/de1/de1_riscv.jdi @@ -1,6 +1,6 @@ - + diff --git a/examples/hdl4se_riscv/de1/de1_riscv.map.rpt b/examples/hdl4se_riscv/de1/de1_riscv.map.rpt index fa4317165b0a8383211b89cefeac11a9aa4e1d82..69d9c3282c714a6dd030191b644c58e439553c20 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.map.rpt +++ b/examples/hdl4se_riscv/de1/de1_riscv.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for de1_riscv -Sun Aug 29 18:51:14 2021 +Mon Aug 30 18:40:06 2021 Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version @@ -31,51 +31,56 @@ Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version 23. Source assignments for uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer 24. Source assignments for regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated 25. Source assignments for regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated - 26. Source assignments for ram8kb:ram|altsyncram:altsyncram_component|altsyncram_vgr1:auto_generated + 26. Source assignments for ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated 27. Source assignments for riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider 28. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider - 29. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5 - 30. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5 - 31. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5 - 32. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5 - 33. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4 - 34. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5 - 35. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5 - 36. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5 - 37. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4 - 38. Parameter Settings for User Entity Instance: uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component - 39. Parameter Settings for User Entity Instance: uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component - 40. Parameter Settings for User Entity Instance: uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer - 41. Parameter Settings for User Entity Instance: regfile:regs|altsyncram:altsyncram_component - 42. Parameter Settings for User Entity Instance: regfile:regs2|altsyncram:altsyncram_component - 43. Parameter Settings for User Entity Instance: ram8kb:ram|altsyncram:altsyncram_component - 44. Parameter Settings for User Entity Instance: riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component - 45. Parameter Settings for User Entity Instance: riscv_core:core|suber:sub|lpm_add_sub:LPM_ADD_SUB_component - 46. Parameter Settings for User Entity Instance: riscv_core:core|mult:mul|lpm_mult:lpm_mult_component - 47. Parameter Settings for User Entity Instance: riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component - 48. Parameter Settings for User Entity Instance: riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component - 49. Parameter Settings for User Entity Instance: riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component - 50. Parameter Settings for User Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component - 51. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 - 52. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 - 53. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 - 54. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 - 55. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 - 56. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 - 57. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 - 58. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 - 59. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 - 60. scfifo Parameter Settings by Entity Instance - 61. altsyncram Parameter Settings by Entity Instance - 62. lpm_mult Parameter Settings by Entity Instance - 63. altshift_taps Parameter Settings by Entity Instance - 64. Port Connectivity Checks: "riscv_core:core|mulsu:mul_su" - 65. Port Connectivity Checks: "riscv_core:core|mult:mul" - 66. Port Connectivity Checks: "uart_ctrl:uart_ctrl|altera_uart:uart" - 67. Port Connectivity Checks: "uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf" - 68. Port Connectivity Checks: "uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf" - 69. Elapsed Time Per Partition - 70. Analysis & Synthesis Messages + 29. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5 + 30. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5 + 31. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5 + 32. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5 + 33. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4 + 34. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5 + 35. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5 + 36. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5 + 37. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4 + 38. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4 + 39. Parameter Settings for User Entity Instance: clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i + 40. Parameter Settings for User Entity Instance: uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component + 41. Parameter Settings for User Entity Instance: uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component + 42. Parameter Settings for User Entity Instance: uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer + 43. Parameter Settings for User Entity Instance: regfile:regs|altsyncram:altsyncram_component + 44. Parameter Settings for User Entity Instance: regfile:regs2|altsyncram:altsyncram_component + 45. Parameter Settings for User Entity Instance: ram128kB:ram|altsyncram:altsyncram_component + 46. Parameter Settings for User Entity Instance: riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component + 47. Parameter Settings for User Entity Instance: riscv_core:core|suber:sub|lpm_add_sub:LPM_ADD_SUB_component + 48. Parameter Settings for User Entity Instance: riscv_core:core|mult:mul|lpm_mult:lpm_mult_component + 49. Parameter Settings for User Entity Instance: riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component + 50. Parameter Settings for User Entity Instance: riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component + 51. Parameter Settings for User Entity Instance: riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component + 52. Parameter Settings for User Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component + 53. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0 + 54. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 + 55. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 + 56. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 + 57. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 + 58. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 + 59. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 + 60. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 + 61. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 + 62. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 + 63. scfifo Parameter Settings by Entity Instance + 64. altsyncram Parameter Settings by Entity Instance + 65. lpm_mult Parameter Settings by Entity Instance + 66. altshift_taps Parameter Settings by Entity Instance + 67. Port Connectivity Checks: "riscv_core:core|mulsu:mul_su" + 68. Port Connectivity Checks: "riscv_core:core|mult:mul" + 69. Port Connectivity Checks: "ram128kB:ram" + 70. Port Connectivity Checks: "uart_ctrl:uart_ctrl|altera_uart:uart" + 71. Port Connectivity Checks: "uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf" + 72. Port Connectivity Checks: "uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf" + 73. Port Connectivity Checks: "clk100M:clk100" + 74. Elapsed Time Per Partition + 75. Analysis & Synthesis Messages @@ -101,22 +106,22 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +---------------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sun Aug 29 18:51:14 2021 ; +; Analysis & Synthesis Status ; Successful - Mon Aug 30 18:40:06 2021 ; ; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Full Version ; ; Revision Name ; de1_riscv ; ; Top-level Entity Name ; de1_riscv ; ; Family ; Cyclone V ; ; Logic utilization (in ALMs) ; N/A ; -; Total registers ; 1857 ; +; Total registers ; 1839 ; ; Total pins ; 204 ; ; Total virtual pins ; 0 ; -; Total block memory bits ; 83,465 ; +; Total block memory bits ; 1,067,744 ; ; Total DSP Blocks ; 10 ; ; Total HSSI RX PCSs ; 0 ; ; Total HSSI PMA RX Deserializers ; 0 ; ; Total HSSI TX PCSs ; 0 ; ; Total HSSI TX Channels ; 0 ; -; Total PLLs ; 0 ; +; Total PLLs ; 1 ; ; Total DLLs ; 0 ; +---------------------------------+---------------------------------------------+ @@ -213,16 +218,16 @@ applicable agreement for further details. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 20 ; -; Maximum allowed ; 10 ; +; Number detected on machine ; 4 ; +; Maximum allowed ; 2 ; ; ; ; -; Average used ; 1.60 ; -; Maximum used ; 10 ; +; Average used ; 1.00 ; +; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processors 2-10 ; 6.7% ; -; Processors 11-20 ; 0.0% ; +; Processor 2 ; < 0.1% ; +; Processors 3-4 ; 0.0% ; +----------------------------+-------------+ @@ -236,7 +241,6 @@ applicable agreement for further details. ; uart/uart_fifo.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/uart/uart_fifo.v ; ; ; uart/uart_ctrl.v ; yes ; User Verilog HDL File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/uart/uart_ctrl.v ; ; ; uart/altera_uart.v ; yes ; User Verilog HDL File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/uart/altera_uart.v ; ; -; ram/ram8kb.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/ram/ram8kb.v ; ; ; regfile/regfile.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/regfile/regfile.v ; ; ; alu/mult.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/mult.v ; ; ; alu/mult_s.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/mult_s.v ; ; @@ -245,6 +249,10 @@ applicable agreement for further details. ; alu/adder.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/adder.v ; ; ; alu/suber.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/suber.v ; ; ; alu/mulsu.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/mulsu.v ; ; +; clk/clk100M.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/clk/clk100M.v ; clk100M ; +; clk/clk100M/clk100M_0002.v ; yes ; User Verilog HDL File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/clk/clk100M/clk100M_0002.v ; clk100M ; +; ram/ram128kB.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/ram/ram128kB.v ; ; +; altera_pll.v ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/altera_pll.v ; ; ; scfifo.tdf ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/scfifo.tdf ; ; ; a_regfifo.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/a_regfifo.inc ; ; ; a_dpfifo.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/a_dpfifo.inc ; ; @@ -269,8 +277,11 @@ applicable agreement for further details. ; altram.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/altram.inc ; ; ; altdpram.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/altdpram.inc ; ; ; db/altsyncram_nco1.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_nco1.tdf ; ; -; db/altsyncram_vgr1.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_vgr1.tdf ; ; +; db/altsyncram_vir1.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_vir1.tdf ; ; ; ../test_code/test.mif ; yes ; Auto-Found Memory Initialization File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/test_code/test.mif ; ; +; db/decode_8la.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/decode_8la.tdf ; ; +; db/decode_11a.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/decode_11a.tdf ; ; +; db/mux_5hb.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/mux_5hb.tdf ; ; ; lpm_add_sub.tdf ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/lpm_add_sub.tdf ; ; ; addcore.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/addcore.inc ; ; ; look_add.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/look_add.inc ; ; @@ -298,62 +309,69 @@ applicable agreement for further details. ; lpm_counter.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/lpm_counter.inc ; ; ; lpm_compare.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/lpm_compare.inc ; ; ; lpm_constant.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/lpm_constant.inc ; ; -; db/shift_taps_bl21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_bl21.tdf ; ; -; db/altsyncram_fr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_fr91.tdf ; ; +; db/shift_taps_7l21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_7l21.tdf ; ; +; db/altsyncram_kr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_kr91.tdf ; ; ; db/cntr_8jf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_8jf.tdf ; ; ; db/cmpr_c9c.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cmpr_c9c.tdf ; ; -; db/shift_taps_cl21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_cl21.tdf ; ; -; db/altsyncram_mr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_mr91.tdf ; ; +; db/shift_taps_hm21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_hm21.tdf ; ; +; db/altsyncram_9u91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_9u91.tdf ; ; ; db/cntr_9jf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_9jf.tdf ; ; -; db/shift_taps_dl21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_dl21.tdf ; ; -; db/altsyncram_nr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_nr91.tdf ; ; -; db/shift_taps_0k21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_0k21.tdf ; ; -; db/altsyncram_7p91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_7p91.tdf ; ; +; db/shift_taps_gm21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_gm21.tdf ; ; +; db/altsyncram_7u91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_7u91.tdf ; ; +; db/shift_taps_bl21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_bl21.tdf ; ; +; db/altsyncram_rr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_rr91.tdf ; ; ; db/cntr_0if.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_0if.tdf ; ; -; db/shift_taps_tj21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_tj21.tdf ; ; -; db/altsyncram_vo91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_vo91.tdf ; ; +; db/shift_taps_9l21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_9l21.tdf ; ; +; db/altsyncram_lr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_lr91.tdf ; ; ; db/cntr_uhf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_uhf.tdf ; ; -; db/shift_taps_uj21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_uj21.tdf ; ; -; db/altsyncram_0p91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_0p91.tdf ; ; +; db/shift_taps_cl21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_cl21.tdf ; ; +; db/altsyncram_hr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_hr91.tdf ; ; ; db/cntr_thf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_thf.tdf ; ; ; db/cmpr_b9c.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cmpr_b9c.tdf ; ; -; db/shift_taps_vj21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_vj21.tdf ; ; -; db/altsyncram_1p91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_1p91.tdf ; ; +; db/shift_taps_dl21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_dl21.tdf ; ; +; db/altsyncram_mr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_mr91.tdf ; ; ; db/cntr_shf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_shf.tdf ; ; -; db/shift_taps_qj21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_qj21.tdf ; ; -; db/altsyncram_po91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_po91.tdf ; ; +; db/shift_taps_4l21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_4l21.tdf ; ; +; db/altsyncram_dr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_dr91.tdf ; ; ; db/cntr_rhf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_rhf.tdf ; ; -; db/shift_taps_rj21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_rj21.tdf ; ; -; db/altsyncram_no91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_no91.tdf ; ; +; db/shift_taps_3l21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_3l21.tdf ; ; +; db/altsyncram_9r91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_9r91.tdf ; ; ; db/cntr_phf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_phf.tdf ; ; +; db/shift_taps_5l21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_5l21.tdf ; ; +; db/altsyncram_br91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_br91.tdf ; ; +; db/cntr_ohf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_ohf.tdf ; ; +; db/cmpr_a9c.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cmpr_a9c.tdf ; ; +----------------------------------+-----------------+----------------------------------------+--------------------------------------------------------------------------+---------+ -+--------------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+----------------+ -; Resource ; Usage ; -+---------------------------------------------+----------------+ -; Estimate of Logic utilization (ALMs needed) ; 2373 ; -; ; ; -; Combinational ALUT usage for logic ; 4048 ; -; -- 7 input functions ; 75 ; -; -- 6 input functions ; 406 ; -; -- 5 input functions ; 448 ; -; -- 4 input functions ; 939 ; -; -- <=3 input functions ; 2180 ; -; ; ; -; Dedicated logic registers ; 1857 ; -; ; ; -; I/O pins ; 204 ; -; Total MLAB memory bits ; 0 ; -; Total block memory bits ; 83465 ; -; Total DSP Blocks ; 10 ; -; Maximum fan-out node ; CLOCK_50~input ; -; Maximum fan-out ; 2005 ; -; Total fan-out ; 22887 ; -; Average fan-out ; 3.50 ; -+---------------------------------------------+----------------+ ++-------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+---------------------------------------------------------------------------------+ +; Resource ; Usage ; ++---------------------------------------------+---------------------------------------------------------------------------------+ +; Estimate of Logic utilization (ALMs needed) ; 2458 ; +; ; ; +; Combinational ALUT usage for logic ; 4217 ; +; -- 7 input functions ; 50 ; +; -- 6 input functions ; 464 ; +; -- 5 input functions ; 466 ; +; -- 4 input functions ; 959 ; +; -- <=3 input functions ; 2278 ; +; ; ; +; Dedicated logic registers ; 1839 ; +; ; ; +; I/O pins ; 204 ; +; Total MLAB memory bits ; 0 ; +; Total block memory bits ; 1067744 ; +; Total DSP Blocks ; 10 ; +; Total PLLs ; 1 ; +; -- PLLs ; 1 ; +; ; ; +; Maximum fan-out node ; clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|outclk_wire[0] ; +; Maximum fan-out ; 2151 ; +; Total fan-out ; 26035 ; +; Average fan-out ; 3.80 ; ++---------------------------------------------+---------------------------------------------------------------------------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -361,63 +379,76 @@ applicable agreement for further details. +-------------------------------------------------------------------+-------------------+--------------+-------------------+------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Block Memory Bits ; DSP Blocks ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; +-------------------------------------------------------------------+-------------------+--------------+-------------------+------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ -; |de1_riscv ; 4048 (120) ; 1857 (71) ; 83465 ; 10 ; 204 ; 0 ; |de1_riscv ; work ; -; |ram8kb:ram| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |de1_riscv|ram8kb:ram ; work ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |de1_riscv|ram8kb:ram|altsyncram:altsyncram_component ; work ; -; |altsyncram_vgr1:auto_generated| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |de1_riscv|ram8kb:ram|altsyncram:altsyncram_component|altsyncram_vgr1:auto_generated ; work ; +; |de1_riscv ; 4217 (191) ; 1839 (76) ; 1067744 ; 10 ; 204 ; 0 ; |de1_riscv ; work ; +; |clk100M:clk100| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|clk100M:clk100 ; clk100M ; +; |clk100M_0002:clk100m_inst| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|clk100M:clk100|clk100M_0002:clk100m_inst ; clk100M ; +; |altera_pll:altera_pll_i| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i ; work ; +; |ram128kB:ram| ; 10 (0) ; 2 (0) ; 1048576 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram ; work ; +; |altsyncram:altsyncram_component| ; 10 (0) ; 2 (0) ; 1048576 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component ; work ; +; |altsyncram_vir1:auto_generated| ; 10 (0) ; 2 (2) ; 1048576 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated ; work ; +; |decode_11a:rden_decode| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode ; work ; +; |decode_8la:decode3| ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3 ; work ; +; |mux_5hb:mux2| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|mux_5hb:mux2 ; work ; +; |regfile:regs2| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs2 ; work ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs2|altsyncram:altsyncram_component ; work ; +; |altsyncram_nco1:auto_generated| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated ; work ; ; |regfile:regs| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs|altsyncram:altsyncram_component ; work ; ; |altsyncram_nco1:auto_generated| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated ; work ; -; |riscv_core:core| ; 3661 (1213) ; 1488 (221) ; 521 ; 10 ; 0 ; 0 ; |de1_riscv|riscv_core:core ; work ; +; |riscv_core:core| ; 3743 (1237) ; 1462 (206) ; 736 ; 10 ; 0 ; 0 ; |de1_riscv|riscv_core:core ; work ; ; |adder:add| ; 33 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add ; work ; ; |lpm_add_sub:LPM_ADD_SUB_component| ; 33 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component ; work ; ; |add_sub_tih:auto_generated| ; 33 (33) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component|add_sub_tih:auto_generated ; work ; -; |div:div| ; 1030 (0) ; 582 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div ; work ; -; |lpm_divide:LPM_DIVIDE_component| ; 1030 (0) ; 582 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component ; work ; -; |lpm_divide_2jt:auto_generated| ; 1030 (0) ; 582 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated ; work ; -; |sign_div_unsign_8ai:divider| ; 1030 (0) ; 582 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider ; work ; -; |alt_u_div_nlf:divider| ; 1030 (1030) ; 582 (582) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider ; work ; -; |div_s:divs| ; 1255 (0) ; 685 (0) ; 521 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs ; work ; -; |lpm_divide:LPM_DIVIDE_component| ; 1255 (0) ; 685 (0) ; 521 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component ; work ; -; |lpm_divide_s4t:auto_generated| ; 1255 (0) ; 685 (0) ; 521 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated ; work ; -; |sign_div_unsign_2sh:divider| ; 1255 (138) ; 685 (12) ; 521 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider ; work ; -; |alt_u_div_5eg:divider| ; 1117 (1008) ; 673 (613) ; 521 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider ; work ; -; |altshift_taps:DFFNumerator_rtl_0| ; 13 (0) ; 8 (0) ; 50 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 ; work ; -; |shift_taps_bl21:auto_generated| ; 13 (7) ; 8 (4) ; 50 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated ; work ; -; |altsyncram_fr91:altsyncram5| ; 0 (0) ; 0 (0) ; 50 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5 ; work ; -; |cntr_8jf:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_1| ; 14 (0) ; 8 (0) ; 77 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 ; work ; -; |shift_taps_cl21:auto_generated| ; 14 (8) ; 8 (4) ; 77 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated ; work ; -; |altsyncram_mr91:altsyncram5| ; 0 (0) ; 0 (0) ; 77 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5 ; work ; -; |cntr_9jf:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_2| ; 13 (0) ; 8 (0) ; 80 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 ; work ; -; |shift_taps_dl21:auto_generated| ; 13 (7) ; 8 (4) ; 80 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated ; work ; -; |altsyncram_nr91:altsyncram5| ; 0 (0) ; 0 (0) ; 80 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5 ; work ; -; |cntr_8jf:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_3| ; 14 (0) ; 8 (0) ; 81 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 ; work ; -; |shift_taps_0k21:auto_generated| ; 14 (8) ; 8 (4) ; 81 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated ; work ; -; |altsyncram_7p91:altsyncram5| ; 0 (0) ; 0 (0) ; 81 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5 ; work ; -; |cntr_0if:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_4| ; 8 (0) ; 6 (0) ; 56 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 ; work ; -; |shift_taps_tj21:auto_generated| ; 8 (5) ; 6 (3) ; 56 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated ; work ; -; |altsyncram_vo91:altsyncram4| ; 0 (0) ; 0 (0) ; 56 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4 ; work ; -; |cntr_uhf:cntr1| ; 3 (3) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|cntr_uhf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_5| ; 14 (0) ; 6 (0) ; 56 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 ; work ; -; |shift_taps_uj21:auto_generated| ; 14 (7) ; 6 (3) ; 56 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated ; work ; -; |altsyncram_0p91:altsyncram5| ; 0 (0) ; 0 (0) ; 56 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5 ; work ; -; |cntr_thf:cntr1| ; 7 (7) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|cntr_thf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_6| ; 13 (0) ; 6 (0) ; 54 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 ; work ; -; |shift_taps_vj21:auto_generated| ; 13 (6) ; 6 (3) ; 54 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated ; work ; -; |altsyncram_1p91:altsyncram5| ; 0 (0) ; 0 (0) ; 54 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5 ; work ; -; |cntr_shf:cntr1| ; 7 (7) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_7| ; 14 (0) ; 6 (0) ; 35 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 ; work ; -; |shift_taps_qj21:auto_generated| ; 14 (7) ; 6 (3) ; 35 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated ; work ; -; |altsyncram_po91:altsyncram5| ; 0 (0) ; 0 (0) ; 35 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5 ; work ; -; |cntr_rhf:cntr1| ; 7 (7) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_8| ; 6 (0) ; 4 (0) ; 32 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 ; work ; -; |shift_taps_rj21:auto_generated| ; 6 (4) ; 4 (2) ; 32 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated ; work ; -; |altsyncram_no91:altsyncram4| ; 0 (0) ; 0 (0) ; 32 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4 ; work ; -; |cntr_phf:cntr1| ; 2 (2) ; 2 (2) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1 ; work ; +; |div:div| ; 1008 (0) ; 583 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div ; work ; +; |lpm_divide:LPM_DIVIDE_component| ; 1008 (0) ; 583 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component ; work ; +; |lpm_divide_2jt:auto_generated| ; 1008 (0) ; 583 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated ; work ; +; |sign_div_unsign_8ai:divider| ; 1008 (0) ; 583 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider ; work ; +; |alt_u_div_nlf:divider| ; 1008 (1008) ; 583 (583) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider ; work ; +; |div_s:divs| ; 1335 (0) ; 673 (0) ; 736 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs ; work ; +; |lpm_divide:LPM_DIVIDE_component| ; 1335 (0) ; 673 (0) ; 736 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component ; work ; +; |lpm_divide_s4t:auto_generated| ; 1335 (0) ; 673 (0) ; 736 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated ; work ; +; |sign_div_unsign_2sh:divider| ; 1335 (209) ; 673 (14) ; 736 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider ; work ; +; |alt_u_div_5eg:divider| ; 1113 (1010) ; 651 (595) ; 666 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider ; work ; +; |altshift_taps:DFFNumerator_rtl_0| ; 14 (0) ; 8 (0) ; 110 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 ; work ; +; |shift_taps_hm21:auto_generated| ; 14 (8) ; 8 (4) ; 110 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated ; work ; +; |altsyncram_9u91:altsyncram5| ; 0 (0) ; 0 (0) ; 110 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5 ; work ; +; |cntr_9jf:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_1| ; 13 (0) ; 8 (0) ; 100 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 ; work ; +; |shift_taps_gm21:auto_generated| ; 13 (7) ; 8 (4) ; 100 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated ; work ; +; |altsyncram_7u91:altsyncram5| ; 0 (0) ; 0 (0) ; 100 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5 ; work ; +; |cntr_8jf:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_2| ; 14 (0) ; 8 (0) ; 108 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 ; work ; +; |shift_taps_bl21:auto_generated| ; 14 (8) ; 8 (4) ; 108 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated ; work ; +; |altsyncram_rr91:altsyncram5| ; 0 (0) ; 0 (0) ; 108 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5 ; work ; +; |cntr_0if:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_3| ; 8 (0) ; 6 (0) ; 80 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 ; work ; +; |shift_taps_9l21:auto_generated| ; 8 (5) ; 6 (3) ; 80 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated ; work ; +; |altsyncram_lr91:altsyncram4| ; 0 (0) ; 0 (0) ; 80 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4 ; work ; +; |cntr_uhf:cntr1| ; 3 (3) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|cntr_uhf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_4| ; 14 (0) ; 6 (0) ; 70 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 ; work ; +; |shift_taps_cl21:auto_generated| ; 14 (7) ; 6 (3) ; 70 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated ; work ; +; |altsyncram_hr91:altsyncram5| ; 0 (0) ; 0 (0) ; 70 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5 ; work ; +; |cntr_thf:cntr1| ; 7 (7) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_5| ; 13 (0) ; 6 (0) ; 72 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 ; work ; +; |shift_taps_dl21:auto_generated| ; 13 (6) ; 6 (3) ; 72 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated ; work ; +; |altsyncram_mr91:altsyncram5| ; 0 (0) ; 0 (0) ; 72 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5 ; work ; +; |cntr_shf:cntr1| ; 7 (7) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_6| ; 14 (0) ; 6 (0) ; 50 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 ; work ; +; |shift_taps_4l21:auto_generated| ; 14 (7) ; 6 (3) ; 50 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated ; work ; +; |altsyncram_dr91:altsyncram5| ; 0 (0) ; 0 (0) ; 50 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5 ; work ; +; |cntr_rhf:cntr1| ; 7 (7) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_7| ; 6 (0) ; 4 (0) ; 40 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 ; work ; +; |shift_taps_3l21:auto_generated| ; 6 (4) ; 4 (2) ; 40 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated ; work ; +; |altsyncram_9r91:altsyncram4| ; 0 (0) ; 0 (0) ; 40 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4 ; work ; +; |cntr_phf:cntr1| ; 2 (2) ; 2 (2) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|cntr_phf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_8| ; 7 (0) ; 4 (0) ; 36 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 ; work ; +; |shift_taps_5l21:auto_generated| ; 7 (2) ; 4 (2) ; 36 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated ; work ; +; |altsyncram_br91:altsyncram4| ; 0 (0) ; 0 (0) ; 36 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4 ; work ; +; |cntr_ohf:cntr1| ; 5 (5) ; 2 (2) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|cntr_ohf:cntr1 ; work ; +; |altshift_taps:DFF_Num_Sign_rtl_0| ; 13 (0) ; 8 (0) ; 70 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0 ; work ; +; |shift_taps_7l21:auto_generated| ; 13 (7) ; 8 (4) ; 70 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated ; work ; +; |altsyncram_kr91:altsyncram5| ; 0 (0) ; 0 (0) ; 70 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5 ; work ; +; |cntr_8jf:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1 ; work ; ; |mulsu:mul_su| ; 38 (0) ; 0 (0) ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su ; work ; ; |lpm_mult:lpm_mult_component| ; 38 (0) ; 0 (0) ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component ; work ; ; |mult_61n:auto_generated| ; 38 (38) ; 0 (0) ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component|mult_61n:auto_generated ; work ; @@ -427,7 +458,7 @@ applicable agreement for further details. ; |mult_s:mul_s| ; 46 (0) ; 0 (0) ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s ; work ; ; |lpm_mult:lpm_mult_component| ; 46 (0) ; 0 (0) ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component ; work ; ; |mult_81n:auto_generated| ; 46 (46) ; 0 (0) ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component|mult_81n:auto_generated ; work ; -; |uart_ctrl:uart_ctrl| ; 267 (48) ; 298 (100) ; 16384 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl ; work ; +; |uart_ctrl:uart_ctrl| ; 273 (54) ; 299 (101) ; 16384 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl ; work ; ; |altera_uart:uart| ; 102 (0) ; 106 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart ; work ; ; |altera_uart_regs:the_altera_uart_regs| ; 21 (21) ; 34 (34) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs ; work ; ; |altera_uart_rx:the_altera_uart_rx| ; 52 (52) ; 41 (39) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx ; work ; @@ -453,25 +484,27 @@ applicable agreement for further details. Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis RAM Summary ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+-----------------------+ -; Name ; Type ; Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+-----------------------+ -; ram8kb:ram|altsyncram:altsyncram_component|altsyncram_vgr1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 2048 ; 32 ; -- ; -- ; 65536 ; ../test_code/test.mif ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 32 ; 32 ; -- ; -- ; 1024 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 10 ; 5 ; 10 ; 5 ; 50 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 11 ; 7 ; 11 ; 7 ; 77 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 10 ; 8 ; 10 ; 8 ; 80 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 9 ; 9 ; 9 ; 9 ; 81 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8 ; 7 ; 8 ; 7 ; 56 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 7 ; 8 ; 7 ; 8 ; 56 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 6 ; 9 ; 6 ; 9 ; 54 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 5 ; 7 ; 5 ; 7 ; 35 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 4 ; 8 ; 4 ; 8 ; 32 ; None ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; None ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; None ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+-----------------------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis RAM Summary ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+---------+-----------------------+ +; Name ; Type ; Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+---------+-----------------------+ +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 32768 ; 32 ; -- ; -- ; 1048576 ; ../test_code/test.mif ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 32 ; 32 ; -- ; -- ; 1024 ; None ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 32 ; 32 ; -- ; -- ; 1024 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 11 ; 10 ; 11 ; 10 ; 110 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 10 ; 10 ; 10 ; 10 ; 100 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 9 ; 12 ; 9 ; 12 ; 108 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8 ; 10 ; 8 ; 10 ; 80 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 7 ; 10 ; 7 ; 10 ; 70 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 6 ; 12 ; 6 ; 12 ; 72 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 5 ; 10 ; 5 ; 10 ; 50 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 4 ; 10 ; 4 ; 10 ; 40 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 3 ; 12 ; 3 ; 12 ; 36 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 10 ; 7 ; 10 ; 7 ; 70 ; None ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; None ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; None ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+---------+-----------------------+ +--------------------------------------------------------------------------------------+ @@ -497,6 +530,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------+--------------+---------+--------------+--------------+--------------------------------------------------------+---------------------------------------------------------------+ ; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; +--------+--------------+---------+--------------+--------------+--------------------------------------------------------+---------------------------------------------------------------+ +; Altera ; altera_pll ; 13.1 ; N/A ; N/A ; |de1_riscv|clk100M:clk100 ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/clk/clk100M.v ; ; Altera ; LPM_ADD_SUB ; 13.1 ; N/A ; N/A ; |de1_riscv|riscv_core:core|adder:add ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/adder.v ; ; Altera ; LPM_DIVIDE ; 13.1 ; N/A ; N/A ; |de1_riscv|riscv_core:core|div:div ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/div.v ; ; Altera ; LPM_DIVIDE ; 13.1 ; N/A ; N/A ; |de1_riscv|riscv_core:core|div_s:divs ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/div_s.v ; @@ -504,7 +538,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Altera ; LPM_MULT ; 13.1 ; N/A ; N/A ; |de1_riscv|riscv_core:core|mult_s:mul_s ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/mult_s.v ; ; Altera ; LPM_MULT ; 13.1 ; N/A ; N/A ; |de1_riscv|riscv_core:core|mulsu:mul_su ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/mulsu.v ; ; Altera ; LPM_ADD_SUB ; 13.1 ; N/A ; N/A ; |de1_riscv|riscv_core:core|suber:sub ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/suber.v ; -; Altera ; RAM: 1-PORT ; 13.1 ; N/A ; N/A ; |de1_riscv|ram8kb:ram ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/ram/ram8kb.v ; +; Altera ; RAM: 1-PORT ; 13.1 ; N/A ; N/A ; |de1_riscv|ram128kB:ram ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/ram/ram128kB.v ; ; Altera ; RAM: 1-PORT ; 13.1 ; N/A ; N/A ; |de1_riscv|regfile:regs ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/regfile/regfile.v ; ; Altera ; RAM: 1-PORT ; 13.1 ; N/A ; N/A ; |de1_riscv|regfile:regs2 ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/regfile/regfile.v ; ; Altera ; FIFO ; 13.1 ; N/A ; N/A ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/uart/uart_fifo.v ; @@ -531,17 +565,16 @@ Encoding Type: One-Hot Encoding Type: One-Hot -+-----------------------------------------------------------------------------------------------+ -; State Machine - |de1_riscv|uart_ctrl:uart_ctrl|ctrlstate ; -+---------------+---------------+---------------+---------------+---------------+---------------+ -; Name ; ctrlstate.011 ; ctrlstate.010 ; ctrlstate.001 ; ctrlstate.000 ; ctrlstate.100 ; -+---------------+---------------+---------------+---------------+---------------+---------------+ -; ctrlstate.000 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; ctrlstate.001 ; 0 ; 0 ; 1 ; 1 ; 0 ; -; ctrlstate.010 ; 0 ; 1 ; 0 ; 1 ; 0 ; -; ctrlstate.011 ; 1 ; 0 ; 0 ; 1 ; 0 ; -; ctrlstate.100 ; 0 ; 0 ; 0 ; 1 ; 1 ; -+---------------+---------------+---------------+---------------+---------------+---------------+ ++--------------------------------------------------------------------------------------------------------+ +; State Machine - |de1_riscv|uart_ctrl:uart_ctrl|ctrlstate ; ++--------------------+--------------------+--------------------+--------------------+--------------------+ +; Name ; ctrlstate.00000011 ; ctrlstate.00000010 ; ctrlstate.00000001 ; ctrlstate.00000000 ; ++--------------------+--------------------+--------------------+--------------------+--------------------+ +; ctrlstate.00000000 ; 0 ; 0 ; 0 ; 0 ; +; ctrlstate.00000001 ; 0 ; 0 ; 1 ; 1 ; +; ctrlstate.00000010 ; 0 ; 1 ; 0 ; 1 ; +; ctrlstate.00000011 ; 1 ; 0 ; 0 ; 1 ; ++--------------------+--------------------+--------------------+--------------------+--------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -559,233 +592,33 @@ Encoding Type: One-Hot +----------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Register name ; Reason for Removal ; +----------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[31] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[11] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[11] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[11] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[63] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[10] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[10] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[10] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[95] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[9] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[9] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[9] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[127] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[8] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[8] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[8] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[159] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[7] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[7] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[7] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[191] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[6] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[6] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[6] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[223] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[5] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[5] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[5] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[255] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[4] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[4] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[4] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[287] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[3] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[3] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[3] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[319] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[2] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[2] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[2] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[351] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[1] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[1] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[1] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[383] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[0] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[0] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[0] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[29] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[29] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[28] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[28] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[27] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[27] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[26] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[26] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[25] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[25] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[24] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[24] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[23] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[23] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[22] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[22] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[21] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[21] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[20] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[20] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[19] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[19] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[18] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[18] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[17] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[17] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[16] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[16] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[15] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[15] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[14] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[14] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[13] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[13] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[12] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[12] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[11] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[11] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[10] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[10] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[9] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[9] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[8] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[8] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[7] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[7] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[6] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[6] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[5] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[5] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[4] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[4] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[3] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[3] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[2] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[2] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[1] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[1] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[0] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[0] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[59] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[59] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[58] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[58] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[57] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[57] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[56] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[56] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[55] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[55] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[54] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[54] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[53] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[53] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[52] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[52] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[51] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[51] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[50] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[50] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[49] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[49] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[48] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[48] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[47] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[47] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[46] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[46] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[45] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[45] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[44] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[44] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[43] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[43] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[42] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[42] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[41] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[41] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[40] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[40] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[39] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[39] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[38] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[38] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[37] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[37] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[36] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[36] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[35] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[35] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[34] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[34] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[33] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[33] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[32] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[32] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[31] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[11] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[63] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[10] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[95] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[9] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[127] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[8] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[159] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[7] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[191] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[6] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[223] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[5] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[255] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[4] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[287] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[3] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[319] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[2] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[351] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[1] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[383] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[0] ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|delayed_unxsync_rxdxx2 ; Merged with uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|delayed_unxsync_rxdxx1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[88] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[88] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[87] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[87] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[86] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[86] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[85] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[85] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[84] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[84] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[83] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[83] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[82] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[82] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[81] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[81] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[80] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[80] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[79] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[79] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[78] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[78] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[77] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[77] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[76] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[76] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[75] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[75] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[74] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[74] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[73] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[73] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[72] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[72] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[71] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[71] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[70] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[70] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[69] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[69] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[68] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[68] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[67] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[67] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[66] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[66] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[65] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[65] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[64] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[64] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[117] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[117] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[116] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[116] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[115] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[115] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[114] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[114] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[113] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[113] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[112] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[112] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[111] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[111] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[110] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[110] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[109] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[109] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[108] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[108] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[107] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[107] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[106] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[106] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[105] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[105] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[104] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[104] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[103] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[103] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[102] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[102] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[101] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[101] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[100] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[100] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[99] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[99] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[98] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[98] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[97] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[97] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[96] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[96] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[147] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[147] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[146] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[146] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[145] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[145] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[144] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[144] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[143] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[143] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[142] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[142] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[141] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[141] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[140] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[140] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[139] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[139] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[138] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[138] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[137] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[137] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[136] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[136] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[135] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[135] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[134] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[134] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[133] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[133] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[132] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[132] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[131] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[131] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[130] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[130] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[129] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[129] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[128] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[128] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[176] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[176] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[175] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[175] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[174] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[174] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[173] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[173] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[172] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[172] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[171] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[171] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[170] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[170] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[169] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[169] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[168] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[168] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[167] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[167] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[166] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[166] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[165] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[165] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[164] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[164] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[163] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[163] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[162] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[162] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[161] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[161] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[160] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[160] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[205] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[205] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[204] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[204] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[203] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[203] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[202] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[202] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[201] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[201] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[200] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[200] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[199] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[199] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[198] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[198] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[197] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[197] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[196] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[196] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[195] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[195] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[194] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[194] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[193] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[193] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[192] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[192] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[235] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[235] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[234] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[234] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[233] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[233] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[232] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[232] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[231] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[231] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[230] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[230] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[229] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[229] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[228] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[228] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[227] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[227] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[226] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[226] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[225] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[225] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[224] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[224] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[264] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[264] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[263] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[263] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[262] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[262] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[261] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[261] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[260] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[260] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[259] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[259] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[258] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[258] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[257] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[257] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[256] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[256] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[293] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[293] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[292] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[292] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[291] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[291] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[290] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[290] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[289] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[289] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[288] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[288] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[323] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[323] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[322] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[322] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[321] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[321] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[320] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[320] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[352] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[352] ; -; uart_ctrl:uart_ctrl|readdata[12..15,27..30] ; Merged with uart_ctrl:uart_ctrl|readdata[11] ; +; uart_ctrl:uart_ctrl|readdata[13..15,28..30] ; Merged with uart_ctrl:uart_ctrl|readdata[12] ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[0] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[0] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[32] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[32] ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[32] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[32] ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[64] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[64] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[96] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[96] ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[96] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[96] ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[128] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[128] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[160] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[160] ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[160] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[160] ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[192] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[192] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[224] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[224] ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[224] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[224] ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[256] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[256] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[288] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[288] ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[288] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[288] ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[320] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[320] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[352] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[352] ; -; uart_ctrl:uart_ctrl|readdata[11] ; Stuck at GND due to stuck port data_in ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[352] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[352] ; +; uart_ctrl:uart_ctrl|readdata[12] ; Stuck at GND due to stuck port data_in ; ; uart_ctrl:uart_ctrl|uart_addr[1] ; Stuck at GND due to stuck port data_in ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|control_reg[0..7,9] ; Stuck at GND due to stuck port clock_enable ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|tx_shift_empty ; Lost fanout ; @@ -796,9 +629,15 @@ Encoding Type: One-Hot ; riscv_core:core|state~10 ; Lost fanout ; ; riscv_core:core|state~11 ; Lost fanout ; ; riscv_core:core|state~12 ; Lost fanout ; +; uart_ctrl:uart_ctrl|ctrlstate~6 ; Lost fanout ; ; uart_ctrl:uart_ctrl|ctrlstate~7 ; Lost fanout ; ; uart_ctrl:uart_ctrl|ctrlstate~8 ; Lost fanout ; -; Total Number of Removed Registers = 254 ; ; +; uart_ctrl:uart_ctrl|ctrlstate~9 ; Lost fanout ; +; uart_ctrl:uart_ctrl|ctrlstate~10 ; Lost fanout ; +; uart_ctrl:uart_ctrl|ctrlstate~11 ; Lost fanout ; +; uart_ctrl:uart_ctrl|ctrlstate~12 ; Lost fanout ; +; uart_ctrl:uart_ctrl|ctrlstate~13 ; Lost fanout ; +; Total Number of Removed Registers = 58 ; ; +----------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -821,123 +660,123 @@ Encoding Type: One-Hot +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 1857 ; -; Number of registers using Synchronous Clear ; 208 ; -; Number of registers using Synchronous Load ; 469 ; +; Total registers ; 1839 ; +; Number of registers using Synchronous Clear ; 210 ; +; Number of registers using Synchronous Load ; 509 ; ; Number of registers using Asynchronous Clear ; 106 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 444 ; +; Number of registers using Clock Enable ; 429 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Inverted Register Statistics ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ -; Inverted Register ; Fan out ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|txd ; 2 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|pre_txd ; 2 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|tx_ready ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[352] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[0] ; 71 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[320] ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[1] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[321] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[353] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[354] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[322] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[350] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[355] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[323] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[349] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[356] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[357] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[358] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[360] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[361] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[249] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[250] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[251] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[252] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[254] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[253] ; 2 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[4] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[248] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[247] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[362] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[246] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[363] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[245] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[364] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[217] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[218] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[219] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[220] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[222] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[221] ; 2 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[5] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[212] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[213] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[214] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[216] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[215] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[365] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[211] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[366] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[178] ; 2 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[6] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[185] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[186] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[187] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[188] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[190] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[189] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[179] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[180] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[181] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[182] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[184] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[183] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[368] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[176] ; 8 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[177] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[369] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[145] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[143] ; 2 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[7] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[153] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[154] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[155] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[156] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[158] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[157] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[144] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[148] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[149] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[150] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[152] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[151] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[147] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[146] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[370] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[142] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[371] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[141] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[372] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[116] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[117] ; 6 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[8] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[108] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[109] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[110] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[112] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[111] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[121] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[122] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[123] ; 2 ; -; Total number of inverted registers = 392* ; ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Inverted Register Statistics ; ++-------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ +; Inverted Register ; Fan out ; ++-------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|txd ; 2 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|pre_txd ; 2 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|tx_ready ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[0] ; 6 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[352] ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[1] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[353] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[354] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[350] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[355] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[349] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[356] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[357] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[358] ; 1 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[3] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[282] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[283] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[284] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[286] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[285] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[360] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[280] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[281] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[361] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[249] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[250] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[251] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[252] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[254] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[253] ; 2 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[4] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[248] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[247] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[362] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[246] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[363] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[245] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[364] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[217] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[218] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[219] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[220] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[222] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[221] ; 2 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[5] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[212] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[213] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[214] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[216] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[215] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[365] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[211] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[366] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[178] ; 2 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[6] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[185] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[186] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[187] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[188] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[190] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[189] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[179] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[180] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[181] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[182] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[184] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[183] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[368] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[176] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[177] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[369] ; 1 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[7] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[143] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[144] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[146] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[145] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[153] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[154] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[155] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[156] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[158] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[157] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[147] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[148] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[149] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[150] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[152] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[151] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[370] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[142] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[371] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[141] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[372] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[108] ; 2 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[8] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[109] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[110] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[111] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[112] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[114] ; 2 ; +; Total number of inverted registers = 392* ; ; ++-------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ * Table truncated at 100 items. To change the number of inverted registers reported, set the "Number of Inverted Registers Reported" option under Assignments->Settings->Analysis and Synthesis Settings->More Settings @@ -946,33 +785,47 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------+------------+ ; Register Name ; Megafunction ; Type ; +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------+------------+ -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[32,64,96,128,160,192,224,256,288,320] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[62,63,94,95,126,127,158,159,190,191,222,223,254,255,286,287,318,319,350,351] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[62,63,94,95,126,127,158,159,190,191,222,223,254,255,286,287,318,319,350,351] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[1..3,33..35,65..67,97..99,129..131,161..163,193..195,225..227,257..259,289..291,321..323] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[60,61,92,93,124,125,156,157,188,189,220,221,252,253,284,285,316,317,348,349,380,381] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[60,61,92,93,124,125,156,157,188,189,220,221,252,253,284,285,316,317,348,349,380,381] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[4,5,36,37,68,69,100,101,132,133,164,165,196,197,228,229,260,261,292,293] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[89..91,121..123,153..155,185..187,217..219,249..251,281..283,313..315,345..347,377..379] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[89..91,121..123,153..155,185..187,217..219,249..251,281..283,313..315,345..347,377..379] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[6..8,38..40,70..72,102..104,134..136,166..168,198..200,230..232,262..264] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[118..120,150..152,182..184,214..216,246..248,278..280,310..312,342..344,374..376] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[118..120,150..152,182..184,214..216,246..248,278..280,310..312,342..344,374..376] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[9..11,41..43,73..75,105..107,137..139,169..171,201..203,233..235] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[148,149,180,181,212,213,244,245,276,277,308,309,340,341,372,373] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[148,149,180,181,212,213,244,245,276,277,308,309,340,341,372,373] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[12,13,44,45,76,77,108,109,140,141,172,173,204,205] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[177..179,209..211,241..243,273..275,305..307,337..339,369..371] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[177..179,209..211,241..243,273..275,305..307,337..339,369..371] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[14..16,46..48,78..80,110..112,142..144,174..176] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[206..208,238..240,270..272,302..304,334..336,366..368] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[206..208,238..240,270..272,302..304,334..336,366..368] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[17..19,49..51,81..83,113..115,145..147] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[236,237,268,269,300,301,332,333,364,365] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[236,237,268,269,300,301,332,333,364,365] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[20,21,52,53,84,85,116,117] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[265..267,297..299,329..331,361..363] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[265..267,297..299,329..331,361..363] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[1..10] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[32,64,96,128,160,192,224,256,288,320] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[62,63,94,95,126,127,158,159,190,191,222,223,254,255,286,287,318,319,350,351] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[32,64,96,128,160,192,224,256,288,320] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[62,63,94,95,126,127,158,159,190,191,222,223,254,255,286,287,318,319,350,351] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[1..3,33..35,65..67,97..99,129..131,161..163,193..195,225..227,257..259,289..291,321..323] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[60,61,92,93,124,125,156,157,188,189,220,221,252,253,284,285,316,317,348,349,380,381] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[1..3,33..35,65..67,97..99,129..131,161..163,193..195,225..227,257..259,289..291,321..323] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[60,61,92,93,124,125,156,157,188,189,220,221,252,253,284,285,316,317,348,349,380,381] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[4,5,36,37,68,69,100,101,132,133,164,165,196,197,228,229,260,261,292,293] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[89..91,121..123,153..155,185..187,217..219,249..251,281..283,313..315,345..347,377..379] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[4,5,36,37,68,69,100,101,132,133,164,165,196,197,228,229,260,261,292,293] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[89..91,121..123,153..155,185..187,217..219,249..251,281..283,313..315,345..347,377..379] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[6..8,38..40,70..72,102..104,134..136,166..168,198..200,230..232,262..264] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[118..120,150..152,182..184,214..216,246..248,278..280,310..312,342..344,374..376] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[6..8,38..40,70..72,102..104,134..136,166..168,198..200,230..232,262..264] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[118..120,150..152,182..184,214..216,246..248,278..280,310..312,342..344,374..376] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[9..11,41..43,73..75,105..107,137..139,169..171,201..203,233..235] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[148,149,180,181,212,213,244,245,276,277,308,309,340,341,372,373] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[9..11,41..43,73..75,105..107,137..139,169..171,201..203,233..235] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[148,149,180,181,212,213,244,245,276,277,308,309,340,341,372,373] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[12,13,44,45,76,77,108,109,140,141,172,173,204,205] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[177..179,209..211,241..243,273..275,305..307,337..339,369..371] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[12,13,44,45,76,77,108,109,140,141,172,173,204,205] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[177..179,209..211,241..243,273..275,305..307,337..339,369..371] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[14..16,46..48,78..80,110..112,142..144,174..176] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[206..208,238..240,270..272,302..304,334..336,366..368] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[14..16,46..48,78..80,110..112,142..144,174..176] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[206..208,238..240,270..272,302..304,334..336,366..368] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[17..19,49..51,81..83,113..115,145..147] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[236,237,268,269,300,301,332,333,364,365] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[17..19,49..51,81..83,113..115,145..147] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[236,237,268,269,300,301,332,333,364,365] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[20,21,52,53,84,85,116,117] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[265..267,297..299,329..331,361..363] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[20,21,52,53,84,85,116,117] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[265..267,297..299,329..331,361..363] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[22..24,54..56,86..88] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[294..296,326..328,358..360] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[22..24,54..56,86..88] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[294..296,326..328,358..360] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8 ; SHIFT_TAPS ; +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------+------------+ @@ -981,54 +834,58 @@ Encoding Type: One-Hot +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------------+ -; 3:1 ; 8 bits ; 16 LEs ; 0 LEs ; 16 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[8] ; -; 3:1 ; 10 bits ; 20 LEs ; 10 LEs ; 10 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0] ; -; 3:1 ; 15 bits ; 30 LEs ; 30 LEs ; 0 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[5] ; +; 3:1 ; 8 bits ; 16 LEs ; 0 LEs ; 16 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[3] ; +; 3:1 ; 10 bits ; 20 LEs ; 10 LEs ; 10 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[3] ; +; 3:1 ; 15 bits ; 30 LEs ; 30 LEs ; 0 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[4] ; ; 4:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |de1_riscv|riscv_core:core|ldaddr[0] ; ; 4:1 ; 11 bits ; 22 LEs ; 0 LEs ; 22 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|newdiv[9] ; -; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|newdiv[10] ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[11] ; -; 5:1 ; 14 bits ; 42 LEs ; 0 LEs ; 42 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|readdata[8] ; -; 5:1 ; 8 bits ; 24 LEs ; 0 LEs ; 24 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|readdata[1] ; -; 6:1 ; 8 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|recv_buf_data[0] ; +; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|newdiv[1] ; +; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[12] ; +; 5:1 ; 16 bits ; 48 LEs ; 0 LEs ; 48 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|readdata[25] ; +; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|readdata[4] ; +; 6:1 ; 8 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|recv_buf_data[6] ; ; 6:1 ; 4 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |de1_riscv|led1[6] ; -; 6:1 ; 24 bits ; 96 LEs ; 48 LEs ; 48 LEs ; Yes ; |de1_riscv|led3[2] ; -; 5:1 ; 8 bits ; 24 LEs ; 0 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[3] ; -; 6:1 ; 2 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|uart_addr[0] ; -; 6:1 ; 8 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|uart_write_data[13] ; -; 6:1 ; 11 bits ; 44 LEs ; 0 LEs ; 44 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|lastdiv[3] ; -; 6:1 ; 8 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|uart_write_data[0] ; -; 6:1 ; 5 bits ; 20 LEs ; 5 LEs ; 15 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|lastdiv[2] ; -; 14:1 ; 6 bits ; 54 LEs ; 6 LEs ; 48 LEs ; Yes ; |de1_riscv|riscv_core:core|imm[8] ; -; 7:1 ; 2 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |de1_riscv|led4[6] ; -; 7:1 ; 12 bits ; 48 LEs ; 24 LEs ; 24 LEs ; Yes ; |de1_riscv|led5[0] ; -; 7:1 ; 5 bits ; 20 LEs ; 5 LEs ; 15 LEs ; Yes ; |de1_riscv|riscv_core:core|divclk[0] ; +; 6:1 ; 24 bits ; 96 LEs ; 48 LEs ; 48 LEs ; Yes ; |de1_riscv|led1[1] ; +; 5:1 ; 8 bits ; 24 LEs ; 0 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[4] ; +; 6:1 ; 2 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|uart_addr[2] ; +; 6:1 ; 8 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|uart_write_data[12] ; +; 6:1 ; 11 bits ; 44 LEs ; 0 LEs ; 44 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|lastdiv[9] ; +; 6:1 ; 8 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|uart_write_data[7] ; +; 6:1 ; 5 bits ; 20 LEs ; 5 LEs ; 15 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|lastdiv[4] ; +; 7:1 ; 2 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |de1_riscv|led5[6] ; +; 7:1 ; 12 bits ; 48 LEs ; 24 LEs ; 24 LEs ; Yes ; |de1_riscv|led4[1] ; +; 14:1 ; 6 bits ; 54 LEs ; 6 LEs ; 48 LEs ; Yes ; |de1_riscv|riscv_core:core|imm[5] ; +; 7:1 ; 5 bits ; 20 LEs ; 5 LEs ; 15 LEs ; Yes ; |de1_riscv|riscv_core:core|divclk[4] ; ; 15:1 ; 8 bits ; 80 LEs ; 0 LEs ; 80 LEs ; Yes ; |de1_riscv|riscv_core:core|imm[18] ; -; 16:1 ; 4 bits ; 40 LEs ; 8 LEs ; 32 LEs ; Yes ; |de1_riscv|riscv_core:core|imm[1] ; -; 10:1 ; 11 bits ; 66 LEs ; 0 LEs ; 66 LEs ; Yes ; |de1_riscv|riscv_core:core|imm[26] ; -; 14:1 ; 2 bits ; 18 LEs ; 4 LEs ; 14 LEs ; Yes ; |de1_riscv|riscv_core:core|pc[0] ; -; 14:1 ; 25 bits ; 225 LEs ; 50 LEs ; 175 LEs ; Yes ; |de1_riscv|riscv_core:core|pc[17] ; -; 14:1 ; 4 bits ; 36 LEs ; 8 LEs ; 28 LEs ; Yes ; |de1_riscv|riscv_core:core|pc[4] ; -; 8:1 ; 8 bits ; 40 LEs ; 16 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[16] ; -; 8:1 ; 8 bits ; 40 LEs ; 16 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[26] ; -; 23:1 ; 5 bits ; 75 LEs ; 30 LEs ; 45 LEs ; Yes ; |de1_riscv|riscv_core:core|dstreg[4] ; -; 55:1 ; 12 bits ; 432 LEs ; 240 LEs ; 192 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[19] ; -; 58:1 ; 7 bits ; 266 LEs ; 224 LEs ; 42 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[10] ; -; 60:1 ; 3 bits ; 120 LEs ; 96 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[5] ; -; 59:1 ; 3 bits ; 117 LEs ; 96 LEs ; 21 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[30] ; -; 64:1 ; 2 bits ; 84 LEs ; 68 LEs ; 16 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[3] ; +; 16:1 ; 4 bits ; 40 LEs ; 8 LEs ; 32 LEs ; Yes ; |de1_riscv|riscv_core:core|imm[4] ; +; 10:1 ; 11 bits ; 66 LEs ; 0 LEs ; 66 LEs ; Yes ; |de1_riscv|riscv_core:core|imm[24] ; +; 21:1 ; 2 bits ; 28 LEs ; 4 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|pc[0] ; +; 21:1 ; 26 bits ; 364 LEs ; 52 LEs ; 312 LEs ; Yes ; |de1_riscv|riscv_core:core|pc[13] ; +; 21:1 ; 4 bits ; 56 LEs ; 8 LEs ; 48 LEs ; Yes ; |de1_riscv|riscv_core:core|pc[6] ; +; 8:1 ; 8 bits ; 40 LEs ; 16 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[19] ; +; 8:1 ; 8 bits ; 40 LEs ; 16 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[25] ; +; 39:1 ; 5 bits ; 130 LEs ; 25 LEs ; 105 LEs ; Yes ; |de1_riscv|riscv_core:core|dstreg[1] ; +; 58:1 ; 12 bits ; 456 LEs ; 264 LEs ; 192 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[16] ; +; 61:1 ; 7 bits ; 280 LEs ; 217 LEs ; 63 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[13] ; +; 63:1 ; 3 bits ; 126 LEs ; 93 LEs ; 33 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[4] ; +; 62:1 ; 3 bits ; 123 LEs ; 96 LEs ; 27 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[28] ; +; 67:1 ; 2 bits ; 88 LEs ; 68 LEs ; 20 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[3] ; ; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftLeft1 ; -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftLeft1 ; +; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftRight1 ; ; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftRight1 ; -; 3:1 ; 3 bits ; 6 LEs ; 6 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[13] ; -; 3:1 ; 6 bits ; 12 LEs ; 12 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[27] ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftLeft0 ; +; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftRight0 ; ; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftRight0 ; ; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftRight0 ; -; 4:1 ; 30 bits ; 60 LEs ; 60 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|bReadAddr[4] ; -; 4:1 ; 9 bits ; 18 LEs ; 18 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[8] ; -; 4:1 ; 12 bits ; 24 LEs ; 24 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[20] ; -; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; No ; |de1_riscv|uart_ctrl:uart_ctrl|ctrlstate ; +; 4:1 ; 30 bits ; 60 LEs ; 60 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|bReadAddr[21] ; +; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|Selector163 ; +; 6:1 ; 3 bits ; 12 LEs ; 12 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[15] ; +; 6:1 ; 2 bits ; 8 LEs ; 8 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[12] ; +; 6:1 ; 2 bits ; 8 LEs ; 8 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[14] ; +; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|Selector185 ; +; 7:1 ; 11 bits ; 44 LEs ; 44 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[20] ; +; 7:1 ; 10 bits ; 40 LEs ; 40 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[8] ; +; 7:1 ; 2 bits ; 8 LEs ; 8 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[25] ; +; 9:1 ; 3 bits ; 18 LEs ; 15 LEs ; 3 LEs ; No ; |de1_riscv|uart_ctrl:uart_ctrl|ctrlstate ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -1091,13 +948,13 @@ Encoding Type: One-Hot +---------------------------------+--------------------+------+---------------------------------------+ -+--------------------------------------------------------------------------------------------------+ -; Source assignments for ram8kb:ram|altsyncram:altsyncram_component|altsyncram_vgr1:auto_generated ; -+---------------------------------+--------------------+------+------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+------------------------------------+ ++----------------------------------------------------------------------------------------------------+ +; Source assignments for ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated ; ++---------------------------------+--------------------+------+--------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+--------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+--------------------------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2269,8 +2126,17 @@ Encoding Type: One-Hot +----------------+-------+------+-----------------------------------------------------------------------------------------------------------------------------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5 ; ++---------------------------------+--------------------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2279,7 +2145,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2288,7 +2154,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2297,7 +2163,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2306,7 +2172,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2315,7 +2181,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2324,7 +2190,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2333,7 +2199,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2342,7 +2208,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2350,6 +2216,231 @@ Encoding Type: One-Hot +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ++---------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i ; ++--------------------------------------+---------------+--------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++--------------------------------------+---------------+--------------------------------------------------------+ +; reference_clock_frequency ; 50.0 MHz ; String ; +; fractional_vco_multiplier ; false ; String ; +; pll_type ; General ; String ; +; pll_subtype ; General ; String ; +; number_of_clocks ; 2 ; Signed Integer ; +; operation_mode ; direct ; String ; +; deserialization_factor ; 4 ; Signed Integer ; +; data_rate ; 0 ; Signed Integer ; +; sim_additional_refclk_cycles_to_lock ; 0 ; Signed Integer ; +; output_clock_frequency0 ; 50.000000 MHz ; String ; +; phase_shift0 ; 0 ps ; String ; +; duty_cycle0 ; 50 ; Signed Integer ; +; output_clock_frequency1 ; 75.000000 MHz ; String ; +; phase_shift1 ; 0 ps ; String ; +; duty_cycle1 ; 50 ; Signed Integer ; +; output_clock_frequency2 ; 0 MHz ; String ; +; phase_shift2 ; 0 ps ; String ; +; duty_cycle2 ; 50 ; Signed Integer ; +; output_clock_frequency3 ; 0 MHz ; String ; +; phase_shift3 ; 0 ps ; String ; +; duty_cycle3 ; 50 ; Signed Integer ; +; output_clock_frequency4 ; 0 MHz ; String ; +; phase_shift4 ; 0 ps ; String ; +; duty_cycle4 ; 50 ; Signed Integer ; +; output_clock_frequency5 ; 0 MHz ; String ; +; phase_shift5 ; 0 ps ; String ; +; duty_cycle5 ; 50 ; Signed Integer ; +; output_clock_frequency6 ; 0 MHz ; String ; +; phase_shift6 ; 0 ps ; String ; +; duty_cycle6 ; 50 ; Signed Integer ; +; output_clock_frequency7 ; 0 MHz ; String ; +; phase_shift7 ; 0 ps ; String ; +; duty_cycle7 ; 50 ; Signed Integer ; +; output_clock_frequency8 ; 0 MHz ; String ; +; phase_shift8 ; 0 ps ; String ; +; duty_cycle8 ; 50 ; Signed Integer ; +; output_clock_frequency9 ; 0 MHz ; String ; +; phase_shift9 ; 0 ps ; String ; +; duty_cycle9 ; 50 ; Signed Integer ; +; output_clock_frequency10 ; 0 MHz ; String ; +; phase_shift10 ; 0 ps ; String ; +; duty_cycle10 ; 50 ; Signed Integer ; +; output_clock_frequency11 ; 0 MHz ; String ; +; phase_shift11 ; 0 ps ; String ; +; duty_cycle11 ; 50 ; Signed Integer ; +; output_clock_frequency12 ; 0 MHz ; String ; +; phase_shift12 ; 0 ps ; String ; +; duty_cycle12 ; 50 ; Signed Integer ; +; output_clock_frequency13 ; 0 MHz ; String ; +; phase_shift13 ; 0 ps ; String ; +; duty_cycle13 ; 50 ; Signed Integer ; +; output_clock_frequency14 ; 0 MHz ; String ; +; phase_shift14 ; 0 ps ; String ; +; duty_cycle14 ; 50 ; Signed Integer ; +; output_clock_frequency15 ; 0 MHz ; String ; +; phase_shift15 ; 0 ps ; String ; +; duty_cycle15 ; 50 ; Signed Integer ; +; output_clock_frequency16 ; 0 MHz ; String ; +; phase_shift16 ; 0 ps ; String ; +; duty_cycle16 ; 50 ; Signed Integer ; +; output_clock_frequency17 ; 0 MHz ; String ; +; phase_shift17 ; 0 ps ; String ; +; duty_cycle17 ; 50 ; Signed Integer ; +; m_cnt_hi_div ; 1 ; Signed Integer ; +; m_cnt_lo_div ; 1 ; Signed Integer ; +; m_cnt_bypass_en ; false ; String ; +; m_cnt_odd_div_duty_en ; false ; String ; +; n_cnt_hi_div ; 1 ; Signed Integer ; +; n_cnt_lo_div ; 1 ; Signed Integer ; +; n_cnt_bypass_en ; false ; String ; +; n_cnt_odd_div_duty_en ; false ; String ; +; c_cnt_hi_div0 ; 1 ; Signed Integer ; +; c_cnt_lo_div0 ; 1 ; Signed Integer ; +; c_cnt_bypass_en0 ; false ; String ; +; c_cnt_in_src0 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en0 ; false ; String ; +; c_cnt_prst0 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst0 ; 0 ; Signed Integer ; +; c_cnt_hi_div1 ; 1 ; Signed Integer ; +; c_cnt_lo_div1 ; 1 ; Signed Integer ; +; c_cnt_bypass_en1 ; false ; String ; +; c_cnt_in_src1 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en1 ; false ; String ; +; c_cnt_prst1 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst1 ; 0 ; Signed Integer ; +; c_cnt_hi_div2 ; 1 ; Signed Integer ; +; c_cnt_lo_div2 ; 1 ; Signed Integer ; +; c_cnt_bypass_en2 ; false ; String ; +; c_cnt_in_src2 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en2 ; false ; String ; +; c_cnt_prst2 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst2 ; 0 ; Signed Integer ; +; c_cnt_hi_div3 ; 1 ; Signed Integer ; +; c_cnt_lo_div3 ; 1 ; Signed Integer ; +; c_cnt_bypass_en3 ; false ; String ; +; c_cnt_in_src3 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en3 ; false ; String ; +; c_cnt_prst3 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst3 ; 0 ; Signed Integer ; +; c_cnt_hi_div4 ; 1 ; Signed Integer ; +; c_cnt_lo_div4 ; 1 ; Signed Integer ; +; c_cnt_bypass_en4 ; false ; String ; +; c_cnt_in_src4 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en4 ; false ; String ; +; c_cnt_prst4 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst4 ; 0 ; Signed Integer ; +; c_cnt_hi_div5 ; 1 ; Signed Integer ; +; c_cnt_lo_div5 ; 1 ; Signed Integer ; +; c_cnt_bypass_en5 ; false ; String ; +; c_cnt_in_src5 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en5 ; false ; String ; +; c_cnt_prst5 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst5 ; 0 ; Signed Integer ; +; c_cnt_hi_div6 ; 1 ; Signed Integer ; +; c_cnt_lo_div6 ; 1 ; Signed Integer ; +; c_cnt_bypass_en6 ; false ; String ; +; c_cnt_in_src6 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en6 ; false ; String ; +; c_cnt_prst6 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst6 ; 0 ; Signed Integer ; +; c_cnt_hi_div7 ; 1 ; Signed Integer ; +; c_cnt_lo_div7 ; 1 ; Signed Integer ; +; c_cnt_bypass_en7 ; false ; String ; +; c_cnt_in_src7 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en7 ; false ; String ; +; c_cnt_prst7 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst7 ; 0 ; Signed Integer ; +; c_cnt_hi_div8 ; 1 ; Signed Integer ; +; c_cnt_lo_div8 ; 1 ; Signed Integer ; +; c_cnt_bypass_en8 ; false ; String ; +; c_cnt_in_src8 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en8 ; false ; String ; +; c_cnt_prst8 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst8 ; 0 ; Signed Integer ; +; c_cnt_hi_div9 ; 1 ; Signed Integer ; +; c_cnt_lo_div9 ; 1 ; Signed Integer ; +; c_cnt_bypass_en9 ; false ; String ; +; c_cnt_in_src9 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en9 ; false ; String ; +; c_cnt_prst9 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst9 ; 0 ; Signed Integer ; +; c_cnt_hi_div10 ; 1 ; Signed Integer ; +; c_cnt_lo_div10 ; 1 ; Signed Integer ; +; c_cnt_bypass_en10 ; false ; String ; +; c_cnt_in_src10 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en10 ; false ; String ; +; c_cnt_prst10 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst10 ; 0 ; Signed Integer ; +; c_cnt_hi_div11 ; 1 ; Signed Integer ; +; c_cnt_lo_div11 ; 1 ; Signed Integer ; +; c_cnt_bypass_en11 ; false ; String ; +; c_cnt_in_src11 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en11 ; false ; String ; +; c_cnt_prst11 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst11 ; 0 ; Signed Integer ; +; c_cnt_hi_div12 ; 1 ; Signed Integer ; +; c_cnt_lo_div12 ; 1 ; Signed Integer ; +; c_cnt_bypass_en12 ; false ; String ; +; c_cnt_in_src12 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en12 ; false ; String ; +; c_cnt_prst12 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst12 ; 0 ; Signed Integer ; +; c_cnt_hi_div13 ; 1 ; Signed Integer ; +; c_cnt_lo_div13 ; 1 ; Signed Integer ; +; c_cnt_bypass_en13 ; false ; String ; +; c_cnt_in_src13 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en13 ; false ; String ; +; c_cnt_prst13 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst13 ; 0 ; Signed Integer ; +; c_cnt_hi_div14 ; 1 ; Signed Integer ; +; c_cnt_lo_div14 ; 1 ; Signed Integer ; +; c_cnt_bypass_en14 ; false ; String ; +; c_cnt_in_src14 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en14 ; false ; String ; +; c_cnt_prst14 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst14 ; 0 ; Signed Integer ; +; c_cnt_hi_div15 ; 1 ; Signed Integer ; +; c_cnt_lo_div15 ; 1 ; Signed Integer ; +; c_cnt_bypass_en15 ; false ; String ; +; c_cnt_in_src15 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en15 ; false ; String ; +; c_cnt_prst15 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst15 ; 0 ; Signed Integer ; +; c_cnt_hi_div16 ; 1 ; Signed Integer ; +; c_cnt_lo_div16 ; 1 ; Signed Integer ; +; c_cnt_bypass_en16 ; false ; String ; +; c_cnt_in_src16 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en16 ; false ; String ; +; c_cnt_prst16 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst16 ; 0 ; Signed Integer ; +; c_cnt_hi_div17 ; 1 ; Signed Integer ; +; c_cnt_lo_div17 ; 1 ; Signed Integer ; +; c_cnt_bypass_en17 ; false ; String ; +; c_cnt_in_src17 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en17 ; false ; String ; +; c_cnt_prst17 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst17 ; 0 ; Signed Integer ; +; pll_vco_div ; 1 ; Signed Integer ; +; pll_output_clk_frequency ; 0 MHz ; String ; +; pll_cp_current ; 0 ; Signed Integer ; +; pll_bwctrl ; 0 ; Signed Integer ; +; pll_fractional_division ; 1 ; Signed Integer ; +; pll_fractional_cout ; 24 ; Signed Integer ; +; pll_dsm_out_sel ; 1st_order ; String ; +; mimic_fbclk_type ; gclk ; String ; +; pll_fbclk_mux_1 ; glb ; String ; +; pll_fbclk_mux_2 ; fb_1 ; String ; +; pll_m_cnt_in_src ; ph_mux_clk ; String ; +; pll_vcoph_div ; 1 ; Signed Integer ; +; refclk1_frequency ; 0 MHz ; String ; +; pll_clkin_0_src ; clk_0 ; String ; +; pll_clkin_1_src ; clk_0 ; String ; +; pll_clk_loss_sw_en ; false ; String ; +; pll_auto_clk_sw_en ; false ; String ; +; pll_manu_clk_sw_en ; false ; String ; +; pll_clk_sw_dly ; 0 ; Signed Integer ; ++--------------------------------------+---------------+--------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + +------------------------------------------------------------------------------------------------------------------+ ; Parameter Settings for User Entity Instance: uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component ; +-------------------------+-------------+--------------------------------------------------------------------------+ @@ -2538,64 +2629,64 @@ Note: In order to hide this table in the UI and the text report file, please set Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". -+-----------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: ram8kb:ram|altsyncram:altsyncram_component ; -+------------------------------------+-----------------------+----------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+-----------------------+----------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; SINGLE_PORT ; Untyped ; -; WIDTH_A ; 32 ; Signed Integer ; -; WIDTHAD_A ; 11 ; Signed Integer ; -; NUMWORDS_A ; 2048 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 1 ; Untyped ; -; WIDTHAD_B ; 1 ; Untyped ; -; NUMWORDS_B ; 1 ; Untyped ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 4 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Signed Integer ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; ../test_code/test.mif ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone V ; Untyped ; -; CBXI_PARAMETER ; altsyncram_vgr1 ; Untyped ; -+------------------------------------+-----------------------+----------------------------+ ++-------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: ram128kB:ram|altsyncram:altsyncram_component ; ++------------------------------------+-----------------------+------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------+-----------------------+------------------------------+ +; BYTE_SIZE_BLOCK ; 8 ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH_BYTEENA ; 1 ; Untyped ; +; OPERATION_MODE ; SINGLE_PORT ; Untyped ; +; WIDTH_A ; 32 ; Signed Integer ; +; WIDTHAD_A ; 15 ; Signed Integer ; +; NUMWORDS_A ; 32768 ; Signed Integer ; +; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; +; ADDRESS_ACLR_A ; NONE ; Untyped ; +; OUTDATA_ACLR_A ; NONE ; Untyped ; +; WRCONTROL_ACLR_A ; NONE ; Untyped ; +; INDATA_ACLR_A ; NONE ; Untyped ; +; BYTEENA_ACLR_A ; NONE ; Untyped ; +; WIDTH_B ; 1 ; Untyped ; +; WIDTHAD_B ; 1 ; Untyped ; +; NUMWORDS_B ; 1 ; Untyped ; +; INDATA_REG_B ; CLOCK1 ; Untyped ; +; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; +; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; +; ADDRESS_REG_B ; CLOCK1 ; Untyped ; +; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; +; BYTEENA_REG_B ; CLOCK1 ; Untyped ; +; INDATA_ACLR_B ; NONE ; Untyped ; +; WRCONTROL_ACLR_B ; NONE ; Untyped ; +; ADDRESS_ACLR_B ; NONE ; Untyped ; +; OUTDATA_ACLR_B ; NONE ; Untyped ; +; RDCONTROL_ACLR_B ; NONE ; Untyped ; +; BYTEENA_ACLR_B ; NONE ; Untyped ; +; WIDTH_BYTEENA_A ; 4 ; Signed Integer ; +; WIDTH_BYTEENA_B ; 1 ; Untyped ; +; RAM_BLOCK_TYPE ; AUTO ; Untyped ; +; BYTE_SIZE ; 8 ; Signed Integer ; +; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; +; INIT_FILE ; ../test_code/test.mif ; Untyped ; +; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; +; MAXIMUM_DEPTH ; 0 ; Untyped ; +; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; +; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; +; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; +; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; +; ENABLE_ECC ; FALSE ; Untyped ; +; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; +; WIDTH_ECCSTATUS ; 3 ; Untyped ; +; DEVICE_FAMILY ; Cyclone V ; Untyped ; +; CBXI_PARAMETER ; altsyncram_vir1 ; Untyped ; ++------------------------------------+-----------------------+------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2806,6 +2897,21 @@ Note: In order to hide this table in the UI and the text report file, please set Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0 ; ++----------------+-----------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-----------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; WIDTH_BYTEENA ; 1 ; Untyped ; +; NUMBER_OF_TAPS ; 1 ; Untyped ; +; TAP_DISTANCE ; 10 ; Untyped ; +; WIDTH ; 7 ; Untyped ; +; POWER_UP_STATE ; DONT_CARE ; Untyped ; +; CBXI_PARAMETER ; shift_taps_7l21 ; Untyped ; ++----------------+-----------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2813,10 +2919,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 10 ; Untyped ; -; WIDTH ; 5 ; Untyped ; +; TAP_DISTANCE ; 11 ; Untyped ; +; WIDTH ; 10 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_bl21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_hm21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2828,10 +2934,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 11 ; Untyped ; -; WIDTH ; 7 ; Untyped ; +; TAP_DISTANCE ; 10 ; Untyped ; +; WIDTH ; 10 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_cl21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_gm21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2843,10 +2949,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 10 ; Untyped ; -; WIDTH ; 8 ; Untyped ; +; TAP_DISTANCE ; 9 ; Untyped ; +; WIDTH ; 12 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_dl21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_bl21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2858,10 +2964,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 9 ; Untyped ; -; WIDTH ; 9 ; Untyped ; +; TAP_DISTANCE ; 8 ; Untyped ; +; WIDTH ; 10 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_0k21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_9l21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2873,10 +2979,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 8 ; Untyped ; -; WIDTH ; 7 ; Untyped ; +; TAP_DISTANCE ; 7 ; Untyped ; +; WIDTH ; 10 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_tj21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_cl21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2888,10 +2994,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 7 ; Untyped ; -; WIDTH ; 8 ; Untyped ; +; TAP_DISTANCE ; 6 ; Untyped ; +; WIDTH ; 12 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_uj21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_dl21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2903,10 +3009,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 6 ; Untyped ; -; WIDTH ; 9 ; Untyped ; +; TAP_DISTANCE ; 5 ; Untyped ; +; WIDTH ; 10 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_vj21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_4l21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2918,10 +3024,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 5 ; Untyped ; -; WIDTH ; 7 ; Untyped ; +; TAP_DISTANCE ; 4 ; Untyped ; +; WIDTH ; 10 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_qj21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_3l21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2933,10 +3039,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 4 ; Untyped ; -; WIDTH ; 8 ; Untyped ; +; TAP_DISTANCE ; 3 ; Untyped ; +; WIDTH ; 12 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_rj21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_5l21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2990,10 +3096,10 @@ Note: In order to hide this table in the UI and the text report file, please set ; -- OUTDATA_REG_B ; UNREGISTERED ; ; -- RAM_BLOCK_TYPE ; AUTO ; ; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; ram8kb:ram|altsyncram:altsyncram_component ; +; Entity Instance ; ram128kB:ram|altsyncram:altsyncram_component ; ; -- OPERATION_MODE ; SINGLE_PORT ; ; -- WIDTH_A ; 32 ; -; -- NUMWORDS_A ; 2048 ; +; -- NUMWORDS_A ; 32768 ; ; -- OUTDATA_REG_A ; UNREGISTERED ; ; -- WIDTH_B ; 1 ; ; -- NUMWORDS_B ; 1 ; @@ -3051,43 +3157,47 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Name ; Value ; +----------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Number of entity instances ; 9 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 ; +; Number of entity instances ; 10 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 10 ; -; -- WIDTH ; 5 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 ; +; -- WIDTH ; 7 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 11 ; -; -- WIDTH ; 7 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 ; +; -- WIDTH ; 10 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 10 ; -; -- WIDTH ; 8 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 ; +; -- WIDTH ; 10 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 9 ; -; -- WIDTH ; 9 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 ; +; -- WIDTH ; 12 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 8 ; -; -- WIDTH ; 7 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 ; +; -- WIDTH ; 10 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 7 ; -; -- WIDTH ; 8 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 ; +; -- WIDTH ; 10 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 6 ; -; -- WIDTH ; 9 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 ; +; -- WIDTH ; 12 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 5 ; -; -- WIDTH ; 7 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 ; +; -- WIDTH ; 10 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 4 ; -; -- WIDTH ; 8 ; +; -- WIDTH ; 10 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 ; +; -- NUMBER_OF_TAPS ; 1 ; +; -- TAP_DISTANCE ; 3 ; +; -- WIDTH ; 12 ; +----------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -3111,6 +3221,15 @@ Note: In order to hide this table in the UI and the text report file, please set +---------------+--------+----------+-------------------------------------------------------------------------------------+ ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "ram128kB:ram" ; ++---------+-------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++---------+-------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; address ; Input ; Warning ; Input port expression (30 bits) is wider than the input port (15 bits) it drives. The 15 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; ++---------+-------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + +---------------------------------------------------------------------------------------------------------------------------+ ; Port Connectivity Checks: "uart_ctrl:uart_ctrl|altera_uart:uart" ; +-----------------+--------+----------+-------------------------------------------------------------------------------------+ @@ -3141,12 +3260,22 @@ Note: In order to hide this table in the UI and the text report file, please set +------+--------+----------+----------------------------------------------+ ++--------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "clk100M:clk100" ; ++----------+--------+----------+-------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++----------+--------+----------+-------------------------------------------------------------------------------------+ +; outclk_1 ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; locked ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; ++----------+--------+----------+-------------------------------------------------------------------------------------+ + + +-------------------------------+ ; Elapsed Time Per Partition ; +----------------+--------------+ ; Partition Name ; Elapsed Time ; +----------------+--------------+ -; Top ; 00:00:11 ; +; Top ; 00:00:27 ; +----------------+--------------+ @@ -3156,13 +3285,13 @@ Note: In order to hide this table in the UI and the text report file, please set Info: ******************************************************************* Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.1.0 Build 162 10/23/2013 SJ Full Version - Info: Processing started: Sun Aug 29 18:50:58 2021 + Info: Processing started: Mon Aug 30 18:39:30 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off de1_riscv -c de1_riscv Warning (125092): Tcl Script File alu/add_sub.qip not found Info (125063): set_global_assignment -name QIP_FILE alu/add_sub.qip Warning (125092): Tcl Script File alu/add_sub_s.qip not found Info (125063): set_global_assignment -name QIP_FILE alu/add_sub_s.qip -Info (11104): Parallel Compilation has detected 20 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 10 of the 10 physical processors detected instead. +Info (11104): Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead. Warning (10335): Unrecognized synthesis attribute "HDL4SE" at ../verilog/riscv_core_v3.v(47) Warning (10335): Unrecognized synthesis attribute "CLSID" at ../verilog/riscv_core_v3.v(48) Warning (10335): Unrecognized synthesis attribute "softmodule" at ../verilog/riscv_core_v3.v(49) @@ -3208,6 +3337,10 @@ Info (12021): Found 1 design units, including 1 entities, in source file clk/clk Info (12023): Found entity 1: clk100M_0002 Info (12021): Found 1 design units, including 1 entities, in source file regfile/regfile_2.v Info (12023): Found entity 1: regfile_2 +Info (12021): Found 1 design units, including 1 entities, in source file ram/ram16kb.v + Info (12023): Found entity 1: ram16kB +Info (12021): Found 1 design units, including 1 entities, in source file ram/ram128kb.v + Info (12023): Found entity 1: ram128kB Info (12127): Elaborating entity "de1_riscv" for the top level hierarchy Warning (10036): Verilog HDL or VHDL warning at de1_riscv_v3.v(124): object "readcmd" assigned a value but never read Warning (10230): Verilog HDL assignment warning at de1_riscv_v3.v(208): truncated value with size 8 to match size of target (7) @@ -3255,8 +3388,79 @@ Warning (10034): Output port "VGA_CLK" at de1_riscv_v3.v(84) has no driver Warning (10034): Output port "VGA_HS" at de1_riscv_v3.v(86) has no driver Warning (10034): Output port "VGA_SYNC_N" at de1_riscv_v3.v(88) has no driver Warning (10034): Output port "VGA_VS" at de1_riscv_v3.v(89) has no driver +Info (12128): Elaborating entity "clk100M" for hierarchy "clk100M:clk100" +Info (12128): Elaborating entity "clk100M_0002" for hierarchy "clk100M:clk100|clk100M_0002:clk100m_inst" +Info (12128): Elaborating entity "altera_pll" for hierarchy "clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i" +Warning (10036): Verilog HDL or VHDL warning at altera_pll.v(398): object "cntsel_temp" assigned a value but never read +Warning (10036): Verilog HDL or VHDL warning at altera_pll.v(400): object "gnd" assigned a value but never read +Warning (10034): Output port "lvds_clk" at altera_pll.v(295) has no driver +Warning (10034): Output port "loaden" at altera_pll.v(296) has no driver +Info (10008): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "wire_to_nowhere_64" into its bus +Info (12130): Elaborated megafunction instantiation "clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i" +Info (12133): Instantiated megafunction "clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i" with the following parameter: + Info (12134): Parameter "fractional_vco_multiplier" = "false" + Info (12134): Parameter "reference_clock_frequency" = "50.0 MHz" + Info (12134): Parameter "operation_mode" = "direct" + Info (12134): Parameter "number_of_clocks" = "2" + Info (12134): Parameter "output_clock_frequency0" = "50.000000 MHz" + Info (12134): Parameter "phase_shift0" = "0 ps" + Info (12134): Parameter "duty_cycle0" = "50" + Info (12134): Parameter "output_clock_frequency1" = "75.000000 MHz" + Info (12134): Parameter "phase_shift1" = "0 ps" + Info (12134): Parameter "duty_cycle1" = "50" + Info (12134): Parameter "output_clock_frequency2" = "0 MHz" + Info (12134): Parameter "phase_shift2" = "0 ps" + Info (12134): Parameter "duty_cycle2" = "50" + Info (12134): Parameter "output_clock_frequency3" = "0 MHz" + Info (12134): Parameter "phase_shift3" = "0 ps" + Info (12134): Parameter "duty_cycle3" = "50" + Info (12134): Parameter "output_clock_frequency4" = "0 MHz" + Info (12134): Parameter "phase_shift4" = "0 ps" + Info (12134): Parameter "duty_cycle4" = "50" + Info (12134): Parameter "output_clock_frequency5" = "0 MHz" + Info (12134): Parameter "phase_shift5" = "0 ps" + Info (12134): Parameter "duty_cycle5" = "50" + Info (12134): Parameter "output_clock_frequency6" = "0 MHz" + Info (12134): Parameter "phase_shift6" = "0 ps" + Info (12134): Parameter "duty_cycle6" = "50" + Info (12134): Parameter "output_clock_frequency7" = "0 MHz" + Info (12134): Parameter "phase_shift7" = "0 ps" + Info (12134): Parameter "duty_cycle7" = "50" + Info (12134): Parameter "output_clock_frequency8" = "0 MHz" + Info (12134): Parameter "phase_shift8" = "0 ps" + Info (12134): Parameter "duty_cycle8" = "50" + Info (12134): Parameter "output_clock_frequency9" = "0 MHz" + Info (12134): Parameter "phase_shift9" = "0 ps" + Info (12134): Parameter "duty_cycle9" = "50" + Info (12134): Parameter "output_clock_frequency10" = "0 MHz" + Info (12134): Parameter "phase_shift10" = "0 ps" + Info (12134): Parameter "duty_cycle10" = "50" + Info (12134): Parameter "output_clock_frequency11" = "0 MHz" + Info (12134): Parameter "phase_shift11" = "0 ps" + Info (12134): Parameter "duty_cycle11" = "50" + Info (12134): Parameter "output_clock_frequency12" = "0 MHz" + Info (12134): Parameter "phase_shift12" = "0 ps" + Info (12134): Parameter "duty_cycle12" = "50" + Info (12134): Parameter "output_clock_frequency13" = "0 MHz" + Info (12134): Parameter "phase_shift13" = "0 ps" + Info (12134): Parameter "duty_cycle13" = "50" + Info (12134): Parameter "output_clock_frequency14" = "0 MHz" + Info (12134): Parameter "phase_shift14" = "0 ps" + Info (12134): Parameter "duty_cycle14" = "50" + Info (12134): Parameter "output_clock_frequency15" = "0 MHz" + Info (12134): Parameter "phase_shift15" = "0 ps" + Info (12134): Parameter "duty_cycle15" = "50" + Info (12134): Parameter "output_clock_frequency16" = "0 MHz" + Info (12134): Parameter "phase_shift16" = "0 ps" + Info (12134): Parameter "duty_cycle16" = "50" + Info (12134): Parameter "output_clock_frequency17" = "0 MHz" + Info (12134): Parameter "phase_shift17" = "0 ps" + Info (12134): Parameter "duty_cycle17" = "50" + Info (12134): Parameter "pll_type" = "General" + Info (12134): Parameter "pll_subtype" = "General" Info (12128): Elaborating entity "uart_ctrl" for hierarchy "uart_ctrl:uart_ctrl" -Warning (10230): Verilog HDL assignment warning at uart_ctrl.v(104): truncated value with size 32 to match size of target (16) +Warning (10036): Verilog HDL or VHDL warning at uart_ctrl.v(94): object "waitclk" assigned a value but never read +Warning (10230): Verilog HDL assignment warning at uart_ctrl.v(106): truncated value with size 32 to match size of target (16) Warning (10230): Verilog HDL assignment warning at uart_ctrl.v(177): truncated value with size 32 to match size of target (16) Info (12128): Elaborating entity "uart_fifo" for hierarchy "uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf" Info (12128): Elaborating entity "scfifo" for hierarchy "uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component" @@ -3326,10 +3530,10 @@ Info (12133): Instantiated megafunction "regfile:regs|altsyncram:altsyncram_comp Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_nco1.tdf Info (12023): Found entity 1: altsyncram_nco1 Info (12128): Elaborating entity "altsyncram_nco1" for hierarchy "regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated" -Info (12128): Elaborating entity "ram8kb" for hierarchy "ram8kb:ram" -Info (12128): Elaborating entity "altsyncram" for hierarchy "ram8kb:ram|altsyncram:altsyncram_component" -Info (12130): Elaborated megafunction instantiation "ram8kb:ram|altsyncram:altsyncram_component" -Info (12133): Instantiated megafunction "ram8kb:ram|altsyncram:altsyncram_component" with the following parameter: +Info (12128): Elaborating entity "ram128kB" for hierarchy "ram128kB:ram" +Info (12128): Elaborating entity "altsyncram" for hierarchy "ram128kB:ram|altsyncram:altsyncram_component" +Info (12130): Elaborated megafunction instantiation "ram128kB:ram|altsyncram:altsyncram_component" +Info (12133): Instantiated megafunction "ram128kB:ram|altsyncram:altsyncram_component" with the following parameter: Info (12134): Parameter "byte_size" = "8" Info (12134): Parameter "clock_enable_input_a" = "BYPASS" Info (12134): Parameter "clock_enable_output_a" = "BYPASS" @@ -3337,18 +3541,27 @@ Info (12133): Instantiated megafunction "ram8kb:ram|altsyncram:altsyncram_compon Info (12134): Parameter "intended_device_family" = "Cyclone V" Info (12134): Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" Info (12134): Parameter "lpm_type" = "altsyncram" - Info (12134): Parameter "numwords_a" = "2048" + Info (12134): Parameter "numwords_a" = "32768" Info (12134): Parameter "operation_mode" = "SINGLE_PORT" Info (12134): Parameter "outdata_aclr_a" = "NONE" Info (12134): Parameter "outdata_reg_a" = "UNREGISTERED" Info (12134): Parameter "power_up_uninitialized" = "FALSE" Info (12134): Parameter "read_during_write_mode_port_a" = "NEW_DATA_NO_NBE_READ" - Info (12134): Parameter "widthad_a" = "11" + Info (12134): Parameter "widthad_a" = "15" Info (12134): Parameter "width_a" = "32" Info (12134): Parameter "width_byteena_a" = "4" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_vgr1.tdf - Info (12023): Found entity 1: altsyncram_vgr1 -Info (12128): Elaborating entity "altsyncram_vgr1" for hierarchy "ram8kb:ram|altsyncram:altsyncram_component|altsyncram_vgr1:auto_generated" +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_vir1.tdf + Info (12023): Found entity 1: altsyncram_vir1 +Info (12128): Elaborating entity "altsyncram_vir1" for hierarchy "ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated" +Info (12021): Found 1 design units, including 1 entities, in source file db/decode_8la.tdf + Info (12023): Found entity 1: decode_8la +Info (12128): Elaborating entity "decode_8la" for hierarchy "ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3" +Info (12021): Found 1 design units, including 1 entities, in source file db/decode_11a.tdf + Info (12023): Found entity 1: decode_11a +Info (12128): Elaborating entity "decode_11a" for hierarchy "ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode" +Info (12021): Found 1 design units, including 1 entities, in source file db/mux_5hb.tdf + Info (12023): Found entity 1: mux_5hb +Info (12128): Elaborating entity "mux_5hb" for hierarchy "ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|mux_5hb:mux2" Info (12128): Elaborating entity "riscv_core" for hierarchy "riscv_core:core" Warning (10762): Verilog HDL Case Statement warning at riscv_core_v3.v(174): can't check case statement for completeness because the case expression has too many possible states Warning (10762): Verilog HDL Case Statement warning at riscv_core_v3.v(194): can't check case statement for completeness because the case expression has too many possible states @@ -3463,196 +3676,185 @@ Info (12021): Found 1 design units, including 1 entities, in source file db/alt_ Info (12023): Found entity 1: alt_u_div_5eg Info (12128): Elaborating entity "alt_u_div_5eg" for hierarchy "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider" Warning (14284): Synthesized away the following node(s): - Warning (14285): Synthesized away the following RAM node(s): - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[0]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[1]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[2]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[3]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[4]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[5]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[6]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[7]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[8]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[9]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[10]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[11]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[12]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[13]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[14]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[15]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[16]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[17]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[18]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[19]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[20]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[21]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[22]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[23]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[24]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[25]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[26]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[27]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[28]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[29]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[30]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[31]" + Warning (14285): Synthesized away the following PLL node(s): + Warning (14320): Synthesized away node "clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|outclk_wire[1]" Info (286030): Timing-Driven Synthesis is running -Info (19000): Inferred 9 megafunctions from design logic - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0" +Info (19000): Inferred 10 megafunctions from design logic + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign_rtl_0" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 10 - Info (286033): Parameter WIDTH set to 5 + Info (286033): Parameter WIDTH set to 7 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 11 - Info (286033): Parameter WIDTH set to 7 + Info (286033): Parameter WIDTH set to 10 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 10 - Info (286033): Parameter WIDTH set to 8 + Info (286033): Parameter WIDTH set to 10 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 9 - Info (286033): Parameter WIDTH set to 9 + Info (286033): Parameter WIDTH set to 12 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 8 - Info (286033): Parameter WIDTH set to 7 + Info (286033): Parameter WIDTH set to 10 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 7 - Info (286033): Parameter WIDTH set to 8 + Info (286033): Parameter WIDTH set to 10 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 6 - Info (286033): Parameter WIDTH set to 9 + Info (286033): Parameter WIDTH set to 12 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 5 - Info (286033): Parameter WIDTH set to 7 + Info (286033): Parameter WIDTH set to 10 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 4 - Info (286033): Parameter WIDTH set to 8 + Info (286033): Parameter WIDTH set to 10 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0" with the following parameter: + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8" + Info (286033): Parameter NUMBER_OF_TAPS set to 1 + Info (286033): Parameter TAP_DISTANCE set to 3 + Info (286033): Parameter WIDTH set to 12 + Info (286033): Parameter POWER_UP_STATE set to DONT_CARE +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "10" - Info (12134): Parameter "WIDTH" = "5" + Info (12134): Parameter "WIDTH" = "7" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_bl21.tdf - Info (12023): Found entity 1: shift_taps_bl21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_fr91.tdf - Info (12023): Found entity 1: altsyncram_fr91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_7l21.tdf + Info (12023): Found entity 1: shift_taps_7l21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_kr91.tdf + Info (12023): Found entity 1: altsyncram_kr91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_8jf.tdf Info (12023): Found entity 1: cntr_8jf Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_c9c.tdf Info (12023): Found entity 1: cmpr_c9c -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1" with the following parameter: +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "11" - Info (12134): Parameter "WIDTH" = "7" + Info (12134): Parameter "WIDTH" = "10" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_cl21.tdf - Info (12023): Found entity 1: shift_taps_cl21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_mr91.tdf - Info (12023): Found entity 1: altsyncram_mr91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_hm21.tdf + Info (12023): Found entity 1: shift_taps_hm21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_9u91.tdf + Info (12023): Found entity 1: altsyncram_9u91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_9jf.tdf Info (12023): Found entity 1: cntr_9jf -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2" with the following parameter: +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "10" - Info (12134): Parameter "WIDTH" = "8" + Info (12134): Parameter "WIDTH" = "10" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_dl21.tdf - Info (12023): Found entity 1: shift_taps_dl21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_nr91.tdf - Info (12023): Found entity 1: altsyncram_nr91 -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3" with the following parameter: +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_gm21.tdf + Info (12023): Found entity 1: shift_taps_gm21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_7u91.tdf + Info (12023): Found entity 1: altsyncram_7u91 +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "9" - Info (12134): Parameter "WIDTH" = "9" + Info (12134): Parameter "WIDTH" = "12" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_0k21.tdf - Info (12023): Found entity 1: shift_taps_0k21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_7p91.tdf - Info (12023): Found entity 1: altsyncram_7p91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_bl21.tdf + Info (12023): Found entity 1: shift_taps_bl21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_rr91.tdf + Info (12023): Found entity 1: altsyncram_rr91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_0if.tdf Info (12023): Found entity 1: cntr_0if -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4" with the following parameter: +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "8" - Info (12134): Parameter "WIDTH" = "7" + Info (12134): Parameter "WIDTH" = "10" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_tj21.tdf - Info (12023): Found entity 1: shift_taps_tj21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_vo91.tdf - Info (12023): Found entity 1: altsyncram_vo91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_9l21.tdf + Info (12023): Found entity 1: shift_taps_9l21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_lr91.tdf + Info (12023): Found entity 1: altsyncram_lr91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_uhf.tdf Info (12023): Found entity 1: cntr_uhf -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5" with the following parameter: +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "7" - Info (12134): Parameter "WIDTH" = "8" + Info (12134): Parameter "WIDTH" = "10" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_uj21.tdf - Info (12023): Found entity 1: shift_taps_uj21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_0p91.tdf - Info (12023): Found entity 1: altsyncram_0p91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_cl21.tdf + Info (12023): Found entity 1: shift_taps_cl21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_hr91.tdf + Info (12023): Found entity 1: altsyncram_hr91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_thf.tdf Info (12023): Found entity 1: cntr_thf Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_b9c.tdf Info (12023): Found entity 1: cmpr_b9c -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6" with the following parameter: +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "6" - Info (12134): Parameter "WIDTH" = "9" + Info (12134): Parameter "WIDTH" = "12" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_vj21.tdf - Info (12023): Found entity 1: shift_taps_vj21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_1p91.tdf - Info (12023): Found entity 1: altsyncram_1p91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_dl21.tdf + Info (12023): Found entity 1: shift_taps_dl21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_mr91.tdf + Info (12023): Found entity 1: altsyncram_mr91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_shf.tdf Info (12023): Found entity 1: cntr_shf -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7" with the following parameter: +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "5" - Info (12134): Parameter "WIDTH" = "7" + Info (12134): Parameter "WIDTH" = "10" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_qj21.tdf - Info (12023): Found entity 1: shift_taps_qj21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_po91.tdf - Info (12023): Found entity 1: altsyncram_po91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_4l21.tdf + Info (12023): Found entity 1: shift_taps_4l21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_dr91.tdf + Info (12023): Found entity 1: altsyncram_dr91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_rhf.tdf Info (12023): Found entity 1: cntr_rhf -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8" with the following parameter: +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "4" - Info (12134): Parameter "WIDTH" = "8" + Info (12134): Parameter "WIDTH" = "10" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_rj21.tdf - Info (12023): Found entity 1: shift_taps_rj21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_no91.tdf - Info (12023): Found entity 1: altsyncram_no91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_3l21.tdf + Info (12023): Found entity 1: shift_taps_3l21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_9r91.tdf + Info (12023): Found entity 1: altsyncram_9r91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_phf.tdf Info (12023): Found entity 1: cntr_phf +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8" with the following parameter: + Info (12134): Parameter "NUMBER_OF_TAPS" = "1" + Info (12134): Parameter "TAP_DISTANCE" = "3" + Info (12134): Parameter "WIDTH" = "12" + Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_5l21.tdf + Info (12023): Found entity 1: shift_taps_5l21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_br91.tdf + Info (12023): Found entity 1: altsyncram_br91 +Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_ohf.tdf + Info (12023): Found entity 1: cntr_ohf +Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_a9c.tdf + Info (12023): Found entity 1: cmpr_a9c +Warning (12241): 1 hierarchies have connectivity warnings - see the Connectivity Checks report folder Warning (13034): The following nodes have both tri-state and non-tri-state drivers Warning (13035): Inserted always-enabled tri-state buffer between "GPIO[5]" and its non-tri-state driver. Warning (13039): The following bidir pins have no drivers @@ -3784,20 +3986,9 @@ Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "VGA_SYNC_N" is stuck at GND Warning (13410): Pin "VGA_VS" is stuck at GND Warning (18029): Output pin "LEDR[1]" driven by bidirectional pin "GPIO[7]" cannot be tri-stated -Info (17049): 10 registers lost all their fanouts during netlist optimizations. -Warning (20013): Ignored assignments for entity "clk100M" -- entity does not exist in design - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_NAME altera_pll -entity clk100M -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_NAME altera_pll -entity clk100M -sip clk/clk100M.sip -library lib_clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_VERSION 13.1 -entity clk100M -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_VERSION 13.1 -entity clk100M -sip clk/clk100M.sip -library lib_clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_ENV mwpim -entity clk100M -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_ENV mwpim -entity clk100M -sip clk/clk100M.sip -library lib_clk100M was ignored -Warning (20013): Ignored assignments for entity "clk100M_0002" -- entity does not exist in design - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_NAME altera_pll -entity clk100M_0002 -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_VERSION 13.1 -entity clk100M_0002 -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_ENV mwpim -entity clk100M_0002 -qip clk/clk100M.qip -library clk100M was ignored +Info (17049): 16 registers lost all their fanouts during netlist optimizations. Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" - Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL + Info (16011): Adding 5 node(s), including 0 DDIO, 1 PLL, 0 transceiver and 0 LCELL Warning (21074): Design contains 17 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "ADC_DOUT" Warning (15610): No output dependent on input pin "AUD_ADCDAT" @@ -3816,17 +4007,18 @@ Warning (21074): Design contains 17 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "TD_DATA[7]" Warning (15610): No output dependent on input pin "TD_HS" Warning (15610): No output dependent on input pin "TD_VS" -Info (21057): Implemented 5475 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 5789 device resources after synthesis - the final resource count might be different Info (21058): Implemented 32 input pins Info (21059): Implemented 112 output pins Info (21060): Implemented 60 bidirectional pins - Info (21061): Implemented 5113 logic cells - Info (21064): Implemented 148 RAM segments + Info (21061): Implemented 5263 logic cells + Info (21064): Implemented 311 RAM segments + Info (21065): Implemented 1 PLLs Info (21062): Implemented 10 DSP elements -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 252 warnings - Info: Peak virtual memory: 4881 megabytes - Info: Processing ended: Sun Aug 29 18:51:14 2021 - Info: Elapsed time: 00:00:16 - Info: Total CPU time (on all processors): 00:00:16 +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 216 warnings + Info: Peak virtual memory: 698 megabytes + Info: Processing ended: Mon Aug 30 18:40:06 2021 + Info: Elapsed time: 00:00:36 + Info: Total CPU time (on all processors): 00:00:35 diff --git a/examples/hdl4se_riscv/de1/de1_riscv.map.summary b/examples/hdl4se_riscv/de1/de1_riscv.map.summary index 56fe373933bb4d3573b2cd823138d2c301b5306b..f547b210681aecbc33b9f5080a499988f1d4413f 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.map.summary +++ b/examples/hdl4se_riscv/de1/de1_riscv.map.summary @@ -1,17 +1,17 @@ -Analysis & Synthesis Status : Successful - Sun Aug 29 18:51:14 2021 +Analysis & Synthesis Status : Successful - Mon Aug 30 18:40:06 2021 Quartus II 64-Bit Version : 13.1.0 Build 162 10/23/2013 SJ Full Version Revision Name : de1_riscv Top-level Entity Name : de1_riscv Family : Cyclone V Logic utilization (in ALMs) : N/A -Total registers : 1857 +Total registers : 1839 Total pins : 204 Total virtual pins : 0 -Total block memory bits : 83,465 +Total block memory bits : 1,067,744 Total DSP Blocks : 10 Total HSSI RX PCSs : 0 Total HSSI PMA RX Deserializers : 0 Total HSSI TX PCSs : 0 Total HSSI TX Channels : 0 -Total PLLs : 0 +Total PLLs : 1 Total DLLs : 0 diff --git a/examples/hdl4se_riscv/de1/de1_riscv.qsf b/examples/hdl4se_riscv/de1/de1_riscv.qsf index 4dc4371083ba3782f1525796256d1833e52e91d8..e09cd085397a2f1f19a743c10e80e1773dba8c4f 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.qsf +++ b/examples/hdl4se_riscv/de1/de1_riscv.qsf @@ -511,4 +511,6 @@ set_global_assignment -name QIP_FILE clk/clk100M.qip set_global_assignment -name SIP_FILE clk/clk100M.sip set_global_assignment -name QIP_FILE regfile/regfile_2.qip set_global_assignment -name QIP_FILE uart/uart_fifo.qip +set_global_assignment -name QIP_FILE ram/ram16kB.qip +set_global_assignment -name QIP_FILE ram/ram128kB.qip set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/examples/hdl4se_riscv/de1/de1_riscv.sof b/examples/hdl4se_riscv/de1/de1_riscv.sof index 3f76f7f124b132b72f7e25b83d8951e8026056f1..5335e766226521b056d88986d61cedfe7e82d153 100644 Binary files a/examples/hdl4se_riscv/de1/de1_riscv.sof and b/examples/hdl4se_riscv/de1/de1_riscv.sof differ diff --git a/examples/hdl4se_riscv/de1/de1_riscv.sta.rpt b/examples/hdl4se_riscv/de1/de1_riscv.sta.rpt index b6a01a8e7b19de23f9b5f0616e70504de83ff74b..8c91d5fa81a4b432c38b1fe7c0db14bccee4d486 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.sta.rpt +++ b/examples/hdl4se_riscv/de1/de1_riscv.sta.rpt @@ -1,5 +1,5 @@ TimeQuest Timing Analyzer report for de1_riscv -Sun Aug 29 18:52:29 2021 +Mon Aug 30 18:43:38 2021 Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version @@ -129,17 +129,16 @@ applicable agreement for further details. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 20 ; -; Maximum allowed ; 10 ; +; Number detected on machine ; 4 ; +; Maximum allowed ; 2 ; ; ; ; -; Average used ; 2.25 ; -; Maximum used ; 10 ; +; Average used ; 1.45 ; +; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processors 2-4 ; 25.0% ; -; Processors 5-10 ; 8.3% ; -; Processors 11-20 ; 0.0% ; +; Processor 2 ; 45.5% ; +; Processors 3-4 ; 0.0% ; +----------------------------+-------------+ @@ -148,34 +147,36 @@ applicable agreement for further details. +---------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +---------------+--------+--------------------------+ -; de1_riscv.SDC ; OK ; Sun Aug 29 18:52:18 2021 ; +; de1_riscv.SDC ; OK ; Mon Aug 30 18:42:57 2021 ; +---------------+--------+--------------------------+ -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Clocks ; -+------------+---------+--------+-----------+-------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------------+ -; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; -+------------+---------+--------+-----------+-------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------------+ -; clk_audxck ; Base ; 54.253 ; 18.43 MHz ; 0.000 ; 27.126 ; ; ; ; ; ; ; ; ; ; ; { AUD_XCK } ; -; clk_core ; Virtual ; 2.500 ; 400.0 MHz ; 0.000 ; 1.250 ; ; ; ; ; ; ; ; ; ; ; { } ; -; clk_dram ; Base ; 10.000 ; 100.0 MHz ; 0.000 ; 5.000 ; ; ; ; ; ; ; ; ; ; ; { DRAM_CLK } ; -; clk_vga ; Base ; 9.259 ; 108.0 MHz ; 0.000 ; 4.629 ; ; ; ; ; ; ; ; ; ; ; { VGA_CLK } ; -; CLOCK2_50 ; Base ; 20.000 ; 50.0 MHz ; 0.000 ; 10.000 ; ; ; ; ; ; ; ; ; ; ; { CLOCK2_50 } ; -; CLOCK3_50 ; Base ; 20.000 ; 50.0 MHz ; 0.000 ; 10.000 ; ; ; ; ; ; ; ; ; ; ; { CLOCK3_50 } ; -; CLOCK4_50 ; Base ; 20.000 ; 50.0 MHz ; 0.000 ; 10.000 ; ; ; ; ; ; ; ; ; ; ; { CLOCK4_50 } ; -; CLOCK_50 ; Base ; 20.000 ; 50.0 MHz ; 0.000 ; 10.000 ; ; ; ; ; ; ; ; ; ; ; { CLOCK_50 } ; -; tv_27m ; Base ; 37.037 ; 27.0 MHz ; 0.000 ; 18.518 ; ; ; ; ; ; ; ; ; ; ; { TD_CLK27 } ; -+------------+---------+--------+-----------+-------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------------+ - - -+-------------------------------------------------+ -; Slow 1100mV 85C Model Fmax Summary ; -+-----------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+-----------+-----------------+------------+------+ -; 62.11 MHz ; 62.11 MHz ; CLOCK_50 ; ; -+-----------+-----------------+------------+------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clocks ; ++----------------------------------------------------------------------------+-----------+--------+------------+-------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------------------------------------------------------------------------+-------------------------------------------------------------------------------+--------------------------------------------------------------------------------+ +; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; ++----------------------------------------------------------------------------+-----------+--------+------------+-------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------------------------------------------------------------------------+-------------------------------------------------------------------------------+--------------------------------------------------------------------------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] ; Generated ; 3.333 ; 300.03 MHz ; 0.000 ; 1.666 ; 50.00 ; 1 ; 6 ; ; ; ; ; false ; CLOCK_50 ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|refclkin ; { clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] } ; +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; Generated ; 20.000 ; 50.0 MHz ; 0.000 ; 10.000 ; 50.00 ; 6 ; 1 ; ; ; ; ; false ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|vco0ph[0] ; { clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk } ; +; clk_audxck ; Base ; 54.253 ; 18.43 MHz ; 0.000 ; 27.126 ; ; ; ; ; ; ; ; ; ; ; { AUD_XCK } ; +; clk_core ; Virtual ; 2.500 ; 400.0 MHz ; 0.000 ; 1.250 ; ; ; ; ; ; ; ; ; ; ; { } ; +; clk_dram ; Base ; 10.000 ; 100.0 MHz ; 0.000 ; 5.000 ; ; ; ; ; ; ; ; ; ; ; { DRAM_CLK } ; +; clk_vga ; Base ; 9.259 ; 108.0 MHz ; 0.000 ; 4.629 ; ; ; ; ; ; ; ; ; ; ; { VGA_CLK } ; +; CLOCK2_50 ; Base ; 20.000 ; 50.0 MHz ; 0.000 ; 10.000 ; ; ; ; ; ; ; ; ; ; ; { CLOCK2_50 } ; +; CLOCK3_50 ; Base ; 20.000 ; 50.0 MHz ; 0.000 ; 10.000 ; ; ; ; ; ; ; ; ; ; ; { CLOCK3_50 } ; +; CLOCK4_50 ; Base ; 20.000 ; 50.0 MHz ; 0.000 ; 10.000 ; ; ; ; ; ; ; ; ; ; ; { CLOCK4_50 } ; +; CLOCK_50 ; Base ; 20.000 ; 50.0 MHz ; 0.000 ; 10.000 ; ; ; ; ; ; ; ; ; ; ; { CLOCK_50 } ; +; tv_27m ; Base ; 37.037 ; 27.0 MHz ; 0.000 ; 18.518 ; ; ; ; ; ; ; ; ; ; ; { TD_CLK27 } ; ++----------------------------------------------------------------------------+-----------+--------+------------+-------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------------------------------------------------------------------------+-------------------------------------------------------------------------------+--------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------+ +; Slow 1100mV 85C Model Fmax Summary ; ++-----------+-----------------+----------------------------------------------------------------------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+----------------------------------------------------------------------------+------+ +; 71.55 MHz ; 71.55 MHz ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ; ++-----------+-----------------+----------------------------------------------------------------------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -185,22 +186,22 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp HTML report is unavailable in plain text report export. -+-------------------------------------+ -; Slow 1100mV 85C Model Setup Summary ; -+----------+-------+------------------+ -; Clock ; Slack ; End Point TNS ; -+----------+-------+------------------+ -; CLOCK_50 ; 3.899 ; 0.000 ; -+----------+-------+------------------+ ++----------------------------------------------------------------------------------------------------+ +; Slow 1100mV 85C Model Setup Summary ; ++----------------------------------------------------------------------------+-------+---------------+ +; Clock ; Slack ; End Point TNS ; ++----------------------------------------------------------------------------+-------+---------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 6.023 ; 0.000 ; ++----------------------------------------------------------------------------+-------+---------------+ -+------------------------------------+ -; Slow 1100mV 85C Model Hold Summary ; -+----------+-------+-----------------+ -; Clock ; Slack ; End Point TNS ; -+----------+-------+-----------------+ -; CLOCK_50 ; 0.265 ; 0.000 ; -+----------+-------+-----------------+ ++----------------------------------------------------------------------------------------------------+ +; Slow 1100mV 85C Model Hold Summary ; ++----------------------------------------------------------------------------+-------+---------------+ +; Clock ; Slack ; End Point TNS ; ++----------------------------------------------------------------------------+-------+---------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 0.242 ; 0.000 ; ++----------------------------------------------------------------------------+-------+---------------+ ------------------------------------------ @@ -215,189 +216,191 @@ No paths to report. No paths to report. -+---------------------------------------------------+ -; Slow 1100mV 85C Model Minimum Pulse Width Summary ; -+----------+-------+--------------------------------+ -; Clock ; Slack ; End Point TNS ; -+----------+-------+--------------------------------+ -; CLOCK_50 ; 8.877 ; 0.000 ; -+----------+-------+--------------------------------+ - - -+-----------------------------------------------------------------------+ -; Setup Times ; -+-----------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+-------+------------+-----------------+ -; KEY[*] ; CLOCK_50 ; 5.089 ; 5.951 ; Rise ; CLOCK_50 ; -; KEY[0] ; CLOCK_50 ; 2.959 ; 3.709 ; Rise ; CLOCK_50 ; -; KEY[1] ; CLOCK_50 ; 3.069 ; 3.639 ; Rise ; CLOCK_50 ; -; KEY[2] ; CLOCK_50 ; 3.526 ; 4.404 ; Rise ; CLOCK_50 ; -; KEY[3] ; CLOCK_50 ; 5.089 ; 5.951 ; Rise ; CLOCK_50 ; -; SW[*] ; CLOCK_50 ; 6.056 ; 7.121 ; Rise ; CLOCK_50 ; -; SW[0] ; CLOCK_50 ; 1.648 ; 2.235 ; Rise ; CLOCK_50 ; -; SW[1] ; CLOCK_50 ; 3.501 ; 4.071 ; Rise ; CLOCK_50 ; -; SW[2] ; CLOCK_50 ; 2.671 ; 3.372 ; Rise ; CLOCK_50 ; -; SW[3] ; CLOCK_50 ; 2.511 ; 3.380 ; Rise ; CLOCK_50 ; -; SW[4] ; CLOCK_50 ; 4.319 ; 4.709 ; Rise ; CLOCK_50 ; -; SW[5] ; CLOCK_50 ; 2.072 ; 2.887 ; Rise ; CLOCK_50 ; -; SW[6] ; CLOCK_50 ; 2.902 ; 4.003 ; Rise ; CLOCK_50 ; -; SW[7] ; CLOCK_50 ; 6.056 ; 7.121 ; Rise ; CLOCK_50 ; -; SW[8] ; CLOCK_50 ; 5.488 ; 5.852 ; Rise ; CLOCK_50 ; -; SW[9] ; CLOCK_50 ; 4.841 ; 5.643 ; Rise ; CLOCK_50 ; -+-----------+------------+-------+-------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Hold Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; KEY[*] ; CLOCK_50 ; 0.753 ; 0.101 ; Rise ; CLOCK_50 ; -; KEY[0] ; CLOCK_50 ; 0.021 ; -0.691 ; Rise ; CLOCK_50 ; -; KEY[1] ; CLOCK_50 ; 0.605 ; -0.138 ; Rise ; CLOCK_50 ; -; KEY[2] ; CLOCK_50 ; 0.207 ; -0.715 ; Rise ; CLOCK_50 ; -; KEY[3] ; CLOCK_50 ; 0.753 ; 0.101 ; Rise ; CLOCK_50 ; -; SW[*] ; CLOCK_50 ; 0.084 ; -0.491 ; Rise ; CLOCK_50 ; -; SW[0] ; CLOCK_50 ; -0.650 ; -1.212 ; Rise ; CLOCK_50 ; -; SW[1] ; CLOCK_50 ; -1.834 ; -2.506 ; Rise ; CLOCK_50 ; -; SW[2] ; CLOCK_50 ; 0.084 ; -0.517 ; Rise ; CLOCK_50 ; -; SW[3] ; CLOCK_50 ; -0.137 ; -0.714 ; Rise ; CLOCK_50 ; -; SW[4] ; CLOCK_50 ; -0.190 ; -0.741 ; Rise ; CLOCK_50 ; -; SW[5] ; CLOCK_50 ; 0.037 ; -0.491 ; Rise ; CLOCK_50 ; -; SW[6] ; CLOCK_50 ; -0.362 ; -1.043 ; Rise ; CLOCK_50 ; -; SW[7] ; CLOCK_50 ; -0.459 ; -1.112 ; Rise ; CLOCK_50 ; -; SW[8] ; CLOCK_50 ; -0.015 ; -0.638 ; Rise ; CLOCK_50 ; -; SW[9] ; CLOCK_50 ; -0.474 ; -1.089 ; Rise ; CLOCK_50 ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; GPIO[*] ; CLOCK_50 ; 12.103 ; 11.386 ; Rise ; CLOCK_50 ; -; GPIO[5] ; CLOCK_50 ; 12.103 ; 11.386 ; Rise ; CLOCK_50 ; -; HEX0[*] ; CLOCK_50 ; 10.461 ; 9.920 ; Rise ; CLOCK_50 ; -; HEX0[0] ; CLOCK_50 ; 10.461 ; 9.920 ; Rise ; CLOCK_50 ; -; HEX0[1] ; CLOCK_50 ; 9.451 ; 9.287 ; Rise ; CLOCK_50 ; -; HEX0[2] ; CLOCK_50 ; 9.499 ; 9.201 ; Rise ; CLOCK_50 ; -; HEX0[3] ; CLOCK_50 ; 10.314 ; 9.906 ; Rise ; CLOCK_50 ; -; HEX0[4] ; CLOCK_50 ; 9.614 ; 9.295 ; Rise ; CLOCK_50 ; -; HEX0[5] ; CLOCK_50 ; 9.738 ; 9.550 ; Rise ; CLOCK_50 ; -; HEX0[6] ; CLOCK_50 ; 10.008 ; 9.625 ; Rise ; CLOCK_50 ; -; HEX1[*] ; CLOCK_50 ; 12.566 ; 11.252 ; Rise ; CLOCK_50 ; -; HEX1[0] ; CLOCK_50 ; 9.528 ; 9.263 ; Rise ; CLOCK_50 ; -; HEX1[1] ; CLOCK_50 ; 12.566 ; 11.252 ; Rise ; CLOCK_50 ; -; HEX1[2] ; CLOCK_50 ; 12.113 ; 10.861 ; Rise ; CLOCK_50 ; -; HEX1[3] ; CLOCK_50 ; 9.279 ; 9.087 ; Rise ; CLOCK_50 ; -; HEX1[4] ; CLOCK_50 ; 9.473 ; 9.254 ; Rise ; CLOCK_50 ; -; HEX1[5] ; CLOCK_50 ; 9.404 ; 9.108 ; Rise ; CLOCK_50 ; -; HEX1[6] ; CLOCK_50 ; 10.696 ; 10.082 ; Rise ; CLOCK_50 ; -; HEX2[*] ; CLOCK_50 ; 11.552 ; 10.541 ; Rise ; CLOCK_50 ; -; HEX2[0] ; CLOCK_50 ; 11.552 ; 10.541 ; Rise ; CLOCK_50 ; -; HEX2[1] ; CLOCK_50 ; 9.303 ; 9.063 ; Rise ; CLOCK_50 ; -; HEX2[2] ; CLOCK_50 ; 9.169 ; 9.035 ; Rise ; CLOCK_50 ; -; HEX2[3] ; CLOCK_50 ; 8.905 ; 8.762 ; Rise ; CLOCK_50 ; -; HEX2[4] ; CLOCK_50 ; 8.855 ; 8.729 ; Rise ; CLOCK_50 ; -; HEX2[5] ; CLOCK_50 ; 8.832 ; 8.829 ; Rise ; CLOCK_50 ; -; HEX2[6] ; CLOCK_50 ; 11.096 ; 10.235 ; Rise ; CLOCK_50 ; -; HEX3[*] ; CLOCK_50 ; 13.088 ; 11.568 ; Rise ; CLOCK_50 ; -; HEX3[0] ; CLOCK_50 ; 11.990 ; 10.770 ; Rise ; CLOCK_50 ; -; HEX3[1] ; CLOCK_50 ; 11.332 ; 10.269 ; Rise ; CLOCK_50 ; -; HEX3[2] ; CLOCK_50 ; 9.504 ; 9.206 ; Rise ; CLOCK_50 ; -; HEX3[3] ; CLOCK_50 ; 13.088 ; 11.568 ; Rise ; CLOCK_50 ; -; HEX3[4] ; CLOCK_50 ; 9.102 ; 8.983 ; Rise ; CLOCK_50 ; -; HEX3[5] ; CLOCK_50 ; 9.566 ; 9.284 ; Rise ; CLOCK_50 ; -; HEX3[6] ; CLOCK_50 ; 12.310 ; 10.994 ; Rise ; CLOCK_50 ; -; HEX4[*] ; CLOCK_50 ; 11.870 ; 10.821 ; Rise ; CLOCK_50 ; -; HEX4[0] ; CLOCK_50 ; 9.567 ; 9.257 ; Rise ; CLOCK_50 ; -; HEX4[1] ; CLOCK_50 ; 11.620 ; 10.612 ; Rise ; CLOCK_50 ; -; HEX4[2] ; CLOCK_50 ; 11.870 ; 10.821 ; Rise ; CLOCK_50 ; -; HEX4[3] ; CLOCK_50 ; 10.489 ; 9.863 ; Rise ; CLOCK_50 ; -; HEX4[4] ; CLOCK_50 ; 9.196 ; 8.972 ; Rise ; CLOCK_50 ; -; HEX4[5] ; CLOCK_50 ; 10.447 ; 9.780 ; Rise ; CLOCK_50 ; -; HEX4[6] ; CLOCK_50 ; 9.383 ; 9.183 ; Rise ; CLOCK_50 ; -; HEX5[*] ; CLOCK_50 ; 10.579 ; 9.853 ; Rise ; CLOCK_50 ; -; HEX5[0] ; CLOCK_50 ; 9.690 ; 9.431 ; Rise ; CLOCK_50 ; -; HEX5[1] ; CLOCK_50 ; 9.386 ; 9.129 ; Rise ; CLOCK_50 ; -; HEX5[2] ; CLOCK_50 ; 10.579 ; 9.853 ; Rise ; CLOCK_50 ; -; HEX5[3] ; CLOCK_50 ; 9.295 ; 9.040 ; Rise ; CLOCK_50 ; -; HEX5[4] ; CLOCK_50 ; 9.491 ; 9.268 ; Rise ; CLOCK_50 ; -; HEX5[5] ; CLOCK_50 ; 10.314 ; 9.725 ; Rise ; CLOCK_50 ; -; HEX5[6] ; CLOCK_50 ; 10.382 ; 9.837 ; Rise ; CLOCK_50 ; -; LEDR[*] ; CLOCK_50 ; 12.502 ; 12.051 ; Rise ; CLOCK_50 ; -; LEDR[0] ; CLOCK_50 ; 12.502 ; 11.648 ; Rise ; CLOCK_50 ; -; LEDR[2] ; CLOCK_50 ; 10.798 ; 11.249 ; Rise ; CLOCK_50 ; -; LEDR[3] ; CLOCK_50 ; 9.724 ; 10.262 ; Rise ; CLOCK_50 ; -; LEDR[4] ; CLOCK_50 ; 11.341 ; 12.051 ; Rise ; CLOCK_50 ; -; LEDR[5] ; CLOCK_50 ; 10.224 ; 9.739 ; Rise ; CLOCK_50 ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; GPIO[*] ; CLOCK_50 ; 10.343 ; 9.734 ; Rise ; CLOCK_50 ; -; GPIO[5] ; CLOCK_50 ; 10.343 ; 9.734 ; Rise ; CLOCK_50 ; -; HEX0[*] ; CLOCK_50 ; 8.883 ; 8.670 ; Rise ; CLOCK_50 ; -; HEX0[0] ; CLOCK_50 ; 9.743 ; 9.321 ; Rise ; CLOCK_50 ; -; HEX0[1] ; CLOCK_50 ; 8.902 ; 8.766 ; Rise ; CLOCK_50 ; -; HEX0[2] ; CLOCK_50 ; 8.883 ; 8.670 ; Rise ; CLOCK_50 ; -; HEX0[3] ; CLOCK_50 ; 9.606 ; 9.308 ; Rise ; CLOCK_50 ; -; HEX0[4] ; CLOCK_50 ; 8.974 ; 8.762 ; Rise ; CLOCK_50 ; -; HEX0[5] ; CLOCK_50 ; 9.036 ; 8.959 ; Rise ; CLOCK_50 ; -; HEX0[6] ; CLOCK_50 ; 9.346 ; 9.049 ; Rise ; CLOCK_50 ; -; HEX1[*] ; CLOCK_50 ; 8.726 ; 8.574 ; Rise ; CLOCK_50 ; -; HEX1[0] ; CLOCK_50 ; 8.911 ; 8.728 ; Rise ; CLOCK_50 ; -; HEX1[1] ; CLOCK_50 ; 11.268 ; 10.393 ; Rise ; CLOCK_50 ; -; HEX1[2] ; CLOCK_50 ; 10.785 ; 10.013 ; Rise ; CLOCK_50 ; -; HEX1[3] ; CLOCK_50 ; 8.726 ; 8.574 ; Rise ; CLOCK_50 ; -; HEX1[4] ; CLOCK_50 ; 8.892 ; 8.736 ; Rise ; CLOCK_50 ; -; HEX1[5] ; CLOCK_50 ; 8.796 ; 8.580 ; Rise ; CLOCK_50 ; -; HEX1[6] ; CLOCK_50 ; 9.993 ; 9.490 ; Rise ; CLOCK_50 ; -; HEX2[*] ; CLOCK_50 ; 8.269 ; 8.254 ; Rise ; CLOCK_50 ; -; HEX2[0] ; CLOCK_50 ; 10.399 ; 9.769 ; Rise ; CLOCK_50 ; -; HEX2[1] ; CLOCK_50 ; 8.695 ; 8.547 ; Rise ; CLOCK_50 ; -; HEX2[2] ; CLOCK_50 ; 8.631 ; 8.543 ; Rise ; CLOCK_50 ; -; HEX2[3] ; CLOCK_50 ; 8.363 ; 8.284 ; Rise ; CLOCK_50 ; -; HEX2[4] ; CLOCK_50 ; 8.328 ; 8.254 ; Rise ; CLOCK_50 ; -; HEX2[5] ; CLOCK_50 ; 8.269 ; 8.324 ; Rise ; CLOCK_50 ; -; HEX2[6] ; CLOCK_50 ; 9.923 ; 9.447 ; Rise ; CLOCK_50 ; -; HEX3[*] ; CLOCK_50 ; 8.498 ; 8.460 ; Rise ; CLOCK_50 ; -; HEX3[0] ; CLOCK_50 ; 10.665 ; 9.917 ; Rise ; CLOCK_50 ; -; HEX3[1] ; CLOCK_50 ; 10.099 ; 9.467 ; Rise ; CLOCK_50 ; -; HEX3[2] ; CLOCK_50 ; 8.906 ; 8.680 ; Rise ; CLOCK_50 ; -; HEX3[3] ; CLOCK_50 ; 11.654 ; 10.641 ; Rise ; CLOCK_50 ; -; HEX3[4] ; CLOCK_50 ; 8.498 ; 8.460 ; Rise ; CLOCK_50 ; -; HEX3[5] ; CLOCK_50 ; 8.922 ; 8.734 ; Rise ; CLOCK_50 ; -; HEX3[6] ; CLOCK_50 ; 11.032 ; 10.155 ; Rise ; CLOCK_50 ; -; HEX4[*] ; CLOCK_50 ; 8.600 ; 8.453 ; Rise ; CLOCK_50 ; -; HEX4[0] ; CLOCK_50 ; 8.920 ; 8.704 ; Rise ; CLOCK_50 ; -; HEX4[1] ; CLOCK_50 ; 10.498 ; 9.831 ; Rise ; CLOCK_50 ; -; HEX4[2] ; CLOCK_50 ; 10.585 ; 9.963 ; Rise ; CLOCK_50 ; -; HEX4[3] ; CLOCK_50 ; 9.656 ; 9.226 ; Rise ; CLOCK_50 ; -; HEX4[4] ; CLOCK_50 ; 8.600 ; 8.453 ; Rise ; CLOCK_50 ; -; HEX4[5] ; CLOCK_50 ; 9.532 ; 9.120 ; Rise ; CLOCK_50 ; -; HEX4[6] ; CLOCK_50 ; 8.798 ; 8.660 ; Rise ; CLOCK_50 ; -; HEX5[*] ; CLOCK_50 ; 8.679 ; 8.519 ; Rise ; CLOCK_50 ; -; HEX5[0] ; CLOCK_50 ; 9.016 ; 8.858 ; Rise ; CLOCK_50 ; -; HEX5[1] ; CLOCK_50 ; 8.760 ; 8.596 ; Rise ; CLOCK_50 ; -; HEX5[2] ; CLOCK_50 ; 9.548 ; 9.151 ; Rise ; CLOCK_50 ; -; HEX5[3] ; CLOCK_50 ; 8.679 ; 8.519 ; Rise ; CLOCK_50 ; -; HEX5[4] ; CLOCK_50 ; 8.937 ; 8.753 ; Rise ; CLOCK_50 ; -; HEX5[5] ; CLOCK_50 ; 9.437 ; 9.077 ; Rise ; CLOCK_50 ; -; HEX5[6] ; CLOCK_50 ; 9.674 ; 9.254 ; Rise ; CLOCK_50 ; -; LEDR[*] ; CLOCK_50 ; 9.138 ; 9.144 ; Rise ; CLOCK_50 ; -; LEDR[0] ; CLOCK_50 ; 10.666 ; 9.953 ; Rise ; CLOCK_50 ; -; LEDR[2] ; CLOCK_50 ; 9.185 ; 9.548 ; Rise ; CLOCK_50 ; -; LEDR[3] ; CLOCK_50 ; 9.138 ; 9.600 ; Rise ; CLOCK_50 ; -; LEDR[4] ; CLOCK_50 ; 9.629 ; 10.124 ; Rise ; CLOCK_50 ; -; LEDR[5] ; CLOCK_50 ; 9.513 ; 9.144 ; Rise ; CLOCK_50 ; -+-----------+------------+--------+--------+------------+-----------------+ ++----------------------------------------------------------------------------------------------------+ +; Slow 1100mV 85C Model Minimum Pulse Width Summary ; ++----------------------------------------------------------------------------+-------+---------------+ +; Clock ; Slack ; End Point TNS ; ++----------------------------------------------------------------------------+-------+---------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] ; 1.666 ; 0.000 ; +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 8.761 ; 0.000 ; +; CLOCK_50 ; 9.670 ; 0.000 ; ++----------------------------------------------------------------------------+-------+---------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------+ +; Setup Times ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ +; KEY[*] ; CLOCK_50 ; 2.180 ; 3.697 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[0] ; CLOCK_50 ; 0.399 ; 1.633 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[1] ; CLOCK_50 ; 0.549 ; 1.222 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[2] ; CLOCK_50 ; 0.866 ; 2.127 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[3] ; CLOCK_50 ; 2.180 ; 3.697 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[*] ; CLOCK_50 ; 2.381 ; 3.598 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[0] ; CLOCK_50 ; 1.110 ; 2.122 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[1] ; CLOCK_50 ; -0.619 ; 0.243 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[2] ; CLOCK_50 ; 0.538 ; 1.454 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[3] ; CLOCK_50 ; 0.775 ; 1.574 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[4] ; CLOCK_50 ; 0.716 ; 1.540 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[5] ; CLOCK_50 ; 0.168 ; 0.884 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[6] ; CLOCK_50 ; 0.951 ; 1.735 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[7] ; CLOCK_50 ; 2.381 ; 3.598 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[8] ; CLOCK_50 ; 1.609 ; 2.011 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[9] ; CLOCK_50 ; 0.979 ; 2.083 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------+ +; Hold Times ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +; KEY[*] ; CLOCK_50 ; 3.958 ; 3.209 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[0] ; CLOCK_50 ; 3.958 ; 3.209 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[1] ; CLOCK_50 ; 3.860 ; 3.097 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[2] ; CLOCK_50 ; 3.883 ; 3.055 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[3] ; CLOCK_50 ; 3.810 ; 3.105 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[*] ; CLOCK_50 ; 3.651 ; 3.061 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[0] ; CLOCK_50 ; 1.252 ; 0.409 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[1] ; CLOCK_50 ; 2.657 ; 1.973 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[2] ; CLOCK_50 ; 3.463 ; 2.817 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[3] ; CLOCK_50 ; 3.390 ; 2.749 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[4] ; CLOCK_50 ; 3.368 ; 2.822 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[5] ; CLOCK_50 ; 3.651 ; 3.061 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[6] ; CLOCK_50 ; 3.238 ; 2.613 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[7] ; CLOCK_50 ; 3.234 ; 2.607 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[8] ; CLOCK_50 ; 3.526 ; 2.908 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[9] ; CLOCK_50 ; 3.100 ; 2.548 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Clock to Output Times ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ +; GPIO[*] ; CLOCK_50 ; 15.794 ; 15.005 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; GPIO[5] ; CLOCK_50 ; 15.794 ; 15.005 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[*] ; CLOCK_50 ; 15.099 ; 13.988 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[0] ; CLOCK_50 ; 13.431 ; 12.935 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[1] ; CLOCK_50 ; 13.152 ; 12.715 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[2] ; CLOCK_50 ; 14.407 ; 13.480 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[3] ; CLOCK_50 ; 14.085 ; 13.416 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[4] ; CLOCK_50 ; 13.848 ; 13.088 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[5] ; CLOCK_50 ; 13.023 ; 12.653 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[6] ; CLOCK_50 ; 15.099 ; 13.988 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[*] ; CLOCK_50 ; 14.310 ; 13.403 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[0] ; CLOCK_50 ; 14.190 ; 13.339 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[1] ; CLOCK_50 ; 14.310 ; 13.403 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[2] ; CLOCK_50 ; 13.685 ; 13.011 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[3] ; CLOCK_50 ; 13.743 ; 12.969 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[4] ; CLOCK_50 ; 14.147 ; 13.282 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[5] ; CLOCK_50 ; 13.535 ; 12.921 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[6] ; CLOCK_50 ; 14.216 ; 13.338 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[*] ; CLOCK_50 ; 14.351 ; 13.605 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[0] ; CLOCK_50 ; 14.215 ; 13.408 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[1] ; CLOCK_50 ; 14.107 ; 13.355 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[2] ; CLOCK_50 ; 13.724 ; 12.989 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[3] ; CLOCK_50 ; 12.966 ; 12.544 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[4] ; CLOCK_50 ; 13.860 ; 13.076 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[5] ; CLOCK_50 ; 13.390 ; 12.790 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[6] ; CLOCK_50 ; 14.351 ; 13.605 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[*] ; CLOCK_50 ; 15.158 ; 14.087 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[0] ; CLOCK_50 ; 15.158 ; 14.087 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[1] ; CLOCK_50 ; 13.436 ; 12.794 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[2] ; CLOCK_50 ; 14.775 ; 13.752 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[3] ; CLOCK_50 ; 14.484 ; 13.542 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[4] ; CLOCK_50 ; 12.396 ; 12.197 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[5] ; CLOCK_50 ; 14.366 ; 13.411 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[6] ; CLOCK_50 ; 13.944 ; 13.339 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[*] ; CLOCK_50 ; 14.167 ; 13.295 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[0] ; CLOCK_50 ; 14.167 ; 13.294 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[1] ; CLOCK_50 ; 13.613 ; 12.881 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[2] ; CLOCK_50 ; 14.073 ; 13.295 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[3] ; CLOCK_50 ; 13.753 ; 13.076 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[4] ; CLOCK_50 ; 13.899 ; 13.083 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[5] ; CLOCK_50 ; 12.401 ; 12.151 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[6] ; CLOCK_50 ; 13.270 ; 12.689 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[*] ; CLOCK_50 ; 13.764 ; 13.017 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[0] ; CLOCK_50 ; 12.302 ; 12.163 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[1] ; CLOCK_50 ; 13.501 ; 12.780 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[2] ; CLOCK_50 ; 12.779 ; 12.428 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[3] ; CLOCK_50 ; 13.764 ; 13.017 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[4] ; CLOCK_50 ; 13.367 ; 12.881 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[5] ; CLOCK_50 ; 13.080 ; 12.585 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[6] ; CLOCK_50 ; 13.562 ; 13.000 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[*] ; CLOCK_50 ; 15.789 ; 16.755 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[0] ; CLOCK_50 ; 15.789 ; 14.979 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[2] ; CLOCK_50 ; 15.664 ; 16.755 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[3] ; CLOCK_50 ; 14.284 ; 15.237 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[4] ; CLOCK_50 ; 15.021 ; 15.677 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[5] ; CLOCK_50 ; 14.603 ; 13.828 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ +; GPIO[*] ; CLOCK_50 ; 13.217 ; 12.607 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; GPIO[5] ; CLOCK_50 ; 13.217 ; 12.607 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[*] ; CLOCK_50 ; 11.644 ; 11.381 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[0] ; CLOCK_50 ; 11.920 ; 11.613 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[1] ; CLOCK_50 ; 11.699 ; 11.417 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[2] ; CLOCK_50 ; 12.648 ; 12.052 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[3] ; CLOCK_50 ; 12.641 ; 12.102 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[4] ; CLOCK_50 ; 12.153 ; 11.688 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[5] ; CLOCK_50 ; 11.644 ; 11.381 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[6] ; CLOCK_50 ; 13.280 ; 12.517 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[*] ; CLOCK_50 ; 11.976 ; 11.574 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[0] ; CLOCK_50 ; 12.431 ; 11.915 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[1] ; CLOCK_50 ; 12.559 ; 11.973 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[2] ; CLOCK_50 ; 12.014 ; 11.628 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[3] ; CLOCK_50 ; 12.067 ; 11.581 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[4] ; CLOCK_50 ; 12.427 ; 11.881 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[5] ; CLOCK_50 ; 11.976 ; 11.574 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[6] ; CLOCK_50 ; 12.514 ; 11.937 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[*] ; CLOCK_50 ; 11.494 ; 11.255 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[0] ; CLOCK_50 ; 12.475 ; 11.982 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[1] ; CLOCK_50 ; 12.366 ; 11.933 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[2] ; CLOCK_50 ; 12.044 ; 11.607 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[3] ; CLOCK_50 ; 11.494 ; 11.255 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[4] ; CLOCK_50 ; 12.173 ; 11.678 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[5] ; CLOCK_50 ; 11.739 ; 11.424 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[6] ; CLOCK_50 ; 12.634 ; 12.170 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[*] ; CLOCK_50 ; 11.102 ; 10.982 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[0] ; CLOCK_50 ; 13.234 ; 12.565 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[1] ; CLOCK_50 ; 11.786 ; 11.433 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[2] ; CLOCK_50 ; 12.994 ; 12.300 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[3] ; CLOCK_50 ; 12.749 ; 12.121 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[4] ; CLOCK_50 ; 11.102 ; 10.982 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[5] ; CLOCK_50 ; 12.664 ; 12.009 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[6] ; CLOCK_50 ; 12.423 ; 11.991 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[*] ; CLOCK_50 ; 11.120 ; 10.939 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[0] ; CLOCK_50 ; 12.421 ; 11.869 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[1] ; CLOCK_50 ; 11.963 ; 11.502 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[2] ; CLOCK_50 ; 12.320 ; 11.867 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[3] ; CLOCK_50 ; 12.075 ; 11.684 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[4] ; CLOCK_50 ; 12.190 ; 11.673 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[5] ; CLOCK_50 ; 11.120 ; 10.939 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[6] ; CLOCK_50 ; 11.632 ; 11.329 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[*] ; CLOCK_50 ; 11.008 ; 10.944 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[0] ; CLOCK_50 ; 11.008 ; 10.944 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[1] ; CLOCK_50 ; 11.866 ; 11.430 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[2] ; CLOCK_50 ; 11.434 ; 11.187 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[3] ; CLOCK_50 ; 12.051 ; 11.621 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[4] ; CLOCK_50 ; 11.847 ; 11.549 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[5] ; CLOCK_50 ; 11.588 ; 11.285 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[6] ; CLOCK_50 ; 12.147 ; 11.721 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[*] ; CLOCK_50 ; 12.651 ; 12.471 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[0] ; CLOCK_50 ; 13.213 ; 12.581 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[2] ; CLOCK_50 ; 13.182 ; 14.064 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[3] ; CLOCK_50 ; 12.895 ; 13.696 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[4] ; CLOCK_50 ; 12.651 ; 13.209 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[5] ; CLOCK_50 ; 13.108 ; 12.471 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ +----------------------------------------------------+ @@ -405,7 +408,7 @@ No paths to report. +------------+-------------+-------+----+----+-------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+-------+----+----+-------+ -; GPIO[7] ; LEDR[1] ; 7.862 ; ; ; 8.400 ; +; GPIO[7] ; LEDR[1] ; 8.085 ; ; ; 8.828 ; +------------+-------------+-------+----+----+-------+ @@ -414,7 +417,7 @@ No paths to report. +------------+-------------+-------+----+----+-------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+-------+----+----+-------+ -; GPIO[7] ; LEDR[1] ; 6.337 ; ; ; 6.836 ; +; GPIO[7] ; LEDR[1] ; 6.529 ; ; ; 7.173 ; +------------+-------------+-------+----+----+-------+ @@ -427,7 +430,7 @@ Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. Number of Synchronizer Chains Found: 1 Shortest Synchronizer Chain: 2 Registers Fraction of Chains for which MTBFs Could Not be Calculated: 0.000 -Worst Case Available Settling Time: 36.902 ns +Worst Case Available Settling Time: 37.011 ns Worst-Case MTBF values are calculated based on the worst-case silicon characteristics, with worst-case operating conditions. - Under worst-case conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 79.4 @@ -468,47 +471,47 @@ Synchronizer Chain #1: Worst-Case MTBF is Greater than 1 Billion Years ; Worst-Case MTBF (years) ; Greater than 1 Billion ; ; ; ; ; Typical MTBF (years) ; Greater than 1 Billion ; ; ; ; ; Number of Synchronization Registers in Chain ; 2 ; ; ; ; -; Available Settling Time (ns) ; 36.902 ; ; ; ; +; Available Settling Time (ns) ; 37.011 ; ; ; ; ; Data Toggle Rate Used in MTBF Calculation (millions of transitions / sec) ; 6.25 ; ; ; ; ; Source Clock ; ; ; ; ; ; Unknown ; ; ; ; ; ; Synchronization Clock ; ; ; ; ; -; CLOCK_50 ; ; 20.000 ; 50.0 MHz ; ; +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ; 20.000 ; 50.0 MHz ; ; ; Asynchronous Source ; ; ; ; ; ; GPIO[7] ; ; ; ; ; ; Synchronization Registers ; ; ; ; ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; ; ; ; 19.052 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|dreg[0] ; ; ; ; 17.850 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; ; ; ; 19.083 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|dreg[0] ; ; ; ; 17.928 ; +-------------------------------------------------------------------------------------------------------------------------------------+------------------------+--------------+------------------+--------------+ -+-------------------------------------------------+ -; Slow 1100mV 0C Model Fmax Summary ; -+-----------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+-----------+-----------------+------------+------+ -; 61.32 MHz ; 61.32 MHz ; CLOCK_50 ; ; -+-----------+-----------------+------------+------+ ++-----------------------------------------------------------------------------------------------------------------+ +; Slow 1100mV 0C Model Fmax Summary ; ++-----------+-----------------+----------------------------------------------------------------------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+----------------------------------------------------------------------------+------+ +; 69.98 MHz ; 69.98 MHz ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ; ++-----------+-----------------+----------------------------------------------------------------------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. -+------------------------------------+ -; Slow 1100mV 0C Model Setup Summary ; -+----------+-------+-----------------+ -; Clock ; Slack ; End Point TNS ; -+----------+-------+-----------------+ -; CLOCK_50 ; 3.693 ; 0.000 ; -+----------+-------+-----------------+ ++----------------------------------------------------------------------------------------------------+ +; Slow 1100mV 0C Model Setup Summary ; ++----------------------------------------------------------------------------+-------+---------------+ +; Clock ; Slack ; End Point TNS ; ++----------------------------------------------------------------------------+-------+---------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 5.710 ; 0.000 ; ++----------------------------------------------------------------------------+-------+---------------+ -+-----------------------------------+ -; Slow 1100mV 0C Model Hold Summary ; -+----------+-------+----------------+ -; Clock ; Slack ; End Point TNS ; -+----------+-------+----------------+ -; CLOCK_50 ; 0.255 ; 0.000 ; -+----------+-------+----------------+ ++----------------------------------------------------------------------------------------------------+ +; Slow 1100mV 0C Model Hold Summary ; ++----------------------------------------------------------------------------+-------+---------------+ +; Clock ; Slack ; End Point TNS ; ++----------------------------------------------------------------------------+-------+---------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 0.220 ; 0.000 ; ++----------------------------------------------------------------------------+-------+---------------+ ----------------------------------------- @@ -523,189 +526,191 @@ No paths to report. No paths to report. -+--------------------------------------------------+ -; Slow 1100mV 0C Model Minimum Pulse Width Summary ; -+----------+-------+-------------------------------+ -; Clock ; Slack ; End Point TNS ; -+----------+-------+-------------------------------+ -; CLOCK_50 ; 8.902 ; 0.000 ; -+----------+-------+-------------------------------+ - - -+-----------------------------------------------------------------------+ -; Setup Times ; -+-----------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+-------+------------+-----------------+ -; KEY[*] ; CLOCK_50 ; 4.836 ; 5.653 ; Rise ; CLOCK_50 ; -; KEY[0] ; CLOCK_50 ; 2.774 ; 3.541 ; Rise ; CLOCK_50 ; -; KEY[1] ; CLOCK_50 ; 2.813 ; 3.445 ; Rise ; CLOCK_50 ; -; KEY[2] ; CLOCK_50 ; 3.343 ; 4.240 ; Rise ; CLOCK_50 ; -; KEY[3] ; CLOCK_50 ; 4.836 ; 5.653 ; Rise ; CLOCK_50 ; -; SW[*] ; CLOCK_50 ; 5.842 ; 7.030 ; Rise ; CLOCK_50 ; -; SW[0] ; CLOCK_50 ; 1.432 ; 2.046 ; Rise ; CLOCK_50 ; -; SW[1] ; CLOCK_50 ; 3.354 ; 3.905 ; Rise ; CLOCK_50 ; -; SW[2] ; CLOCK_50 ; 2.422 ; 3.190 ; Rise ; CLOCK_50 ; -; SW[3] ; CLOCK_50 ; 2.280 ; 3.226 ; Rise ; CLOCK_50 ; -; SW[4] ; CLOCK_50 ; 4.013 ; 4.507 ; Rise ; CLOCK_50 ; -; SW[5] ; CLOCK_50 ; 1.806 ; 2.736 ; Rise ; CLOCK_50 ; -; SW[6] ; CLOCK_50 ; 2.677 ; 3.879 ; Rise ; CLOCK_50 ; -; SW[7] ; CLOCK_50 ; 5.842 ; 7.030 ; Rise ; CLOCK_50 ; -; SW[8] ; CLOCK_50 ; 5.132 ; 5.613 ; Rise ; CLOCK_50 ; -; SW[9] ; CLOCK_50 ; 4.542 ; 5.402 ; Rise ; CLOCK_50 ; -+-----------+------------+-------+-------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Hold Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; KEY[*] ; CLOCK_50 ; 0.974 ; 0.286 ; Rise ; CLOCK_50 ; -; KEY[0] ; CLOCK_50 ; 0.138 ; -0.589 ; Rise ; CLOCK_50 ; -; KEY[1] ; CLOCK_50 ; 0.808 ; 0.064 ; Rise ; CLOCK_50 ; -; KEY[2] ; CLOCK_50 ; 0.369 ; -0.574 ; Rise ; CLOCK_50 ; -; KEY[3] ; CLOCK_50 ; 0.974 ; 0.286 ; Rise ; CLOCK_50 ; -; SW[*] ; CLOCK_50 ; 0.297 ; -0.338 ; Rise ; CLOCK_50 ; -; SW[0] ; CLOCK_50 ; -0.442 ; -1.020 ; Rise ; CLOCK_50 ; -; SW[1] ; CLOCK_50 ; -1.640 ; -2.284 ; Rise ; CLOCK_50 ; -; SW[2] ; CLOCK_50 ; 0.297 ; -0.354 ; Rise ; CLOCK_50 ; -; SW[3] ; CLOCK_50 ; 0.032 ; -0.589 ; Rise ; CLOCK_50 ; -; SW[4] ; CLOCK_50 ; 0.046 ; -0.559 ; Rise ; CLOCK_50 ; -; SW[5] ; CLOCK_50 ; 0.225 ; -0.338 ; Rise ; CLOCK_50 ; -; SW[6] ; CLOCK_50 ; -0.152 ; -0.888 ; Rise ; CLOCK_50 ; -; SW[7] ; CLOCK_50 ; -0.344 ; -1.034 ; Rise ; CLOCK_50 ; -; SW[8] ; CLOCK_50 ; 0.174 ; -0.486 ; Rise ; CLOCK_50 ; -; SW[9] ; CLOCK_50 ; -0.207 ; -0.912 ; Rise ; CLOCK_50 ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; GPIO[*] ; CLOCK_50 ; 11.896 ; 11.116 ; Rise ; CLOCK_50 ; -; GPIO[5] ; CLOCK_50 ; 11.896 ; 11.116 ; Rise ; CLOCK_50 ; -; HEX0[*] ; CLOCK_50 ; 10.256 ; 9.657 ; Rise ; CLOCK_50 ; -; HEX0[0] ; CLOCK_50 ; 10.256 ; 9.657 ; Rise ; CLOCK_50 ; -; HEX0[1] ; CLOCK_50 ; 9.196 ; 8.985 ; Rise ; CLOCK_50 ; -; HEX0[2] ; CLOCK_50 ; 9.251 ; 8.913 ; Rise ; CLOCK_50 ; -; HEX0[3] ; CLOCK_50 ; 10.123 ; 9.643 ; Rise ; CLOCK_50 ; -; HEX0[4] ; CLOCK_50 ; 9.386 ; 9.001 ; Rise ; CLOCK_50 ; -; HEX0[5] ; CLOCK_50 ; 9.505 ; 9.235 ; Rise ; CLOCK_50 ; -; HEX0[6] ; CLOCK_50 ; 9.722 ; 9.285 ; Rise ; CLOCK_50 ; -; HEX1[*] ; CLOCK_50 ; 12.454 ; 11.092 ; Rise ; CLOCK_50 ; -; HEX1[0] ; CLOCK_50 ; 9.259 ; 8.950 ; Rise ; CLOCK_50 ; -; HEX1[1] ; CLOCK_50 ; 12.454 ; 11.092 ; Rise ; CLOCK_50 ; -; HEX1[2] ; CLOCK_50 ; 11.999 ; 10.704 ; Rise ; CLOCK_50 ; -; HEX1[3] ; CLOCK_50 ; 9.056 ; 8.806 ; Rise ; CLOCK_50 ; -; HEX1[4] ; CLOCK_50 ; 9.247 ; 8.961 ; Rise ; CLOCK_50 ; -; HEX1[5] ; CLOCK_50 ; 9.186 ; 8.834 ; Rise ; CLOCK_50 ; -; HEX1[6] ; CLOCK_50 ; 10.489 ; 9.809 ; Rise ; CLOCK_50 ; -; HEX2[*] ; CLOCK_50 ; 11.413 ; 10.341 ; Rise ; CLOCK_50 ; -; HEX2[0] ; CLOCK_50 ; 11.413 ; 10.341 ; Rise ; CLOCK_50 ; -; HEX2[1] ; CLOCK_50 ; 9.072 ; 8.771 ; Rise ; CLOCK_50 ; -; HEX2[2] ; CLOCK_50 ; 8.931 ; 8.741 ; Rise ; CLOCK_50 ; -; HEX2[3] ; CLOCK_50 ; 8.669 ; 8.490 ; Rise ; CLOCK_50 ; -; HEX2[4] ; CLOCK_50 ; 8.633 ; 8.474 ; Rise ; CLOCK_50 ; -; HEX2[5] ; CLOCK_50 ; 8.623 ; 8.552 ; Rise ; CLOCK_50 ; -; HEX2[6] ; CLOCK_50 ; 10.970 ; 10.071 ; Rise ; CLOCK_50 ; -; HEX3[*] ; CLOCK_50 ; 12.998 ; 11.421 ; Rise ; CLOCK_50 ; -; HEX3[0] ; CLOCK_50 ; 11.876 ; 10.598 ; Rise ; CLOCK_50 ; -; HEX3[1] ; CLOCK_50 ; 11.215 ; 10.108 ; Rise ; CLOCK_50 ; -; HEX3[2] ; CLOCK_50 ; 9.250 ; 8.910 ; Rise ; CLOCK_50 ; -; HEX3[3] ; CLOCK_50 ; 12.998 ; 11.421 ; Rise ; CLOCK_50 ; -; HEX3[4] ; CLOCK_50 ; 8.891 ; 8.711 ; Rise ; CLOCK_50 ; -; HEX3[5] ; CLOCK_50 ; 9.298 ; 8.950 ; Rise ; CLOCK_50 ; -; HEX3[6] ; CLOCK_50 ; 12.189 ; 10.832 ; Rise ; CLOCK_50 ; -; HEX4[*] ; CLOCK_50 ; 11.762 ; 10.651 ; Rise ; CLOCK_50 ; -; HEX4[0] ; CLOCK_50 ; 9.306 ; 8.953 ; Rise ; CLOCK_50 ; -; HEX4[1] ; CLOCK_50 ; 11.494 ; 10.444 ; Rise ; CLOCK_50 ; -; HEX4[2] ; CLOCK_50 ; 11.762 ; 10.651 ; Rise ; CLOCK_50 ; -; HEX4[3] ; CLOCK_50 ; 10.330 ; 9.649 ; Rise ; CLOCK_50 ; -; HEX4[4] ; CLOCK_50 ; 8.978 ; 8.702 ; Rise ; CLOCK_50 ; -; HEX4[5] ; CLOCK_50 ; 10.269 ; 9.560 ; Rise ; CLOCK_50 ; -; HEX4[6] ; CLOCK_50 ; 9.149 ; 8.880 ; Rise ; CLOCK_50 ; -; HEX5[*] ; CLOCK_50 ; 10.410 ; 9.639 ; Rise ; CLOCK_50 ; -; HEX5[0] ; CLOCK_50 ; 9.494 ; 9.165 ; Rise ; CLOCK_50 ; -; HEX5[1] ; CLOCK_50 ; 9.143 ; 8.822 ; Rise ; CLOCK_50 ; -; HEX5[2] ; CLOCK_50 ; 10.410 ; 9.639 ; Rise ; CLOCK_50 ; -; HEX5[3] ; CLOCK_50 ; 9.069 ; 8.772 ; Rise ; CLOCK_50 ; -; HEX5[4] ; CLOCK_50 ; 9.224 ; 8.960 ; Rise ; CLOCK_50 ; -; HEX5[5] ; CLOCK_50 ; 10.148 ; 9.522 ; Rise ; CLOCK_50 ; -; HEX5[6] ; CLOCK_50 ; 10.187 ; 9.570 ; Rise ; CLOCK_50 ; -; LEDR[*] ; CLOCK_50 ; 12.297 ; 11.771 ; Rise ; CLOCK_50 ; -; LEDR[0] ; CLOCK_50 ; 12.297 ; 11.375 ; Rise ; CLOCK_50 ; -; LEDR[2] ; CLOCK_50 ; 10.450 ; 10.927 ; Rise ; CLOCK_50 ; -; LEDR[3] ; CLOCK_50 ; 9.372 ; 9.971 ; Rise ; CLOCK_50 ; -; LEDR[4] ; CLOCK_50 ; 11.031 ; 11.771 ; Rise ; CLOCK_50 ; -; LEDR[5] ; CLOCK_50 ; 9.952 ; 9.407 ; Rise ; CLOCK_50 ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; GPIO[*] ; CLOCK_50 ; 10.157 ; 9.512 ; Rise ; CLOCK_50 ; -; GPIO[5] ; CLOCK_50 ; 10.157 ; 9.512 ; Rise ; CLOCK_50 ; -; HEX0[*] ; CLOCK_50 ; 8.657 ; 8.414 ; Rise ; CLOCK_50 ; -; HEX0[0] ; CLOCK_50 ; 9.560 ; 9.087 ; Rise ; CLOCK_50 ; -; HEX0[1] ; CLOCK_50 ; 8.670 ; 8.499 ; Rise ; CLOCK_50 ; -; HEX0[2] ; CLOCK_50 ; 8.657 ; 8.414 ; Rise ; CLOCK_50 ; -; HEX0[3] ; CLOCK_50 ; 9.437 ; 9.071 ; Rise ; CLOCK_50 ; -; HEX0[4] ; CLOCK_50 ; 8.788 ; 8.498 ; Rise ; CLOCK_50 ; -; HEX0[5] ; CLOCK_50 ; 8.832 ; 8.676 ; Rise ; CLOCK_50 ; -; HEX0[6] ; CLOCK_50 ; 9.075 ; 8.747 ; Rise ; CLOCK_50 ; -; HEX1[*] ; CLOCK_50 ; 8.532 ; 8.328 ; Rise ; CLOCK_50 ; -; HEX1[0] ; CLOCK_50 ; 8.674 ; 8.451 ; Rise ; CLOCK_50 ; -; HEX1[1] ; CLOCK_50 ; 11.202 ; 10.245 ; Rise ; CLOCK_50 ; -; HEX1[2] ; CLOCK_50 ; 10.724 ; 9.869 ; Rise ; CLOCK_50 ; -; HEX1[3] ; CLOCK_50 ; 8.532 ; 8.328 ; Rise ; CLOCK_50 ; -; HEX1[4] ; CLOCK_50 ; 8.697 ; 8.475 ; Rise ; CLOCK_50 ; -; HEX1[5] ; CLOCK_50 ; 8.604 ; 8.339 ; Rise ; CLOCK_50 ; -; HEX1[6] ; CLOCK_50 ; 9.815 ; 9.245 ; Rise ; CLOCK_50 ; -; HEX2[*] ; CLOCK_50 ; 8.087 ; 8.029 ; Rise ; CLOCK_50 ; -; HEX2[0] ; CLOCK_50 ; 10.306 ; 9.584 ; Rise ; CLOCK_50 ; -; HEX2[1] ; CLOCK_50 ; 8.510 ; 8.287 ; Rise ; CLOCK_50 ; -; HEX2[2] ; CLOCK_50 ; 8.425 ; 8.280 ; Rise ; CLOCK_50 ; -; HEX2[3] ; CLOCK_50 ; 8.177 ; 8.042 ; Rise ; CLOCK_50 ; -; HEX2[4] ; CLOCK_50 ; 8.138 ; 8.029 ; Rise ; CLOCK_50 ; -; HEX2[5] ; CLOCK_50 ; 8.087 ; 8.077 ; Rise ; CLOCK_50 ; -; HEX2[6] ; CLOCK_50 ; 9.823 ; 9.297 ; Rise ; CLOCK_50 ; -; HEX3[*] ; CLOCK_50 ; 8.312 ; 8.217 ; Rise ; CLOCK_50 ; -; HEX3[0] ; CLOCK_50 ; 10.592 ; 9.760 ; Rise ; CLOCK_50 ; -; HEX3[1] ; CLOCK_50 ; 10.022 ; 9.320 ; Rise ; CLOCK_50 ; -; HEX3[2] ; CLOCK_50 ; 8.670 ; 8.414 ; Rise ; CLOCK_50 ; -; HEX3[3] ; CLOCK_50 ; 11.595 ; 10.505 ; Rise ; CLOCK_50 ; -; HEX3[4] ; CLOCK_50 ; 8.312 ; 8.217 ; Rise ; CLOCK_50 ; -; HEX3[5] ; CLOCK_50 ; 8.684 ; 8.436 ; Rise ; CLOCK_50 ; -; HEX3[6] ; CLOCK_50 ; 10.952 ; 10.005 ; Rise ; CLOCK_50 ; -; HEX4[*] ; CLOCK_50 ; 8.407 ; 8.212 ; Rise ; CLOCK_50 ; -; HEX4[0] ; CLOCK_50 ; 8.686 ; 8.434 ; Rise ; CLOCK_50 ; -; HEX4[1] ; CLOCK_50 ; 10.418 ; 9.679 ; Rise ; CLOCK_50 ; -; HEX4[2] ; CLOCK_50 ; 10.493 ; 9.806 ; Rise ; CLOCK_50 ; -; HEX4[3] ; CLOCK_50 ; 9.525 ; 9.032 ; Rise ; CLOCK_50 ; -; HEX4[4] ; CLOCK_50 ; 8.407 ; 8.212 ; Rise ; CLOCK_50 ; -; HEX4[5] ; CLOCK_50 ; 9.400 ; 8.923 ; Rise ; CLOCK_50 ; -; HEX4[6] ; CLOCK_50 ; 8.600 ; 8.391 ; Rise ; CLOCK_50 ; -; HEX5[*] ; CLOCK_50 ; 8.485 ; 8.278 ; Rise ; CLOCK_50 ; -; HEX5[0] ; CLOCK_50 ; 8.863 ; 8.623 ; Rise ; CLOCK_50 ; -; HEX5[1] ; CLOCK_50 ; 8.567 ; 8.325 ; Rise ; CLOCK_50 ; -; HEX5[2] ; CLOCK_50 ; 9.444 ; 8.957 ; Rise ; CLOCK_50 ; -; HEX5[3] ; CLOCK_50 ; 8.485 ; 8.278 ; Rise ; CLOCK_50 ; -; HEX5[4] ; CLOCK_50 ; 8.699 ; 8.479 ; Rise ; CLOCK_50 ; -; HEX5[5] ; CLOCK_50 ; 9.325 ; 8.894 ; Rise ; CLOCK_50 ; -; HEX5[6] ; CLOCK_50 ; 9.503 ; 9.014 ; Rise ; CLOCK_50 ; -; LEDR[*] ; CLOCK_50 ; 8.823 ; 8.842 ; Rise ; CLOCK_50 ; -; LEDR[0] ; CLOCK_50 ; 10.488 ; 9.731 ; Rise ; CLOCK_50 ; -; LEDR[2] ; CLOCK_50 ; 8.896 ; 9.269 ; Rise ; CLOCK_50 ; -; LEDR[3] ; CLOCK_50 ; 8.823 ; 9.317 ; Rise ; CLOCK_50 ; -; LEDR[4] ; CLOCK_50 ; 9.370 ; 9.904 ; Rise ; CLOCK_50 ; -; LEDR[5] ; CLOCK_50 ; 9.258 ; 8.842 ; Rise ; CLOCK_50 ; -+-----------+------------+--------+--------+------------+-----------------+ ++----------------------------------------------------------------------------------------------------+ +; Slow 1100mV 0C Model Minimum Pulse Width Summary ; ++----------------------------------------------------------------------------+-------+---------------+ +; Clock ; Slack ; End Point TNS ; ++----------------------------------------------------------------------------+-------+---------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] ; 1.666 ; 0.000 ; +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 8.736 ; 0.000 ; +; CLOCK_50 ; 9.673 ; 0.000 ; ++----------------------------------------------------------------------------+-------+---------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------+ +; Setup Times ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ +; KEY[*] ; CLOCK_50 ; 1.929 ; 3.661 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[0] ; CLOCK_50 ; 0.325 ; 1.579 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[1] ; CLOCK_50 ; 0.402 ; 1.124 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[2] ; CLOCK_50 ; 0.721 ; 2.050 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[3] ; CLOCK_50 ; 1.929 ; 3.661 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[*] ; CLOCK_50 ; 2.267 ; 3.571 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[0] ; CLOCK_50 ; 0.953 ; 2.056 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[1] ; CLOCK_50 ; -0.685 ; 0.189 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[2] ; CLOCK_50 ; 0.221 ; 1.238 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[3] ; CLOCK_50 ; 0.490 ; 1.429 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[4] ; CLOCK_50 ; 0.403 ; 1.261 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[5] ; CLOCK_50 ; -0.142 ; 0.640 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[6] ; CLOCK_50 ; 0.697 ; 1.465 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[7] ; CLOCK_50 ; 2.267 ; 3.571 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[8] ; CLOCK_50 ; 1.492 ; 1.841 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[9] ; CLOCK_50 ; 0.686 ; 1.886 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------+ +; Hold Times ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +; KEY[*] ; CLOCK_50 ; 4.014 ; 3.259 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[0] ; CLOCK_50 ; 4.014 ; 3.259 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[1] ; CLOCK_50 ; 3.922 ; 3.136 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[2] ; CLOCK_50 ; 3.982 ; 3.135 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[3] ; CLOCK_50 ; 3.896 ; 3.214 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[*] ; CLOCK_50 ; 3.790 ; 3.173 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[0] ; CLOCK_50 ; 1.319 ; 0.465 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[1] ; CLOCK_50 ; 2.695 ; 2.016 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[2] ; CLOCK_50 ; 3.638 ; 2.960 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[3] ; CLOCK_50 ; 3.523 ; 2.830 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[4] ; CLOCK_50 ; 3.508 ; 2.911 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[5] ; CLOCK_50 ; 3.790 ; 3.173 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[6] ; CLOCK_50 ; 3.401 ; 2.728 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[7] ; CLOCK_50 ; 3.334 ; 2.680 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[8] ; CLOCK_50 ; 3.659 ; 2.991 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[9] ; CLOCK_50 ; 3.286 ; 2.605 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Clock to Output Times ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ +; GPIO[*] ; CLOCK_50 ; 15.508 ; 14.677 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; GPIO[5] ; CLOCK_50 ; 15.508 ; 14.677 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[*] ; CLOCK_50 ; 14.910 ; 13.778 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[0] ; CLOCK_50 ; 13.145 ; 12.607 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[1] ; CLOCK_50 ; 12.861 ; 12.398 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[2] ; CLOCK_50 ; 14.201 ; 13.242 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[3] ; CLOCK_50 ; 13.857 ; 13.170 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[4] ; CLOCK_50 ; 13.610 ; 12.811 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[5] ; CLOCK_50 ; 12.737 ; 12.337 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[6] ; CLOCK_50 ; 14.910 ; 13.778 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[*] ; CLOCK_50 ; 14.044 ; 13.127 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[0] ; CLOCK_50 ; 13.922 ; 13.045 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[1] ; CLOCK_50 ; 14.044 ; 13.127 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[2] ; CLOCK_50 ; 13.463 ; 12.768 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[3] ; CLOCK_50 ; 13.521 ; 12.728 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[4] ; CLOCK_50 ; 13.939 ; 13.049 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[5] ; CLOCK_50 ; 13.277 ; 12.639 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[6] ; CLOCK_50 ; 14.012 ; 13.099 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[*] ; CLOCK_50 ; 14.124 ; 13.334 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[0] ; CLOCK_50 ; 13.981 ; 13.112 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[1] ; CLOCK_50 ; 13.857 ; 13.091 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[2] ; CLOCK_50 ; 13.495 ; 12.741 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[3] ; CLOCK_50 ; 12.697 ; 12.244 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[4] ; CLOCK_50 ; 13.638 ; 12.820 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[5] ; CLOCK_50 ; 13.161 ; 12.543 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[6] ; CLOCK_50 ; 14.124 ; 13.334 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[*] ; CLOCK_50 ; 14.945 ; 13.852 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[0] ; CLOCK_50 ; 14.945 ; 13.852 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[1] ; CLOCK_50 ; 13.201 ; 12.523 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[2] ; CLOCK_50 ; 14.565 ; 13.523 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[3] ; CLOCK_50 ; 14.271 ; 13.288 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[4] ; CLOCK_50 ; 12.115 ; 11.893 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[5] ; CLOCK_50 ; 14.152 ; 13.183 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[6] ; CLOCK_50 ; 13.682 ; 13.005 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[*] ; CLOCK_50 ; 13.945 ; 13.041 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[0] ; CLOCK_50 ; 13.945 ; 13.041 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[1] ; CLOCK_50 ; 13.368 ; 12.616 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[2] ; CLOCK_50 ; 13.852 ; 13.033 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[3] ; CLOCK_50 ; 13.485 ; 12.788 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[4] ; CLOCK_50 ; 13.675 ; 12.833 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[5] ; CLOCK_50 ; 12.101 ; 11.826 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[6] ; CLOCK_50 ; 13.038 ; 12.433 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[*] ; CLOCK_50 ; 13.539 ; 12.776 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[0] ; CLOCK_50 ; 12.021 ; 11.854 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[1] ; CLOCK_50 ; 13.278 ; 12.554 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[2] ; CLOCK_50 ; 12.509 ; 12.128 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[3] ; CLOCK_50 ; 13.539 ; 12.776 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[4] ; CLOCK_50 ; 13.079 ; 12.561 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[5] ; CLOCK_50 ; 12.822 ; 12.300 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[6] ; CLOCK_50 ; 13.293 ; 12.703 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[*] ; CLOCK_50 ; 15.502 ; 16.499 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[0] ; CLOCK_50 ; 15.502 ; 14.659 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[2] ; CLOCK_50 ; 15.380 ; 16.499 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[3] ; CLOCK_50 ; 13.989 ; 14.993 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[4] ; CLOCK_50 ; 14.684 ; 15.377 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[5] ; CLOCK_50 ; 14.326 ; 13.471 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ +; GPIO[*] ; CLOCK_50 ; 13.075 ; 12.399 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; GPIO[5] ; CLOCK_50 ; 13.075 ; 12.399 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[*] ; CLOCK_50 ; 11.470 ; 11.174 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[0] ; CLOCK_50 ; 11.735 ; 11.387 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[1] ; CLOCK_50 ; 11.507 ; 11.204 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[2] ; CLOCK_50 ; 12.534 ; 11.906 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[3] ; CLOCK_50 ; 12.494 ; 11.950 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[4] ; CLOCK_50 ; 12.025 ; 11.513 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[5] ; CLOCK_50 ; 11.470 ; 11.174 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[6] ; CLOCK_50 ; 13.172 ; 12.394 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[*] ; CLOCK_50 ; 11.830 ; 11.396 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[0] ; CLOCK_50 ; 12.271 ; 11.721 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[1] ; CLOCK_50 ; 12.398 ; 11.794 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[2] ; CLOCK_50 ; 11.894 ; 11.478 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[3] ; CLOCK_50 ; 11.949 ; 11.439 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[4] ; CLOCK_50 ; 12.319 ; 11.739 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[5] ; CLOCK_50 ; 11.830 ; 11.396 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[6] ; CLOCK_50 ; 12.399 ; 11.789 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[*] ; CLOCK_50 ; 11.340 ; 11.058 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[0] ; CLOCK_50 ; 12.360 ; 11.785 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[1] ; CLOCK_50 ; 12.243 ; 11.764 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[2] ; CLOCK_50 ; 11.919 ; 11.454 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[3] ; CLOCK_50 ; 11.340 ; 11.058 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[4] ; CLOCK_50 ; 12.054 ; 11.522 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[5] ; CLOCK_50 ; 11.615 ; 11.270 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[6] ; CLOCK_50 ; 12.535 ; 11.998 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[*] ; CLOCK_50 ; 10.928 ; 10.784 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[0] ; CLOCK_50 ; 13.142 ; 12.420 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[1] ; CLOCK_50 ; 11.652 ; 11.256 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[2] ; CLOCK_50 ; 12.869 ; 12.161 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[3] ; CLOCK_50 ; 12.633 ; 11.958 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[4] ; CLOCK_50 ; 10.928 ; 10.784 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[5] ; CLOCK_50 ; 12.559 ; 11.872 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[6] ; CLOCK_50 ; 12.253 ; 11.760 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[*] ; CLOCK_50 ; 10.933 ; 10.721 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[0] ; CLOCK_50 ; 12.298 ; 11.712 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[1] ; CLOCK_50 ; 11.822 ; 11.336 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[2] ; CLOCK_50 ; 12.198 ; 11.699 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[3] ; CLOCK_50 ; 11.917 ; 11.493 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[4] ; CLOCK_50 ; 12.070 ; 11.522 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[5] ; CLOCK_50 ; 10.933 ; 10.721 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[6] ; CLOCK_50 ; 11.505 ; 11.168 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[*] ; CLOCK_50 ; 10.843 ; 10.740 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[0] ; CLOCK_50 ; 10.843 ; 10.740 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[1] ; CLOCK_50 ; 11.744 ; 11.295 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[2] ; CLOCK_50 ; 11.263 ; 10.990 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[3] ; CLOCK_50 ; 11.923 ; 11.470 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[4] ; CLOCK_50 ; 11.658 ; 11.333 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[5] ; CLOCK_50 ; 11.439 ; 11.100 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[6] ; CLOCK_50 ; 12.002 ; 11.527 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[*] ; CLOCK_50 ; 12.435 ; 12.215 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[0] ; CLOCK_50 ; 13.070 ; 12.382 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[2] ; CLOCK_50 ; 13.016 ; 13.929 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[3] ; CLOCK_50 ; 12.691 ; 13.511 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[4] ; CLOCK_50 ; 12.435 ; 13.025 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[5] ; CLOCK_50 ; 12.906 ; 12.215 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ +----------------------------------------------------+ @@ -713,7 +718,7 @@ No paths to report. +------------+-------------+-------+----+----+-------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+-------+----+----+-------+ -; GPIO[7] ; LEDR[1] ; 7.465 ; ; ; 8.056 ; +; GPIO[7] ; LEDR[1] ; 7.715 ; ; ; 8.490 ; +------------+-------------+-------+----+----+-------+ @@ -722,7 +727,7 @@ No paths to report. +------------+-------------+-------+----+----+-------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+-------+----+----+-------+ -; GPIO[7] ; LEDR[1] ; 5.983 ; ; ; 6.535 ; +; GPIO[7] ; LEDR[1] ; 6.197 ; ; ; 6.891 ; +------------+-------------+-------+----+----+-------+ @@ -735,7 +740,7 @@ Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. Number of Synchronizer Chains Found: 1 Shortest Synchronizer Chain: 2 Registers Fraction of Chains for which MTBFs Could Not be Calculated: 0.000 -Worst Case Available Settling Time: 36.899 ns +Worst Case Available Settling Time: 36.989 ns Worst-Case MTBF values are calculated based on the worst-case silicon characteristics, with worst-case operating conditions. - Under worst-case conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 5.2 @@ -776,37 +781,37 @@ Synchronizer Chain #1: Worst-Case MTBF is Greater than 1 Billion Years ; Worst-Case MTBF (years) ; Greater than 1 Billion ; ; ; ; ; Typical MTBF (years) ; Greater than 1 Billion ; ; ; ; ; Number of Synchronization Registers in Chain ; 2 ; ; ; ; -; Available Settling Time (ns) ; 36.899 ; ; ; ; +; Available Settling Time (ns) ; 36.989 ; ; ; ; ; Data Toggle Rate Used in MTBF Calculation (millions of transitions / sec) ; 6.25 ; ; ; ; ; Source Clock ; ; ; ; ; ; Unknown ; ; ; ; ; ; Synchronization Clock ; ; ; ; ; -; CLOCK_50 ; ; 20.000 ; 50.0 MHz ; ; +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ; 20.000 ; 50.0 MHz ; ; ; Asynchronous Source ; ; ; ; ; ; GPIO[7] ; ; ; ; ; ; Synchronization Registers ; ; ; ; ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; ; ; ; 19.046 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|dreg[0] ; ; ; ; 17.853 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; ; ; ; 19.074 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|dreg[0] ; ; ; ; 17.915 ; +-------------------------------------------------------------------------------------------------------------------------------------+------------------------+--------------+------------------+--------------+ -+-------------------------------------+ -; Fast 1100mV 85C Model Setup Summary ; -+----------+--------+-----------------+ -; Clock ; Slack ; End Point TNS ; -+----------+--------+-----------------+ -; CLOCK_50 ; 10.143 ; 0.000 ; -+----------+--------+-----------------+ ++-----------------------------------------------------------------------------------------------------+ +; Fast 1100mV 85C Model Setup Summary ; ++----------------------------------------------------------------------------+--------+---------------+ +; Clock ; Slack ; End Point TNS ; ++----------------------------------------------------------------------------+--------+---------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 11.255 ; 0.000 ; ++----------------------------------------------------------------------------+--------+---------------+ -+------------------------------------+ -; Fast 1100mV 85C Model Hold Summary ; -+----------+-------+-----------------+ -; Clock ; Slack ; End Point TNS ; -+----------+-------+-----------------+ -; CLOCK_50 ; 0.166 ; 0.000 ; -+----------+-------+-----------------+ ++----------------------------------------------------------------------------------------------------+ +; Fast 1100mV 85C Model Hold Summary ; ++----------------------------------------------------------------------------+-------+---------------+ +; Clock ; Slack ; End Point TNS ; ++----------------------------------------------------------------------------+-------+---------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 0.148 ; 0.000 ; ++----------------------------------------------------------------------------+-------+---------------+ ------------------------------------------ @@ -821,189 +826,191 @@ No paths to report. No paths to report. -+---------------------------------------------------+ -; Fast 1100mV 85C Model Minimum Pulse Width Summary ; -+----------+-------+--------------------------------+ -; Clock ; Slack ; End Point TNS ; -+----------+-------+--------------------------------+ -; CLOCK_50 ; 8.476 ; 0.000 ; -+----------+-------+--------------------------------+ - - -+-----------------------------------------------------------------------+ -; Setup Times ; -+-----------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+-------+------------+-----------------+ -; KEY[*] ; CLOCK_50 ; 3.096 ; 4.174 ; Rise ; CLOCK_50 ; -; KEY[0] ; CLOCK_50 ; 1.703 ; 2.794 ; Rise ; CLOCK_50 ; -; KEY[1] ; CLOCK_50 ; 1.768 ; 2.587 ; Rise ; CLOCK_50 ; -; KEY[2] ; CLOCK_50 ; 2.286 ; 3.388 ; Rise ; CLOCK_50 ; -; KEY[3] ; CLOCK_50 ; 3.096 ; 4.174 ; Rise ; CLOCK_50 ; -; SW[*] ; CLOCK_50 ; 3.685 ; 5.220 ; Rise ; CLOCK_50 ; -; SW[0] ; CLOCK_50 ; 0.908 ; 1.910 ; Rise ; CLOCK_50 ; -; SW[1] ; CLOCK_50 ; 2.048 ; 2.955 ; Rise ; CLOCK_50 ; -; SW[2] ; CLOCK_50 ; 1.612 ; 2.650 ; Rise ; CLOCK_50 ; -; SW[3] ; CLOCK_50 ; 1.397 ; 2.659 ; Rise ; CLOCK_50 ; -; SW[4] ; CLOCK_50 ; 2.650 ; 3.448 ; Rise ; CLOCK_50 ; -; SW[5] ; CLOCK_50 ; 1.143 ; 2.288 ; Rise ; CLOCK_50 ; -; SW[6] ; CLOCK_50 ; 1.729 ; 3.120 ; Rise ; CLOCK_50 ; -; SW[7] ; CLOCK_50 ; 3.685 ; 5.220 ; Rise ; CLOCK_50 ; -; SW[8] ; CLOCK_50 ; 3.355 ; 4.167 ; Rise ; CLOCK_50 ; -; SW[9] ; CLOCK_50 ; 2.930 ; 3.940 ; Rise ; CLOCK_50 ; -+-----------+------------+-------+-------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Hold Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; KEY[*] ; CLOCK_50 ; 0.424 ; -0.508 ; Rise ; CLOCK_50 ; -; KEY[0] ; CLOCK_50 ; 0.015 ; -0.988 ; Rise ; CLOCK_50 ; -; KEY[1] ; CLOCK_50 ; 0.344 ; -0.608 ; Rise ; CLOCK_50 ; -; KEY[2] ; CLOCK_50 ; 0.073 ; -1.043 ; Rise ; CLOCK_50 ; -; KEY[3] ; CLOCK_50 ; 0.424 ; -0.508 ; Rise ; CLOCK_50 ; -; SW[*] ; CLOCK_50 ; 0.028 ; -0.859 ; Rise ; CLOCK_50 ; -; SW[0] ; CLOCK_50 ; -0.381 ; -1.344 ; Rise ; CLOCK_50 ; -; SW[1] ; CLOCK_50 ; -1.196 ; -2.118 ; Rise ; CLOCK_50 ; -; SW[2] ; CLOCK_50 ; 0.008 ; -0.941 ; Rise ; CLOCK_50 ; -; SW[3] ; CLOCK_50 ; -0.049 ; -0.984 ; Rise ; CLOCK_50 ; -; SW[4] ; CLOCK_50 ; -0.117 ; -1.015 ; Rise ; CLOCK_50 ; -; SW[5] ; CLOCK_50 ; 0.028 ; -0.859 ; Rise ; CLOCK_50 ; -; SW[6] ; CLOCK_50 ; -0.296 ; -1.295 ; Rise ; CLOCK_50 ; -; SW[7] ; CLOCK_50 ; -0.331 ; -1.331 ; Rise ; CLOCK_50 ; -; SW[8] ; CLOCK_50 ; -0.046 ; -0.999 ; Rise ; CLOCK_50 ; -; SW[9] ; CLOCK_50 ; -0.289 ; -1.264 ; Rise ; CLOCK_50 ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-----------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+-------+------------+-----------------+ -; GPIO[*] ; CLOCK_50 ; 7.631 ; 6.944 ; Rise ; CLOCK_50 ; -; GPIO[5] ; CLOCK_50 ; 7.631 ; 6.944 ; Rise ; CLOCK_50 ; -; HEX0[*] ; CLOCK_50 ; 6.650 ; 6.073 ; Rise ; CLOCK_50 ; -; HEX0[0] ; CLOCK_50 ; 6.650 ; 6.073 ; Rise ; CLOCK_50 ; -; HEX0[1] ; CLOCK_50 ; 5.845 ; 5.593 ; Rise ; CLOCK_50 ; -; HEX0[2] ; CLOCK_50 ; 5.922 ; 5.581 ; Rise ; CLOCK_50 ; -; HEX0[3] ; CLOCK_50 ; 6.532 ; 6.061 ; Rise ; CLOCK_50 ; -; HEX0[4] ; CLOCK_50 ; 6.033 ; 5.644 ; Rise ; CLOCK_50 ; -; HEX0[5] ; CLOCK_50 ; 6.039 ; 5.762 ; Rise ; CLOCK_50 ; -; HEX0[6] ; CLOCK_50 ; 6.249 ; 5.825 ; Rise ; CLOCK_50 ; -; HEX1[*] ; CLOCK_50 ; 8.346 ; 7.140 ; Rise ; CLOCK_50 ; -; HEX1[0] ; CLOCK_50 ; 5.911 ; 5.586 ; Rise ; CLOCK_50 ; -; HEX1[1] ; CLOCK_50 ; 8.346 ; 7.140 ; Rise ; CLOCK_50 ; -; HEX1[2] ; CLOCK_50 ; 8.010 ; 6.863 ; Rise ; CLOCK_50 ; -; HEX1[3] ; CLOCK_50 ; 5.783 ; 5.499 ; Rise ; CLOCK_50 ; -; HEX1[4] ; CLOCK_50 ; 5.906 ; 5.592 ; Rise ; CLOCK_50 ; -; HEX1[5] ; CLOCK_50 ; 5.865 ; 5.508 ; Rise ; CLOCK_50 ; -; HEX1[6] ; CLOCK_50 ; 6.842 ; 6.200 ; Rise ; CLOCK_50 ; -; HEX2[*] ; CLOCK_50 ; 7.604 ; 6.653 ; Rise ; CLOCK_50 ; -; HEX2[0] ; CLOCK_50 ; 7.604 ; 6.653 ; Rise ; CLOCK_50 ; -; HEX2[1] ; CLOCK_50 ; 5.788 ; 5.466 ; Rise ; CLOCK_50 ; -; HEX2[2] ; CLOCK_50 ; 5.675 ; 5.435 ; Rise ; CLOCK_50 ; -; HEX2[3] ; CLOCK_50 ; 5.506 ; 5.287 ; Rise ; CLOCK_50 ; -; HEX2[4] ; CLOCK_50 ; 5.473 ; 5.270 ; Rise ; CLOCK_50 ; -; HEX2[5] ; CLOCK_50 ; 5.445 ; 5.334 ; Rise ; CLOCK_50 ; -; HEX2[6] ; CLOCK_50 ; 7.214 ; 6.423 ; Rise ; CLOCK_50 ; -; HEX3[*] ; CLOCK_50 ; 8.794 ; 7.418 ; Rise ; CLOCK_50 ; -; HEX3[0] ; CLOCK_50 ; 7.957 ; 6.835 ; Rise ; CLOCK_50 ; -; HEX3[1] ; CLOCK_50 ; 7.494 ; 6.513 ; Rise ; CLOCK_50 ; -; HEX3[2] ; CLOCK_50 ; 5.948 ; 5.609 ; Rise ; CLOCK_50 ; -; HEX3[3] ; CLOCK_50 ; 8.794 ; 7.418 ; Rise ; CLOCK_50 ; -; HEX3[4] ; CLOCK_50 ; 5.621 ; 5.415 ; Rise ; CLOCK_50 ; -; HEX3[5] ; CLOCK_50 ; 5.963 ; 5.621 ; Rise ; CLOCK_50 ; -; HEX3[6] ; CLOCK_50 ; 8.198 ; 6.994 ; Rise ; CLOCK_50 ; -; HEX4[*] ; CLOCK_50 ; 7.820 ; 6.853 ; Rise ; CLOCK_50 ; -; HEX4[0] ; CLOCK_50 ; 5.974 ; 5.614 ; Rise ; CLOCK_50 ; -; HEX4[1] ; CLOCK_50 ; 7.682 ; 6.742 ; Rise ; CLOCK_50 ; -; HEX4[2] ; CLOCK_50 ; 7.820 ; 6.853 ; Rise ; CLOCK_50 ; -; HEX4[3] ; CLOCK_50 ; 6.747 ; 6.124 ; Rise ; CLOCK_50 ; -; HEX4[4] ; CLOCK_50 ; 5.762 ; 5.470 ; Rise ; CLOCK_50 ; -; HEX4[5] ; CLOCK_50 ; 6.746 ; 6.088 ; Rise ; CLOCK_50 ; -; HEX4[6] ; CLOCK_50 ; 5.874 ; 5.576 ; Rise ; CLOCK_50 ; -; HEX5[*] ; CLOCK_50 ; 6.875 ; 6.177 ; Rise ; CLOCK_50 ; -; HEX5[0] ; CLOCK_50 ; 6.153 ; 5.833 ; Rise ; CLOCK_50 ; -; HEX5[1] ; CLOCK_50 ; 5.855 ; 5.516 ; Rise ; CLOCK_50 ; -; HEX5[2] ; CLOCK_50 ; 6.875 ; 6.177 ; Rise ; CLOCK_50 ; -; HEX5[3] ; CLOCK_50 ; 5.825 ; 5.505 ; Rise ; CLOCK_50 ; -; HEX5[4] ; CLOCK_50 ; 5.897 ; 5.600 ; Rise ; CLOCK_50 ; -; HEX5[5] ; CLOCK_50 ; 6.659 ; 6.076 ; Rise ; CLOCK_50 ; -; HEX5[6] ; CLOCK_50 ; 6.579 ; 6.008 ; Rise ; CLOCK_50 ; -; LEDR[*] ; CLOCK_50 ; 7.897 ; 7.526 ; Rise ; CLOCK_50 ; -; LEDR[0] ; CLOCK_50 ; 7.897 ; 7.089 ; Rise ; CLOCK_50 ; -; LEDR[2] ; CLOCK_50 ; 6.451 ; 6.878 ; Rise ; CLOCK_50 ; -; LEDR[3] ; CLOCK_50 ; 5.877 ; 6.396 ; Rise ; CLOCK_50 ; -; LEDR[4] ; CLOCK_50 ; 6.881 ; 7.526 ; Rise ; CLOCK_50 ; -; LEDR[5] ; CLOCK_50 ; 6.349 ; 5.888 ; Rise ; CLOCK_50 ; -+-----------+------------+-------+-------+------------+-----------------+ - - -+-----------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+-------+------------+-----------------+ -; GPIO[*] ; CLOCK_50 ; 6.656 ; 6.057 ; Rise ; CLOCK_50 ; -; GPIO[5] ; CLOCK_50 ; 6.656 ; 6.057 ; Rise ; CLOCK_50 ; -; HEX0[*] ; CLOCK_50 ; 5.554 ; 5.309 ; Rise ; CLOCK_50 ; -; HEX0[0] ; CLOCK_50 ; 6.239 ; 5.762 ; Rise ; CLOCK_50 ; -; HEX0[1] ; CLOCK_50 ; 5.554 ; 5.332 ; Rise ; CLOCK_50 ; -; HEX0[2] ; CLOCK_50 ; 5.579 ; 5.309 ; Rise ; CLOCK_50 ; -; HEX0[3] ; CLOCK_50 ; 6.131 ; 5.749 ; Rise ; CLOCK_50 ; -; HEX0[4] ; CLOCK_50 ; 5.674 ; 5.375 ; Rise ; CLOCK_50 ; -; HEX0[5] ; CLOCK_50 ; 5.643 ; 5.457 ; Rise ; CLOCK_50 ; -; HEX0[6] ; CLOCK_50 ; 5.876 ; 5.528 ; Rise ; CLOCK_50 ; -; HEX1[*] ; CLOCK_50 ; 5.481 ; 5.239 ; Rise ; CLOCK_50 ; -; HEX1[0] ; CLOCK_50 ; 5.569 ; 5.315 ; Rise ; CLOCK_50 ; -; HEX1[1] ; CLOCK_50 ; 7.496 ; 6.640 ; Rise ; CLOCK_50 ; -; HEX1[2] ; CLOCK_50 ; 7.133 ; 6.371 ; Rise ; CLOCK_50 ; -; HEX1[3] ; CLOCK_50 ; 5.481 ; 5.239 ; Rise ; CLOCK_50 ; -; HEX1[4] ; CLOCK_50 ; 5.586 ; 5.331 ; Rise ; CLOCK_50 ; -; HEX1[5] ; CLOCK_50 ; 5.526 ; 5.239 ; Rise ; CLOCK_50 ; -; HEX1[6] ; CLOCK_50 ; 6.441 ; 5.892 ; Rise ; CLOCK_50 ; -; HEX2[*] ; CLOCK_50 ; 5.141 ; 5.032 ; Rise ; CLOCK_50 ; -; HEX2[0] ; CLOCK_50 ; 6.858 ; 6.213 ; Rise ; CLOCK_50 ; -; HEX2[1] ; CLOCK_50 ; 5.451 ; 5.207 ; Rise ; CLOCK_50 ; -; HEX2[2] ; CLOCK_50 ; 5.390 ; 5.194 ; Rise ; CLOCK_50 ; -; HEX2[3] ; CLOCK_50 ; 5.211 ; 5.047 ; Rise ; CLOCK_50 ; -; HEX2[4] ; CLOCK_50 ; 5.187 ; 5.032 ; Rise ; CLOCK_50 ; -; HEX2[5] ; CLOCK_50 ; 5.141 ; 5.079 ; Rise ; CLOCK_50 ; -; HEX2[6] ; CLOCK_50 ; 6.455 ; 5.969 ; Rise ; CLOCK_50 ; -; HEX3[*] ; CLOCK_50 ; 5.288 ; 5.148 ; Rise ; CLOCK_50 ; -; HEX3[0] ; CLOCK_50 ; 7.082 ; 6.339 ; Rise ; CLOCK_50 ; -; HEX3[1] ; CLOCK_50 ; 6.672 ; 6.041 ; Rise ; CLOCK_50 ; -; HEX3[2] ; CLOCK_50 ; 5.616 ; 5.340 ; Rise ; CLOCK_50 ; -; HEX3[3] ; CLOCK_50 ; 7.843 ; 6.873 ; Rise ; CLOCK_50 ; -; HEX3[4] ; CLOCK_50 ; 5.288 ; 5.148 ; Rise ; CLOCK_50 ; -; HEX3[5] ; CLOCK_50 ; 5.604 ; 5.342 ; Rise ; CLOCK_50 ; -; HEX3[6] ; CLOCK_50 ; 7.359 ; 6.507 ; Rise ; CLOCK_50 ; -; HEX4[*] ; CLOCK_50 ; 5.429 ; 5.205 ; Rise ; CLOCK_50 ; -; HEX4[0] ; CLOCK_50 ; 5.613 ; 5.332 ; Rise ; CLOCK_50 ; -; HEX4[1] ; CLOCK_50 ; 6.947 ; 6.285 ; Rise ; CLOCK_50 ; -; HEX4[2] ; CLOCK_50 ; 6.983 ; 6.355 ; Rise ; CLOCK_50 ; -; HEX4[3] ; CLOCK_50 ; 6.241 ; 5.777 ; Rise ; CLOCK_50 ; -; HEX4[4] ; CLOCK_50 ; 5.429 ; 5.205 ; Rise ; CLOCK_50 ; -; HEX4[5] ; CLOCK_50 ; 6.175 ; 5.726 ; Rise ; CLOCK_50 ; -; HEX4[6] ; CLOCK_50 ; 5.554 ; 5.314 ; Rise ; CLOCK_50 ; -; HEX5[*] ; CLOCK_50 ; 5.478 ; 5.239 ; Rise ; CLOCK_50 ; -; HEX5[0] ; CLOCK_50 ; 5.757 ; 5.527 ; Rise ; CLOCK_50 ; -; HEX5[1] ; CLOCK_50 ; 5.506 ; 5.248 ; Rise ; CLOCK_50 ; -; HEX5[2] ; CLOCK_50 ; 6.211 ; 5.779 ; Rise ; CLOCK_50 ; -; HEX5[3] ; CLOCK_50 ; 5.478 ; 5.239 ; Rise ; CLOCK_50 ; -; HEX5[4] ; CLOCK_50 ; 5.599 ; 5.342 ; Rise ; CLOCK_50 ; -; HEX5[5] ; CLOCK_50 ; 6.109 ; 5.715 ; Rise ; CLOCK_50 ; -; HEX5[6] ; CLOCK_50 ; 6.177 ; 5.708 ; Rise ; CLOCK_50 ; -; LEDR[*] ; CLOCK_50 ; 5.575 ; 5.580 ; Rise ; CLOCK_50 ; -; LEDR[0] ; CLOCK_50 ; 6.873 ; 6.179 ; Rise ; CLOCK_50 ; -; LEDR[2] ; CLOCK_50 ; 5.592 ; 5.947 ; Rise ; CLOCK_50 ; -; LEDR[3] ; CLOCK_50 ; 5.575 ; 6.029 ; Rise ; CLOCK_50 ; -; LEDR[4] ; CLOCK_50 ; 5.951 ; 6.423 ; Rise ; CLOCK_50 ; -; LEDR[5] ; CLOCK_50 ; 5.951 ; 5.580 ; Rise ; CLOCK_50 ; -+-----------+------------+-------+-------+------------+-----------------+ ++----------------------------------------------------------------------------------------------------+ +; Fast 1100mV 85C Model Minimum Pulse Width Summary ; ++----------------------------------------------------------------------------+-------+---------------+ +; Clock ; Slack ; End Point TNS ; ++----------------------------------------------------------------------------+-------+---------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] ; 1.666 ; 0.000 ; +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 8.881 ; 0.000 ; +; CLOCK_50 ; 9.336 ; 0.000 ; ++----------------------------------------------------------------------------+-------+---------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------+ +; Setup Times ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ +; KEY[*] ; CLOCK_50 ; 1.450 ; 3.249 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[0] ; CLOCK_50 ; 0.301 ; 1.616 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[1] ; CLOCK_50 ; 0.290 ; 1.470 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[2] ; CLOCK_50 ; 0.397 ; 1.829 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[3] ; CLOCK_50 ; 1.450 ; 3.249 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[*] ; CLOCK_50 ; 1.283 ; 2.998 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[0] ; CLOCK_50 ; 0.797 ; 2.238 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[1] ; CLOCK_50 ; -0.426 ; 0.725 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[2] ; CLOCK_50 ; 0.229 ; 1.491 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[3] ; CLOCK_50 ; 0.362 ; 1.645 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[4] ; CLOCK_50 ; 0.491 ; 1.614 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[5] ; CLOCK_50 ; 0.059 ; 1.132 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[6] ; CLOCK_50 ; 0.539 ; 1.566 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[7] ; CLOCK_50 ; 1.263 ; 2.998 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[8] ; CLOCK_50 ; 1.283 ; 1.957 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[9] ; CLOCK_50 ; 0.571 ; 2.058 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------+ +; Hold Times ; ++-----------+------------+-------+--------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+--------+------------+----------------------------------------------------------------------------+ +; KEY[*] ; CLOCK_50 ; 2.186 ; 1.177 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[0] ; CLOCK_50 ; 2.186 ; 1.177 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[1] ; CLOCK_50 ; 2.177 ; 1.152 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[2] ; CLOCK_50 ; 2.170 ; 1.134 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[3] ; CLOCK_50 ; 2.129 ; 1.141 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[*] ; CLOCK_50 ; 2.043 ; 1.143 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[0] ; CLOCK_50 ; 0.476 ; -0.574 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[1] ; CLOCK_50 ; 1.447 ; 0.426 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[2] ; CLOCK_50 ; 1.950 ; 1.006 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[3] ; CLOCK_50 ; 1.893 ; 0.924 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[4] ; CLOCK_50 ; 1.859 ; 0.932 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[5] ; CLOCK_50 ; 2.043 ; 1.143 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[6] ; CLOCK_50 ; 1.822 ; 0.864 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[7] ; CLOCK_50 ; 1.784 ; 0.833 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[8] ; CLOCK_50 ; 1.931 ; 0.944 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[9] ; CLOCK_50 ; 1.748 ; 0.806 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+-------+--------+------------+----------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------+ +; Clock to Output Times ; ++-----------+------------+-------+--------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+--------+------------+----------------------------------------------------------------------------+ +; GPIO[*] ; CLOCK_50 ; 9.785 ; 9.035 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; GPIO[5] ; CLOCK_50 ; 9.785 ; 9.035 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[*] ; CLOCK_50 ; 9.635 ; 8.627 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[0] ; CLOCK_50 ; 8.241 ; 7.761 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[1] ; CLOCK_50 ; 8.054 ; 7.630 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[2] ; CLOCK_50 ; 9.089 ; 8.239 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[3] ; CLOCK_50 ; 8.813 ; 8.167 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[4] ; CLOCK_50 ; 8.655 ; 7.947 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[5] ; CLOCK_50 ; 7.938 ; 7.545 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[6] ; CLOCK_50 ; 9.635 ; 8.627 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[*] ; CLOCK_50 ; 8.965 ; 8.163 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[0] ; CLOCK_50 ; 8.838 ; 8.075 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[1] ; CLOCK_50 ; 8.941 ; 8.139 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[2] ; CLOCK_50 ; 8.543 ; 7.908 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[3] ; CLOCK_50 ; 8.602 ; 7.895 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[4] ; CLOCK_50 ; 8.872 ; 8.079 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[5] ; CLOCK_50 ; 8.396 ; 7.811 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[6] ; CLOCK_50 ; 8.965 ; 8.163 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[*] ; CLOCK_50 ; 9.044 ; 8.322 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[0] ; CLOCK_50 ; 8.923 ; 8.167 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[1] ; CLOCK_50 ; 8.794 ; 8.086 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[2] ; CLOCK_50 ; 8.589 ; 7.910 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[3] ; CLOCK_50 ; 7.954 ; 7.530 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[4] ; CLOCK_50 ; 8.667 ; 7.943 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[5] ; CLOCK_50 ; 8.339 ; 7.770 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[6] ; CLOCK_50 ; 9.044 ; 8.322 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[*] ; CLOCK_50 ; 9.692 ; 8.701 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[0] ; CLOCK_50 ; 9.692 ; 8.701 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[1] ; CLOCK_50 ; 8.376 ; 7.780 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[2] ; CLOCK_50 ; 9.392 ; 8.461 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[3] ; CLOCK_50 ; 9.179 ; 8.319 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[4] ; CLOCK_50 ; 7.523 ; 7.290 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[5] ; CLOCK_50 ; 9.072 ; 8.220 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[6] ; CLOCK_50 ; 8.673 ; 8.038 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[*] ; CLOCK_50 ; 8.937 ; 8.137 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[0] ; CLOCK_50 ; 8.937 ; 8.137 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[1] ; CLOCK_50 ; 8.502 ; 7.832 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[2] ; CLOCK_50 ; 8.834 ; 8.118 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[3] ; CLOCK_50 ; 8.572 ; 7.965 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[4] ; CLOCK_50 ; 8.727 ; 7.980 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[5] ; CLOCK_50 ; 7.549 ; 7.259 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[6] ; CLOCK_50 ; 8.266 ; 7.716 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[*] ; CLOCK_50 ; 8.640 ; 7.947 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[0] ; CLOCK_50 ; 7.469 ; 7.285 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[1] ; CLOCK_50 ; 8.403 ; 7.752 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[2] ; CLOCK_50 ; 7.844 ; 7.466 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[3] ; CLOCK_50 ; 8.640 ; 7.947 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[4] ; CLOCK_50 ; 8.223 ; 7.748 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[5] ; CLOCK_50 ; 8.093 ; 7.611 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[6] ; CLOCK_50 ; 8.399 ; 7.831 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[*] ; CLOCK_50 ; 9.779 ; 10.447 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[0] ; CLOCK_50 ; 9.779 ; 9.013 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[2] ; CLOCK_50 ; 9.447 ; 10.447 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[3] ; CLOCK_50 ; 8.733 ; 9.656 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[4] ; CLOCK_50 ; 9.007 ; 9.651 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[5] ; CLOCK_50 ; 9.092 ; 8.350 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+-------+--------+------------+----------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +; GPIO[*] ; CLOCK_50 ; 8.376 ; 7.772 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; GPIO[5] ; CLOCK_50 ; 8.376 ; 7.772 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[*] ; CLOCK_50 ; 7.204 ; 6.901 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[0] ; CLOCK_50 ; 7.407 ; 7.079 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[1] ; CLOCK_50 ; 7.259 ; 6.962 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[2] ; CLOCK_50 ; 8.066 ; 7.481 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[3] ; CLOCK_50 ; 8.029 ; 7.489 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[4] ; CLOCK_50 ; 7.678 ; 7.209 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[5] ; CLOCK_50 ; 7.204 ; 6.901 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[6] ; CLOCK_50 ; 8.571 ; 7.841 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[*] ; CLOCK_50 ; 7.523 ; 7.110 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[0] ; CLOCK_50 ; 7.827 ; 7.324 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[1] ; CLOCK_50 ; 7.931 ; 7.382 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[2] ; CLOCK_50 ; 7.580 ; 7.176 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[3] ; CLOCK_50 ; 7.634 ; 7.160 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[4] ; CLOCK_50 ; 7.877 ; 7.338 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[5] ; CLOCK_50 ; 7.523 ; 7.110 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[6] ; CLOCK_50 ; 7.978 ; 7.419 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[*] ; CLOCK_50 ; 7.142 ; 6.866 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[0] ; CLOCK_50 ; 7.925 ; 7.417 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[1] ; CLOCK_50 ; 7.791 ; 7.337 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[2] ; CLOCK_50 ; 7.619 ; 7.178 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[3] ; CLOCK_50 ; 7.142 ; 6.866 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[4] ; CLOCK_50 ; 7.689 ; 7.202 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[5] ; CLOCK_50 ; 7.388 ; 7.048 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[6] ; CLOCK_50 ; 8.043 ; 7.555 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[*] ; CLOCK_50 ; 6.839 ; 6.675 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[0] ; CLOCK_50 ; 8.544 ; 7.878 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[1] ; CLOCK_50 ; 7.432 ; 7.066 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[2] ; CLOCK_50 ; 8.358 ; 7.689 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[3] ; CLOCK_50 ; 8.176 ; 7.566 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[4] ; CLOCK_50 ; 6.839 ; 6.675 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[5] ; CLOCK_50 ; 8.094 ; 7.478 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[6] ; CLOCK_50 ; 7.842 ; 7.348 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[*] ; CLOCK_50 ; 6.879 ; 6.650 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[0] ; CLOCK_50 ; 7.923 ; 7.381 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[1] ; CLOCK_50 ; 7.556 ; 7.105 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[2] ; CLOCK_50 ; 7.821 ; 7.362 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[3] ; CLOCK_50 ; 7.611 ; 7.228 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[4] ; CLOCK_50 ; 7.739 ; 7.232 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[5] ; CLOCK_50 ; 6.879 ; 6.650 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[6] ; CLOCK_50 ; 7.327 ; 7.000 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[*] ; CLOCK_50 ; 6.790 ; 6.669 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[0] ; CLOCK_50 ; 6.790 ; 6.669 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[1] ; CLOCK_50 ; 7.467 ; 7.043 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[2] ; CLOCK_50 ; 7.127 ; 6.838 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[3] ; CLOCK_50 ; 7.647 ; 7.209 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[4] ; CLOCK_50 ; 7.383 ; 7.061 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[5] ; CLOCK_50 ; 7.265 ; 6.940 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[6] ; CLOCK_50 ; 7.641 ; 7.183 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[*] ; CLOCK_50 ; 7.766 ; 7.656 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[0] ; CLOCK_50 ; 8.372 ; 7.750 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[2] ; CLOCK_50 ; 8.134 ; 8.969 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[3] ; CLOCK_50 ; 8.015 ; 8.812 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[4] ; CLOCK_50 ; 7.766 ; 8.327 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[5] ; CLOCK_50 ; 8.285 ; 7.656 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +----------------------------------------------------+ @@ -1011,7 +1018,7 @@ No paths to report. +------------+-------------+-------+----+----+-------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+-------+----+----+-------+ -; GPIO[7] ; LEDR[1] ; 4.707 ; ; ; 5.565 ; +; GPIO[7] ; LEDR[1] ; 4.874 ; ; ; 5.879 ; +------------+-------------+-------+----+----+-------+ @@ -1020,7 +1027,7 @@ No paths to report. +------------+-------------+-------+----+----+-------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+-------+----+----+-------+ -; GPIO[7] ; LEDR[1] ; 3.904 ; ; ; 4.730 ; +; GPIO[7] ; LEDR[1] ; 4.049 ; ; ; 4.980 ; +------------+-------------+-------+----+----+-------+ @@ -1033,7 +1040,7 @@ Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. Number of Synchronizer Chains Found: 1 Shortest Synchronizer Chain: 2 Registers Fraction of Chains for which MTBFs Could Not be Calculated: 0.000 -Worst Case Available Settling Time: 38.243 ns +Worst Case Available Settling Time: 38.354 ns Worst-Case MTBF values are calculated based on the worst-case silicon characteristics, with worst-case operating conditions. - Under worst-case conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 79.4 @@ -1074,37 +1081,37 @@ Synchronizer Chain #1: Worst-Case MTBF is Greater than 1 Billion Years ; Worst-Case MTBF (years) ; Greater than 1 Billion ; ; ; ; ; Typical MTBF (years) ; Greater than 1 Billion ; ; ; ; ; Number of Synchronization Registers in Chain ; 2 ; ; ; ; -; Available Settling Time (ns) ; 38.243 ; ; ; ; +; Available Settling Time (ns) ; 38.354 ; ; ; ; ; Data Toggle Rate Used in MTBF Calculation (millions of transitions / sec) ; 6.25 ; ; ; ; ; Source Clock ; ; ; ; ; ; Unknown ; ; ; ; ; ; Synchronization Clock ; ; ; ; ; -; CLOCK_50 ; ; 20.000 ; 50.0 MHz ; ; +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ; 20.000 ; 50.0 MHz ; ; ; Asynchronous Source ; ; ; ; ; ; GPIO[7] ; ; ; ; ; ; Synchronization Registers ; ; ; ; ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; ; ; ; 19.449 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|dreg[0] ; ; ; ; 18.794 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; ; ; ; 19.479 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|dreg[0] ; ; ; ; 18.875 ; +-------------------------------------------------------------------------------------------------------------------------------------+------------------------+--------------+------------------+--------------+ -+------------------------------------+ -; Fast 1100mV 0C Model Setup Summary ; -+----------+--------+----------------+ -; Clock ; Slack ; End Point TNS ; -+----------+--------+----------------+ -; CLOCK_50 ; 10.761 ; 0.000 ; -+----------+--------+----------------+ ++-----------------------------------------------------------------------------------------------------+ +; Fast 1100mV 0C Model Setup Summary ; ++----------------------------------------------------------------------------+--------+---------------+ +; Clock ; Slack ; End Point TNS ; ++----------------------------------------------------------------------------+--------+---------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 11.824 ; 0.000 ; ++----------------------------------------------------------------------------+--------+---------------+ -+-----------------------------------+ -; Fast 1100mV 0C Model Hold Summary ; -+----------+-------+----------------+ -; Clock ; Slack ; End Point TNS ; -+----------+-------+----------------+ -; CLOCK_50 ; 0.145 ; 0.000 ; -+----------+-------+----------------+ ++----------------------------------------------------------------------------------------------------+ +; Fast 1100mV 0C Model Hold Summary ; ++----------------------------------------------------------------------------+-------+---------------+ +; Clock ; Slack ; End Point TNS ; ++----------------------------------------------------------------------------+-------+---------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 0.134 ; 0.000 ; ++----------------------------------------------------------------------------+-------+---------------+ ----------------------------------------- @@ -1119,189 +1126,191 @@ No paths to report. No paths to report. -+--------------------------------------------------+ -; Fast 1100mV 0C Model Minimum Pulse Width Summary ; -+----------+-------+-------------------------------+ -; Clock ; Slack ; End Point TNS ; -+----------+-------+-------------------------------+ -; CLOCK_50 ; 8.433 ; 0.000 ; -+----------+-------+-------------------------------+ - - -+-----------------------------------------------------------------------+ -; Setup Times ; -+-----------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+-------+------------+-----------------+ -; KEY[*] ; CLOCK_50 ; 2.779 ; 3.861 ; Rise ; CLOCK_50 ; -; KEY[0] ; CLOCK_50 ; 1.529 ; 2.635 ; Rise ; CLOCK_50 ; -; KEY[1] ; CLOCK_50 ; 1.524 ; 2.411 ; Rise ; CLOCK_50 ; -; KEY[2] ; CLOCK_50 ; 2.072 ; 3.191 ; Rise ; CLOCK_50 ; -; KEY[3] ; CLOCK_50 ; 2.779 ; 3.861 ; Rise ; CLOCK_50 ; -; SW[*] ; CLOCK_50 ; 3.424 ; 4.902 ; Rise ; CLOCK_50 ; -; SW[0] ; CLOCK_50 ; 0.765 ; 1.793 ; Rise ; CLOCK_50 ; -; SW[1] ; CLOCK_50 ; 1.845 ; 2.744 ; Rise ; CLOCK_50 ; -; SW[2] ; CLOCK_50 ; 1.442 ; 2.496 ; Rise ; CLOCK_50 ; -; SW[3] ; CLOCK_50 ; 1.247 ; 2.506 ; Rise ; CLOCK_50 ; -; SW[4] ; CLOCK_50 ; 2.333 ; 3.216 ; Rise ; CLOCK_50 ; -; SW[5] ; CLOCK_50 ; 0.971 ; 2.142 ; Rise ; CLOCK_50 ; -; SW[6] ; CLOCK_50 ; 1.588 ; 2.968 ; Rise ; CLOCK_50 ; -; SW[7] ; CLOCK_50 ; 3.424 ; 4.902 ; Rise ; CLOCK_50 ; -; SW[8] ; CLOCK_50 ; 2.993 ; 3.886 ; Rise ; CLOCK_50 ; -; SW[9] ; CLOCK_50 ; 2.632 ; 3.702 ; Rise ; CLOCK_50 ; -+-----------+------------+-------+-------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Hold Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; KEY[*] ; CLOCK_50 ; 0.511 ; -0.459 ; Rise ; CLOCK_50 ; -; KEY[0] ; CLOCK_50 ; 0.072 ; -0.948 ; Rise ; CLOCK_50 ; -; KEY[1] ; CLOCK_50 ; 0.433 ; -0.545 ; Rise ; CLOCK_50 ; -; KEY[2] ; CLOCK_50 ; 0.153 ; -0.972 ; Rise ; CLOCK_50 ; -; KEY[3] ; CLOCK_50 ; 0.511 ; -0.459 ; Rise ; CLOCK_50 ; -; SW[*] ; CLOCK_50 ; 0.120 ; -0.806 ; Rise ; CLOCK_50 ; -; SW[0] ; CLOCK_50 ; -0.259 ; -1.241 ; Rise ; CLOCK_50 ; -; SW[1] ; CLOCK_50 ; -1.018 ; -1.940 ; Rise ; CLOCK_50 ; -; SW[2] ; CLOCK_50 ; 0.083 ; -0.899 ; Rise ; CLOCK_50 ; -; SW[3] ; CLOCK_50 ; 0.021 ; -0.950 ; Rise ; CLOCK_50 ; -; SW[4] ; CLOCK_50 ; 0.003 ; -0.944 ; Rise ; CLOCK_50 ; -; SW[5] ; CLOCK_50 ; 0.120 ; -0.806 ; Rise ; CLOCK_50 ; -; SW[6] ; CLOCK_50 ; -0.215 ; -1.240 ; Rise ; CLOCK_50 ; -; SW[7] ; CLOCK_50 ; -0.274 ; -1.290 ; Rise ; CLOCK_50 ; -; SW[8] ; CLOCK_50 ; 0.042 ; -0.940 ; Rise ; CLOCK_50 ; -; SW[9] ; CLOCK_50 ; -0.145 ; -1.163 ; Rise ; CLOCK_50 ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-----------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+-------+------------+-----------------+ -; GPIO[*] ; CLOCK_50 ; 7.164 ; 6.569 ; Rise ; CLOCK_50 ; -; GPIO[5] ; CLOCK_50 ; 7.164 ; 6.569 ; Rise ; CLOCK_50 ; -; HEX0[*] ; CLOCK_50 ; 6.199 ; 5.687 ; Rise ; CLOCK_50 ; -; HEX0[0] ; CLOCK_50 ; 6.199 ; 5.687 ; Rise ; CLOCK_50 ; -; HEX0[1] ; CLOCK_50 ; 5.431 ; 5.182 ; Rise ; CLOCK_50 ; -; HEX0[2] ; CLOCK_50 ; 5.491 ; 5.175 ; Rise ; CLOCK_50 ; -; HEX0[3] ; CLOCK_50 ; 6.111 ; 5.676 ; Rise ; CLOCK_50 ; -; HEX0[4] ; CLOCK_50 ; 5.606 ; 5.251 ; Rise ; CLOCK_50 ; -; HEX0[5] ; CLOCK_50 ; 5.633 ; 5.350 ; Rise ; CLOCK_50 ; -; HEX0[6] ; CLOCK_50 ; 5.767 ; 5.378 ; Rise ; CLOCK_50 ; -; HEX1[*] ; CLOCK_50 ; 7.800 ; 6.776 ; Rise ; CLOCK_50 ; -; HEX1[0] ; CLOCK_50 ; 5.487 ; 5.182 ; Rise ; CLOCK_50 ; -; HEX1[1] ; CLOCK_50 ; 7.800 ; 6.776 ; Rise ; CLOCK_50 ; -; HEX1[2] ; CLOCK_50 ; 7.503 ; 6.527 ; Rise ; CLOCK_50 ; -; HEX1[3] ; CLOCK_50 ; 5.377 ; 5.110 ; Rise ; CLOCK_50 ; -; HEX1[4] ; CLOCK_50 ; 5.504 ; 5.207 ; Rise ; CLOCK_50 ; -; HEX1[5] ; CLOCK_50 ; 5.453 ; 5.127 ; Rise ; CLOCK_50 ; -; HEX1[6] ; CLOCK_50 ; 6.362 ; 5.799 ; Rise ; CLOCK_50 ; -; HEX2[*] ; CLOCK_50 ; 7.093 ; 6.276 ; Rise ; CLOCK_50 ; -; HEX2[0] ; CLOCK_50 ; 7.093 ; 6.276 ; Rise ; CLOCK_50 ; -; HEX2[1] ; CLOCK_50 ; 5.391 ; 5.093 ; Rise ; CLOCK_50 ; -; HEX2[2] ; CLOCK_50 ; 5.271 ; 5.037 ; Rise ; CLOCK_50 ; -; HEX2[3] ; CLOCK_50 ; 5.133 ; 4.929 ; Rise ; CLOCK_50 ; -; HEX2[4] ; CLOCK_50 ; 5.107 ; 4.919 ; Rise ; CLOCK_50 ; -; HEX2[5] ; CLOCK_50 ; 5.095 ; 4.961 ; Rise ; CLOCK_50 ; -; HEX2[6] ; CLOCK_50 ; 6.778 ; 6.087 ; Rise ; CLOCK_50 ; -; HEX3[*] ; CLOCK_50 ; 8.221 ; 7.045 ; Rise ; CLOCK_50 ; -; HEX3[0] ; CLOCK_50 ; 7.426 ; 6.477 ; Rise ; CLOCK_50 ; -; HEX3[1] ; CLOCK_50 ; 7.009 ; 6.177 ; Rise ; CLOCK_50 ; -; HEX3[2] ; CLOCK_50 ; 5.501 ; 5.187 ; Rise ; CLOCK_50 ; -; HEX3[3] ; CLOCK_50 ; 8.221 ; 7.045 ; Rise ; CLOCK_50 ; -; HEX3[4] ; CLOCK_50 ; 5.264 ; 5.049 ; Rise ; CLOCK_50 ; -; HEX3[5] ; CLOCK_50 ; 5.512 ; 5.186 ; Rise ; CLOCK_50 ; -; HEX3[6] ; CLOCK_50 ; 7.652 ; 6.633 ; Rise ; CLOCK_50 ; -; HEX4[*] ; CLOCK_50 ; 7.326 ; 6.487 ; Rise ; CLOCK_50 ; -; HEX4[0] ; CLOCK_50 ; 5.521 ; 5.189 ; Rise ; CLOCK_50 ; -; HEX4[1] ; CLOCK_50 ; 7.179 ; 6.377 ; Rise ; CLOCK_50 ; -; HEX4[2] ; CLOCK_50 ; 7.326 ; 6.487 ; Rise ; CLOCK_50 ; -; HEX4[3] ; CLOCK_50 ; 6.287 ; 5.746 ; Rise ; CLOCK_50 ; -; HEX4[4] ; CLOCK_50 ; 5.352 ; 5.083 ; Rise ; CLOCK_50 ; -; HEX4[5] ; CLOCK_50 ; 6.289 ; 5.712 ; Rise ; CLOCK_50 ; -; HEX4[6] ; CLOCK_50 ; 5.448 ; 5.164 ; Rise ; CLOCK_50 ; -; HEX5[*] ; CLOCK_50 ; 6.409 ; 5.810 ; Rise ; CLOCK_50 ; -; HEX5[0] ; CLOCK_50 ; 5.735 ; 5.433 ; Rise ; CLOCK_50 ; -; HEX5[1] ; CLOCK_50 ; 5.438 ; 5.122 ; Rise ; CLOCK_50 ; -; HEX5[2] ; CLOCK_50 ; 6.409 ; 5.810 ; Rise ; CLOCK_50 ; -; HEX5[3] ; CLOCK_50 ; 5.409 ; 5.117 ; Rise ; CLOCK_50 ; -; HEX5[4] ; CLOCK_50 ; 5.460 ; 5.179 ; Rise ; CLOCK_50 ; -; HEX5[5] ; CLOCK_50 ; 6.214 ; 5.706 ; Rise ; CLOCK_50 ; -; HEX5[6] ; CLOCK_50 ; 6.128 ; 5.619 ; Rise ; CLOCK_50 ; -; LEDR[*] ; CLOCK_50 ; 7.400 ; 7.033 ; Rise ; CLOCK_50 ; -; LEDR[0] ; CLOCK_50 ; 7.400 ; 6.703 ; Rise ; CLOCK_50 ; -; LEDR[2] ; CLOCK_50 ; 6.030 ; 6.407 ; Rise ; CLOCK_50 ; -; LEDR[3] ; CLOCK_50 ; 5.415 ; 5.902 ; Rise ; CLOCK_50 ; -; LEDR[4] ; CLOCK_50 ; 6.458 ; 7.033 ; Rise ; CLOCK_50 ; -; LEDR[5] ; CLOCK_50 ; 5.869 ; 5.424 ; Rise ; CLOCK_50 ; -+-----------+------------+-------+-------+------------+-----------------+ - - -+-----------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+-------+------------+-----------------+ -; GPIO[*] ; CLOCK_50 ; 6.200 ; 5.695 ; Rise ; CLOCK_50 ; -; GPIO[5] ; CLOCK_50 ; 6.200 ; 5.695 ; Rise ; CLOCK_50 ; -; HEX0[*] ; CLOCK_50 ; 5.151 ; 4.920 ; Rise ; CLOCK_50 ; -; HEX0[0] ; CLOCK_50 ; 5.813 ; 5.392 ; Rise ; CLOCK_50 ; -; HEX0[1] ; CLOCK_50 ; 5.151 ; 4.939 ; Rise ; CLOCK_50 ; -; HEX0[2] ; CLOCK_50 ; 5.167 ; 4.920 ; Rise ; CLOCK_50 ; -; HEX0[3] ; CLOCK_50 ; 5.731 ; 5.378 ; Rise ; CLOCK_50 ; -; HEX0[4] ; CLOCK_50 ; 5.283 ; 4.996 ; Rise ; CLOCK_50 ; -; HEX0[5] ; CLOCK_50 ; 5.261 ; 5.062 ; Rise ; CLOCK_50 ; -; HEX0[6] ; CLOCK_50 ; 5.411 ; 5.102 ; Rise ; CLOCK_50 ; -; HEX1[*] ; CLOCK_50 ; 5.093 ; 4.866 ; Rise ; CLOCK_50 ; -; HEX1[0] ; CLOCK_50 ; 5.169 ; 4.928 ; Rise ; CLOCK_50 ; -; HEX1[1] ; CLOCK_50 ; 7.032 ; 6.290 ; Rise ; CLOCK_50 ; -; HEX1[2] ; CLOCK_50 ; 6.716 ; 6.047 ; Rise ; CLOCK_50 ; -; HEX1[3] ; CLOCK_50 ; 5.093 ; 4.866 ; Rise ; CLOCK_50 ; -; HEX1[4] ; CLOCK_50 ; 5.209 ; 4.961 ; Rise ; CLOCK_50 ; -; HEX1[5] ; CLOCK_50 ; 5.136 ; 4.874 ; Rise ; CLOCK_50 ; -; HEX1[6] ; CLOCK_50 ; 5.991 ; 5.505 ; Rise ; CLOCK_50 ; -; HEX2[*] ; CLOCK_50 ; 4.806 ; 4.692 ; Rise ; CLOCK_50 ; -; HEX2[0] ; CLOCK_50 ; 6.421 ; 5.848 ; Rise ; CLOCK_50 ; -; HEX2[1] ; CLOCK_50 ; 5.088 ; 4.848 ; Rise ; CLOCK_50 ; -; HEX2[2] ; CLOCK_50 ; 5.007 ; 4.810 ; Rise ; CLOCK_50 ; -; HEX2[3] ; CLOCK_50 ; 4.869 ; 4.701 ; Rise ; CLOCK_50 ; -; HEX2[4] ; CLOCK_50 ; 4.839 ; 4.692 ; Rise ; CLOCK_50 ; -; HEX2[5] ; CLOCK_50 ; 4.806 ; 4.718 ; Rise ; CLOCK_50 ; -; HEX2[6] ; CLOCK_50 ; 6.071 ; 5.644 ; Rise ; CLOCK_50 ; -; HEX3[*] ; CLOCK_50 ; 4.949 ; 4.797 ; Rise ; CLOCK_50 ; -; HEX3[0] ; CLOCK_50 ; 6.634 ; 5.994 ; Rise ; CLOCK_50 ; -; HEX3[1] ; CLOCK_50 ; 6.262 ; 5.717 ; Rise ; CLOCK_50 ; -; HEX3[2] ; CLOCK_50 ; 5.184 ; 4.934 ; Rise ; CLOCK_50 ; -; HEX3[3] ; CLOCK_50 ; 7.350 ; 6.513 ; Rise ; CLOCK_50 ; -; HEX3[4] ; CLOCK_50 ; 4.949 ; 4.797 ; Rise ; CLOCK_50 ; -; HEX3[5] ; CLOCK_50 ; 5.178 ; 4.925 ; Rise ; CLOCK_50 ; -; HEX3[6] ; CLOCK_50 ; 6.892 ; 6.158 ; Rise ; CLOCK_50 ; -; HEX4[*] ; CLOCK_50 ; 5.039 ; 4.832 ; Rise ; CLOCK_50 ; -; HEX4[0] ; CLOCK_50 ; 5.182 ; 4.925 ; Rise ; CLOCK_50 ; -; HEX4[1] ; CLOCK_50 ; 6.511 ; 5.933 ; Rise ; CLOCK_50 ; -; HEX4[2] ; CLOCK_50 ; 6.546 ; 6.003 ; Rise ; CLOCK_50 ; -; HEX4[3] ; CLOCK_50 ; 5.819 ; 5.411 ; Rise ; CLOCK_50 ; -; HEX4[4] ; CLOCK_50 ; 5.039 ; 4.832 ; Rise ; CLOCK_50 ; -; HEX4[5] ; CLOCK_50 ; 5.776 ; 5.363 ; Rise ; CLOCK_50 ; -; HEX4[6] ; CLOCK_50 ; 5.153 ; 4.917 ; Rise ; CLOCK_50 ; -; HEX5[*] ; CLOCK_50 ; 5.088 ; 4.865 ; Rise ; CLOCK_50 ; -; HEX5[0] ; CLOCK_50 ; 5.375 ; 5.142 ; Rise ; CLOCK_50 ; -; HEX5[1] ; CLOCK_50 ; 5.126 ; 4.871 ; Rise ; CLOCK_50 ; -; HEX5[2] ; CLOCK_50 ; 5.823 ; 5.425 ; Rise ; CLOCK_50 ; -; HEX5[3] ; CLOCK_50 ; 5.088 ; 4.865 ; Rise ; CLOCK_50 ; -; HEX5[4] ; CLOCK_50 ; 5.180 ; 4.937 ; Rise ; CLOCK_50 ; -; HEX5[5] ; CLOCK_50 ; 5.721 ; 5.355 ; Rise ; CLOCK_50 ; -; HEX5[6] ; CLOCK_50 ; 5.755 ; 5.334 ; Rise ; CLOCK_50 ; -; LEDR[*] ; CLOCK_50 ; 5.136 ; 5.137 ; Rise ; CLOCK_50 ; -; LEDR[0] ; CLOCK_50 ; 6.397 ; 5.809 ; Rise ; CLOCK_50 ; -; LEDR[2] ; CLOCK_50 ; 5.189 ; 5.495 ; Rise ; CLOCK_50 ; -; LEDR[3] ; CLOCK_50 ; 5.136 ; 5.549 ; Rise ; CLOCK_50 ; -; LEDR[4] ; CLOCK_50 ; 5.545 ; 5.975 ; Rise ; CLOCK_50 ; -; LEDR[5] ; CLOCK_50 ; 5.493 ; 5.137 ; Rise ; CLOCK_50 ; -+-----------+------------+-------+-------+------------+-----------------+ ++----------------------------------------------------------------------------------------------------+ +; Fast 1100mV 0C Model Minimum Pulse Width Summary ; ++----------------------------------------------------------------------------+-------+---------------+ +; Clock ; Slack ; End Point TNS ; ++----------------------------------------------------------------------------+-------+---------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] ; 1.666 ; 0.000 ; +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 8.884 ; 0.000 ; +; CLOCK_50 ; 9.286 ; 0.000 ; ++----------------------------------------------------------------------------+-------+---------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------+ +; Setup Times ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ +; KEY[*] ; CLOCK_50 ; 1.168 ; 2.973 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[0] ; CLOCK_50 ; 0.181 ; 1.496 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[1] ; CLOCK_50 ; 0.135 ; 1.329 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[2] ; CLOCK_50 ; 0.250 ; 1.670 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[3] ; CLOCK_50 ; 1.168 ; 2.973 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[*] ; CLOCK_50 ; 1.111 ; 2.782 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[0] ; CLOCK_50 ; 0.629 ; 2.074 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[1] ; CLOCK_50 ; -0.484 ; 0.659 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[2] ; CLOCK_50 ; 0.057 ; 1.330 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[3] ; CLOCK_50 ; 0.190 ; 1.492 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[4] ; CLOCK_50 ; 0.246 ; 1.407 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[5] ; CLOCK_50 ; -0.127 ; 0.971 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[6] ; CLOCK_50 ; 0.348 ; 1.399 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[7] ; CLOCK_50 ; 1.111 ; 2.782 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[8] ; CLOCK_50 ; 1.070 ; 1.753 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[9] ; CLOCK_50 ; 0.353 ; 1.827 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------+ +; Hold Times ; ++-----------+------------+-------+--------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+--------+------------+----------------------------------------------------------------------------+ +; KEY[*] ; CLOCK_50 ; 2.188 ; 1.157 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[0] ; CLOCK_50 ; 2.168 ; 1.145 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[1] ; CLOCK_50 ; 2.172 ; 1.128 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[2] ; CLOCK_50 ; 2.188 ; 1.127 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[3] ; CLOCK_50 ; 2.142 ; 1.157 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[*] ; CLOCK_50 ; 2.082 ; 1.145 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[0] ; CLOCK_50 ; 0.538 ; -0.484 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[1] ; CLOCK_50 ; 1.468 ; 0.452 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[2] ; CLOCK_50 ; 1.995 ; 1.011 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[3] ; CLOCK_50 ; 1.914 ; 0.909 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[4] ; CLOCK_50 ; 1.908 ; 0.941 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[5] ; CLOCK_50 ; 2.082 ; 1.145 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[6] ; CLOCK_50 ; 1.865 ; 0.867 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[7] ; CLOCK_50 ; 1.813 ; 0.829 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[8] ; CLOCK_50 ; 1.963 ; 0.951 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[9] ; CLOCK_50 ; 1.840 ; 0.824 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+-------+--------+------------+----------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------+ +; Clock to Output Times ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +; GPIO[*] ; CLOCK_50 ; 9.245 ; 8.605 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; GPIO[5] ; CLOCK_50 ; 9.245 ; 8.605 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[*] ; CLOCK_50 ; 9.094 ; 8.237 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[0] ; CLOCK_50 ; 7.760 ; 7.335 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[1] ; CLOCK_50 ; 7.587 ; 7.214 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[2] ; CLOCK_50 ; 8.569 ; 7.848 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[3] ; CLOCK_50 ; 8.325 ; 7.765 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[4] ; CLOCK_50 ; 8.156 ; 7.552 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[5] ; CLOCK_50 ; 7.484 ; 7.137 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[6] ; CLOCK_50 ; 9.094 ; 8.237 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[*] ; CLOCK_50 ; 8.462 ; 7.782 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[0] ; CLOCK_50 ; 8.327 ; 7.667 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[1] ; CLOCK_50 ; 8.411 ; 7.722 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[2] ; CLOCK_50 ; 8.081 ; 7.539 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[3] ; CLOCK_50 ; 8.117 ; 7.522 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[4] ; CLOCK_50 ; 8.379 ; 7.706 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[5] ; CLOCK_50 ; 7.912 ; 7.409 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[6] ; CLOCK_50 ; 8.462 ; 7.782 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[*] ; CLOCK_50 ; 8.527 ; 7.902 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[0] ; CLOCK_50 ; 8.394 ; 7.749 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[1] ; CLOCK_50 ; 8.293 ; 7.691 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[2] ; CLOCK_50 ; 8.105 ; 7.530 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[3] ; CLOCK_50 ; 7.511 ; 7.144 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[4] ; CLOCK_50 ; 8.190 ; 7.575 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[5] ; CLOCK_50 ; 7.880 ; 7.397 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[6] ; CLOCK_50 ; 8.527 ; 7.902 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[*] ; CLOCK_50 ; 9.126 ; 8.281 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[0] ; CLOCK_50 ; 9.126 ; 8.281 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[1] ; CLOCK_50 ; 7.892 ; 7.381 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[2] ; CLOCK_50 ; 8.846 ; 8.057 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[3] ; CLOCK_50 ; 8.645 ; 7.909 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[4] ; CLOCK_50 ; 7.108 ; 6.897 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[5] ; CLOCK_50 ; 8.541 ; 7.823 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[6] ; CLOCK_50 ; 8.143 ; 7.576 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[*] ; CLOCK_50 ; 8.418 ; 7.733 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[0] ; CLOCK_50 ; 8.418 ; 7.733 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[1] ; CLOCK_50 ; 8.004 ; 7.437 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[2] ; CLOCK_50 ; 8.328 ; 7.712 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[3] ; CLOCK_50 ; 8.064 ; 7.540 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[4] ; CLOCK_50 ; 8.223 ; 7.592 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[5] ; CLOCK_50 ; 7.096 ; 6.839 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[6] ; CLOCK_50 ; 7.801 ; 7.331 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[*] ; CLOCK_50 ; 8.144 ; 7.561 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[0] ; CLOCK_50 ; 7.038 ; 6.869 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[1] ; CLOCK_50 ; 7.929 ; 7.383 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[2] ; CLOCK_50 ; 7.380 ; 7.050 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[3] ; CLOCK_50 ; 8.144 ; 7.561 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[4] ; CLOCK_50 ; 7.730 ; 7.312 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[5] ; CLOCK_50 ; 7.616 ; 7.205 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[6] ; CLOCK_50 ; 7.911 ; 7.423 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[*] ; CLOCK_50 ; 9.239 ; 9.852 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[0] ; CLOCK_50 ; 9.239 ; 8.587 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[2] ; CLOCK_50 ; 9.010 ; 9.852 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[3] ; CLOCK_50 ; 8.250 ; 9.070 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[4] ; CLOCK_50 ; 8.556 ; 9.114 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[5] ; CLOCK_50 ; 8.530 ; 7.854 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +; GPIO[*] ; CLOCK_50 ; 7.914 ; 7.382 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; GPIO[5] ; CLOCK_50 ; 7.914 ; 7.382 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[*] ; CLOCK_50 ; 6.810 ; 6.538 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[0] ; CLOCK_50 ; 6.986 ; 6.697 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[1] ; CLOCK_50 ; 6.847 ; 6.589 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[2] ; CLOCK_50 ; 7.621 ; 7.130 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[3] ; CLOCK_50 ; 7.584 ; 7.127 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[4] ; CLOCK_50 ; 7.262 ; 6.857 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[5] ; CLOCK_50 ; 6.810 ; 6.538 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[6] ; CLOCK_50 ; 8.101 ; 7.491 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[*] ; CLOCK_50 ; 7.111 ; 6.752 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[0] ; CLOCK_50 ; 7.397 ; 6.961 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[1] ; CLOCK_50 ; 7.480 ; 7.010 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[2] ; CLOCK_50 ; 7.191 ; 6.847 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[3] ; CLOCK_50 ; 7.224 ; 6.828 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[4] ; CLOCK_50 ; 7.462 ; 7.004 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[5] ; CLOCK_50 ; 7.111 ; 6.752 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[6] ; CLOCK_50 ; 7.546 ; 7.077 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[*] ; CLOCK_50 ; 6.765 ; 6.521 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[0] ; CLOCK_50 ; 7.485 ; 7.042 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[1] ; CLOCK_50 ; 7.381 ; 6.983 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[2] ; CLOCK_50 ; 7.209 ; 6.837 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[3] ; CLOCK_50 ; 6.765 ; 6.521 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[4] ; CLOCK_50 ; 7.288 ; 6.876 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[5] ; CLOCK_50 ; 7.003 ; 6.714 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[6] ; CLOCK_50 ; 7.618 ; 7.179 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[*] ; CLOCK_50 ; 6.474 ; 6.323 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[0] ; CLOCK_50 ; 8.081 ; 7.499 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[1] ; CLOCK_50 ; 7.022 ; 6.706 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[2] ; CLOCK_50 ; 7.884 ; 7.325 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[3] ; CLOCK_50 ; 7.718 ; 7.195 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[4] ; CLOCK_50 ; 6.474 ; 6.323 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[5] ; CLOCK_50 ; 7.644 ; 7.121 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[6] ; CLOCK_50 ; 7.371 ; 6.932 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[*] ; CLOCK_50 ; 6.479 ; 6.273 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[0] ; CLOCK_50 ; 7.479 ; 7.017 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[1] ; CLOCK_50 ; 7.133 ; 6.753 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[2] ; CLOCK_50 ; 7.392 ; 6.997 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[3] ; CLOCK_50 ; 7.177 ; 6.845 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[4] ; CLOCK_50 ; 7.311 ; 6.887 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[5] ; CLOCK_50 ; 6.479 ; 6.273 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[6] ; CLOCK_50 ; 6.934 ; 6.653 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[*] ; CLOCK_50 ; 6.411 ; 6.294 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[0] ; CLOCK_50 ; 6.411 ; 6.294 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[1] ; CLOCK_50 ; 7.064 ; 6.711 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[2] ; CLOCK_50 ; 6.713 ; 6.463 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[3] ; CLOCK_50 ; 7.228 ; 6.862 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[4] ; CLOCK_50 ; 6.950 ; 6.669 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[5] ; CLOCK_50 ; 6.855 ; 6.573 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[6] ; CLOCK_50 ; 7.224 ; 6.818 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[*] ; CLOCK_50 ; 7.357 ; 7.205 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[0] ; CLOCK_50 ; 7.908 ; 7.364 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[2] ; CLOCK_50 ; 7.742 ; 8.444 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[3] ; CLOCK_50 ; 7.577 ; 8.267 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[4] ; CLOCK_50 ; 7.357 ; 7.841 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[5] ; CLOCK_50 ; 7.767 ; 7.205 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +----------------------------------------------------+ @@ -1309,7 +1318,7 @@ No paths to report. +------------+-------------+-------+----+----+-------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+-------+----+----+-------+ -; GPIO[7] ; LEDR[1] ; 4.414 ; ; ; 5.321 ; +; GPIO[7] ; LEDR[1] ; 4.588 ; ; ; 5.610 ; +------------+-------------+-------+----+----+-------+ @@ -1318,7 +1327,7 @@ No paths to report. +------------+-------------+-------+----+----+-------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+-------+----+----+-------+ -; GPIO[7] ; LEDR[1] ; 3.617 ; ; ; 4.497 ; +; GPIO[7] ; LEDR[1] ; 3.768 ; ; ; 4.737 ; +------------+-------------+-------+----+----+-------+ @@ -1331,7 +1340,7 @@ Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. Number of Synchronizer Chains Found: 1 Shortest Synchronizer Chain: 2 Registers Fraction of Chains for which MTBFs Could Not be Calculated: 0.000 -Worst Case Available Settling Time: 38.347 ns +Worst Case Available Settling Time: 38.453 ns Worst-Case MTBF values are calculated based on the worst-case silicon characteristics, with worst-case operating conditions. - Under worst-case conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 5.2 @@ -1372,207 +1381,211 @@ Synchronizer Chain #1: Worst-Case MTBF is Greater than 1 Billion Years ; Worst-Case MTBF (years) ; Greater than 1 Billion ; ; ; ; ; Typical MTBF (years) ; Greater than 1 Billion ; ; ; ; ; Number of Synchronization Registers in Chain ; 2 ; ; ; ; -; Available Settling Time (ns) ; 38.347 ; ; ; ; +; Available Settling Time (ns) ; 38.453 ; ; ; ; ; Data Toggle Rate Used in MTBF Calculation (millions of transitions / sec) ; 6.25 ; ; ; ; ; Source Clock ; ; ; ; ; ; Unknown ; ; ; ; ; ; Synchronization Clock ; ; ; ; ; -; CLOCK_50 ; ; 20.000 ; 50.0 MHz ; ; +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ; 20.000 ; 50.0 MHz ; ; ; Asynchronous Source ; ; ; ; ; ; GPIO[7] ; ; ; ; ; ; Synchronization Registers ; ; ; ; ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; ; ; ; 19.476 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|dreg[0] ; ; ; ; 18.871 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; ; ; ; 19.505 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|dreg[0] ; ; ; ; 18.948 ; +-------------------------------------------------------------------------------------------------------------------------------------+------------------------+--------------+------------------+--------------+ -+-----------------------------------------------------------------------------+ -; Multicorner Timing Analysis Summary ; -+------------------+-------+-------+----------+---------+---------------------+ -; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; -+------------------+-------+-------+----------+---------+---------------------+ -; Worst-case Slack ; 3.693 ; 0.145 ; N/A ; N/A ; 8.433 ; -; CLOCK_50 ; 3.693 ; 0.145 ; N/A ; N/A ; 8.433 ; -; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; -; CLOCK_50 ; 0.000 ; 0.000 ; N/A ; N/A ; 0.000 ; -+------------------+-------+-------+----------+---------+---------------------+ - - -+-----------------------------------------------------------------------+ -; Setup Times ; -+-----------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+-------+------------+-----------------+ -; KEY[*] ; CLOCK_50 ; 5.089 ; 5.951 ; Rise ; CLOCK_50 ; -; KEY[0] ; CLOCK_50 ; 2.959 ; 3.709 ; Rise ; CLOCK_50 ; -; KEY[1] ; CLOCK_50 ; 3.069 ; 3.639 ; Rise ; CLOCK_50 ; -; KEY[2] ; CLOCK_50 ; 3.526 ; 4.404 ; Rise ; CLOCK_50 ; -; KEY[3] ; CLOCK_50 ; 5.089 ; 5.951 ; Rise ; CLOCK_50 ; -; SW[*] ; CLOCK_50 ; 6.056 ; 7.121 ; Rise ; CLOCK_50 ; -; SW[0] ; CLOCK_50 ; 1.648 ; 2.235 ; Rise ; CLOCK_50 ; -; SW[1] ; CLOCK_50 ; 3.501 ; 4.071 ; Rise ; CLOCK_50 ; -; SW[2] ; CLOCK_50 ; 2.671 ; 3.372 ; Rise ; CLOCK_50 ; -; SW[3] ; CLOCK_50 ; 2.511 ; 3.380 ; Rise ; CLOCK_50 ; -; SW[4] ; CLOCK_50 ; 4.319 ; 4.709 ; Rise ; CLOCK_50 ; -; SW[5] ; CLOCK_50 ; 2.072 ; 2.887 ; Rise ; CLOCK_50 ; -; SW[6] ; CLOCK_50 ; 2.902 ; 4.003 ; Rise ; CLOCK_50 ; -; SW[7] ; CLOCK_50 ; 6.056 ; 7.121 ; Rise ; CLOCK_50 ; -; SW[8] ; CLOCK_50 ; 5.488 ; 5.852 ; Rise ; CLOCK_50 ; -; SW[9] ; CLOCK_50 ; 4.841 ; 5.643 ; Rise ; CLOCK_50 ; -+-----------+------------+-------+-------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Hold Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; KEY[*] ; CLOCK_50 ; 0.974 ; 0.286 ; Rise ; CLOCK_50 ; -; KEY[0] ; CLOCK_50 ; 0.138 ; -0.589 ; Rise ; CLOCK_50 ; -; KEY[1] ; CLOCK_50 ; 0.808 ; 0.064 ; Rise ; CLOCK_50 ; -; KEY[2] ; CLOCK_50 ; 0.369 ; -0.574 ; Rise ; CLOCK_50 ; -; KEY[3] ; CLOCK_50 ; 0.974 ; 0.286 ; Rise ; CLOCK_50 ; -; SW[*] ; CLOCK_50 ; 0.297 ; -0.338 ; Rise ; CLOCK_50 ; -; SW[0] ; CLOCK_50 ; -0.259 ; -1.020 ; Rise ; CLOCK_50 ; -; SW[1] ; CLOCK_50 ; -1.018 ; -1.940 ; Rise ; CLOCK_50 ; -; SW[2] ; CLOCK_50 ; 0.297 ; -0.354 ; Rise ; CLOCK_50 ; -; SW[3] ; CLOCK_50 ; 0.032 ; -0.589 ; Rise ; CLOCK_50 ; -; SW[4] ; CLOCK_50 ; 0.046 ; -0.559 ; Rise ; CLOCK_50 ; -; SW[5] ; CLOCK_50 ; 0.225 ; -0.338 ; Rise ; CLOCK_50 ; -; SW[6] ; CLOCK_50 ; -0.152 ; -0.888 ; Rise ; CLOCK_50 ; -; SW[7] ; CLOCK_50 ; -0.274 ; -1.034 ; Rise ; CLOCK_50 ; -; SW[8] ; CLOCK_50 ; 0.174 ; -0.486 ; Rise ; CLOCK_50 ; -; SW[9] ; CLOCK_50 ; -0.145 ; -0.912 ; Rise ; CLOCK_50 ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; GPIO[*] ; CLOCK_50 ; 12.103 ; 11.386 ; Rise ; CLOCK_50 ; -; GPIO[5] ; CLOCK_50 ; 12.103 ; 11.386 ; Rise ; CLOCK_50 ; -; HEX0[*] ; CLOCK_50 ; 10.461 ; 9.920 ; Rise ; CLOCK_50 ; -; HEX0[0] ; CLOCK_50 ; 10.461 ; 9.920 ; Rise ; CLOCK_50 ; -; HEX0[1] ; CLOCK_50 ; 9.451 ; 9.287 ; Rise ; CLOCK_50 ; -; HEX0[2] ; CLOCK_50 ; 9.499 ; 9.201 ; Rise ; CLOCK_50 ; -; HEX0[3] ; CLOCK_50 ; 10.314 ; 9.906 ; Rise ; CLOCK_50 ; -; HEX0[4] ; CLOCK_50 ; 9.614 ; 9.295 ; Rise ; CLOCK_50 ; -; HEX0[5] ; CLOCK_50 ; 9.738 ; 9.550 ; Rise ; CLOCK_50 ; -; HEX0[6] ; CLOCK_50 ; 10.008 ; 9.625 ; Rise ; CLOCK_50 ; -; HEX1[*] ; CLOCK_50 ; 12.566 ; 11.252 ; Rise ; CLOCK_50 ; -; HEX1[0] ; CLOCK_50 ; 9.528 ; 9.263 ; Rise ; CLOCK_50 ; -; HEX1[1] ; CLOCK_50 ; 12.566 ; 11.252 ; Rise ; CLOCK_50 ; -; HEX1[2] ; CLOCK_50 ; 12.113 ; 10.861 ; Rise ; CLOCK_50 ; -; HEX1[3] ; CLOCK_50 ; 9.279 ; 9.087 ; Rise ; CLOCK_50 ; -; HEX1[4] ; CLOCK_50 ; 9.473 ; 9.254 ; Rise ; CLOCK_50 ; -; HEX1[5] ; CLOCK_50 ; 9.404 ; 9.108 ; Rise ; CLOCK_50 ; -; HEX1[6] ; CLOCK_50 ; 10.696 ; 10.082 ; Rise ; CLOCK_50 ; -; HEX2[*] ; CLOCK_50 ; 11.552 ; 10.541 ; Rise ; CLOCK_50 ; -; HEX2[0] ; CLOCK_50 ; 11.552 ; 10.541 ; Rise ; CLOCK_50 ; -; HEX2[1] ; CLOCK_50 ; 9.303 ; 9.063 ; Rise ; CLOCK_50 ; -; HEX2[2] ; CLOCK_50 ; 9.169 ; 9.035 ; Rise ; CLOCK_50 ; -; HEX2[3] ; CLOCK_50 ; 8.905 ; 8.762 ; Rise ; CLOCK_50 ; -; HEX2[4] ; CLOCK_50 ; 8.855 ; 8.729 ; Rise ; CLOCK_50 ; -; HEX2[5] ; CLOCK_50 ; 8.832 ; 8.829 ; Rise ; CLOCK_50 ; -; HEX2[6] ; CLOCK_50 ; 11.096 ; 10.235 ; Rise ; CLOCK_50 ; -; HEX3[*] ; CLOCK_50 ; 13.088 ; 11.568 ; Rise ; CLOCK_50 ; -; HEX3[0] ; CLOCK_50 ; 11.990 ; 10.770 ; Rise ; CLOCK_50 ; -; HEX3[1] ; CLOCK_50 ; 11.332 ; 10.269 ; Rise ; CLOCK_50 ; -; HEX3[2] ; CLOCK_50 ; 9.504 ; 9.206 ; Rise ; CLOCK_50 ; -; HEX3[3] ; CLOCK_50 ; 13.088 ; 11.568 ; Rise ; CLOCK_50 ; -; HEX3[4] ; CLOCK_50 ; 9.102 ; 8.983 ; Rise ; CLOCK_50 ; -; HEX3[5] ; CLOCK_50 ; 9.566 ; 9.284 ; Rise ; CLOCK_50 ; -; HEX3[6] ; CLOCK_50 ; 12.310 ; 10.994 ; Rise ; CLOCK_50 ; -; HEX4[*] ; CLOCK_50 ; 11.870 ; 10.821 ; Rise ; CLOCK_50 ; -; HEX4[0] ; CLOCK_50 ; 9.567 ; 9.257 ; Rise ; CLOCK_50 ; -; HEX4[1] ; CLOCK_50 ; 11.620 ; 10.612 ; Rise ; CLOCK_50 ; -; HEX4[2] ; CLOCK_50 ; 11.870 ; 10.821 ; Rise ; CLOCK_50 ; -; HEX4[3] ; CLOCK_50 ; 10.489 ; 9.863 ; Rise ; CLOCK_50 ; -; HEX4[4] ; CLOCK_50 ; 9.196 ; 8.972 ; Rise ; CLOCK_50 ; -; HEX4[5] ; CLOCK_50 ; 10.447 ; 9.780 ; Rise ; CLOCK_50 ; -; HEX4[6] ; CLOCK_50 ; 9.383 ; 9.183 ; Rise ; CLOCK_50 ; -; HEX5[*] ; CLOCK_50 ; 10.579 ; 9.853 ; Rise ; CLOCK_50 ; -; HEX5[0] ; CLOCK_50 ; 9.690 ; 9.431 ; Rise ; CLOCK_50 ; -; HEX5[1] ; CLOCK_50 ; 9.386 ; 9.129 ; Rise ; CLOCK_50 ; -; HEX5[2] ; CLOCK_50 ; 10.579 ; 9.853 ; Rise ; CLOCK_50 ; -; HEX5[3] ; CLOCK_50 ; 9.295 ; 9.040 ; Rise ; CLOCK_50 ; -; HEX5[4] ; CLOCK_50 ; 9.491 ; 9.268 ; Rise ; CLOCK_50 ; -; HEX5[5] ; CLOCK_50 ; 10.314 ; 9.725 ; Rise ; CLOCK_50 ; -; HEX5[6] ; CLOCK_50 ; 10.382 ; 9.837 ; Rise ; CLOCK_50 ; -; LEDR[*] ; CLOCK_50 ; 12.502 ; 12.051 ; Rise ; CLOCK_50 ; -; LEDR[0] ; CLOCK_50 ; 12.502 ; 11.648 ; Rise ; CLOCK_50 ; -; LEDR[2] ; CLOCK_50 ; 10.798 ; 11.249 ; Rise ; CLOCK_50 ; -; LEDR[3] ; CLOCK_50 ; 9.724 ; 10.262 ; Rise ; CLOCK_50 ; -; LEDR[4] ; CLOCK_50 ; 11.341 ; 12.051 ; Rise ; CLOCK_50 ; -; LEDR[5] ; CLOCK_50 ; 10.224 ; 9.739 ; Rise ; CLOCK_50 ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-----------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+-------+------------+-----------------+ -; GPIO[*] ; CLOCK_50 ; 6.200 ; 5.695 ; Rise ; CLOCK_50 ; -; GPIO[5] ; CLOCK_50 ; 6.200 ; 5.695 ; Rise ; CLOCK_50 ; -; HEX0[*] ; CLOCK_50 ; 5.151 ; 4.920 ; Rise ; CLOCK_50 ; -; HEX0[0] ; CLOCK_50 ; 5.813 ; 5.392 ; Rise ; CLOCK_50 ; -; HEX0[1] ; CLOCK_50 ; 5.151 ; 4.939 ; Rise ; CLOCK_50 ; -; HEX0[2] ; CLOCK_50 ; 5.167 ; 4.920 ; Rise ; CLOCK_50 ; -; HEX0[3] ; CLOCK_50 ; 5.731 ; 5.378 ; Rise ; CLOCK_50 ; -; HEX0[4] ; CLOCK_50 ; 5.283 ; 4.996 ; Rise ; CLOCK_50 ; -; HEX0[5] ; CLOCK_50 ; 5.261 ; 5.062 ; Rise ; CLOCK_50 ; -; HEX0[6] ; CLOCK_50 ; 5.411 ; 5.102 ; Rise ; CLOCK_50 ; -; HEX1[*] ; CLOCK_50 ; 5.093 ; 4.866 ; Rise ; CLOCK_50 ; -; HEX1[0] ; CLOCK_50 ; 5.169 ; 4.928 ; Rise ; CLOCK_50 ; -; HEX1[1] ; CLOCK_50 ; 7.032 ; 6.290 ; Rise ; CLOCK_50 ; -; HEX1[2] ; CLOCK_50 ; 6.716 ; 6.047 ; Rise ; CLOCK_50 ; -; HEX1[3] ; CLOCK_50 ; 5.093 ; 4.866 ; Rise ; CLOCK_50 ; -; HEX1[4] ; CLOCK_50 ; 5.209 ; 4.961 ; Rise ; CLOCK_50 ; -; HEX1[5] ; CLOCK_50 ; 5.136 ; 4.874 ; Rise ; CLOCK_50 ; -; HEX1[6] ; CLOCK_50 ; 5.991 ; 5.505 ; Rise ; CLOCK_50 ; -; HEX2[*] ; CLOCK_50 ; 4.806 ; 4.692 ; Rise ; CLOCK_50 ; -; HEX2[0] ; CLOCK_50 ; 6.421 ; 5.848 ; Rise ; CLOCK_50 ; -; HEX2[1] ; CLOCK_50 ; 5.088 ; 4.848 ; Rise ; CLOCK_50 ; -; HEX2[2] ; CLOCK_50 ; 5.007 ; 4.810 ; Rise ; CLOCK_50 ; -; HEX2[3] ; CLOCK_50 ; 4.869 ; 4.701 ; Rise ; CLOCK_50 ; -; HEX2[4] ; CLOCK_50 ; 4.839 ; 4.692 ; Rise ; CLOCK_50 ; -; HEX2[5] ; CLOCK_50 ; 4.806 ; 4.718 ; Rise ; CLOCK_50 ; -; HEX2[6] ; CLOCK_50 ; 6.071 ; 5.644 ; Rise ; CLOCK_50 ; -; HEX3[*] ; CLOCK_50 ; 4.949 ; 4.797 ; Rise ; CLOCK_50 ; -; HEX3[0] ; CLOCK_50 ; 6.634 ; 5.994 ; Rise ; CLOCK_50 ; -; HEX3[1] ; CLOCK_50 ; 6.262 ; 5.717 ; Rise ; CLOCK_50 ; -; HEX3[2] ; CLOCK_50 ; 5.184 ; 4.934 ; Rise ; CLOCK_50 ; -; HEX3[3] ; CLOCK_50 ; 7.350 ; 6.513 ; Rise ; CLOCK_50 ; -; HEX3[4] ; CLOCK_50 ; 4.949 ; 4.797 ; Rise ; CLOCK_50 ; -; HEX3[5] ; CLOCK_50 ; 5.178 ; 4.925 ; Rise ; CLOCK_50 ; -; HEX3[6] ; CLOCK_50 ; 6.892 ; 6.158 ; Rise ; CLOCK_50 ; -; HEX4[*] ; CLOCK_50 ; 5.039 ; 4.832 ; Rise ; CLOCK_50 ; -; HEX4[0] ; CLOCK_50 ; 5.182 ; 4.925 ; Rise ; CLOCK_50 ; -; HEX4[1] ; CLOCK_50 ; 6.511 ; 5.933 ; Rise ; CLOCK_50 ; -; HEX4[2] ; CLOCK_50 ; 6.546 ; 6.003 ; Rise ; CLOCK_50 ; -; HEX4[3] ; CLOCK_50 ; 5.819 ; 5.411 ; Rise ; CLOCK_50 ; -; HEX4[4] ; CLOCK_50 ; 5.039 ; 4.832 ; Rise ; CLOCK_50 ; -; HEX4[5] ; CLOCK_50 ; 5.776 ; 5.363 ; Rise ; CLOCK_50 ; -; HEX4[6] ; CLOCK_50 ; 5.153 ; 4.917 ; Rise ; CLOCK_50 ; -; HEX5[*] ; CLOCK_50 ; 5.088 ; 4.865 ; Rise ; CLOCK_50 ; -; HEX5[0] ; CLOCK_50 ; 5.375 ; 5.142 ; Rise ; CLOCK_50 ; -; HEX5[1] ; CLOCK_50 ; 5.126 ; 4.871 ; Rise ; CLOCK_50 ; -; HEX5[2] ; CLOCK_50 ; 5.823 ; 5.425 ; Rise ; CLOCK_50 ; -; HEX5[3] ; CLOCK_50 ; 5.088 ; 4.865 ; Rise ; CLOCK_50 ; -; HEX5[4] ; CLOCK_50 ; 5.180 ; 4.937 ; Rise ; CLOCK_50 ; -; HEX5[5] ; CLOCK_50 ; 5.721 ; 5.355 ; Rise ; CLOCK_50 ; -; HEX5[6] ; CLOCK_50 ; 5.755 ; 5.334 ; Rise ; CLOCK_50 ; -; LEDR[*] ; CLOCK_50 ; 5.136 ; 5.137 ; Rise ; CLOCK_50 ; -; LEDR[0] ; CLOCK_50 ; 6.397 ; 5.809 ; Rise ; CLOCK_50 ; -; LEDR[2] ; CLOCK_50 ; 5.189 ; 5.495 ; Rise ; CLOCK_50 ; -; LEDR[3] ; CLOCK_50 ; 5.136 ; 5.549 ; Rise ; CLOCK_50 ; -; LEDR[4] ; CLOCK_50 ; 5.545 ; 5.975 ; Rise ; CLOCK_50 ; -; LEDR[5] ; CLOCK_50 ; 5.493 ; 5.137 ; Rise ; CLOCK_50 ; -+-----------+------------+-------+-------+------------+-----------------+ ++----------------------------------------------------------------------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++-----------------------------------------------------------------------------+-------+-------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++-----------------------------------------------------------------------------+-------+-------+----------+---------+---------------------+ +; Worst-case Slack ; 5.710 ; 0.134 ; N/A ; N/A ; 1.666 ; +; CLOCK_50 ; N/A ; N/A ; N/A ; N/A ; 9.286 ; +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] ; N/A ; N/A ; N/A ; N/A ; 1.666 ; +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 5.710 ; 0.134 ; N/A ; N/A ; 8.736 ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; +; CLOCK_50 ; N/A ; N/A ; N/A ; N/A ; 0.000 ; +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] ; N/A ; N/A ; N/A ; N/A ; 0.000 ; +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 0.000 ; 0.000 ; N/A ; N/A ; 0.000 ; ++-----------------------------------------------------------------------------+-------+-------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------+ +; Setup Times ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ +; KEY[*] ; CLOCK_50 ; 2.180 ; 3.697 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[0] ; CLOCK_50 ; 0.399 ; 1.633 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[1] ; CLOCK_50 ; 0.549 ; 1.470 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[2] ; CLOCK_50 ; 0.866 ; 2.127 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[3] ; CLOCK_50 ; 2.180 ; 3.697 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[*] ; CLOCK_50 ; 2.381 ; 3.598 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[0] ; CLOCK_50 ; 1.110 ; 2.238 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[1] ; CLOCK_50 ; -0.426 ; 0.725 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[2] ; CLOCK_50 ; 0.538 ; 1.491 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[3] ; CLOCK_50 ; 0.775 ; 1.645 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[4] ; CLOCK_50 ; 0.716 ; 1.614 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[5] ; CLOCK_50 ; 0.168 ; 1.132 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[6] ; CLOCK_50 ; 0.951 ; 1.735 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[7] ; CLOCK_50 ; 2.381 ; 3.598 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[8] ; CLOCK_50 ; 1.609 ; 2.011 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[9] ; CLOCK_50 ; 0.979 ; 2.083 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+--------+-------+------------+----------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------+ +; Hold Times ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +; KEY[*] ; CLOCK_50 ; 4.014 ; 3.259 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[0] ; CLOCK_50 ; 4.014 ; 3.259 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[1] ; CLOCK_50 ; 3.922 ; 3.136 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[2] ; CLOCK_50 ; 3.982 ; 3.135 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; KEY[3] ; CLOCK_50 ; 3.896 ; 3.214 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[*] ; CLOCK_50 ; 3.790 ; 3.173 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[0] ; CLOCK_50 ; 1.319 ; 0.465 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[1] ; CLOCK_50 ; 2.695 ; 2.016 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[2] ; CLOCK_50 ; 3.638 ; 2.960 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[3] ; CLOCK_50 ; 3.523 ; 2.830 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[4] ; CLOCK_50 ; 3.508 ; 2.911 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[5] ; CLOCK_50 ; 3.790 ; 3.173 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[6] ; CLOCK_50 ; 3.401 ; 2.728 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[7] ; CLOCK_50 ; 3.334 ; 2.680 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[8] ; CLOCK_50 ; 3.659 ; 2.991 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; SW[9] ; CLOCK_50 ; 3.286 ; 2.605 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Clock to Output Times ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ +; GPIO[*] ; CLOCK_50 ; 15.794 ; 15.005 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; GPIO[5] ; CLOCK_50 ; 15.794 ; 15.005 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[*] ; CLOCK_50 ; 15.099 ; 13.988 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[0] ; CLOCK_50 ; 13.431 ; 12.935 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[1] ; CLOCK_50 ; 13.152 ; 12.715 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[2] ; CLOCK_50 ; 14.407 ; 13.480 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[3] ; CLOCK_50 ; 14.085 ; 13.416 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[4] ; CLOCK_50 ; 13.848 ; 13.088 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[5] ; CLOCK_50 ; 13.023 ; 12.653 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[6] ; CLOCK_50 ; 15.099 ; 13.988 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[*] ; CLOCK_50 ; 14.310 ; 13.403 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[0] ; CLOCK_50 ; 14.190 ; 13.339 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[1] ; CLOCK_50 ; 14.310 ; 13.403 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[2] ; CLOCK_50 ; 13.685 ; 13.011 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[3] ; CLOCK_50 ; 13.743 ; 12.969 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[4] ; CLOCK_50 ; 14.147 ; 13.282 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[5] ; CLOCK_50 ; 13.535 ; 12.921 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[6] ; CLOCK_50 ; 14.216 ; 13.338 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[*] ; CLOCK_50 ; 14.351 ; 13.605 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[0] ; CLOCK_50 ; 14.215 ; 13.408 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[1] ; CLOCK_50 ; 14.107 ; 13.355 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[2] ; CLOCK_50 ; 13.724 ; 12.989 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[3] ; CLOCK_50 ; 12.966 ; 12.544 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[4] ; CLOCK_50 ; 13.860 ; 13.076 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[5] ; CLOCK_50 ; 13.390 ; 12.790 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[6] ; CLOCK_50 ; 14.351 ; 13.605 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[*] ; CLOCK_50 ; 15.158 ; 14.087 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[0] ; CLOCK_50 ; 15.158 ; 14.087 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[1] ; CLOCK_50 ; 13.436 ; 12.794 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[2] ; CLOCK_50 ; 14.775 ; 13.752 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[3] ; CLOCK_50 ; 14.484 ; 13.542 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[4] ; CLOCK_50 ; 12.396 ; 12.197 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[5] ; CLOCK_50 ; 14.366 ; 13.411 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[6] ; CLOCK_50 ; 13.944 ; 13.339 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[*] ; CLOCK_50 ; 14.167 ; 13.295 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[0] ; CLOCK_50 ; 14.167 ; 13.294 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[1] ; CLOCK_50 ; 13.613 ; 12.881 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[2] ; CLOCK_50 ; 14.073 ; 13.295 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[3] ; CLOCK_50 ; 13.753 ; 13.076 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[4] ; CLOCK_50 ; 13.899 ; 13.083 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[5] ; CLOCK_50 ; 12.401 ; 12.151 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[6] ; CLOCK_50 ; 13.270 ; 12.689 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[*] ; CLOCK_50 ; 13.764 ; 13.017 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[0] ; CLOCK_50 ; 12.302 ; 12.163 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[1] ; CLOCK_50 ; 13.501 ; 12.780 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[2] ; CLOCK_50 ; 12.779 ; 12.428 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[3] ; CLOCK_50 ; 13.764 ; 13.017 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[4] ; CLOCK_50 ; 13.367 ; 12.881 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[5] ; CLOCK_50 ; 13.080 ; 12.585 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[6] ; CLOCK_50 ; 13.562 ; 13.000 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[*] ; CLOCK_50 ; 15.789 ; 16.755 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[0] ; CLOCK_50 ; 15.789 ; 14.979 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[2] ; CLOCK_50 ; 15.664 ; 16.755 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[3] ; CLOCK_50 ; 14.284 ; 15.237 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[4] ; CLOCK_50 ; 15.021 ; 15.677 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[5] ; CLOCK_50 ; 14.603 ; 13.828 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+--------+--------+------------+----------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +; GPIO[*] ; CLOCK_50 ; 7.914 ; 7.382 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; GPIO[5] ; CLOCK_50 ; 7.914 ; 7.382 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[*] ; CLOCK_50 ; 6.810 ; 6.538 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[0] ; CLOCK_50 ; 6.986 ; 6.697 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[1] ; CLOCK_50 ; 6.847 ; 6.589 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[2] ; CLOCK_50 ; 7.621 ; 7.130 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[3] ; CLOCK_50 ; 7.584 ; 7.127 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[4] ; CLOCK_50 ; 7.262 ; 6.857 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[5] ; CLOCK_50 ; 6.810 ; 6.538 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX0[6] ; CLOCK_50 ; 8.101 ; 7.491 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[*] ; CLOCK_50 ; 7.111 ; 6.752 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[0] ; CLOCK_50 ; 7.397 ; 6.961 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[1] ; CLOCK_50 ; 7.480 ; 7.010 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[2] ; CLOCK_50 ; 7.191 ; 6.847 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[3] ; CLOCK_50 ; 7.224 ; 6.828 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[4] ; CLOCK_50 ; 7.462 ; 7.004 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[5] ; CLOCK_50 ; 7.111 ; 6.752 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX1[6] ; CLOCK_50 ; 7.546 ; 7.077 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[*] ; CLOCK_50 ; 6.765 ; 6.521 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[0] ; CLOCK_50 ; 7.485 ; 7.042 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[1] ; CLOCK_50 ; 7.381 ; 6.983 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[2] ; CLOCK_50 ; 7.209 ; 6.837 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[3] ; CLOCK_50 ; 6.765 ; 6.521 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[4] ; CLOCK_50 ; 7.288 ; 6.876 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[5] ; CLOCK_50 ; 7.003 ; 6.714 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX2[6] ; CLOCK_50 ; 7.618 ; 7.179 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[*] ; CLOCK_50 ; 6.474 ; 6.323 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[0] ; CLOCK_50 ; 8.081 ; 7.499 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[1] ; CLOCK_50 ; 7.022 ; 6.706 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[2] ; CLOCK_50 ; 7.884 ; 7.325 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[3] ; CLOCK_50 ; 7.718 ; 7.195 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[4] ; CLOCK_50 ; 6.474 ; 6.323 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[5] ; CLOCK_50 ; 7.644 ; 7.121 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX3[6] ; CLOCK_50 ; 7.371 ; 6.932 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[*] ; CLOCK_50 ; 6.479 ; 6.273 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[0] ; CLOCK_50 ; 7.479 ; 7.017 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[1] ; CLOCK_50 ; 7.133 ; 6.753 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[2] ; CLOCK_50 ; 7.392 ; 6.997 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[3] ; CLOCK_50 ; 7.177 ; 6.845 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[4] ; CLOCK_50 ; 7.311 ; 6.887 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[5] ; CLOCK_50 ; 6.479 ; 6.273 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX4[6] ; CLOCK_50 ; 6.934 ; 6.653 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[*] ; CLOCK_50 ; 6.411 ; 6.294 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[0] ; CLOCK_50 ; 6.411 ; 6.294 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[1] ; CLOCK_50 ; 7.064 ; 6.711 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[2] ; CLOCK_50 ; 6.713 ; 6.463 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[3] ; CLOCK_50 ; 7.228 ; 6.862 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[4] ; CLOCK_50 ; 6.950 ; 6.669 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[5] ; CLOCK_50 ; 6.855 ; 6.573 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; HEX5[6] ; CLOCK_50 ; 7.224 ; 6.818 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[*] ; CLOCK_50 ; 7.357 ; 7.205 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[0] ; CLOCK_50 ; 7.908 ; 7.364 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[2] ; CLOCK_50 ; 7.742 ; 8.444 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[3] ; CLOCK_50 ; 7.577 ; 8.267 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[4] ; CLOCK_50 ; 7.357 ; 7.841 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; +; LEDR[5] ; CLOCK_50 ; 7.767 ; 7.205 ; Rise ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; ++-----------+------------+-------+-------+------------+----------------------------------------------------------------------------+ +----------------------------------------------------+ @@ -1580,7 +1593,7 @@ Synchronizer Chain #1: Worst-Case MTBF is Greater than 1 Billion Years +------------+-------------+-------+----+----+-------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+-------+----+----+-------+ -; GPIO[7] ; LEDR[1] ; 7.862 ; ; ; 8.400 ; +; GPIO[7] ; LEDR[1] ; 8.085 ; ; ; 8.828 ; +------------+-------------+-------+----+----+-------+ @@ -1589,7 +1602,7 @@ Synchronizer Chain #1: Worst-Case MTBF is Greater than 1 Billion Years +------------+-------------+-------+----+----+-------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+-------+----+----+-------+ -; GPIO[7] ; LEDR[1] ; 3.617 ; ; ; 4.497 ; +; GPIO[7] ; LEDR[1] ; 3.768 ; ; ; 4.737 ; +------------+-------------+-------+----+----+-------+ @@ -1855,21 +1868,21 @@ Synchronizer Chain #1: Worst-Case MTBF is Greater than 1 Billion Years ; GPIO[35] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; GPIO[5] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; GPIO[7] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; CLOCK_50 ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; KEY[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; SW[8] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; SW[9] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; +; CLOCK_50 ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; KEY[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; +; SW[5] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; SW[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; +; SW[4] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; SW[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; SW[6] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; SW[4] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; SW[5] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; SW[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; SW[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; KEY[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; KEY[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; SW[7] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; +; SW[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; +---------------+--------------+-----------------+-----------------+ @@ -2593,23 +2606,23 @@ Synchronizer Chain #1: Worst-Case MTBF is Greater than 1 Billion Years +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -+-------------------------------------------------------------------+ -; Setup Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; CLOCK_50 ; CLOCK_50 ; 67448631 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Setup Transfers ; ++----------------------------------------------------------------------------+----------------------------------------------------------------------------+----------+----------+----------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++----------------------------------------------------------------------------+----------------------------------------------------------------------------+----------+----------+----------+----------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 65727948 ; 0 ; 0 ; 0 ; ++----------------------------------------------------------------------------+----------------------------------------------------------------------------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. -+-------------------------------------------------------------------+ -; Hold Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; CLOCK_50 ; CLOCK_50 ; 67448631 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold Transfers ; ++----------------------------------------------------------------------------+----------------------------------------------------------------------------+----------+----------+----------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++----------------------------------------------------------------------------+----------------------------------------------------------------------------+----------+----------+----------+----------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 65727948 ; 0 ; 0 ; 0 ; ++----------------------------------------------------------------------------+----------------------------------------------------------------------------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -2633,7 +2646,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; ; Unconstrained Input Ports ; 15 ; 15 ; -; Unconstrained Input Port Paths ; 530 ; 530 ; +; Unconstrained Input Port Paths ; 703 ; 703 ; ; Unconstrained Output Ports ; 49 ; 49 ; ; Unconstrained Output Port Paths ; 49 ; 49 ; +---------------------------------+-------+------+ @@ -2649,21 +2662,10 @@ Warning (125092): Tcl Script File alu/add_sub_s.qip not found Info: ******************************************************************* Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer Info: Version 13.1.0 Build 162 10/23/2013 SJ Full Version - Info: Processing started: Sun Aug 29 18:52:15 2021 + Info: Processing started: Mon Aug 30 18:42:52 2021 Info: Command: quartus_sta de1_riscv -c de1_riscv Info: qsta_default_script.tcl version: #1 -Warning (20013): Ignored assignments for entity "clk100M" -- entity does not exist in design - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_NAME altera_pll -entity clk100M -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_NAME altera_pll -entity clk100M -sip clk/clk100M.sip -library lib_clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_VERSION 13.1 -entity clk100M -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_VERSION 13.1 -entity clk100M -sip clk/clk100M.sip -library lib_clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_ENV mwpim -entity clk100M -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_ENV mwpim -entity clk100M -sip clk/clk100M.sip -library lib_clk100M was ignored -Warning (20013): Ignored assignments for entity "clk100M_0002" -- entity does not exist in design - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_NAME altera_pll -entity clk100M_0002 -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_VERSION 13.1 -entity clk100M_0002 -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_ENV mwpim -entity clk100M_0002 -qip clk/clk100M.qip -library clk100M was ignored -Info (11104): Parallel Compilation has detected 20 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 10 of the 10 physical processors detected instead. +Info (11104): Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead. Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (332164): Evaluating HDL-embedded SDC commands @@ -2689,6 +2691,9 @@ Warning (332174): Ignored filter at de1_riscv.sdc(34): altera_reserved_tdo could Warning (332049): Ignored set_output_delay at de1_riscv.sdc(34): Argument is an empty collection Info (332050): set_output_delay -clock altera_reserved_tck 3 [get_ports altera_reserved_tdo] Warning (332049): Ignored set_output_delay at de1_riscv.sdc(34): Argument -clock is not an object ID +Info (332110): Deriving PLL clocks + Info (332110): create_generated_clock -source {clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|refclkin} -multiply_by 6 -duty_cycle 50.00 -name {clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0]} {clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0]} + Info (332110): create_generated_clock -source {clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|vco0ph[0]} -divide_by 6 -duty_cycle 50.00 -name {clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk} {clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk} Info (332151): Clock uncertainty is not calculated until you update the timing netlist. Warning (332174): Ignored filter at de1_riscv.sdc(103): VGA_BLANK could not be matched with a port Warning (332049): Ignored set_output_delay at de1_riscv.sdc(103): Argument is an empty collection @@ -2696,92 +2701,132 @@ Warning (332049): Ignored set_output_delay at de1_riscv.sdc(103): Argument is an empty collection Info (332050): set_output_delay -min -clock clk_vga -1.485 [get_ports VGA_BLANK] Info (332097): The following timing edges are non-unate. TimeQuest will assume pos-unate behavior for these edges in the clock network. - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL from: refclkin to: fbclk + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER from: vco0ph[0] to: divclk + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_REFCLK_SELECT from: clkin[0] to: clkout + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a10~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a10~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. Warning (332061): Virtual clock clk_core is never referenced in any input or output delay assignment. Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Info: Analyzing Slow 1100mV 85C Model -Info (332146): Worst-case setup slack is 3.899 +Info (332146): Worst-case setup slack is 6.023 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 3.899 0.000 CLOCK_50 -Info (332146): Worst-case hold slack is 0.265 + Info (332119): 6.023 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk +Info (332146): Worst-case hold slack is 0.242 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 0.265 0.000 CLOCK_50 + Info (332119): 0.242 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk Info (332140): No Recovery paths to report Info (332140): No Removal paths to report -Info (332146): Worst-case minimum pulse width slack is 8.877 +Info (332146): Worst-case minimum pulse width slack is 1.666 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 8.877 0.000 CLOCK_50 + Info (332119): 1.666 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] + Info (332119): 8.761 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk + Info (332119): 9.670 0.000 CLOCK_50 Info (332114): Report Metastability: Found 1 synchronizer chains. Info (332114): Worst-Case MTBF of Design is 1e+09 years or 3.15e+16 seconds. Info (332114): Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. @@ -2789,7 +2834,7 @@ Info (332114): Report Metastability: Found 1 synchronizer chains. Info (332114): Number of Synchronizer Chains Found: 1 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 0.000 - Info (332114): Worst Case Available Settling Time: 36.902 ns + Info (332114): Worst Case Available Settling Time: 37.011 ns Info (332114): Info (332114): Worst-Case MTBF values are calculated based on the worst-case silicon characteristics, with worst-case operating conditions. Info (332114): - Under worst-case conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 79.4 @@ -2800,90 +2845,130 @@ Info (334003): Started post-fitting delay annotation Warning (334000): Timing characteristics of device 5CSEMA5F31C6 are preliminary Info (334004): Delay annotation completed successfully Info (332097): The following timing edges are non-unate. TimeQuest will assume pos-unate behavior for these edges in the clock network. - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL from: refclkin to: fbclk + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER from: vco0ph[0] to: divclk + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_REFCLK_SELECT from: clkin[0] to: clkout + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a10~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a10~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. Warning (332061): Virtual clock clk_core is never referenced in any input or output delay assignment. -Info (332146): Worst-case setup slack is 3.693 +Info (332146): Worst-case setup slack is 5.710 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 3.693 0.000 CLOCK_50 -Info (332146): Worst-case hold slack is 0.255 + Info (332119): 5.710 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk +Info (332146): Worst-case hold slack is 0.220 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 0.255 0.000 CLOCK_50 + Info (332119): 0.220 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk Info (332140): No Recovery paths to report Info (332140): No Removal paths to report -Info (332146): Worst-case minimum pulse width slack is 8.902 +Info (332146): Worst-case minimum pulse width slack is 1.666 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 8.902 0.000 CLOCK_50 + Info (332119): 1.666 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] + Info (332119): 8.736 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk + Info (332119): 9.673 0.000 CLOCK_50 Info (332114): Report Metastability: Found 1 synchronizer chains. Info (332114): Worst-Case MTBF of Design is 1e+09 years or 3.15e+16 seconds. Info (332114): Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. @@ -2891,7 +2976,7 @@ Info (332114): Report Metastability: Found 1 synchronizer chains. Info (332114): Number of Synchronizer Chains Found: 1 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 0.000 - Info (332114): Worst Case Available Settling Time: 36.899 ns + Info (332114): Worst Case Available Settling Time: 36.989 ns Info (332114): Info (332114): Worst-Case MTBF values are calculated based on the worst-case silicon characteristics, with worst-case operating conditions. Info (332114): - Under worst-case conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 5.2 @@ -2902,90 +2987,130 @@ Info (334003): Started post-fitting delay annotation Warning (334000): Timing characteristics of device 5CSEMA5F31C6 are preliminary Info (334004): Delay annotation completed successfully Info (332097): The following timing edges are non-unate. TimeQuest will assume pos-unate behavior for these edges in the clock network. - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL from: refclkin to: fbclk + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER from: vco0ph[0] to: divclk + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_REFCLK_SELECT from: clkin[0] to: clkout + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a10~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a10~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. Warning (332061): Virtual clock clk_core is never referenced in any input or output delay assignment. -Info (332146): Worst-case setup slack is 10.143 +Info (332146): Worst-case setup slack is 11.255 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 10.143 0.000 CLOCK_50 -Info (332146): Worst-case hold slack is 0.166 + Info (332119): 11.255 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk +Info (332146): Worst-case hold slack is 0.148 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 0.166 0.000 CLOCK_50 + Info (332119): 0.148 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk Info (332140): No Recovery paths to report Info (332140): No Removal paths to report -Info (332146): Worst-case minimum pulse width slack is 8.476 +Info (332146): Worst-case minimum pulse width slack is 1.666 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 8.476 0.000 CLOCK_50 + Info (332119): 1.666 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] + Info (332119): 8.881 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk + Info (332119): 9.336 0.000 CLOCK_50 Info (332114): Report Metastability: Found 1 synchronizer chains. Info (332114): Worst-Case MTBF of Design is 1e+09 years or 3.15e+16 seconds. Info (332114): Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. @@ -2993,7 +3118,7 @@ Info (332114): Report Metastability: Found 1 synchronizer chains. Info (332114): Number of Synchronizer Chains Found: 1 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 0.000 - Info (332114): Worst Case Available Settling Time: 38.243 ns + Info (332114): Worst Case Available Settling Time: 38.354 ns Info (332114): Info (332114): Worst-Case MTBF values are calculated based on the worst-case silicon characteristics, with worst-case operating conditions. Info (332114): - Under worst-case conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 79.4 @@ -3001,90 +3126,130 @@ Info (332114): Report Metastability: Found 1 synchronizer chains. Info (332114): - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 17.8 Info: Analyzing Fast 1100mV 0C Model Info (332097): The following timing edges are non-unate. TimeQuest will assume pos-unate behavior for these edges in the clock network. - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT - Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL from: refclkin to: fbclk + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER from: vco0ph[0] to: divclk + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_REFCLK_SELECT from: clkin[0] to: clkout + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a10~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a10~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a10~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a7~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a8~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a1~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a2~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a3~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a4~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a5~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT + Info (332098): From: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0CLKMUX_0 to: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a6~FITTER_CREATED_MLAB_CELL0MEMORYREGOUT Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. Warning (332061): Virtual clock clk_core is never referenced in any input or output delay assignment. -Info (332146): Worst-case setup slack is 10.761 +Info (332146): Worst-case setup slack is 11.824 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 10.761 0.000 CLOCK_50 -Info (332146): Worst-case hold slack is 0.145 + Info (332119): 11.824 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk +Info (332146): Worst-case hold slack is 0.134 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 0.145 0.000 CLOCK_50 + Info (332119): 0.134 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk Info (332140): No Recovery paths to report Info (332140): No Removal paths to report -Info (332146): Worst-case minimum pulse width slack is 8.433 +Info (332146): Worst-case minimum pulse width slack is 1.666 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 8.433 0.000 CLOCK_50 + Info (332119): 1.666 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] + Info (332119): 8.884 0.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk + Info (332119): 9.286 0.000 CLOCK_50 Info (332114): Report Metastability: Found 1 synchronizer chains. Info (332114): Worst-Case MTBF of Design is 1e+09 years or 3.15e+16 seconds. Info (332114): Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. @@ -3092,7 +3257,7 @@ Info (332114): Report Metastability: Found 1 synchronizer chains. Info (332114): Number of Synchronizer Chains Found: 1 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 0.000 - Info (332114): Worst Case Available Settling Time: 38.347 ns + Info (332114): Worst Case Available Settling Time: 38.453 ns Info (332114): Info (332114): Worst-Case MTBF values are calculated based on the worst-case silicon characteristics, with worst-case operating conditions. Info (332114): - Under worst-case conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 5.2 @@ -3100,10 +3265,10 @@ Info (332114): Report Metastability: Found 1 synchronizer chains. Info (332114): - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 17.8 Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 36 warnings - Info: Peak virtual memory: 5401 megabytes - Info: Processing ended: Sun Aug 29 18:52:29 2021 - Info: Elapsed time: 00:00:14 - Info: Total CPU time (on all processors): 00:00:25 +Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 25 warnings + Info: Peak virtual memory: 1179 megabytes + Info: Processing ended: Mon Aug 30 18:43:38 2021 + Info: Elapsed time: 00:00:46 + Info: Total CPU time (on all processors): 00:01:03 diff --git a/examples/hdl4se_riscv/de1/de1_riscv.sta.summary b/examples/hdl4se_riscv/de1/de1_riscv.sta.summary index 3c3c110b765adbee120d008bd652ca876383a25b..270565f613181aee56d6e12500f2578cd07f1036 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.sta.summary +++ b/examples/hdl4se_riscv/de1/de1_riscv.sta.summary @@ -2,52 +2,84 @@ TimeQuest Timing Analyzer Summary ------------------------------------------------------------ -Type : Slow 1100mV 85C Model Setup 'CLOCK_50' -Slack : 3.899 +Type : Slow 1100mV 85C Model Setup 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk' +Slack : 6.023 TNS : 0.000 -Type : Slow 1100mV 85C Model Hold 'CLOCK_50' -Slack : 0.265 +Type : Slow 1100mV 85C Model Hold 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk' +Slack : 0.242 +TNS : 0.000 + +Type : Slow 1100mV 85C Model Minimum Pulse Width 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0]' +Slack : 1.666 +TNS : 0.000 + +Type : Slow 1100mV 85C Model Minimum Pulse Width 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk' +Slack : 8.761 TNS : 0.000 Type : Slow 1100mV 85C Model Minimum Pulse Width 'CLOCK_50' -Slack : 8.877 +Slack : 9.670 +TNS : 0.000 + +Type : Slow 1100mV 0C Model Setup 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk' +Slack : 5.710 +TNS : 0.000 + +Type : Slow 1100mV 0C Model Hold 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk' +Slack : 0.220 TNS : 0.000 -Type : Slow 1100mV 0C Model Setup 'CLOCK_50' -Slack : 3.693 +Type : Slow 1100mV 0C Model Minimum Pulse Width 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0]' +Slack : 1.666 TNS : 0.000 -Type : Slow 1100mV 0C Model Hold 'CLOCK_50' -Slack : 0.255 +Type : Slow 1100mV 0C Model Minimum Pulse Width 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk' +Slack : 8.736 TNS : 0.000 Type : Slow 1100mV 0C Model Minimum Pulse Width 'CLOCK_50' -Slack : 8.902 +Slack : 9.673 TNS : 0.000 -Type : Fast 1100mV 85C Model Setup 'CLOCK_50' -Slack : 10.143 +Type : Fast 1100mV 85C Model Setup 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk' +Slack : 11.255 TNS : 0.000 -Type : Fast 1100mV 85C Model Hold 'CLOCK_50' -Slack : 0.166 +Type : Fast 1100mV 85C Model Hold 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk' +Slack : 0.148 +TNS : 0.000 + +Type : Fast 1100mV 85C Model Minimum Pulse Width 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0]' +Slack : 1.666 +TNS : 0.000 + +Type : Fast 1100mV 85C Model Minimum Pulse Width 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk' +Slack : 8.881 TNS : 0.000 Type : Fast 1100mV 85C Model Minimum Pulse Width 'CLOCK_50' -Slack : 8.476 +Slack : 9.336 +TNS : 0.000 + +Type : Fast 1100mV 0C Model Setup 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk' +Slack : 11.824 +TNS : 0.000 + +Type : Fast 1100mV 0C Model Hold 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk' +Slack : 0.134 TNS : 0.000 -Type : Fast 1100mV 0C Model Setup 'CLOCK_50' -Slack : 10.761 +Type : Fast 1100mV 0C Model Minimum Pulse Width 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0]' +Slack : 1.666 TNS : 0.000 -Type : Fast 1100mV 0C Model Hold 'CLOCK_50' -Slack : 0.145 +Type : Fast 1100mV 0C Model Minimum Pulse Width 'clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk' +Slack : 8.884 TNS : 0.000 Type : Fast 1100mV 0C Model Minimum Pulse Width 'CLOCK_50' -Slack : 8.433 +Slack : 9.286 TNS : 0.000 ------------------------------------------------------------ diff --git a/examples/hdl4se_riscv/de1/de1_riscv_v3.v b/examples/hdl4se_riscv/de1/de1_riscv_v3.v index 78e20b67ba82c399b88c2133dad3c0d4d4f368ce..79f220f3e18f61e869247f4aea6d0663928a0400 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv_v3.v +++ b/examples/hdl4se_riscv/de1/de1_riscv_v3.v @@ -3,7 +3,7 @@ // This code is generated by Terasic System Builder //======================================================= -`define USECLOCK50 +`define USECLOCK50_1 module de1_riscv( @@ -136,13 +136,13 @@ module de1_riscv( assign bReadData = ((readaddr & 32'hffffff00) == 32'hF0000000) ? bReadDataKey : ( - ((readaddr & 32'hffffc000) == 32'h00000000) ? bReadDataRam : ( + ((readaddr & 32'hff000000) == 32'h00000000) ? bReadDataRam : ( ((readaddr & 32'hffffff00) == 32'hF0000100) ? bReadDataUart : (32'hffffffff) ) ); - wire [10:0] ramaddr; - assign ramaddr = wWrite?bWriteAddr[12:2]:bReadAddr[12:2]; + wire [29:0] ramaddr; + assign ramaddr = wWrite?bWriteAddr[31:2]:bReadAddr[31:2]; wire [4:0] regno; wire [3:0] regena; @@ -182,7 +182,7 @@ module de1_riscv( regfile regs(regno, regena, wClk, regwrdata, regwren, regrddata); regfile regs2(regno2, regena2, wClk, regwrdata2, regwren2, regrddata2); - ram8kb ram(ramaddr, ~bWriteMask, wClk, bWriteData, ((bWriteAddr & 32'hffffc000) == 0)?wWrite:1'b0, bReadDataRam); + ram128kB ram(ramaddr, ~bWriteMask, wClk, bWriteData, ((bWriteAddr & 32'hff000000) == 0)?wWrite:1'b0, bReadDataRam); riscv_core core(wClk, nwReset, wWrite, bWriteAddr, bWriteData, bWriteMask, wRead, bReadAddr, bReadData, regno, regena, regwrdata, regwren, (lastregno == 0) ? 0 : regrddata, regno2, regena2, regwrdata2, regwren2, (lastregno2 == 0) ? 0 : regrddata2 diff --git a/examples/hdl4se_riscv/de1/ram/ram128kB.qip b/examples/hdl4se_riscv/de1/ram/ram128kB.qip new file mode 100644 index 0000000000000000000000000000000000000000..e7c9bb35e48942e7c99a4d625d9defc42d72b1fe --- /dev/null +++ b/examples/hdl4se_riscv/de1/ram/ram128kB.qip @@ -0,0 +1,4 @@ +set_global_assignment -name IP_TOOL_NAME "RAM: 1-PORT" +set_global_assignment -name IP_TOOL_VERSION "13.1" +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "ram128kB.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ram128kB_bb.v"] diff --git a/examples/hdl4se_riscv/de1/ram/ram128kB.v b/examples/hdl4se_riscv/de1/ram/ram128kB.v new file mode 100644 index 0000000000000000000000000000000000000000..47acfb49f5d88d62d7627c3c225d15d8ae384d76 --- /dev/null +++ b/examples/hdl4se_riscv/de1/ram/ram128kB.v @@ -0,0 +1,181 @@ +// megafunction wizard: %RAM: 1-PORT% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altsyncram + +// ============================================================ +// File Name: ram128kB.v +// Megafunction Name(s): +// altsyncram +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.1.0 Build 162 10/23/2013 SJ Full Version +// ************************************************************ + + +//Copyright (C) 1991-2013 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module ram128kB ( + address, + byteena, + clock, + data, + wren, + q); + + input [14:0] address; + input [3:0] byteena; + input clock; + input [31:0] data; + input wren; + output [31:0] q; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri1 [3:0] byteena; + tri1 clock; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + wire [31:0] sub_wire0; + wire [31:0] q = sub_wire0[31:0]; + + altsyncram altsyncram_component ( + .address_a (address), + .byteena_a (byteena), + .clock0 (clock), + .data_a (data), + .wren_a (wren), + .q_a (sub_wire0), + .aclr0 (1'b0), + .aclr1 (1'b0), + .address_b (1'b1), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_b (1'b1), + .clock1 (1'b1), + .clocken0 (1'b1), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .data_b (1'b1), + .eccstatus (), + .q_b (), + .rden_a (1'b1), + .rden_b (1'b1), + .wren_b (1'b0)); + defparam + altsyncram_component.byte_size = 8, + altsyncram_component.clock_enable_input_a = "BYPASS", + altsyncram_component.clock_enable_output_a = "BYPASS", + altsyncram_component.init_file = "../test_code/test.mif", + altsyncram_component.intended_device_family = "Cyclone V", + altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = 32768, + altsyncram_component.operation_mode = "SINGLE_PORT", + altsyncram_component.outdata_aclr_a = "NONE", + altsyncram_component.outdata_reg_a = "UNREGISTERED", + altsyncram_component.power_up_uninitialized = "FALSE", + altsyncram_component.read_during_write_mode_port_a = "NEW_DATA_NO_NBE_READ", + altsyncram_component.widthad_a = 15, + altsyncram_component.width_a = 32, + altsyncram_component.width_byteena_a = 4; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +// Retrieval info: PRIVATE: AclrAddr NUMERIC "0" +// Retrieval info: PRIVATE: AclrByte NUMERIC "0" +// Retrieval info: PRIVATE: AclrData NUMERIC "0" +// Retrieval info: PRIVATE: AclrOutput NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "1" +// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: Clken NUMERIC "0" +// Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1" +// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" +// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +// Retrieval info: PRIVATE: MIFfilename STRING "../test_code/test.mif" +// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "32768" +// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" +// Retrieval info: PRIVATE: RegAddr NUMERIC "1" +// Retrieval info: PRIVATE: RegData NUMERIC "1" +// Retrieval info: PRIVATE: RegOutput NUMERIC "0" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: SingleClock NUMERIC "1" +// Retrieval info: PRIVATE: UseDQRAM NUMERIC "1" +// Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0" +// Retrieval info: PRIVATE: WidthAddr NUMERIC "15" +// Retrieval info: PRIVATE: WidthData NUMERIC "32" +// Retrieval info: PRIVATE: rden NUMERIC "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: BYTE_SIZE NUMERIC "8" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: INIT_FILE STRING "../test_code/test.mif" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V" +// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "32768" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT" +// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" +// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" +// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" +// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "15" +// Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "4" +// Retrieval info: USED_PORT: address 0 0 15 0 INPUT NODEFVAL "address[14..0]" +// Retrieval info: USED_PORT: byteena 0 0 4 0 INPUT VCC "byteena[3..0]" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" +// Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL "data[31..0]" +// Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL "q[31..0]" +// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren" +// Retrieval info: CONNECT: @address_a 0 0 15 0 address 0 0 15 0 +// Retrieval info: CONNECT: @byteena_a 0 0 4 0 byteena 0 0 4 0 +// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 +// Retrieval info: CONNECT: @data_a 0 0 32 0 data 0 0 32 0 +// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 +// Retrieval info: CONNECT: q 0 0 32 0 @q_a 0 0 32 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL ram128kB.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram128kB.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram128kB.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram128kB.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram128kB_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram128kB_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf diff --git a/examples/hdl4se_riscv/de1/ram/ram128kB_bb.v b/examples/hdl4se_riscv/de1/ram/ram128kB_bb.v new file mode 100644 index 0000000000000000000000000000000000000000..c59f1a84c3b86962ef717231b8d20d663929fba9 --- /dev/null +++ b/examples/hdl4se_riscv/de1/ram/ram128kB_bb.v @@ -0,0 +1,130 @@ +// megafunction wizard: %RAM: 1-PORT%VBB% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altsyncram + +// ============================================================ +// File Name: ram128kB.v +// Megafunction Name(s): +// altsyncram +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.1.0 Build 162 10/23/2013 SJ Full Version +// ************************************************************ + +//Copyright (C) 1991-2013 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + +module ram128kB ( + address, + byteena, + clock, + data, + wren, + q); + + input [14:0] address; + input [3:0] byteena; + input clock; + input [31:0] data; + input wren; + output [31:0] q; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri1 [3:0] byteena; + tri1 clock; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +// Retrieval info: PRIVATE: AclrAddr NUMERIC "0" +// Retrieval info: PRIVATE: AclrByte NUMERIC "0" +// Retrieval info: PRIVATE: AclrData NUMERIC "0" +// Retrieval info: PRIVATE: AclrOutput NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "1" +// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: Clken NUMERIC "0" +// Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1" +// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" +// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +// Retrieval info: PRIVATE: MIFfilename STRING "../test_code/test.mif" +// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "32768" +// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" +// Retrieval info: PRIVATE: RegAddr NUMERIC "1" +// Retrieval info: PRIVATE: RegData NUMERIC "1" +// Retrieval info: PRIVATE: RegOutput NUMERIC "0" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: SingleClock NUMERIC "1" +// Retrieval info: PRIVATE: UseDQRAM NUMERIC "1" +// Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0" +// Retrieval info: PRIVATE: WidthAddr NUMERIC "15" +// Retrieval info: PRIVATE: WidthData NUMERIC "32" +// Retrieval info: PRIVATE: rden NUMERIC "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: BYTE_SIZE NUMERIC "8" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: INIT_FILE STRING "../test_code/test.mif" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V" +// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "32768" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT" +// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" +// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" +// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" +// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "15" +// Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "4" +// Retrieval info: USED_PORT: address 0 0 15 0 INPUT NODEFVAL "address[14..0]" +// Retrieval info: USED_PORT: byteena 0 0 4 0 INPUT VCC "byteena[3..0]" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" +// Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL "data[31..0]" +// Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL "q[31..0]" +// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren" +// Retrieval info: CONNECT: @address_a 0 0 15 0 address 0 0 15 0 +// Retrieval info: CONNECT: @byteena_a 0 0 4 0 byteena 0 0 4 0 +// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 +// Retrieval info: CONNECT: @data_a 0 0 32 0 data 0 0 32 0 +// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 +// Retrieval info: CONNECT: q 0 0 32 0 @q_a 0 0 32 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL ram128kB.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram128kB.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram128kB.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram128kB.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram128kB_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram128kB_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf diff --git a/examples/hdl4se_riscv/de1/ram/ram16kB.qip b/examples/hdl4se_riscv/de1/ram/ram16kB.qip new file mode 100644 index 0000000000000000000000000000000000000000..773788597afc39aaeaf423f2167b421c00066d2a --- /dev/null +++ b/examples/hdl4se_riscv/de1/ram/ram16kB.qip @@ -0,0 +1,4 @@ +set_global_assignment -name IP_TOOL_NAME "RAM: 1-PORT" +set_global_assignment -name IP_TOOL_VERSION "13.1" +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "ram16kB.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ram16kB_bb.v"] diff --git a/examples/hdl4se_riscv/de1/ram/ram16kB.v b/examples/hdl4se_riscv/de1/ram/ram16kB.v new file mode 100644 index 0000000000000000000000000000000000000000..b2a06c3d1a8d25574edefa9cb5c851e708e6bb9c --- /dev/null +++ b/examples/hdl4se_riscv/de1/ram/ram16kB.v @@ -0,0 +1,181 @@ +// megafunction wizard: %RAM: 1-PORT% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altsyncram + +// ============================================================ +// File Name: ram16kB.v +// Megafunction Name(s): +// altsyncram +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.1.0 Build 162 10/23/2013 SJ Full Version +// ************************************************************ + + +//Copyright (C) 1991-2013 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module ram16kB ( + address, + byteena, + clock, + data, + wren, + q); + + input [11:0] address; + input [3:0] byteena; + input clock; + input [31:0] data; + input wren; + output [31:0] q; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri1 [3:0] byteena; + tri1 clock; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + wire [31:0] sub_wire0; + wire [31:0] q = sub_wire0[31:0]; + + altsyncram altsyncram_component ( + .address_a (address), + .byteena_a (byteena), + .clock0 (clock), + .data_a (data), + .wren_a (wren), + .q_a (sub_wire0), + .aclr0 (1'b0), + .aclr1 (1'b0), + .address_b (1'b1), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_b (1'b1), + .clock1 (1'b1), + .clocken0 (1'b1), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .data_b (1'b1), + .eccstatus (), + .q_b (), + .rden_a (1'b1), + .rden_b (1'b1), + .wren_b (1'b0)); + defparam + altsyncram_component.byte_size = 8, + altsyncram_component.clock_enable_input_a = "BYPASS", + altsyncram_component.clock_enable_output_a = "BYPASS", + altsyncram_component.init_file = "../test_code/test.mif", + altsyncram_component.intended_device_family = "Cyclone V", + altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = 4096, + altsyncram_component.operation_mode = "SINGLE_PORT", + altsyncram_component.outdata_aclr_a = "NONE", + altsyncram_component.outdata_reg_a = "UNREGISTERED", + altsyncram_component.power_up_uninitialized = "FALSE", + altsyncram_component.read_during_write_mode_port_a = "NEW_DATA_NO_NBE_READ", + altsyncram_component.widthad_a = 12, + altsyncram_component.width_a = 32, + altsyncram_component.width_byteena_a = 4; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +// Retrieval info: PRIVATE: AclrAddr NUMERIC "0" +// Retrieval info: PRIVATE: AclrByte NUMERIC "0" +// Retrieval info: PRIVATE: AclrData NUMERIC "0" +// Retrieval info: PRIVATE: AclrOutput NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "1" +// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: Clken NUMERIC "0" +// Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1" +// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" +// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +// Retrieval info: PRIVATE: MIFfilename STRING "../test_code/test.mif" +// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "4096" +// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" +// Retrieval info: PRIVATE: RegAddr NUMERIC "1" +// Retrieval info: PRIVATE: RegData NUMERIC "1" +// Retrieval info: PRIVATE: RegOutput NUMERIC "0" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: SingleClock NUMERIC "1" +// Retrieval info: PRIVATE: UseDQRAM NUMERIC "1" +// Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0" +// Retrieval info: PRIVATE: WidthAddr NUMERIC "12" +// Retrieval info: PRIVATE: WidthData NUMERIC "32" +// Retrieval info: PRIVATE: rden NUMERIC "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: BYTE_SIZE NUMERIC "8" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: INIT_FILE STRING "../test_code/test.mif" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V" +// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "4096" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT" +// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" +// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" +// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" +// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "12" +// Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "4" +// Retrieval info: USED_PORT: address 0 0 12 0 INPUT NODEFVAL "address[11..0]" +// Retrieval info: USED_PORT: byteena 0 0 4 0 INPUT VCC "byteena[3..0]" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" +// Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL "data[31..0]" +// Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL "q[31..0]" +// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren" +// Retrieval info: CONNECT: @address_a 0 0 12 0 address 0 0 12 0 +// Retrieval info: CONNECT: @byteena_a 0 0 4 0 byteena 0 0 4 0 +// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 +// Retrieval info: CONNECT: @data_a 0 0 32 0 data 0 0 32 0 +// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 +// Retrieval info: CONNECT: q 0 0 32 0 @q_a 0 0 32 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL ram16kB.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram16kB.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram16kB.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram16kB.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram16kB_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram16kB_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf diff --git a/examples/hdl4se_riscv/de1/ram/ram16kB_bb.v b/examples/hdl4se_riscv/de1/ram/ram16kB_bb.v new file mode 100644 index 0000000000000000000000000000000000000000..3e61af3be79f9f94937120ae6c591cbd97cf6753 --- /dev/null +++ b/examples/hdl4se_riscv/de1/ram/ram16kB_bb.v @@ -0,0 +1,130 @@ +// megafunction wizard: %RAM: 1-PORT%VBB% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altsyncram + +// ============================================================ +// File Name: ram16kB.v +// Megafunction Name(s): +// altsyncram +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.1.0 Build 162 10/23/2013 SJ Full Version +// ************************************************************ + +//Copyright (C) 1991-2013 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + +module ram16kB ( + address, + byteena, + clock, + data, + wren, + q); + + input [11:0] address; + input [3:0] byteena; + input clock; + input [31:0] data; + input wren; + output [31:0] q; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri1 [3:0] byteena; + tri1 clock; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +// Retrieval info: PRIVATE: AclrAddr NUMERIC "0" +// Retrieval info: PRIVATE: AclrByte NUMERIC "0" +// Retrieval info: PRIVATE: AclrData NUMERIC "0" +// Retrieval info: PRIVATE: AclrOutput NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "1" +// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: Clken NUMERIC "0" +// Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1" +// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" +// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +// Retrieval info: PRIVATE: MIFfilename STRING "../test_code/test.mif" +// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "4096" +// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" +// Retrieval info: PRIVATE: RegAddr NUMERIC "1" +// Retrieval info: PRIVATE: RegData NUMERIC "1" +// Retrieval info: PRIVATE: RegOutput NUMERIC "0" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: SingleClock NUMERIC "1" +// Retrieval info: PRIVATE: UseDQRAM NUMERIC "1" +// Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0" +// Retrieval info: PRIVATE: WidthAddr NUMERIC "12" +// Retrieval info: PRIVATE: WidthData NUMERIC "32" +// Retrieval info: PRIVATE: rden NUMERIC "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: BYTE_SIZE NUMERIC "8" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: INIT_FILE STRING "../test_code/test.mif" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V" +// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "4096" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT" +// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" +// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" +// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" +// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "12" +// Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "4" +// Retrieval info: USED_PORT: address 0 0 12 0 INPUT NODEFVAL "address[11..0]" +// Retrieval info: USED_PORT: byteena 0 0 4 0 INPUT VCC "byteena[3..0]" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" +// Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL "data[31..0]" +// Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL "q[31..0]" +// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren" +// Retrieval info: CONNECT: @address_a 0 0 12 0 address 0 0 12 0 +// Retrieval info: CONNECT: @byteena_a 0 0 4 0 byteena 0 0 4 0 +// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 +// Retrieval info: CONNECT: @data_a 0 0 32 0 data 0 0 32 0 +// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 +// Retrieval info: CONNECT: q 0 0 32 0 @q_a 0 0 32 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL ram16kB.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram16kB.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram16kB.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram16kB.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram16kB_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ram16kB_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf diff --git a/examples/hdl4se_riscv/de1/ram128kB.qip b/examples/hdl4se_riscv/de1/ram128kB.qip new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/examples/hdl4se_riscv/de1/ram16kB.qip b/examples/hdl4se_riscv/de1/ram16kB.qip new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/examples/hdl4se_riscv/de1/test.mif b/examples/hdl4se_riscv/de1/test.mif index 1d5ab75808d44dc07e5ab0c18d650ae309744cdf..2a281e23a8f3d8826c854e1385f61190f3263459 100644 --- a/examples/hdl4se_riscv/de1/test.mif +++ b/examples/hdl4se_riscv/de1/test.mif @@ -1,4 +1,4 @@ -DEPTH = 2048; +DEPTH = 32768; WIDTH = 32; ADDRESS_RADIX = HEX; DATA_RADIX = HEX; @@ -36,1289 +36,1289 @@ BEGIN 001D : 00000793; 001E : 00078863; 001F : 00001537; -0020 : C9050513; -0021 : 4690006F; +0020 : 03850513; +0021 : 0100106F; 0022 : 00008067; -0023 : 00001197; -0024 : 7AC18193; -0025 : C4418513; -0026 : C6018613; +0023 : 00003197; +0024 : A7418193; +0025 : C3418513; +0026 : C5018613; 0027 : 40A60633; 0028 : 00000593; -0029 : 1F1000EF; +0029 : 599000EF; 002A : 00001517; -002B : C4450513; +002B : FEC50513; 002C : 00050863; 002D : 00001517; -002E : BDC50513; -002F : 431000EF; -0030 : 139000EF; +002E : F8450513; +002F : 7D9000EF; +0030 : 4E1000EF; 0031 : 00012503; 0032 : 00410593; 0033 : 00000613; -0034 : 0AC000EF; -0035 : 0F50006F; +0034 : 400000EF; +0035 : 49D0006F; 0036 : FF010113; 0037 : 00812423; -0038 : C441C783; +0038 : C341C783; 0039 : 00112623; 003A : 02079263; 003B : 00000793; 003C : 00078A63; -003D : 00001537; -003E : 00050513; +003D : 00002537; +003E : 2C450513; 003F : 00000097; 0040 : 000000E7; 0041 : 00100793; -0042 : C4F18223; +0042 : C2F18A23; 0043 : 00C12083; 0044 : 00812403; 0045 : 01010113; 0046 : 00008067; 0047 : 00000793; 0048 : 00078C63; -0049 : 00001537; -004A : C4818593; -004B : 00050513; +0049 : 00002537; +004A : C3818593; +004B : 2C450513; 004C : 00000317; 004D : 00000067; 004E : 00008067; -004F : FE010113; -0050 : 00812E23; -0051 : 02010413; -0052 : FEA42623; -0053 : FEC42703; -0054 : 00A00793; -0055 : 02F777B3; -0056 : 00001717; -0057 : 31472703; -0058 : 00279793; -0059 : 00F707B3; -005A : 0007A783; -005B : 00078513; -005C : 01C12403; -005D : 02010113; -005E : 00008067; -005F : FB010113; -0060 : 04112623; -0061 : 04812423; -0062 : 04912223; -0063 : 05010413; -0064 : FAA42E23; -0065 : FAB42C23; -0066 : 00100793; -0067 : FEF42223; -0068 : F00007B7; -0069 : FEF42023; -006A : F00007B7; -006B : 01078793; -006C : FCF42E23; -006D : F00007B7; -006E : 10078793; -006F : FCF42C23; -0070 : 00000793; -0071 : 00000813; -0072 : FEF42423; -0073 : FF042623; -0074 : FDC42783; -0075 : 6F7F0737; -0076 : 77D70713; -0077 : 00E7A023; -0078 : FDC42783; -0079 : 00478793; -007A : 6D665737; -007B : F5B70713; -007C : 00E7A023; -007D : FD842783; -007E : 01078793; -007F : 1B200713; -0080 : 00E7A023; -0081 : FD842783; -0082 : 00878793; -0083 : 0007A783; -0084 : FCF42A23; -0085 : FD442703; -0086 : 000107B7; -0087 : 00F777B3; -0088 : 02079E63; -0089 : FD842783; -008A : 0007A783; -008B : FCF42223; -008C : FC442783; -008D : 0007DA63; -008E : FD842783; -008F : 00478793; -0090 : 02300713; -0091 : 00E7A023; -0092 : FD842783; -0093 : 00478793; -0094 : FC442703; -0095 : 00E7A023; -0096 : 33C0006F; -0097 : FE042783; -0098 : 0007A783; -0099 : FCF42823; -009A : FD042783; -009B : 0017F793; -009C : 00078C63; -009D : 00000793; -009E : 00000813; -009F : FEF42423; -00A0 : FF042623; -00A1 : 0740006F; -00A2 : FD042783; -00A3 : 0027F793; -00A4 : 00078663; -00A5 : FE042223; -00A6 : 0600006F; -00A7 : FD042783; -00A8 : 0047F793; -00A9 : 00078863; -00AA : 00100793; -00AB : FEF42223; -00AC : 0480006F; -00AD : FD042783; -00AE : 0087F793; -00AF : 00078C63; -00B0 : FD842783; -00B1 : 01078793; -00B2 : 1B200713; -00B3 : 00E7A023; -00B4 : 2C40006F; -00B5 : FD042783; -00B6 : 0107F793; -00B7 : 00078E63; -00B8 : FD842783; -00B9 : 01078793; -00BA : 00001737; -00BB : 45870713; -00BC : 00E7A023; -00BD : 2A00006F; -00BE : FE442783; -00BF : 02078A63; -00C0 : FE842603; -00C1 : FEC42683; -00C2 : 00100513; -00C3 : 00000593; -00C4 : 00A60733; -00C5 : 00070813; -00C6 : 00C83833; -00C7 : 00B687B3; -00C8 : 00F806B3; -00C9 : 00068793; -00CA : FEE42423; -00CB : FEF42623; -00CC : FE842703; -00CD : FEC42783; -00CE : FCE42423; -00CF : FCF42623; -00D0 : FC842783; -00D1 : 00078513; -00D2 : DF5FF0EF; -00D3 : 00050493; -00D4 : FC842703; -00D5 : FCC42783; -00D6 : 00A00613; -00D7 : 00000693; -00D8 : 00070513; -00D9 : 00078593; -00DA : 230000EF; -00DB : 00050713; -00DC : 00058793; -00DD : 00070793; -00DE : 00078513; -00DF : DC1FF0EF; -00E0 : 00050793; -00E1 : 00879793; -00E2 : 00F4E4B3; -00E3 : FC842703; -00E4 : FCC42783; -00E5 : 06400613; -00E6 : 00000693; -00E7 : 00070513; -00E8 : 00078593; -00E9 : 1F4000EF; -00EA : 00050713; -00EB : 00058793; -00EC : 00070793; -00ED : 00078513; -00EE : D85FF0EF; -00EF : 00050793; -00F0 : 01079793; -00F1 : 00F4E4B3; -00F2 : FC842703; -00F3 : FCC42783; -00F4 : 3E800613; -00F5 : 00000693; -00F6 : 00070513; -00F7 : 00078593; -00F8 : 1B8000EF; -00F9 : 00050713; -00FA : 00058793; -00FB : 00070793; -00FC : 00078513; -00FD : D49FF0EF; -00FE : 00050793; -00FF : 01879793; -0100 : 00F4E733; -0101 : FDC42783; -0102 : 00E7A023; -0103 : FC842703; -0104 : FCC42783; -0105 : 00002637; -0106 : 71060613; -0107 : 00000693; -0108 : 00070513; -0109 : 00078593; -010A : 170000EF; -010B : 00050713; -010C : 00058793; -010D : FCE42423; -010E : FCF42623; -010F : FC842783; -0110 : 00078513; -0111 : CF9FF0EF; -0112 : 00050493; -0113 : FC842703; -0114 : FCC42783; -0115 : 00A00613; -0116 : 00000693; -0117 : 00070513; -0118 : 00078593; -0119 : 134000EF; -011A : 00050713; -011B : 00058793; -011C : 00070793; -011D : 00078513; -011E : CC5FF0EF; -011F : 00050793; -0120 : 00879793; -0121 : 00F4E4B3; -0122 : FC842703; -0123 : FCC42783; -0124 : 06400613; -0125 : 00000693; -0126 : 00070513; -0127 : 00078593; -0128 : 0F8000EF; -0129 : 00050713; -012A : 00058793; -012B : 00070793; -012C : 00078513; -012D : C89FF0EF; -012E : 00050793; -012F : 01079793; -0130 : 00F4E4B3; -0131 : FC842703; -0132 : FCC42783; -0133 : 3E800613; -0134 : 00000693; -0135 : 00070513; -0136 : 00078593; -0137 : 0BC000EF; -0138 : 00050713; -0139 : 00058793; -013A : 00070793; -013B : 00078513; -013C : C4DFF0EF; -013D : 00050793; -013E : 01879713; -013F : FDC42783; -0140 : 00478793; -0141 : 00E4E733; -0142 : 00E7A023; -0143 : FC842703; -0144 : FCC42783; -0145 : 00002637; -0146 : 71060613; -0147 : 00000693; -0148 : 00070513; -0149 : 00078593; -014A : 070000EF; -014B : 00050713; -014C : 00058793; -014D : FCE42423; -014E : FCF42623; -014F : FC842783; -0150 : 00078513; -0151 : BF9FF0EF; -0152 : 00050493; -0153 : FC842703; -0154 : FCC42783; -0155 : 00A00613; -0156 : 00000693; -0157 : 00070513; -0158 : 00078593; -0159 : 034000EF; -015A : 00050713; -015B : 00058793; -015C : 00070793; +004F : FD010113; +0050 : 02812623; +0051 : 03010413; +0052 : FCA42E23; +0053 : FCB42C23; +0054 : F00007B7; +0055 : 10078793; +0056 : FEF42423; +0057 : FE042623; +0058 : 0480006F; +0059 : FE842783; +005A : 00878793; +005B : 0007A783; +005C : FEF42223; +005D : FE442783; +005E : 0027F793; +005F : 02078663; +0060 : FEC42783; +0061 : FDC42703; +0062 : 00F707B3; +0063 : 0007C703; +0064 : FE842783; +0065 : 00478793; +0066 : 00E7A023; +0067 : FEC42783; +0068 : 00178793; +0069 : FEF42623; +006A : FEC42703; +006B : FD842783; +006C : FAF74AE3; +006D : FD842783; +006E : 00078513; +006F : 02C12403; +0070 : 03010113; +0071 : 00008067; +0072 : FD010113; +0073 : 02812623; +0074 : 03010413; +0075 : FCA42E23; +0076 : FCB42C23; +0077 : F00007B7; +0078 : 10078793; +0079 : FEF42423; +007A : FD842783; +007B : 00F04663; +007C : 00000793; +007D : 09C0006F; +007E : FE042623; +007F : FE842783; +0080 : 00878793; +0081 : 0007A783; +0082 : FEF42223; +0083 : FE442703; +0084 : 000107B7; +0085 : 00F777B3; +0086 : 06079263; +0087 : FE442783; +0088 : 0117D793; +0089 : FEF42223; +008A : FD842783; +008B : FE442703; +008C : 00F77663; +008D : FE442783; +008E : FCF42C23; +008F : FE042623; +0090 : 02C0006F; +0091 : FE842783; +0092 : 0007A683; +0093 : FEC42783; +0094 : FDC42703; +0095 : 00F707B3; +0096 : 0FF6F713; +0097 : 00E78023; +0098 : FEC42783; +0099 : 00178793; +009A : FEF42623; +009B : FEC42703; +009C : FD842783; +009D : FCF748E3; +009E : 00C0006F; +009F : 00000793; +00A0 : 0100006F; +00A1 : FEC42783; +00A2 : F6078AE3; +00A3 : FEC42783; +00A4 : 00078513; +00A5 : 02C12403; +00A6 : 03010113; +00A7 : 00008067; +00A8 : FE010113; +00A9 : 00812E23; +00AA : 02010413; +00AB : FEA42623; +00AC : FEC42703; +00AD : 00A00793; +00AE : 02F777B3; +00AF : 00001737; +00B0 : 17870713; +00B1 : 00279793; +00B2 : 00F707B3; +00B3 : 0007A783; +00B4 : 00078513; +00B5 : 01C12403; +00B6 : 02010113; +00B7 : 00008067; +00B8 : FC010113; +00B9 : 02812E23; +00BA : 04010413; +00BB : FCA42623; +00BC : FCB42423; +00BD : FE042423; +00BE : FE042223; +00BF : FC842783; +00C0 : 0407DE63; +00C1 : FC842783; +00C2 : 40F007B3; +00C3 : FCF42423; +00C4 : FE042223; +00C5 : 0480006F; +00C6 : FC842703; +00C7 : 00A00793; +00C8 : 02F767B3; +00C9 : 0FF7F713; +00CA : FE842783; +00CB : 00178693; +00CC : FED42423; +00CD : 00078693; +00CE : FCC42783; +00CF : 00D787B3; +00D0 : 03070713; +00D1 : 0FF77713; +00D2 : 00E78023; +00D3 : FC842703; +00D4 : 00A00793; +00D5 : 02F747B3; +00D6 : FCF42423; +00D7 : FC842783; +00D8 : FAF04CE3; +00D9 : FE442783; +00DA : 02078263; +00DB : FE842783; +00DC : 00178713; +00DD : FEE42423; +00DE : 00078713; +00DF : FCC42783; +00E0 : 00E787B3; +00E1 : 02D00713; +00E2 : 00E78023; +00E3 : FE042623; +00E4 : 06C0006F; +00E5 : FE842783; +00E6 : FFF78713; +00E7 : FEC42783; +00E8 : 40F707B3; +00E9 : FEF42023; +00EA : FEC42783; +00EB : FCC42703; +00EC : 00F707B3; +00ED : 0007C783; +00EE : FCF40FA3; +00EF : FE042783; +00F0 : FCC42703; +00F1 : 00F70733; +00F2 : FEC42783; +00F3 : FCC42683; +00F4 : 00F687B3; +00F5 : 00074703; +00F6 : 00E78023; +00F7 : FE042783; +00F8 : FCC42703; +00F9 : 00F707B3; +00FA : FDF44703; +00FB : 00E78023; +00FC : FEC42783; +00FD : 00178793; +00FE : FEF42623; +00FF : FE842783; +0100 : 01F7D713; +0101 : 00F707B3; +0102 : 4017D793; +0103 : 00078713; +0104 : FEC42783; +0105 : F8E7C0E3; +0106 : FE842783; +0107 : FCC42703; +0108 : 00F707B3; +0109 : 00078023; +010A : FE842783; +010B : 00078513; +010C : 03C12403; +010D : 04010113; +010E : 00008067; +010F : FD010113; +0110 : 02812623; +0111 : 03010413; +0112 : FCA42E23; +0113 : FCB42C23; +0114 : FDC42783; +0115 : FEF42623; +0116 : 0100006F; +0117 : FDC42783; +0118 : 00178793; +0119 : FCF42E23; +011A : FDC42783; +011B : 0007C783; +011C : FE0796E3; +011D : 0240006F; +011E : FD842703; +011F : 00170793; +0120 : FCF42C23; +0121 : FDC42783; +0122 : 00178693; +0123 : FCD42E23; +0124 : 00074703; +0125 : 00E78023; +0126 : FD842783; +0127 : 0007C783; +0128 : FC079CE3; +0129 : FDC42783; +012A : 00178713; +012B : FCE42E23; +012C : 00078023; +012D : FDC42703; +012E : FEC42783; +012F : 40F707B3; +0130 : 00078513; +0131 : 02C12403; +0132 : 03010113; +0133 : 00008067; +0134 : EA010113; +0135 : 14112E23; +0136 : 14812C23; +0137 : 14912A23; +0138 : 16010413; +0139 : EAA42623; +013A : EAB42423; +013B : 00100793; +013C : FCF42E23; +013D : F00007B7; +013E : FCF42C23; +013F : F00007B7; +0140 : 01078793; +0141 : FCF42A23; +0142 : F00007B7; +0143 : 10078793; +0144 : FCF42823; +0145 : 00000793; +0146 : 00000813; +0147 : FEF42423; +0148 : FF042623; +0149 : FD442783; +014A : 6F7F0737; +014B : 77D70713; +014C : 00E7A023; +014D : FD442783; +014E : 00478793; +014F : 6D665737; +0150 : F5B70713; +0151 : 00E7A023; +0152 : FD042783; +0153 : 01078793; +0154 : 1B200713; +0155 : 00E7A023; +0156 : FE042223; +0157 : FE042023; +0158 : 000017B7; +0159 : 1A078793; +015A : FCF42623; +015B : EB840793; +015C : 0FF00593; 015D : 00078513; -015E : BC5FF0EF; -015F : 00050793; -0160 : 00879713; -0161 : FDC42783; -0162 : 00878793; -0163 : 00E4E733; -0164 : 00E7A023; -0165 : C71FF06F; -0166 : 00050313; -0167 : 00058893; -0168 : 00060713; -0169 : 00050813; -016A : 00058793; -016B : 28069263; -016C : 000015B7; -016D : DF858593; -016E : 0EC8F663; -016F : 000106B7; -0170 : 0CD67863; -0171 : 10063693; -0172 : 0016C693; -0173 : 00369693; -0174 : 00D65533; -0175 : 00A585B3; -0176 : 0005C583; -0177 : 02000513; -0178 : 00D586B3; -0179 : 40D505B3; -017A : 00D50C63; -017B : 00B897B3; -017C : 00D356B3; -017D : 00B61733; -017E : 00F6E7B3; -017F : 00B31833; -0180 : 01075593; -0181 : 02B7D333; -0182 : 01071613; -0183 : 01065613; -0184 : 02B7F7B3; -0185 : 00030513; -0186 : 026608B3; -0187 : 01079693; -0188 : 01085793; -0189 : 00D7E7B3; -018A : 0117FE63; -018B : 00E787B3; -018C : FFF30513; -018D : 00E7E863; -018E : 0117F663; -018F : FFE30513; -0190 : 00E787B3; -0191 : 411787B3; -0192 : 02B7D8B3; -0193 : 01081813; -0194 : 01085813; -0195 : 02B7F7B3; -0196 : 031606B3; -0197 : 01079793; -0198 : 00F86833; -0199 : 00088793; -019A : 00D87C63; -019B : 01070833; -019C : FFF88793; -019D : 00E86663; -019E : 00D87463; -019F : FFE88793; -01A0 : 01051513; -01A1 : 00F56533; -01A2 : 00000593; -01A3 : 00008067; -01A4 : 01000537; -01A5 : 01000693; -01A6 : F2A66CE3; -01A7 : 01800693; -01A8 : F31FF06F; -01A9 : 00061463; -01AA : 00100073; -01AB : 000107B7; -01AC : 0CF67063; -01AD : 10063693; -01AE : 0016C693; -01AF : 00369693; -01B0 : 00D657B3; -01B1 : 00F585B3; -01B2 : 0005C783; -01B3 : 00D787B3; -01B4 : 02000693; -01B5 : 40F685B3; -01B6 : 0AF69663; -01B7 : 40C887B3; -01B8 : 00100593; -01B9 : 01075893; -01BA : 0317DE33; -01BB : 01071613; -01BC : 01065613; -01BD : 01085693; -01BE : 0317F7B3; -01BF : 000E0513; -01C0 : 03C60333; -01C1 : 01079793; -01C2 : 00F6E7B3; -01C3 : 0067FE63; -01C4 : 00E787B3; -01C5 : FFFE0513; -01C6 : 00E7E863; -01C7 : 0067F663; -01C8 : FFEE0513; -01C9 : 00E787B3; -01CA : 406787B3; -01CB : 0317D333; -01CC : 01081813; -01CD : 01085813; -01CE : 0317F7B3; -01CF : 026606B3; -01D0 : 01079793; -01D1 : 00F86833; -01D2 : 00030793; -01D3 : 00D87C63; -01D4 : 01070833; -01D5 : FFF30793; -01D6 : 00E86663; -01D7 : 00D87463; -01D8 : FFE30793; -01D9 : 01051513; -01DA : 00F56533; -01DB : 00008067; -01DC : 010007B7; -01DD : 01000693; -01DE : F4F664E3; -01DF : 01800693; -01E0 : F41FF06F; -01E1 : 00B61733; -01E2 : 00F8D6B3; -01E3 : 01075513; -01E4 : 00F357B3; -01E5 : 00B31833; -01E6 : 02A6D333; -01E7 : 01071613; -01E8 : 00B898B3; -01E9 : 01065613; -01EA : 0117E7B3; -01EB : 02A6F6B3; -01EC : 026608B3; -01ED : 01069593; -01EE : 0107D693; -01EF : 00B6E6B3; -01F0 : 00030593; -01F1 : 0116FE63; -01F2 : 00E686B3; -01F3 : FFF30593; -01F4 : 00E6E863; -01F5 : 0116F663; -01F6 : FFE30593; -01F7 : 00E686B3; -01F8 : 411686B3; -01F9 : 02A6D8B3; -01FA : 01079793; -01FB : 0107D793; -01FC : 02A6F6B3; -01FD : 03160633; -01FE : 01069693; -01FF : 00D7E7B3; -0200 : 00088693; -0201 : 00C7FE63; -0202 : 00E787B3; -0203 : FFF88693; -0204 : 00E7E863; -0205 : 00C7F663; -0206 : FFE88693; -0207 : 00E787B3; -0208 : 01059593; -0209 : 40C787B3; -020A : 00D5E5B3; -020B : EB9FF06F; -020C : 18D5E663; -020D : 000107B7; -020E : 04F6F463; -020F : 1006B713; -0210 : 00174713; -0211 : 00371713; -0212 : 000017B7; -0213 : 00E6D5B3; -0214 : DF878793; -0215 : 00B787B3; -0216 : 0007C783; -0217 : 00E787B3; -0218 : 02000713; -0219 : 40F705B3; -021A : 02F71663; -021B : 00100513; -021C : E116EEE3; -021D : 00C33533; -021E : 00154513; -021F : 00008067; -0220 : 010007B7; -0221 : 01000713; -0222 : FCF6E0E3; -0223 : 01800713; -0224 : FB9FF06F; -0225 : 00F65733; -0226 : 00B696B3; -0227 : 00D766B3; -0228 : 00F8D733; -0229 : 00B898B3; -022A : 00F357B3; -022B : 0117E7B3; -022C : 0106D893; -022D : 03175EB3; -022E : 01069813; -022F : 01085813; -0230 : 00B61633; -0231 : 03177733; -0232 : 03D80E33; -0233 : 01071513; -0234 : 0107D713; -0235 : 00A76733; -0236 : 000E8513; -0237 : 01C77E63; -0238 : 00D70733; -0239 : FFFE8513; -023A : 00D76863; -023B : 01C77663; -023C : FFEE8513; -023D : 00D70733; -023E : 41C70733; -023F : 03175E33; -0240 : 01079793; -0241 : 0107D793; -0242 : 03177733; -0243 : 03C80833; -0244 : 01071713; -0245 : 00E7E7B3; -0246 : 000E0713; -0247 : 0107FE63; -0248 : 00D787B3; -0249 : FFFE0713; -024A : 00D7E863; -024B : 0107F663; -024C : FFEE0713; -024D : 00D787B3; -024E : 01051513; -024F : 00010E37; -0250 : 00E56533; -0251 : FFFE0693; -0252 : 00D57733; -0253 : 410787B3; -0254 : 00D676B3; -0255 : 01055813; -0256 : 01065613; -0257 : 02D708B3; -0258 : 02D806B3; -0259 : 02C70733; -025A : 02C80833; -025B : 00D70633; -025C : 0108D713; -025D : 00C70733; -025E : 00D77463; -025F : 01C80833; -0260 : 01075693; -0261 : 010686B3; -0262 : 02D7E663; -0263 : CED79EE3; -0264 : 000107B7; -0265 : FFF78793; -0266 : 00F77733; -0267 : 01071713; -0268 : 00F8F8B3; -0269 : 00B31333; -026A : 01170733; -026B : 00000593; -026C : CCE37EE3; -026D : FFF50513; -026E : CD1FF06F; -026F : 00000593; -0270 : 00000513; -0271 : 00008067; -0272 : FF010113; -0273 : 00000593; -0274 : 00812423; -0275 : 00112623; -0276 : 00050413; -0277 : 194000EF; -0278 : C381A503; -0279 : 03C52783; -027A : 00078463; -027B : 000780E7; -027C : 00040513; -027D : 3A4000EF; -027E : FF010113; -027F : 00812423; -0280 : 01212023; -0281 : 00001437; -0282 : 00001937; -0283 : 02C40793; -0284 : 02C90913; -0285 : 40F90933; -0286 : 00112623; -0287 : 00912223; -0288 : 40295913; -0289 : 02090063; -028A : 02C40413; -028B : 00000493; -028C : 00042783; -028D : 00148493; -028E : 00440413; -028F : 000780E7; -0290 : FE9918E3; -0291 : 00001437; -0292 : 00001937; -0293 : 02C40793; -0294 : 03490913; -0295 : 40F90933; -0296 : 40295913; -0297 : 02090063; -0298 : 02C40413; -0299 : 00000493; -029A : 00042783; -029B : 00148493; -029C : 00440413; -029D : 000780E7; -029E : FE9918E3; -029F : 00C12083; -02A0 : 00812403; -02A1 : 00412483; -02A2 : 00012903; -02A3 : 01010113; -02A4 : 00008067; -02A5 : 00F00313; -02A6 : 00050713; -02A7 : 02C37E63; -02A8 : 00F77793; -02A9 : 0A079063; -02AA : 08059263; -02AB : FF067693; -02AC : 00F67613; -02AD : 00E686B3; -02AE : 00B72023; -02AF : 00B72223; -02B0 : 00B72423; -02B1 : 00B72623; -02B2 : 01070713; -02B3 : FED766E3; -02B4 : 00061463; -02B5 : 00008067; -02B6 : 40C306B3; -02B7 : 00269693; -02B8 : 00000297; -02B9 : 005686B3; -02BA : 00C68067; -02BB : 00B70723; -02BC : 00B706A3; -02BD : 00B70623; -02BE : 00B705A3; -02BF : 00B70523; -02C0 : 00B704A3; -02C1 : 00B70423; -02C2 : 00B703A3; -02C3 : 00B70323; -02C4 : 00B702A3; -02C5 : 00B70223; -02C6 : 00B701A3; -02C7 : 00B70123; -02C8 : 00B700A3; -02C9 : 00B70023; -02CA : 00008067; -02CB : 0FF5F593; -02CC : 00859693; -02CD : 00D5E5B3; -02CE : 01059693; -02CF : 00D5E5B3; -02D0 : F6DFF06F; -02D1 : 00279693; -02D2 : 00000297; -02D3 : 005686B3; -02D4 : 00008293; -02D5 : FA0680E7; -02D6 : 00028093; -02D7 : FF078793; -02D8 : 40F70733; -02D9 : 00F60633; -02DA : F6C378E3; -02DB : F3DFF06F; -02DC : FD010113; -02DD : 01412C23; -02DE : C381AA03; -02DF : 03212023; -02E0 : 02112623; -02E1 : 148A2903; -02E2 : 02812423; -02E3 : 02912223; -02E4 : 01312E23; -02E5 : 01512A23; -02E6 : 01612823; -02E7 : 01712623; -02E8 : 01812423; -02E9 : 04090063; -02EA : 00050B13; -02EB : 00058B93; -02EC : 00100A93; -02ED : FFF00993; -02EE : 00492483; -02EF : FFF48413; -02F0 : 02044263; -02F1 : 00249493; -02F2 : 009904B3; -02F3 : 040B8463; -02F4 : 1044A783; -02F5 : 05778063; -02F6 : FFF40413; -02F7 : FFC48493; -02F8 : FF3416E3; -02F9 : 02C12083; -02FA : 02812403; -02FB : 02412483; -02FC : 02012903; -02FD : 01C12983; -02FE : 01812A03; -02FF : 01412A83; -0300 : 01012B03; -0301 : 00C12B83; -0302 : 00812C03; -0303 : 03010113; -0304 : 00008067; -0305 : 00492783; -0306 : 0044A683; -0307 : FFF78793; -0308 : 04878E63; -0309 : 0004A223; -030A : FA0688E3; -030B : 18892783; -030C : 008A9733; -030D : 00492C03; -030E : 00F777B3; -030F : 02079263; -0310 : 000680E7; -0311 : 00492703; -0312 : 148A2783; -0313 : 01871463; -0314 : F92784E3; -0315 : F80788E3; -0316 : 00078913; -0317 : F5DFF06F; -0318 : 18C92783; -0319 : 0844A583; -031A : 00F77733; -031B : 00071C63; -031C : 000B0513; -031D : 000680E7; -031E : FCDFF06F; -031F : 00892223; -0320 : FA9FF06F; -0321 : 00058513; -0322 : 000680E7; -0323 : FB9FF06F; -0324 : FF010113; -0325 : 00812423; -0326 : 000017B7; -0327 : 00001437; -0328 : 03478793; -0329 : 03840413; -032A : 40F40433; -032B : 00912223; -032C : 00112623; -032D : 40245493; -032E : 02048063; -032F : FFC40413; -0330 : 00F40433; -0331 : 00042783; -0332 : FFF48493; -0333 : FFC40413; -0334 : 000780E7; -0335 : FE0498E3; -0336 : 00C12083; -0337 : 00812403; -0338 : 00412483; -0339 : 01010113; -033A : 00008067; -033B : 00050593; -033C : 00000693; -033D : 00000613; -033E : 00000513; -033F : 0040006F; -0340 : C381A703; -0341 : 14872783; -0342 : 04078C63; -0343 : 0047A703; -0344 : 01F00813; -0345 : 06E84E63; -0346 : 00271813; -0347 : 02050663; -0348 : 01078333; -0349 : 08C32423; -034A : 1887A883; -034B : 00100613; -034C : 00E61633; -034D : 00C8E8B3; -034E : 1917A423; -034F : 10D32423; -0350 : 00200693; -0351 : 02D50463; -0352 : 00170713; -0353 : 00E7A223; -0354 : 010787B3; -0355 : 00B7A423; -0356 : 00000513; -0357 : 00008067; -0358 : 14C70793; -0359 : 14F72423; -035A : FA5FF06F; -035B : 18C7A683; -035C : 00170713; -035D : 00E7A223; -035E : 00C6E6B3; -035F : 18D7A623; -0360 : 010787B3; -0361 : 00B7A423; -0362 : 00000513; -0363 : 00008067; -0364 : FFF00513; -0365 : 00008067; -0366 : 05D00893; -0367 : 00000073; -0368 : 00054463; -0369 : 0000006F; -036A : FF010113; -036B : 00812423; -036C : 00050413; -036D : 00112623; -036E : 40800433; -036F : 00C000EF; -0370 : 00852023; -0371 : 0000006F; -0372 : C401A503; -0373 : 00008067; -0374 : 0000003F; -0375 : 00000006; -0376 : 0000005B; -0377 : 0000004F; -0378 : 00000066; -0379 : 0000006D; -037A : 0000007D; -037B : 00000007; -037C : 0000007F; -037D : 0000006F; -037E : 02020100; -037F : 03030303; -0380 : 04040404; -0381 : 04040404; -0382 : 05050505; -0383 : 05050505; -0384 : 05050505; -0385 : 05050505; -0386 : 06060606; -0387 : 06060606; -0388 : 06060606; -0389 : 06060606; -038A : 06060606; -038B : 06060606; -038C : 06060606; -038D : 06060606; -038E : 07070707; -038F : 07070707; -0390 : 07070707; -0391 : 07070707; -0392 : 07070707; -0393 : 07070707; -0394 : 07070707; -0395 : 07070707; -0396 : 07070707; -0397 : 07070707; -0398 : 07070707; -0399 : 07070707; -039A : 07070707; -039B : 07070707; -039C : 07070707; -039D : 07070707; -039E : 08080808; -039F : 08080808; -03A0 : 08080808; -03A1 : 08080808; -03A2 : 08080808; -03A3 : 08080808; -03A4 : 08080808; -03A5 : 08080808; -03A6 : 08080808; -03A7 : 08080808; -03A8 : 08080808; -03A9 : 08080808; -03AA : 08080808; -03AB : 08080808; -03AC : 08080808; -03AD : 08080808; -03AE : 08080808; -03AF : 08080808; -03B0 : 08080808; -03B1 : 08080808; -03B2 : 08080808; -03B3 : 08080808; -03B4 : 08080808; -03B5 : 08080808; -03B6 : 08080808; -03B7 : 08080808; -03B8 : 08080808; -03B9 : 08080808; -03BA : 08080808; -03BB : 08080808; -03BC : 08080808; -03BD : 08080808; -03BE : CD000000; -03BF : CDCDCDCD; -03C0 : CDCDCDCD; -03C1 : CDCDCDCD; -03C2 : CDCDCDCD; -03C3 : CDCDCDCD; -03C4 : CDCDCDCD; -03C5 : CDCDCDCD; -03C6 : CDCDCDCD; -03C7 : CDCDCDCD; -03C8 : CDCDCDCD; -03C9 : CDCDCDCD; -03CA : CDCDCDCD; -03CB : CDCDCDCD; -03CC : CDCDCDCD; -03CD : CDCDCDCD; -03CE : CDCDCDCD; -03CF : CDCDCDCD; -03D0 : CDCDCDCD; -03D1 : CDCDCDCD; -03D2 : CDCDCDCD; -03D3 : CDCDCDCD; -03D4 : CDCDCDCD; -03D5 : CDCDCDCD; -03D6 : CDCDCDCD; -03D7 : CDCDCDCD; -03D8 : CDCDCDCD; -03D9 : CDCDCDCD; -03DA : CDCDCDCD; -03DB : CDCDCDCD; -03DC : CDCDCDCD; -03DD : CDCDCDCD; -03DE : CDCDCDCD; -03DF : CDCDCDCD; -03E0 : CDCDCDCD; -03E1 : CDCDCDCD; -03E2 : CDCDCDCD; -03E3 : CDCDCDCD; -03E4 : CDCDCDCD; -03E5 : CDCDCDCD; -03E6 : CDCDCDCD; -03E7 : CDCDCDCD; -03E8 : CDCDCDCD; -03E9 : CDCDCDCD; -03EA : CDCDCDCD; -03EB : CDCDCDCD; -03EC : CDCDCDCD; -03ED : CDCDCDCD; -03EE : CDCDCDCD; -03EF : CDCDCDCD; -03F0 : CDCDCDCD; -03F1 : CDCDCDCD; -03F2 : CDCDCDCD; -03F3 : CDCDCDCD; -03F4 : CDCDCDCD; -03F5 : CDCDCDCD; -03F6 : CDCDCDCD; -03F7 : CDCDCDCD; -03F8 : CDCDCDCD; -03F9 : CDCDCDCD; -03FA : CDCDCDCD; -03FB : CDCDCDCD; -03FC : CDCDCDCD; -03FD : CDCDCDCD; -03FE : CDCDCDCD; -03FF : CDCDCDCD; -0400 : 00000010; -0401 : 00000000; -0402 : 00527A03; -0403 : 01017C01; -0404 : 00020D1B; -0405 : 00000010; -0406 : 00000018; -0407 : FFFFF57C; -0408 : 00000430; -0409 : 00000000; -040A : 00000000; -040B : 00000074; -040C : 0000011C; -040D : 000000D8; -040E : 00000000; -040F : 00001324; -0410 : 0000138C; -0411 : 000013F4; -0412 : 00000000; -0413 : 00000000; -0414 : 00000000; -0415 : 00000000; -0416 : 00000000; -0417 : 00000000; -0418 : 00000000; -0419 : 00000000; -041A : 00000000; -041B : 00000000; -041C : 00000000; -041D : 00000000; -041E : 00000000; -041F : 00000000; -0420 : 00000000; -0421 : 00000000; -0422 : 00000000; -0423 : 00000000; -0424 : 00000000; -0425 : 00000000; -0426 : 00000000; -0427 : 00000000; -0428 : 00000000; -0429 : 00000000; -042A : 00000000; -042B : 00000000; -042C : 00000000; -042D : 00000000; -042E : 00000000; -042F : 00000000; -0430 : 00000000; -0431 : 00000000; -0432 : 00000000; -0433 : 00000000; -0434 : 00000000; -0435 : 00000000; -0436 : 00000000; -0437 : 00000000; -0438 : 00000001; -0439 : 00000000; -043A : ABCD330E; -043B : E66D1234; -043C : 0005DEEC; -043D : 0000000B; -043E : 00000000; -043F : 00000000; -0440 : 00000000; -0441 : 00000000; -0442 : 00000000; -0443 : 00000000; -0444 : 00000000; -0445 : 00000000; -0446 : 00000000; -0447 : 00000000; -0448 : 00000000; -0449 : 00000000; -044A : 00000000; -044B : 00000000; -044C : 00000000; -044D : 00000000; -044E : 00000000; -044F : 00000000; -0450 : 00000000; -0451 : 00000000; -0452 : 00000000; -0453 : 00000000; -0454 : 00000000; -0455 : 00000000; -0456 : 00000000; -0457 : 00000000; -0458 : 00000000; -0459 : 00000000; -045A : 00000000; -045B : 00000000; -045C : 00000000; -045D : 00000000; -045E : 00000000; -045F : 00000000; -0460 : 00000000; -0461 : 00000000; -0462 : 00000000; -0463 : 00000000; -0464 : 00000000; -0465 : 00000000; -0466 : 00000000; -0467 : 00000000; -0468 : 00000000; -0469 : 00000000; -046A : 00000000; -046B : 00000000; -046C : 00000000; -046D : 00000000; -046E : 00000000; -046F : 00000000; -0470 : 00000000; -0471 : 00000000; -0472 : 00000000; -0473 : 00000000; -0474 : 00000000; -0475 : 00000000; -0476 : 00000000; -0477 : 00000000; -0478 : 00000000; -0479 : 00000000; -047A : 00000000; -047B : 00000000; -047C : 00000000; -047D : 00000000; -047E : 00000000; -047F : 00000000; -0480 : 00000000; -0481 : 00000000; -0482 : 00000000; -0483 : 00000000; -0484 : 00000000; -0485 : 00000000; -0486 : 00000000; -0487 : 00000000; -0488 : 00000000; -0489 : 00000000; -048A : 00000000; -048B : 00000000; -048C : 00000000; -048D : 00000000; -048E : 00000000; -048F : 00000000; -0490 : 00000000; -0491 : 00000000; -0492 : 00000000; -0493 : 00000000; -0494 : 00000000; -0495 : 00000000; -0496 : 00000000; -0497 : 00000000; -0498 : 00000000; -0499 : 00000000; -049A : 00000000; -049B : 00000000; -049C : 00000000; -049D : 00000000; -049E : 00000000; -049F : 00000000; -04A0 : 00000000; -04A1 : 00000000; -04A2 : 00000000; -04A3 : 00000000; -04A4 : 00000000; -04A5 : 00000000; -04A6 : 00000000; -04A7 : 00000000; -04A8 : 00000000; -04A9 : 00000000; -04AA : 00000000; -04AB : 00000000; -04AC : 00000000; -04AD : 00000000; -04AE : 00000000; -04AF : 00000000; -04B0 : 00000000; -04B1 : 00000000; -04B2 : 00000000; -04B3 : 00000000; -04B4 : 00000000; -04B5 : 00000000; -04B6 : 00000000; -04B7 : 00000000; -04B8 : 00000000; -04B9 : 00000000; -04BA : 00000000; -04BB : 00000000; -04BC : 00000000; -04BD : 00000000; -04BE : 00000000; -04BF : 00000000; -04C0 : 00000000; -04C1 : 00000000; -04C2 : 00000000; -04C3 : 00000000; -04C4 : 00000000; -04C5 : 00000000; -04C6 : 00000000; -04C7 : 00000000; -04C8 : 00000000; -04C9 : 00000000; -04CA : 00000000; -04CB : 00000000; -04CC : 00000000; -04CD : 00000000; -04CE : 00000000; -04CF : 00000000; -04D0 : 00000000; -04D1 : 00000000; -04D2 : 00000000; -04D3 : 00000000; -04D4 : 00000000; -04D5 : 00000000; -04D6 : 00000000; -04D7 : 00000000; -04D8 : 00000000; -04D9 : 00000000; -04DA : 00000000; -04DB : 00000000; -04DC : 00000000; -04DD : 00000000; -04DE : 00000000; -04DF : 00000000; -04E0 : 00000000; -04E1 : 00000000; -04E2 : 00000000; -04E3 : 00000000; -04E4 : 00000000; -04E5 : 00000000; -04E6 : 00000000; -04E7 : 00000000; -04E8 : 00000000; -04E9 : 00000000; -04EA : 00000000; -04EB : 00000000; -04EC : 00000000; -04ED : 00000000; -04EE : 00000000; -04EF : 00000000; -04F0 : 00000000; -04F1 : 00000000; -04F2 : 00000000; -04F3 : 00000000; -04F4 : 00000000; -04F5 : 00000000; -04F6 : 00000000; -04F7 : 00000000; -04F8 : 00000000; -04F9 : 00000000; -04FA : 00000000; -04FB : 00000000; -04FC : 00000000; -04FD : 00000000; -04FE : 00000000; -04FF : 00000000; -0500 : 00000000; -0501 : 00000000; -0502 : 00000000; -0503 : 00000000; -0504 : 00000000; -0505 : 00000000; -0506 : 00000000; -0507 : 00000000; -0508 : 00000000; -0509 : 00000000; -050A : 00000000; -050B : 00000000; -050C : 00000000; -050D : 00000000; -050E : 00000000; -050F : 00000000; -0510 : 00000000; -0511 : 00000000; -0512 : 00000000; -0513 : 00000000; -0514 : 00000000; -0515 : 00000000; -0516 : 00000000; -0517 : 00000000; -0518 : FFFFFFFF; -0519 : 00000000; -051A : 00000000; -051B : 00000DD0; -051C : 00001038; -051D : 00000000; -051E : 00001038; -051F : 00001038; -0520 : 00000000; -0521 : 00001038; -0522 : CD000000; +015E : C51FF0EF; +015F : FCA42423; +0160 : FC842783; +0161 : 02F05A63; +0162 : EB840793; +0163 : FC842583; +0164 : 00078513; +0165 : BA9FF0EF; +0166 : FE442703; +0167 : FC842783; +0168 : 00F707B3; +0169 : FEF42223; +016A : FE042703; +016B : FC842783; +016C : 00F707B3; +016D : FEF42023; +016E : FE042703; +016F : 3E700793; +0170 : 04E7D263; +0171 : EB840793; +0172 : FE442583; +0173 : 00078513; +0174 : D11FF0EF; +0175 : FCA42223; +0176 : EB840713; +0177 : 000017B7; +0178 : 1B078593; +0179 : 00070513; +017A : E55FF0EF; +017B : FCA42223; +017C : EB840793; +017D : FC442583; +017E : 00078513; +017F : B41FF0EF; +0180 : FE042023; +0181 : FD842783; +0182 : 0007A783; +0183 : FCF42023; +0184 : FC042783; +0185 : 0017F793; +0186 : 00078C63; +0187 : 00000793; +0188 : 00000813; +0189 : FEF42423; +018A : FF042623; +018B : 0740006F; +018C : FC042783; +018D : 0027F793; +018E : 00078663; +018F : FC042E23; +0190 : 0600006F; +0191 : FC042783; +0192 : 0047F793; +0193 : 00078863; +0194 : 00100793; +0195 : FCF42E23; +0196 : 0480006F; +0197 : FC042783; +0198 : 0087F793; +0199 : 00078C63; +019A : FD042783; +019B : 01078793; +019C : 1B200713; +019D : 00E7A023; +019E : 2C40006F; +019F : FC042783; +01A0 : 0107F793; +01A1 : 00078E63; +01A2 : FD042783; +01A3 : 01078793; +01A4 : 00001737; +01A5 : 45870713; +01A6 : 00E7A023; +01A7 : 2A00006F; +01A8 : FDC42783; +01A9 : 02078A63; +01AA : FE842603; +01AB : FEC42683; +01AC : 00100513; +01AD : 00000593; +01AE : 00A60733; +01AF : 00070813; +01B0 : 00C83833; +01B1 : 00B687B3; +01B2 : 00F806B3; +01B3 : 00068793; +01B4 : FEE42423; +01B5 : FEF42623; +01B6 : FE042783; +01B7 : FAF42C23; +01B8 : 41F7D793; +01B9 : FAF42E23; +01BA : FB842783; +01BB : 00078513; +01BC : BB1FF0EF; +01BD : 00050493; +01BE : FB842703; +01BF : FBC42783; +01C0 : 00A00613; +01C1 : 00000693; +01C2 : 00070513; +01C3 : 00078593; +01C4 : 230000EF; +01C5 : 00050713; +01C6 : 00058793; +01C7 : 00070793; +01C8 : 00078513; +01C9 : B7DFF0EF; +01CA : 00050793; +01CB : 00879793; +01CC : 00F4E4B3; +01CD : FB842703; +01CE : FBC42783; +01CF : 06400613; +01D0 : 00000693; +01D1 : 00070513; +01D2 : 00078593; +01D3 : 1F4000EF; +01D4 : 00050713; +01D5 : 00058793; +01D6 : 00070793; +01D7 : 00078513; +01D8 : B41FF0EF; +01D9 : 00050793; +01DA : 01079793; +01DB : 00F4E4B3; +01DC : FB842703; +01DD : FBC42783; +01DE : 3E800613; +01DF : 00000693; +01E0 : 00070513; +01E1 : 00078593; +01E2 : 1B8000EF; +01E3 : 00050713; +01E4 : 00058793; +01E5 : 00070793; +01E6 : 00078513; +01E7 : B05FF0EF; +01E8 : 00050793; +01E9 : 01879793; +01EA : 00F4E733; +01EB : FD442783; +01EC : 00E7A023; +01ED : FB842703; +01EE : FBC42783; +01EF : 00002637; +01F0 : 71060613; +01F1 : 00000693; +01F2 : 00070513; +01F3 : 00078593; +01F4 : 170000EF; +01F5 : 00050713; +01F6 : 00058793; +01F7 : FAE42C23; +01F8 : FAF42E23; +01F9 : FB842783; +01FA : 00078513; +01FB : AB5FF0EF; +01FC : 00050493; +01FD : FB842703; +01FE : FBC42783; +01FF : 00A00613; +0200 : 00000693; +0201 : 00070513; +0202 : 00078593; +0203 : 134000EF; +0204 : 00050713; +0205 : 00058793; +0206 : 00070793; +0207 : 00078513; +0208 : A81FF0EF; +0209 : 00050793; +020A : 00879793; +020B : 00F4E4B3; +020C : FB842703; +020D : FBC42783; +020E : 06400613; +020F : 00000693; +0210 : 00070513; +0211 : 00078593; +0212 : 0F8000EF; +0213 : 00050713; +0214 : 00058793; +0215 : 00070793; +0216 : 00078513; +0217 : A45FF0EF; +0218 : 00050793; +0219 : 01079793; +021A : 00F4E4B3; +021B : FB842703; +021C : FBC42783; +021D : 3E800613; +021E : 00000693; +021F : 00070513; +0220 : 00078593; +0221 : 0BC000EF; +0222 : 00050713; +0223 : 00058793; +0224 : 00070793; +0225 : 00078513; +0226 : A09FF0EF; +0227 : 00050793; +0228 : 01879713; +0229 : FD442783; +022A : 00478793; +022B : 00E4E733; +022C : 00E7A023; +022D : FB842703; +022E : FBC42783; +022F : 00002637; +0230 : 71060613; +0231 : 00000693; +0232 : 00070513; +0233 : 00078593; +0234 : 070000EF; +0235 : 00050713; +0236 : 00058793; +0237 : FAE42C23; +0238 : FAF42E23; +0239 : FB842783; +023A : 00078513; +023B : 9B5FF0EF; +023C : 00050493; +023D : FB842703; +023E : FBC42783; +023F : 00A00613; +0240 : 00000693; +0241 : 00070513; +0242 : 00078593; +0243 : 034000EF; +0244 : 00050713; +0245 : 00058793; +0246 : 00070793; +0247 : 00078513; +0248 : 981FF0EF; +0249 : 00050793; +024A : 00879713; +024B : FD442783; +024C : 00878793; +024D : 00E4E733; +024E : 00E7A023; +024F : C25FF06F; +0250 : 00050313; +0251 : 00058893; +0252 : 00060713; +0253 : 00050813; +0254 : 00058793; +0255 : 28069263; +0256 : 000015B7; +0257 : 1C458593; +0258 : 0EC8F663; +0259 : 000106B7; +025A : 0CD67863; +025B : 10063693; +025C : 0016C693; +025D : 00369693; +025E : 00D65533; +025F : 00A585B3; +0260 : 0005C583; +0261 : 02000513; +0262 : 00D586B3; +0263 : 40D505B3; +0264 : 00D50C63; +0265 : 00B897B3; +0266 : 00D356B3; +0267 : 00B61733; +0268 : 00F6E7B3; +0269 : 00B31833; +026A : 01075593; +026B : 02B7D333; +026C : 01071613; +026D : 01065613; +026E : 02B7F7B3; +026F : 00030513; +0270 : 026608B3; +0271 : 01079693; +0272 : 01085793; +0273 : 00D7E7B3; +0274 : 0117FE63; +0275 : 00E787B3; +0276 : FFF30513; +0277 : 00E7E863; +0278 : 0117F663; +0279 : FFE30513; +027A : 00E787B3; +027B : 411787B3; +027C : 02B7D8B3; +027D : 01081813; +027E : 01085813; +027F : 02B7F7B3; +0280 : 031606B3; +0281 : 01079793; +0282 : 00F86833; +0283 : 00088793; +0284 : 00D87C63; +0285 : 01070833; +0286 : FFF88793; +0287 : 00E86663; +0288 : 00D87463; +0289 : FFE88793; +028A : 01051513; +028B : 00F56533; +028C : 00000593; +028D : 00008067; +028E : 01000537; +028F : 01000693; +0290 : F2A66CE3; +0291 : 01800693; +0292 : F31FF06F; +0293 : 00061463; +0294 : 00100073; +0295 : 000107B7; +0296 : 0CF67063; +0297 : 10063693; +0298 : 0016C693; +0299 : 00369693; +029A : 00D657B3; +029B : 00F585B3; +029C : 0005C783; +029D : 00D787B3; +029E : 02000693; +029F : 40F685B3; +02A0 : 0AF69663; +02A1 : 40C887B3; +02A2 : 00100593; +02A3 : 01075893; +02A4 : 0317DE33; +02A5 : 01071613; +02A6 : 01065613; +02A7 : 01085693; +02A8 : 0317F7B3; +02A9 : 000E0513; +02AA : 03C60333; +02AB : 01079793; +02AC : 00F6E7B3; +02AD : 0067FE63; +02AE : 00E787B3; +02AF : FFFE0513; +02B0 : 00E7E863; +02B1 : 0067F663; +02B2 : FFEE0513; +02B3 : 00E787B3; +02B4 : 406787B3; +02B5 : 0317D333; +02B6 : 01081813; +02B7 : 01085813; +02B8 : 0317F7B3; +02B9 : 026606B3; +02BA : 01079793; +02BB : 00F86833; +02BC : 00030793; +02BD : 00D87C63; +02BE : 01070833; +02BF : FFF30793; +02C0 : 00E86663; +02C1 : 00D87463; +02C2 : FFE30793; +02C3 : 01051513; +02C4 : 00F56533; +02C5 : 00008067; +02C6 : 010007B7; +02C7 : 01000693; +02C8 : F4F664E3; +02C9 : 01800693; +02CA : F41FF06F; +02CB : 00B61733; +02CC : 00F8D6B3; +02CD : 01075513; +02CE : 00F357B3; +02CF : 00B31833; +02D0 : 02A6D333; +02D1 : 01071613; +02D2 : 00B898B3; +02D3 : 01065613; +02D4 : 0117E7B3; +02D5 : 02A6F6B3; +02D6 : 026608B3; +02D7 : 01069593; +02D8 : 0107D693; +02D9 : 00B6E6B3; +02DA : 00030593; +02DB : 0116FE63; +02DC : 00E686B3; +02DD : FFF30593; +02DE : 00E6E863; +02DF : 0116F663; +02E0 : FFE30593; +02E1 : 00E686B3; +02E2 : 411686B3; +02E3 : 02A6D8B3; +02E4 : 01079793; +02E5 : 0107D793; +02E6 : 02A6F6B3; +02E7 : 03160633; +02E8 : 01069693; +02E9 : 00D7E7B3; +02EA : 00088693; +02EB : 00C7FE63; +02EC : 00E787B3; +02ED : FFF88693; +02EE : 00E7E863; +02EF : 00C7F663; +02F0 : FFE88693; +02F1 : 00E787B3; +02F2 : 01059593; +02F3 : 40C787B3; +02F4 : 00D5E5B3; +02F5 : EB9FF06F; +02F6 : 18D5E663; +02F7 : 000107B7; +02F8 : 04F6F463; +02F9 : 1006B713; +02FA : 00174713; +02FB : 00371713; +02FC : 000017B7; +02FD : 00E6D5B3; +02FE : 1C478793; +02FF : 00B787B3; +0300 : 0007C783; +0301 : 00E787B3; +0302 : 02000713; +0303 : 40F705B3; +0304 : 02F71663; +0305 : 00100513; +0306 : E116EEE3; +0307 : 00C33533; +0308 : 00154513; +0309 : 00008067; +030A : 010007B7; +030B : 01000713; +030C : FCF6E0E3; +030D : 01800713; +030E : FB9FF06F; +030F : 00F65733; +0310 : 00B696B3; +0311 : 00D766B3; +0312 : 00F8D733; +0313 : 00B898B3; +0314 : 00F357B3; +0315 : 0117E7B3; +0316 : 0106D893; +0317 : 03175EB3; +0318 : 01069813; +0319 : 01085813; +031A : 00B61633; +031B : 03177733; +031C : 03D80E33; +031D : 01071513; +031E : 0107D713; +031F : 00A76733; +0320 : 000E8513; +0321 : 01C77E63; +0322 : 00D70733; +0323 : FFFE8513; +0324 : 00D76863; +0325 : 01C77663; +0326 : FFEE8513; +0327 : 00D70733; +0328 : 41C70733; +0329 : 03175E33; +032A : 01079793; +032B : 0107D793; +032C : 03177733; +032D : 03C80833; +032E : 01071713; +032F : 00E7E7B3; +0330 : 000E0713; +0331 : 0107FE63; +0332 : 00D787B3; +0333 : FFFE0713; +0334 : 00D7E863; +0335 : 0107F663; +0336 : FFEE0713; +0337 : 00D787B3; +0338 : 01051513; +0339 : 00010E37; +033A : 00E56533; +033B : FFFE0693; +033C : 00D57733; +033D : 410787B3; +033E : 00D676B3; +033F : 01055813; +0340 : 01065613; +0341 : 02D708B3; +0342 : 02D806B3; +0343 : 02C70733; +0344 : 02C80833; +0345 : 00D70633; +0346 : 0108D713; +0347 : 00C70733; +0348 : 00D77463; +0349 : 01C80833; +034A : 01075693; +034B : 010686B3; +034C : 02D7E663; +034D : CED79EE3; +034E : 000107B7; +034F : FFF78793; +0350 : 00F77733; +0351 : 01071713; +0352 : 00F8F8B3; +0353 : 00B31333; +0354 : 01170733; +0355 : 00000593; +0356 : CCE37EE3; +0357 : FFF50513; +0358 : CD1FF06F; +0359 : 00000593; +035A : 00000513; +035B : 00008067; +035C : FF010113; +035D : 00000593; +035E : 00812423; +035F : 00112623; +0360 : 00050413; +0361 : 194000EF; +0362 : C281A503; +0363 : 03C52783; +0364 : 00078463; +0365 : 000780E7; +0366 : 00040513; +0367 : 3A4000EF; +0368 : FF010113; +0369 : 00812423; +036A : 01212023; +036B : 00002437; +036C : 00002937; +036D : 2F040793; +036E : 2F090913; +036F : 40F90933; +0370 : 00112623; +0371 : 00912223; +0372 : 40295913; +0373 : 02090063; +0374 : 2F040413; +0375 : 00000493; +0376 : 00042783; +0377 : 00148493; +0378 : 00440413; +0379 : 000780E7; +037A : FE9918E3; +037B : 00002437; +037C : 00002937; +037D : 2F040793; +037E : 2F890913; +037F : 40F90933; +0380 : 40295913; +0381 : 02090063; +0382 : 2F040413; +0383 : 00000493; +0384 : 00042783; +0385 : 00148493; +0386 : 00440413; +0387 : 000780E7; +0388 : FE9918E3; +0389 : 00C12083; +038A : 00812403; +038B : 00412483; +038C : 00012903; +038D : 01010113; +038E : 00008067; +038F : 00F00313; +0390 : 00050713; +0391 : 02C37E63; +0392 : 00F77793; +0393 : 0A079063; +0394 : 08059263; +0395 : FF067693; +0396 : 00F67613; +0397 : 00E686B3; +0398 : 00B72023; +0399 : 00B72223; +039A : 00B72423; +039B : 00B72623; +039C : 01070713; +039D : FED766E3; +039E : 00061463; +039F : 00008067; +03A0 : 40C306B3; +03A1 : 00269693; +03A2 : 00000297; +03A3 : 005686B3; +03A4 : 00C68067; +03A5 : 00B70723; +03A6 : 00B706A3; +03A7 : 00B70623; +03A8 : 00B705A3; +03A9 : 00B70523; +03AA : 00B704A3; +03AB : 00B70423; +03AC : 00B703A3; +03AD : 00B70323; +03AE : 00B702A3; +03AF : 00B70223; +03B0 : 00B701A3; +03B1 : 00B70123; +03B2 : 00B700A3; +03B3 : 00B70023; +03B4 : 00008067; +03B5 : 0FF5F593; +03B6 : 00859693; +03B7 : 00D5E5B3; +03B8 : 01059693; +03B9 : 00D5E5B3; +03BA : F6DFF06F; +03BB : 00279693; +03BC : 00000297; +03BD : 005686B3; +03BE : 00008293; +03BF : FA0680E7; +03C0 : 00028093; +03C1 : FF078793; +03C2 : 40F70733; +03C3 : 00F60633; +03C4 : F6C378E3; +03C5 : F3DFF06F; +03C6 : FD010113; +03C7 : 01412C23; +03C8 : C281AA03; +03C9 : 03212023; +03CA : 02112623; +03CB : 148A2903; +03CC : 02812423; +03CD : 02912223; +03CE : 01312E23; +03CF : 01512A23; +03D0 : 01612823; +03D1 : 01712623; +03D2 : 01812423; +03D3 : 04090063; +03D4 : 00050B13; +03D5 : 00058B93; +03D6 : 00100A93; +03D7 : FFF00993; +03D8 : 00492483; +03D9 : FFF48413; +03DA : 02044263; +03DB : 00249493; +03DC : 009904B3; +03DD : 040B8463; +03DE : 1044A783; +03DF : 05778063; +03E0 : FFF40413; +03E1 : FFC48493; +03E2 : FF3416E3; +03E3 : 02C12083; +03E4 : 02812403; +03E5 : 02412483; +03E6 : 02012903; +03E7 : 01C12983; +03E8 : 01812A03; +03E9 : 01412A83; +03EA : 01012B03; +03EB : 00C12B83; +03EC : 00812C03; +03ED : 03010113; +03EE : 00008067; +03EF : 00492783; +03F0 : 0044A683; +03F1 : FFF78793; +03F2 : 04878E63; +03F3 : 0004A223; +03F4 : FA0688E3; +03F5 : 18892783; +03F6 : 008A9733; +03F7 : 00492C03; +03F8 : 00F777B3; +03F9 : 02079263; +03FA : 000680E7; +03FB : 00492703; +03FC : 148A2783; +03FD : 01871463; +03FE : F92784E3; +03FF : F80788E3; +0400 : 00078913; +0401 : F5DFF06F; +0402 : 18C92783; +0403 : 0844A583; +0404 : 00F77733; +0405 : 00071C63; +0406 : 000B0513; +0407 : 000680E7; +0408 : FCDFF06F; +0409 : 00892223; +040A : FA9FF06F; +040B : 00058513; +040C : 000680E7; +040D : FB9FF06F; +040E : FF010113; +040F : 00812423; +0410 : 000027B7; +0411 : 00002437; +0412 : 2F878793; +0413 : 2FC40413; +0414 : 40F40433; +0415 : 00912223; +0416 : 00112623; +0417 : 40245493; +0418 : 02048063; +0419 : FFC40413; +041A : 00F40433; +041B : 00042783; +041C : FFF48493; +041D : FFC40413; +041E : 000780E7; +041F : FE0498E3; +0420 : 00C12083; +0421 : 00812403; +0422 : 00412483; +0423 : 01010113; +0424 : 00008067; +0425 : 00050593; +0426 : 00000693; +0427 : 00000613; +0428 : 00000513; +0429 : 0040006F; +042A : C281A703; +042B : 14872783; +042C : 04078C63; +042D : 0047A703; +042E : 01F00813; +042F : 06E84E63; +0430 : 00271813; +0431 : 02050663; +0432 : 01078333; +0433 : 08C32423; +0434 : 1887A883; +0435 : 00100613; +0436 : 00E61633; +0437 : 00C8E8B3; +0438 : 1917A423; +0439 : 10D32423; +043A : 00200693; +043B : 02D50463; +043C : 00170713; +043D : 00E7A223; +043E : 010787B3; +043F : 00B7A423; +0440 : 00000513; +0441 : 00008067; +0442 : 14C70793; +0443 : 14F72423; +0444 : FA5FF06F; +0445 : 18C7A683; +0446 : 00170713; +0447 : 00E7A223; +0448 : 00C6E6B3; +0449 : 18D7A623; +044A : 010787B3; +044B : 00B7A423; +044C : 00000513; +044D : 00008067; +044E : FFF00513; +044F : 00008067; +0450 : 05D00893; +0451 : 00000073; +0452 : 00054463; +0453 : 0000006F; +0454 : FF010113; +0455 : 00812423; +0456 : 00050413; +0457 : 00112623; +0458 : 40800433; +0459 : 00C000EF; +045A : 00852023; +045B : 0000006F; +045C : C301A503; +045D : 00008067; +045E : 0000003F; +045F : 00000006; +0460 : 0000005B; +0461 : 0000004F; +0462 : 00000066; +0463 : 0000006D; +0464 : 0000007D; +0465 : 00000007; +0466 : 0000007F; +0467 : 0000006F; +0468 : 6C6C6548; +0469 : 57202C6F; +046A : 646C726F; +046B : 00000021; +046C : 74796220; +046D : 72207365; +046E : 69656365; +046F : 0D646576; +0470 : 0000000A; +0471 : 02020100; +0472 : 03030303; +0473 : 04040404; +0474 : 04040404; +0475 : 05050505; +0476 : 05050505; +0477 : 05050505; +0478 : 05050505; +0479 : 06060606; +047A : 06060606; +047B : 06060606; +047C : 06060606; +047D : 06060606; +047E : 06060606; +047F : 06060606; +0480 : 06060606; +0481 : 07070707; +0482 : 07070707; +0483 : 07070707; +0484 : 07070707; +0485 : 07070707; +0486 : 07070707; +0487 : 07070707; +0488 : 07070707; +0489 : 07070707; +048A : 07070707; +048B : 07070707; +048C : 07070707; +048D : 07070707; +048E : 07070707; +048F : 07070707; +0490 : 07070707; +0491 : 08080808; +0492 : 08080808; +0493 : 08080808; +0494 : 08080808; +0495 : 08080808; +0496 : 08080808; +0497 : 08080808; +0498 : 08080808; +0499 : 08080808; +049A : 08080808; +049B : 08080808; +049C : 08080808; +049D : 08080808; +049E : 08080808; +049F : 08080808; +04A0 : 08080808; +04A1 : 08080808; +04A2 : 08080808; +04A3 : 08080808; +04A4 : 08080808; +04A5 : 08080808; +04A6 : 08080808; +04A7 : 08080808; +04A8 : 08080808; +04A9 : 08080808; +04AA : 08080808; +04AB : 08080808; +04AC : 08080808; +04AD : 08080808; +04AE : 08080808; +04AF : 08080808; +04B0 : 08080808; +04B1 : CDCDCDCD; +04B2 : CDCDCDCD; +04B3 : CDCDCDCD; +04B4 : CDCDCDCD; +04B5 : CDCDCDCD; +04B6 : CDCDCDCD; +04B7 : CDCDCDCD; +04B8 : CDCDCDCD; +04B9 : CDCDCDCD; +04BA : CDCDCDCD; +04BB : CDCDCDCD; +04BC : CDCDCDCD; +04BD : CDCDCDCD; +04BE : CDCDCDCD; +04BF : CDCDCDCD; +04C0 : CDCDCDCD; +04C1 : CDCDCDCD; +04C2 : CDCDCDCD; +04C3 : CDCDCDCD; +04C4 : CDCDCDCD; +04C5 : CDCDCDCD; +04C6 : CDCDCDCD; +04C7 : CDCDCDCD; +04C8 : CDCDCDCD; +04C9 : CDCDCDCD; +04CA : CDCDCDCD; +04CB : CDCDCDCD; +04CC : CDCDCDCD; +04CD : CDCDCDCD; +04CE : CDCDCDCD; +04CF : CDCDCDCD; +04D0 : CDCDCDCD; +04D1 : CDCDCDCD; +04D2 : CDCDCDCD; +04D3 : CDCDCDCD; +04D4 : CDCDCDCD; +04D5 : CDCDCDCD; +04D6 : CDCDCDCD; +04D7 : CDCDCDCD; +04D8 : CDCDCDCD; +04D9 : CDCDCDCD; +04DA : CDCDCDCD; +04DB : CDCDCDCD; +04DC : CDCDCDCD; +04DD : CDCDCDCD; +04DE : CDCDCDCD; +04DF : CDCDCDCD; +04E0 : CDCDCDCD; +04E1 : CDCDCDCD; +04E2 : CDCDCDCD; +04E3 : CDCDCDCD; +04E4 : CDCDCDCD; +04E5 : CDCDCDCD; +04E6 : CDCDCDCD; +04E7 : CDCDCDCD; +04E8 : CDCDCDCD; +04E9 : CDCDCDCD; +04EA : CDCDCDCD; +04EB : CDCDCDCD; +04EC : CDCDCDCD; +04ED : CDCDCDCD; +04EE : CDCDCDCD; +04EF : CDCDCDCD; +04F0 : CDCDCDCD; +04F1 : CDCDCDCD; +04F2 : CDCDCDCD; +04F3 : CDCDCDCD; +04F4 : CDCDCDCD; +04F5 : CDCDCDCD; +04F6 : CDCDCDCD; +04F7 : CDCDCDCD; +04F8 : CDCDCDCD; +04F9 : CDCDCDCD; +04FA : CDCDCDCD; +04FB : CDCDCDCD; +04FC : CDCDCDCD; +04FD : CDCDCDCD; +04FE : CDCDCDCD; +04FF : CDCDCDCD; +0500 : CDCDCDCD; +0501 : CDCDCDCD; +0502 : CDCDCDCD; +0503 : CDCDCDCD; +0504 : CDCDCDCD; +0505 : CDCDCDCD; +0506 : CDCDCDCD; +0507 : CDCDCDCD; +0508 : CDCDCDCD; +0509 : CDCDCDCD; +050A : CDCDCDCD; +050B : CDCDCDCD; +050C : CDCDCDCD; +050D : CDCDCDCD; +050E : CDCDCDCD; +050F : CDCDCDCD; +0510 : CDCDCDCD; +0511 : CDCDCDCD; +0512 : CDCDCDCD; +0513 : CDCDCDCD; +0514 : CDCDCDCD; +0515 : CDCDCDCD; +0516 : CDCDCDCD; +0517 : CDCDCDCD; +0518 : CDCDCDCD; +0519 : CDCDCDCD; +051A : CDCDCDCD; +051B : CDCDCDCD; +051C : CDCDCDCD; +051D : CDCDCDCD; +051E : CDCDCDCD; +051F : CDCDCDCD; +0520 : CDCDCDCD; +0521 : CDCDCDCD; +0522 : CDCDCDCD; 0523 : CDCDCDCD; 0524 : CDCDCDCD; 0525 : CDCDCDCD; @@ -2052,4 +2052,30724 @@ BEGIN 07FD : CDCDCDCD; 07FE : CDCDCDCD; 07FF : CDCDCDCD; +0800 : CDCDCDCD; +0801 : CDCDCDCD; +0802 : CDCDCDCD; +0803 : CDCDCDCD; +0804 : CDCDCDCD; +0805 : CDCDCDCD; +0806 : CDCDCDCD; +0807 : CDCDCDCD; +0808 : CDCDCDCD; +0809 : CDCDCDCD; +080A : CDCDCDCD; +080B : CDCDCDCD; +080C : CDCDCDCD; +080D : CDCDCDCD; +080E : CDCDCDCD; +080F : CDCDCDCD; +0810 : CDCDCDCD; +0811 : CDCDCDCD; +0812 : CDCDCDCD; +0813 : CDCDCDCD; +0814 : CDCDCDCD; +0815 : CDCDCDCD; +0816 : CDCDCDCD; +0817 : CDCDCDCD; +0818 : CDCDCDCD; +0819 : CDCDCDCD; +081A : CDCDCDCD; +081B : CDCDCDCD; +081C : CDCDCDCD; +081D : CDCDCDCD; +081E : CDCDCDCD; +081F : CDCDCDCD; +0820 : CDCDCDCD; +0821 : CDCDCDCD; +0822 : CDCDCDCD; +0823 : CDCDCDCD; +0824 : CDCDCDCD; +0825 : CDCDCDCD; +0826 : CDCDCDCD; +0827 : CDCDCDCD; +0828 : CDCDCDCD; +0829 : CDCDCDCD; +082A : CDCDCDCD; +082B : CDCDCDCD; +082C : CDCDCDCD; +082D : CDCDCDCD; +082E : CDCDCDCD; +082F : CDCDCDCD; +0830 : CDCDCDCD; +0831 : CDCDCDCD; +0832 : CDCDCDCD; +0833 : CDCDCDCD; +0834 : CDCDCDCD; +0835 : CDCDCDCD; +0836 : CDCDCDCD; +0837 : CDCDCDCD; +0838 : CDCDCDCD; +0839 : CDCDCDCD; +083A : CDCDCDCD; +083B : CDCDCDCD; +083C : CDCDCDCD; +083D : CDCDCDCD; +083E : CDCDCDCD; +083F : CDCDCDCD; +0840 : CDCDCDCD; +0841 : CDCDCDCD; +0842 : CDCDCDCD; +0843 : CDCDCDCD; +0844 : CDCDCDCD; +0845 : CDCDCDCD; +0846 : CDCDCDCD; +0847 : CDCDCDCD; +0848 : CDCDCDCD; +0849 : CDCDCDCD; +084A : CDCDCDCD; +084B : CDCDCDCD; +084C : CDCDCDCD; +084D : CDCDCDCD; +084E : CDCDCDCD; +084F : CDCDCDCD; +0850 : CDCDCDCD; +0851 : CDCDCDCD; +0852 : CDCDCDCD; +0853 : CDCDCDCD; +0854 : CDCDCDCD; +0855 : CDCDCDCD; +0856 : CDCDCDCD; +0857 : CDCDCDCD; +0858 : CDCDCDCD; +0859 : CDCDCDCD; +085A : CDCDCDCD; +085B : CDCDCDCD; +085C : CDCDCDCD; +085D : CDCDCDCD; +085E : CDCDCDCD; +085F : CDCDCDCD; +0860 : CDCDCDCD; +0861 : CDCDCDCD; +0862 : CDCDCDCD; +0863 : CDCDCDCD; +0864 : CDCDCDCD; +0865 : CDCDCDCD; +0866 : CDCDCDCD; +0867 : CDCDCDCD; +0868 : CDCDCDCD; +0869 : CDCDCDCD; +086A : CDCDCDCD; +086B : CDCDCDCD; +086C : CDCDCDCD; +086D : CDCDCDCD; +086E : CDCDCDCD; +086F : CDCDCDCD; +0870 : CDCDCDCD; +0871 : CDCDCDCD; +0872 : CDCDCDCD; +0873 : CDCDCDCD; +0874 : CDCDCDCD; +0875 : CDCDCDCD; +0876 : CDCDCDCD; +0877 : CDCDCDCD; +0878 : CDCDCDCD; +0879 : CDCDCDCD; +087A : CDCDCDCD; +087B : CDCDCDCD; +087C : CDCDCDCD; +087D : CDCDCDCD; +087E : CDCDCDCD; +087F : CDCDCDCD; +0880 : CDCDCDCD; +0881 : CDCDCDCD; +0882 : CDCDCDCD; +0883 : CDCDCDCD; +0884 : CDCDCDCD; +0885 : CDCDCDCD; +0886 : CDCDCDCD; +0887 : CDCDCDCD; +0888 : CDCDCDCD; +0889 : CDCDCDCD; +088A : CDCDCDCD; +088B : CDCDCDCD; +088C : CDCDCDCD; +088D : CDCDCDCD; +088E : CDCDCDCD; +088F : CDCDCDCD; +0890 : CDCDCDCD; +0891 : CDCDCDCD; +0892 : CDCDCDCD; +0893 : CDCDCDCD; +0894 : CDCDCDCD; +0895 : CDCDCDCD; +0896 : CDCDCDCD; +0897 : CDCDCDCD; +0898 : CDCDCDCD; +0899 : CDCDCDCD; +089A : CDCDCDCD; +089B : CDCDCDCD; +089C : CDCDCDCD; +089D : CDCDCDCD; +089E : CDCDCDCD; +089F : CDCDCDCD; +08A0 : CDCDCDCD; +08A1 : CDCDCDCD; +08A2 : CDCDCDCD; +08A3 : CDCDCDCD; +08A4 : CDCDCDCD; +08A5 : CDCDCDCD; +08A6 : CDCDCDCD; +08A7 : CDCDCDCD; +08A8 : CDCDCDCD; +08A9 : CDCDCDCD; +08AA : CDCDCDCD; +08AB : CDCDCDCD; +08AC : CDCDCDCD; +08AD : CDCDCDCD; +08AE : CDCDCDCD; +08AF : CDCDCDCD; +08B0 : CDCDCDCD; +08B1 : 00000010; +08B2 : 00000000; +08B3 : 00527A03; +08B4 : 01017C01; +08B5 : 00020D1B; +08B6 : 00000010; +08B7 : 00000018; +08B8 : FFFFE660; +08B9 : 00000430; +08BA : 00000000; +08BB : 00000000; +08BC : 00000074; +08BD : 0000011C; +08BE : 000000D8; +08BF : CDCDCDCD; +08C0 : 00000000; +08C1 : 000025EC; +08C2 : 00002654; +08C3 : 000026BC; +08C4 : 00000000; +08C5 : 00000000; +08C6 : 00000000; +08C7 : 00000000; +08C8 : 00000000; +08C9 : 00000000; +08CA : 00000000; +08CB : 00000000; +08CC : 00000000; +08CD : 00000000; +08CE : 00000000; +08CF : 00000000; +08D0 : 00000000; +08D1 : 00000000; +08D2 : 00000000; +08D3 : 00000000; +08D4 : 00000000; +08D5 : 00000000; +08D6 : 00000000; +08D7 : 00000000; +08D8 : 00000000; +08D9 : 00000000; +08DA : 00000000; +08DB : 00000000; +08DC : 00000000; +08DD : 00000000; +08DE : 00000000; +08DF : 00000000; +08E0 : 00000000; +08E1 : 00000000; +08E2 : 00000000; +08E3 : 00000000; +08E4 : 00000000; +08E5 : 00000000; +08E6 : 00000000; +08E7 : 00000000; +08E8 : 00000000; +08E9 : 00000000; +08EA : 00000001; +08EB : 00000000; +08EC : ABCD330E; +08ED : E66D1234; +08EE : 0005DEEC; +08EF : 0000000B; +08F0 : 00000000; +08F1 : 00000000; +08F2 : 00000000; +08F3 : 00000000; +08F4 : 00000000; +08F5 : 00000000; +08F6 : 00000000; +08F7 : 00000000; +08F8 : 00000000; +08F9 : 00000000; +08FA : 00000000; +08FB : 00000000; +08FC : 00000000; +08FD : 00000000; +08FE : 00000000; +08FF : 00000000; +0900 : 00000000; +0901 : 00000000; +0902 : 00000000; +0903 : 00000000; +0904 : 00000000; +0905 : 00000000; +0906 : 00000000; +0907 : 00000000; +0908 : 00000000; +0909 : 00000000; +090A : 00000000; +090B : 00000000; +090C : 00000000; +090D : 00000000; +090E : 00000000; +090F : 00000000; +0910 : 00000000; +0911 : 00000000; +0912 : 00000000; +0913 : 00000000; +0914 : 00000000; +0915 : 00000000; +0916 : 00000000; +0917 : 00000000; +0918 : 00000000; +0919 : 00000000; +091A : 00000000; +091B : 00000000; +091C : 00000000; +091D : 00000000; +091E : 00000000; +091F : 00000000; +0920 : 00000000; +0921 : 00000000; +0922 : 00000000; +0923 : 00000000; +0924 : 00000000; +0925 : 00000000; +0926 : 00000000; +0927 : 00000000; +0928 : 00000000; +0929 : 00000000; +092A : 00000000; +092B : 00000000; +092C : 00000000; +092D : 00000000; +092E : 00000000; +092F : 00000000; +0930 : 00000000; +0931 : 00000000; +0932 : 00000000; +0933 : 00000000; +0934 : 00000000; +0935 : 00000000; +0936 : 00000000; +0937 : 00000000; +0938 : 00000000; +0939 : 00000000; +093A : 00000000; +093B : 00000000; +093C : 00000000; +093D : 00000000; +093E : 00000000; +093F : 00000000; +0940 : 00000000; +0941 : 00000000; +0942 : 00000000; +0943 : 00000000; +0944 : 00000000; +0945 : 00000000; +0946 : 00000000; +0947 : 00000000; +0948 : 00000000; +0949 : 00000000; +094A : 00000000; +094B : 00000000; +094C : 00000000; +094D : 00000000; +094E : 00000000; +094F : 00000000; +0950 : 00000000; +0951 : 00000000; +0952 : 00000000; +0953 : 00000000; +0954 : 00000000; +0955 : 00000000; +0956 : 00000000; +0957 : 00000000; +0958 : 00000000; +0959 : 00000000; +095A : 00000000; +095B : 00000000; +095C : 00000000; +095D : 00000000; +095E : 00000000; +095F : 00000000; +0960 : 00000000; +0961 : 00000000; +0962 : 00000000; +0963 : 00000000; +0964 : 00000000; +0965 : 00000000; +0966 : 00000000; +0967 : 00000000; +0968 : 00000000; +0969 : 00000000; +096A : 00000000; +096B : 00000000; +096C : 00000000; +096D : 00000000; +096E : 00000000; +096F : 00000000; +0970 : 00000000; +0971 : 00000000; +0972 : 00000000; +0973 : 00000000; +0974 : 00000000; +0975 : 00000000; +0976 : 00000000; +0977 : 00000000; +0978 : 00000000; +0979 : 00000000; +097A : 00000000; +097B : 00000000; +097C : 00000000; +097D : 00000000; +097E : 00000000; +097F : 00000000; +0980 : 00000000; +0981 : 00000000; +0982 : 00000000; +0983 : 00000000; +0984 : 00000000; +0985 : 00000000; +0986 : 00000000; +0987 : 00000000; +0988 : 00000000; +0989 : 00000000; +098A : 00000000; +098B : 00000000; +098C : 00000000; +098D : 00000000; +098E : 00000000; +098F : 00000000; +0990 : 00000000; +0991 : 00000000; +0992 : 00000000; +0993 : 00000000; +0994 : 00000000; +0995 : 00000000; +0996 : 00000000; +0997 : 00000000; +0998 : 00000000; +0999 : 00000000; +099A : 00000000; +099B : 00000000; +099C : 00000000; +099D : 00000000; +099E : 00000000; +099F : 00000000; +09A0 : 00000000; +09A1 : 00000000; +09A2 : 00000000; +09A3 : 00000000; +09A4 : 00000000; +09A5 : 00000000; +09A6 : 00000000; +09A7 : 00000000; +09A8 : 00000000; +09A9 : 00000000; +09AA : 00000000; +09AB : 00000000; +09AC : 00000000; +09AD : 00000000; +09AE : 00000000; +09AF : 00000000; +09B0 : 00000000; +09B1 : 00000000; +09B2 : 00000000; +09B3 : 00000000; +09B4 : 00000000; +09B5 : 00000000; +09B6 : 00000000; +09B7 : 00000000; +09B8 : 00000000; +09B9 : 00000000; +09BA : 00000000; +09BB : 00000000; +09BC : 00000000; +09BD : 00000000; +09BE : 00000000; +09BF : 00000000; +09C0 : 00000000; +09C1 : 00000000; +09C2 : 00000000; +09C3 : 00000000; +09C4 : 00000000; +09C5 : 00000000; +09C6 : 00000000; +09C7 : 00000000; +09C8 : 00000000; +09C9 : 00000000; +09CA : 00002300; +09CB : 00000000; +09CC : 00002300; +09CD : 00002300; +09CE : 00000000; +09CF : 00002300; +09D0 : CDCDCDCD; +09D1 : CDCDCDCD; +09D2 : CDCDCDCD; +09D3 : CDCDCDCD; +09D4 : CDCDCDCD; +09D5 : CDCDCDCD; +09D6 : CDCDCDCD; +09D7 : CDCDCDCD; +09D8 : CDCDCDCD; +09D9 : CDCDCDCD; +09DA : CDCDCDCD; +09DB : CDCDCDCD; +09DC : CDCDCDCD; +09DD : CDCDCDCD; +09DE : CDCDCDCD; +09DF : CDCDCDCD; +09E0 : CDCDCDCD; +09E1 : CDCDCDCD; +09E2 : CDCDCDCD; +09E3 : CDCDCDCD; +09E4 : CDCDCDCD; +09E5 : CDCDCDCD; +09E6 : CDCDCDCD; +09E7 : CDCDCDCD; +09E8 : CDCDCDCD; +09E9 : CDCDCDCD; +09EA : CDCDCDCD; +09EB : CDCDCDCD; +09EC : CDCDCDCD; +09ED : CDCDCDCD; +09EE : CDCDCDCD; +09EF : CDCDCDCD; +09F0 : CDCDCDCD; +09F1 : CDCDCDCD; +09F2 : CDCDCDCD; +09F3 : CDCDCDCD; +09F4 : CDCDCDCD; +09F5 : CDCDCDCD; +09F6 : CDCDCDCD; +09F7 : CDCDCDCD; +09F8 : CDCDCDCD; +09F9 : CDCDCDCD; +09FA : CDCDCDCD; +09FB : CDCDCDCD; +09FC : CDCDCDCD; +09FD : CDCDCDCD; +09FE : CDCDCDCD; +09FF : CDCDCDCD; +0A00 : CDCDCDCD; +0A01 : CDCDCDCD; +0A02 : CDCDCDCD; +0A03 : CDCDCDCD; +0A04 : CDCDCDCD; +0A05 : CDCDCDCD; +0A06 : CDCDCDCD; +0A07 : CDCDCDCD; +0A08 : CDCDCDCD; +0A09 : CDCDCDCD; +0A0A : CDCDCDCD; +0A0B : CDCDCDCD; +0A0C : CDCDCDCD; +0A0D : CDCDCDCD; +0A0E : CDCDCDCD; +0A0F : CDCDCDCD; +0A10 : CDCDCDCD; +0A11 : CDCDCDCD; +0A12 : CDCDCDCD; +0A13 : CDCDCDCD; +0A14 : CDCDCDCD; +0A15 : CDCDCDCD; +0A16 : CDCDCDCD; +0A17 : CDCDCDCD; +0A18 : CDCDCDCD; +0A19 : CDCDCDCD; +0A1A : CDCDCDCD; +0A1B : CDCDCDCD; +0A1C : CDCDCDCD; +0A1D : CDCDCDCD; +0A1E : CDCDCDCD; +0A1F : CDCDCDCD; +0A20 : CDCDCDCD; +0A21 : CDCDCDCD; +0A22 : CDCDCDCD; +0A23 : CDCDCDCD; +0A24 : CDCDCDCD; +0A25 : CDCDCDCD; +0A26 : CDCDCDCD; +0A27 : CDCDCDCD; +0A28 : CDCDCDCD; +0A29 : CDCDCDCD; +0A2A : CDCDCDCD; +0A2B : CDCDCDCD; +0A2C : CDCDCDCD; +0A2D : CDCDCDCD; +0A2E : CDCDCDCD; +0A2F : CDCDCDCD; +0A30 : CDCDCDCD; +0A31 : CDCDCDCD; +0A32 : CDCDCDCD; +0A33 : CDCDCDCD; +0A34 : CDCDCDCD; +0A35 : CDCDCDCD; +0A36 : CDCDCDCD; +0A37 : CDCDCDCD; +0A38 : CDCDCDCD; +0A39 : CDCDCDCD; +0A3A : CDCDCDCD; +0A3B : CDCDCDCD; +0A3C : CDCDCDCD; +0A3D : CDCDCDCD; +0A3E : CDCDCDCD; +0A3F : CDCDCDCD; +0A40 : CDCDCDCD; +0A41 : CDCDCDCD; +0A42 : CDCDCDCD; +0A43 : CDCDCDCD; +0A44 : CDCDCDCD; +0A45 : CDCDCDCD; +0A46 : CDCDCDCD; +0A47 : CDCDCDCD; +0A48 : CDCDCDCD; +0A49 : CDCDCDCD; +0A4A : CDCDCDCD; +0A4B : CDCDCDCD; +0A4C : CDCDCDCD; +0A4D : CDCDCDCD; +0A4E : CDCDCDCD; +0A4F : CDCDCDCD; +0A50 : CDCDCDCD; +0A51 : CDCDCDCD; +0A52 : CDCDCDCD; +0A53 : CDCDCDCD; +0A54 : CDCDCDCD; +0A55 : CDCDCDCD; +0A56 : CDCDCDCD; +0A57 : CDCDCDCD; +0A58 : CDCDCDCD; +0A59 : CDCDCDCD; +0A5A : CDCDCDCD; +0A5B : CDCDCDCD; +0A5C : CDCDCDCD; +0A5D : CDCDCDCD; +0A5E : CDCDCDCD; +0A5F : CDCDCDCD; +0A60 : CDCDCDCD; +0A61 : CDCDCDCD; +0A62 : CDCDCDCD; +0A63 : CDCDCDCD; +0A64 : CDCDCDCD; +0A65 : CDCDCDCD; +0A66 : CDCDCDCD; +0A67 : CDCDCDCD; +0A68 : CDCDCDCD; +0A69 : CDCDCDCD; +0A6A : CDCDCDCD; +0A6B : CDCDCDCD; +0A6C : CDCDCDCD; +0A6D : CDCDCDCD; +0A6E : CDCDCDCD; +0A6F : CDCDCDCD; +0A70 : CDCDCDCD; +0A71 : CDCDCDCD; +0A72 : CDCDCDCD; +0A73 : CDCDCDCD; +0A74 : CDCDCDCD; +0A75 : CDCDCDCD; +0A76 : CDCDCDCD; +0A77 : CDCDCDCD; +0A78 : CDCDCDCD; +0A79 : CDCDCDCD; +0A7A : CDCDCDCD; +0A7B : CDCDCDCD; +0A7C : CDCDCDCD; +0A7D : CDCDCDCD; +0A7E : CDCDCDCD; +0A7F : CDCDCDCD; +0A80 : CDCDCDCD; +0A81 : CDCDCDCD; +0A82 : CDCDCDCD; +0A83 : CDCDCDCD; +0A84 : CDCDCDCD; +0A85 : CDCDCDCD; +0A86 : CDCDCDCD; +0A87 : CDCDCDCD; +0A88 : CDCDCDCD; +0A89 : CDCDCDCD; +0A8A : CDCDCDCD; +0A8B : CDCDCDCD; +0A8C : CDCDCDCD; +0A8D : CDCDCDCD; +0A8E : CDCDCDCD; +0A8F : CDCDCDCD; +0A90 : CDCDCDCD; +0A91 : CDCDCDCD; +0A92 : CDCDCDCD; +0A93 : CDCDCDCD; +0A94 : CDCDCDCD; +0A95 : CDCDCDCD; +0A96 : CDCDCDCD; +0A97 : CDCDCDCD; +0A98 : CDCDCDCD; +0A99 : CDCDCDCD; +0A9A : CDCDCDCD; +0A9B : CDCDCDCD; +0A9C : CDCDCDCD; +0A9D : CDCDCDCD; +0A9E : CDCDCDCD; +0A9F : CDCDCDCD; +0AA0 : CDCDCDCD; +0AA1 : CDCDCDCD; +0AA2 : CDCDCDCD; +0AA3 : CDCDCDCD; +0AA4 : CDCDCDCD; +0AA5 : CDCDCDCD; +0AA6 : CDCDCDCD; +0AA7 : CDCDCDCD; +0AA8 : CDCDCDCD; +0AA9 : CDCDCDCD; +0AAA : CDCDCDCD; +0AAB : CDCDCDCD; +0AAC : CDCDCDCD; +0AAD : CDCDCDCD; +0AAE : CDCDCDCD; +0AAF : CDCDCDCD; +0AB0 : CDCDCDCD; +0AB1 : CDCDCDCD; +0AB2 : CDCDCDCD; +0AB3 : CDCDCDCD; +0AB4 : CDCDCDCD; +0AB5 : CDCDCDCD; +0AB6 : CDCDCDCD; +0AB7 : CDCDCDCD; +0AB8 : CDCDCDCD; +0AB9 : CDCDCDCD; +0ABA : CDCDCDCD; +0ABB : CDCDCDCD; +0ABC : CDCDCDCD; +0ABD : CDCDCDCD; +0ABE : CDCDCDCD; +0ABF : CDCDCDCD; +0AC0 : CDCDCDCD; +0AC1 : CDCDCDCD; +0AC2 : CDCDCDCD; +0AC3 : CDCDCDCD; +0AC4 : CDCDCDCD; +0AC5 : CDCDCDCD; +0AC6 : CDCDCDCD; +0AC7 : CDCDCDCD; +0AC8 : CDCDCDCD; +0AC9 : CDCDCDCD; +0ACA : CDCDCDCD; +0ACB : CDCDCDCD; +0ACC : CDCDCDCD; +0ACD : CDCDCDCD; +0ACE : CDCDCDCD; +0ACF : CDCDCDCD; +0AD0 : CDCDCDCD; +0AD1 : CDCDCDCD; +0AD2 : CDCDCDCD; +0AD3 : CDCDCDCD; +0AD4 : CDCDCDCD; +0AD5 : CDCDCDCD; +0AD6 : CDCDCDCD; +0AD7 : CDCDCDCD; +0AD8 : CDCDCDCD; +0AD9 : CDCDCDCD; +0ADA : CDCDCDCD; +0ADB : CDCDCDCD; +0ADC : CDCDCDCD; +0ADD : CDCDCDCD; +0ADE : CDCDCDCD; +0ADF : CDCDCDCD; +0AE0 : CDCDCDCD; +0AE1 : CDCDCDCD; +0AE2 : CDCDCDCD; +0AE3 : CDCDCDCD; +0AE4 : CDCDCDCD; +0AE5 : CDCDCDCD; +0AE6 : CDCDCDCD; +0AE7 : CDCDCDCD; +0AE8 : CDCDCDCD; +0AE9 : CDCDCDCD; +0AEA : CDCDCDCD; +0AEB : CDCDCDCD; +0AEC : CDCDCDCD; +0AED : CDCDCDCD; +0AEE : CDCDCDCD; +0AEF : CDCDCDCD; +0AF0 : CDCDCDCD; +0AF1 : CDCDCDCD; +0AF2 : CDCDCDCD; +0AF3 : CDCDCDCD; +0AF4 : CDCDCDCD; +0AF5 : CDCDCDCD; +0AF6 : CDCDCDCD; +0AF7 : CDCDCDCD; +0AF8 : CDCDCDCD; +0AF9 : CDCDCDCD; +0AFA : CDCDCDCD; +0AFB : CDCDCDCD; +0AFC : CDCDCDCD; +0AFD : CDCDCDCD; +0AFE : CDCDCDCD; +0AFF : CDCDCDCD; +0B00 : CDCDCDCD; +0B01 : CDCDCDCD; +0B02 : CDCDCDCD; +0B03 : CDCDCDCD; +0B04 : CDCDCDCD; +0B05 : CDCDCDCD; +0B06 : CDCDCDCD; +0B07 : CDCDCDCD; +0B08 : CDCDCDCD; +0B09 : CDCDCDCD; +0B0A : CDCDCDCD; +0B0B : CDCDCDCD; +0B0C : CDCDCDCD; +0B0D : CDCDCDCD; +0B0E : CDCDCDCD; +0B0F : CDCDCDCD; +0B10 : CDCDCDCD; +0B11 : CDCDCDCD; +0B12 : CDCDCDCD; +0B13 : CDCDCDCD; +0B14 : CDCDCDCD; +0B15 : CDCDCDCD; +0B16 : CDCDCDCD; +0B17 : CDCDCDCD; +0B18 : CDCDCDCD; +0B19 : CDCDCDCD; +0B1A : CDCDCDCD; +0B1B : CDCDCDCD; +0B1C : CDCDCDCD; +0B1D : CDCDCDCD; +0B1E : CDCDCDCD; +0B1F : CDCDCDCD; +0B20 : CDCDCDCD; +0B21 : CDCDCDCD; +0B22 : CDCDCDCD; +0B23 : CDCDCDCD; +0B24 : CDCDCDCD; +0B25 : CDCDCDCD; +0B26 : CDCDCDCD; +0B27 : CDCDCDCD; +0B28 : CDCDCDCD; +0B29 : CDCDCDCD; +0B2A : CDCDCDCD; +0B2B : CDCDCDCD; +0B2C : CDCDCDCD; +0B2D : CDCDCDCD; +0B2E : CDCDCDCD; +0B2F : CDCDCDCD; +0B30 : CDCDCDCD; +0B31 : CDCDCDCD; +0B32 : CDCDCDCD; +0B33 : CDCDCDCD; +0B34 : CDCDCDCD; +0B35 : CDCDCDCD; +0B36 : CDCDCDCD; +0B37 : CDCDCDCD; +0B38 : CDCDCDCD; +0B39 : CDCDCDCD; +0B3A : CDCDCDCD; +0B3B : CDCDCDCD; +0B3C : CDCDCDCD; +0B3D : CDCDCDCD; +0B3E : CDCDCDCD; +0B3F : CDCDCDCD; +0B40 : CDCDCDCD; +0B41 : CDCDCDCD; +0B42 : CDCDCDCD; +0B43 : CDCDCDCD; +0B44 : CDCDCDCD; +0B45 : CDCDCDCD; +0B46 : CDCDCDCD; +0B47 : CDCDCDCD; +0B48 : CDCDCDCD; +0B49 : CDCDCDCD; +0B4A : CDCDCDCD; +0B4B : CDCDCDCD; +0B4C : CDCDCDCD; +0B4D : CDCDCDCD; +0B4E : CDCDCDCD; +0B4F : CDCDCDCD; +0B50 : CDCDCDCD; +0B51 : CDCDCDCD; +0B52 : CDCDCDCD; +0B53 : CDCDCDCD; +0B54 : CDCDCDCD; +0B55 : CDCDCDCD; +0B56 : CDCDCDCD; +0B57 : CDCDCDCD; +0B58 : CDCDCDCD; +0B59 : CDCDCDCD; +0B5A : CDCDCDCD; +0B5B : CDCDCDCD; +0B5C : CDCDCDCD; +0B5D : CDCDCDCD; +0B5E : CDCDCDCD; +0B5F : CDCDCDCD; +0B60 : CDCDCDCD; +0B61 : CDCDCDCD; +0B62 : CDCDCDCD; +0B63 : CDCDCDCD; +0B64 : CDCDCDCD; +0B65 : CDCDCDCD; +0B66 : CDCDCDCD; +0B67 : CDCDCDCD; +0B68 : CDCDCDCD; +0B69 : CDCDCDCD; +0B6A : CDCDCDCD; +0B6B : CDCDCDCD; +0B6C : CDCDCDCD; +0B6D : CDCDCDCD; +0B6E : CDCDCDCD; +0B6F : CDCDCDCD; +0B70 : CDCDCDCD; +0B71 : CDCDCDCD; +0B72 : CDCDCDCD; +0B73 : CDCDCDCD; +0B74 : CDCDCDCD; +0B75 : CDCDCDCD; +0B76 : CDCDCDCD; +0B77 : CDCDCDCD; +0B78 : CDCDCDCD; +0B79 : CDCDCDCD; +0B7A : CDCDCDCD; +0B7B : CDCDCDCD; +0B7C : CDCDCDCD; +0B7D : CDCDCDCD; +0B7E : CDCDCDCD; +0B7F : CDCDCDCD; +0B80 : CDCDCDCD; +0B81 : CDCDCDCD; +0B82 : CDCDCDCD; +0B83 : CDCDCDCD; +0B84 : CDCDCDCD; +0B85 : CDCDCDCD; +0B86 : CDCDCDCD; +0B87 : CDCDCDCD; +0B88 : CDCDCDCD; +0B89 : CDCDCDCD; +0B8A : CDCDCDCD; +0B8B : CDCDCDCD; +0B8C : CDCDCDCD; +0B8D : CDCDCDCD; +0B8E : CDCDCDCD; +0B8F : CDCDCDCD; +0B90 : CDCDCDCD; +0B91 : CDCDCDCD; +0B92 : CDCDCDCD; +0B93 : CDCDCDCD; +0B94 : CDCDCDCD; +0B95 : CDCDCDCD; +0B96 : CDCDCDCD; +0B97 : CDCDCDCD; +0B98 : CDCDCDCD; +0B99 : CDCDCDCD; +0B9A : CDCDCDCD; +0B9B : CDCDCDCD; +0B9C : CDCDCDCD; +0B9D : CDCDCDCD; +0B9E : CDCDCDCD; +0B9F : CDCDCDCD; +0BA0 : CDCDCDCD; +0BA1 : CDCDCDCD; +0BA2 : CDCDCDCD; +0BA3 : CDCDCDCD; +0BA4 : CDCDCDCD; +0BA5 : CDCDCDCD; +0BA6 : CDCDCDCD; +0BA7 : CDCDCDCD; +0BA8 : CDCDCDCD; +0BA9 : CDCDCDCD; +0BAA : CDCDCDCD; +0BAB : CDCDCDCD; +0BAC : CDCDCDCD; +0BAD : CDCDCDCD; +0BAE : CDCDCDCD; +0BAF : CDCDCDCD; +0BB0 : CDCDCDCD; +0BB1 : CDCDCDCD; +0BB2 : CDCDCDCD; +0BB3 : CDCDCDCD; +0BB4 : CDCDCDCD; +0BB5 : CDCDCDCD; +0BB6 : CDCDCDCD; +0BB7 : CDCDCDCD; +0BB8 : CDCDCDCD; +0BB9 : CDCDCDCD; +0BBA : CDCDCDCD; +0BBB : CDCDCDCD; +0BBC : CDCDCDCD; +0BBD : CDCDCDCD; +0BBE : CDCDCDCD; +0BBF : CDCDCDCD; +0BC0 : CDCDCDCD; +0BC1 : CDCDCDCD; +0BC2 : CDCDCDCD; +0BC3 : CDCDCDCD; +0BC4 : CDCDCDCD; +0BC5 : CDCDCDCD; +0BC6 : CDCDCDCD; +0BC7 : CDCDCDCD; +0BC8 : CDCDCDCD; +0BC9 : CDCDCDCD; +0BCA : CDCDCDCD; +0BCB : CDCDCDCD; +0BCC : CDCDCDCD; +0BCD : CDCDCDCD; +0BCE : CDCDCDCD; +0BCF : CDCDCDCD; +0BD0 : CDCDCDCD; +0BD1 : CDCDCDCD; +0BD2 : CDCDCDCD; +0BD3 : CDCDCDCD; +0BD4 : CDCDCDCD; +0BD5 : CDCDCDCD; +0BD6 : CDCDCDCD; +0BD7 : CDCDCDCD; +0BD8 : CDCDCDCD; +0BD9 : CDCDCDCD; +0BDA : CDCDCDCD; +0BDB : CDCDCDCD; +0BDC : CDCDCDCD; +0BDD : CDCDCDCD; +0BDE : CDCDCDCD; +0BDF : CDCDCDCD; +0BE0 : CDCDCDCD; +0BE1 : CDCDCDCD; +0BE2 : CDCDCDCD; +0BE3 : CDCDCDCD; +0BE4 : CDCDCDCD; +0BE5 : CDCDCDCD; +0BE6 : CDCDCDCD; +0BE7 : CDCDCDCD; +0BE8 : CDCDCDCD; +0BE9 : CDCDCDCD; +0BEA : CDCDCDCD; +0BEB : CDCDCDCD; +0BEC : CDCDCDCD; +0BED : CDCDCDCD; +0BEE : CDCDCDCD; +0BEF : CDCDCDCD; +0BF0 : CDCDCDCD; +0BF1 : CDCDCDCD; +0BF2 : CDCDCDCD; +0BF3 : CDCDCDCD; +0BF4 : CDCDCDCD; +0BF5 : CDCDCDCD; +0BF6 : CDCDCDCD; +0BF7 : CDCDCDCD; +0BF8 : CDCDCDCD; +0BF9 : CDCDCDCD; +0BFA : CDCDCDCD; +0BFB : CDCDCDCD; +0BFC : CDCDCDCD; +0BFD : CDCDCDCD; +0BFE : CDCDCDCD; +0BFF : CDCDCDCD; +0C00 : CDCDCDCD; +0C01 : CDCDCDCD; +0C02 : CDCDCDCD; +0C03 : CDCDCDCD; +0C04 : CDCDCDCD; +0C05 : CDCDCDCD; +0C06 : CDCDCDCD; +0C07 : CDCDCDCD; +0C08 : CDCDCDCD; +0C09 : CDCDCDCD; +0C0A : CDCDCDCD; +0C0B : CDCDCDCD; +0C0C : CDCDCDCD; +0C0D : CDCDCDCD; +0C0E : CDCDCDCD; +0C0F : CDCDCDCD; +0C10 : CDCDCDCD; +0C11 : CDCDCDCD; +0C12 : CDCDCDCD; +0C13 : CDCDCDCD; +0C14 : CDCDCDCD; +0C15 : CDCDCDCD; +0C16 : CDCDCDCD; +0C17 : CDCDCDCD; +0C18 : CDCDCDCD; +0C19 : CDCDCDCD; +0C1A : CDCDCDCD; +0C1B : CDCDCDCD; +0C1C : CDCDCDCD; +0C1D : CDCDCDCD; +0C1E : CDCDCDCD; +0C1F : CDCDCDCD; +0C20 : CDCDCDCD; +0C21 : CDCDCDCD; +0C22 : CDCDCDCD; +0C23 : CDCDCDCD; +0C24 : CDCDCDCD; +0C25 : CDCDCDCD; +0C26 : CDCDCDCD; +0C27 : CDCDCDCD; +0C28 : CDCDCDCD; +0C29 : CDCDCDCD; +0C2A : CDCDCDCD; +0C2B : CDCDCDCD; +0C2C : CDCDCDCD; +0C2D : CDCDCDCD; +0C2E : CDCDCDCD; +0C2F : CDCDCDCD; +0C30 : CDCDCDCD; +0C31 : CDCDCDCD; +0C32 : CDCDCDCD; +0C33 : CDCDCDCD; +0C34 : CDCDCDCD; +0C35 : CDCDCDCD; +0C36 : CDCDCDCD; +0C37 : CDCDCDCD; +0C38 : CDCDCDCD; +0C39 : CDCDCDCD; +0C3A : CDCDCDCD; +0C3B : CDCDCDCD; +0C3C : CDCDCDCD; +0C3D : CDCDCDCD; +0C3E : CDCDCDCD; +0C3F : CDCDCDCD; +0C40 : CDCDCDCD; +0C41 : CDCDCDCD; +0C42 : CDCDCDCD; +0C43 : CDCDCDCD; +0C44 : CDCDCDCD; +0C45 : CDCDCDCD; +0C46 : CDCDCDCD; +0C47 : CDCDCDCD; +0C48 : CDCDCDCD; +0C49 : CDCDCDCD; +0C4A : CDCDCDCD; +0C4B : CDCDCDCD; +0C4C : CDCDCDCD; +0C4D : CDCDCDCD; +0C4E : CDCDCDCD; +0C4F : CDCDCDCD; +0C50 : CDCDCDCD; +0C51 : CDCDCDCD; +0C52 : CDCDCDCD; +0C53 : CDCDCDCD; +0C54 : CDCDCDCD; +0C55 : CDCDCDCD; +0C56 : CDCDCDCD; +0C57 : CDCDCDCD; +0C58 : CDCDCDCD; +0C59 : CDCDCDCD; +0C5A : CDCDCDCD; +0C5B : CDCDCDCD; +0C5C : CDCDCDCD; +0C5D : CDCDCDCD; +0C5E : CDCDCDCD; +0C5F : CDCDCDCD; +0C60 : CDCDCDCD; +0C61 : CDCDCDCD; +0C62 : CDCDCDCD; +0C63 : CDCDCDCD; +0C64 : CDCDCDCD; +0C65 : CDCDCDCD; +0C66 : CDCDCDCD; +0C67 : CDCDCDCD; +0C68 : CDCDCDCD; +0C69 : CDCDCDCD; +0C6A : CDCDCDCD; +0C6B : CDCDCDCD; +0C6C : CDCDCDCD; +0C6D : CDCDCDCD; +0C6E : CDCDCDCD; +0C6F : CDCDCDCD; +0C70 : CDCDCDCD; +0C71 : CDCDCDCD; +0C72 : CDCDCDCD; +0C73 : CDCDCDCD; +0C74 : CDCDCDCD; +0C75 : CDCDCDCD; +0C76 : CDCDCDCD; +0C77 : CDCDCDCD; +0C78 : CDCDCDCD; +0C79 : CDCDCDCD; +0C7A : CDCDCDCD; +0C7B : CDCDCDCD; +0C7C : CDCDCDCD; +0C7D : CDCDCDCD; +0C7E : CDCDCDCD; +0C7F : CDCDCDCD; +0C80 : CDCDCDCD; +0C81 : CDCDCDCD; +0C82 : CDCDCDCD; +0C83 : CDCDCDCD; +0C84 : CDCDCDCD; +0C85 : CDCDCDCD; +0C86 : CDCDCDCD; +0C87 : CDCDCDCD; +0C88 : CDCDCDCD; +0C89 : CDCDCDCD; +0C8A : CDCDCDCD; +0C8B : CDCDCDCD; +0C8C : CDCDCDCD; +0C8D : CDCDCDCD; +0C8E : CDCDCDCD; +0C8F : CDCDCDCD; +0C90 : CDCDCDCD; +0C91 : CDCDCDCD; +0C92 : CDCDCDCD; +0C93 : CDCDCDCD; +0C94 : CDCDCDCD; +0C95 : CDCDCDCD; +0C96 : CDCDCDCD; +0C97 : CDCDCDCD; +0C98 : CDCDCDCD; +0C99 : CDCDCDCD; +0C9A : CDCDCDCD; +0C9B : CDCDCDCD; +0C9C : CDCDCDCD; +0C9D : CDCDCDCD; +0C9E : CDCDCDCD; +0C9F : CDCDCDCD; +0CA0 : CDCDCDCD; +0CA1 : CDCDCDCD; +0CA2 : CDCDCDCD; +0CA3 : CDCDCDCD; +0CA4 : CDCDCDCD; +0CA5 : CDCDCDCD; +0CA6 : CDCDCDCD; +0CA7 : CDCDCDCD; +0CA8 : CDCDCDCD; +0CA9 : CDCDCDCD; +0CAA : CDCDCDCD; +0CAB : CDCDCDCD; +0CAC : CDCDCDCD; +0CAD : CDCDCDCD; +0CAE : CDCDCDCD; +0CAF : CDCDCDCD; +0CB0 : CDCDCDCD; +0CB1 : CDCDCDCD; +0CB2 : CDCDCDCD; +0CB3 : CDCDCDCD; +0CB4 : CDCDCDCD; +0CB5 : CDCDCDCD; +0CB6 : CDCDCDCD; +0CB7 : CDCDCDCD; +0CB8 : CDCDCDCD; +0CB9 : CDCDCDCD; +0CBA : CDCDCDCD; +0CBB : CDCDCDCD; +0CBC : CDCDCDCD; +0CBD : CDCDCDCD; +0CBE : CDCDCDCD; +0CBF : CDCDCDCD; +0CC0 : CDCDCDCD; +0CC1 : CDCDCDCD; +0CC2 : CDCDCDCD; +0CC3 : CDCDCDCD; +0CC4 : CDCDCDCD; +0CC5 : CDCDCDCD; +0CC6 : CDCDCDCD; +0CC7 : CDCDCDCD; +0CC8 : CDCDCDCD; +0CC9 : CDCDCDCD; +0CCA : CDCDCDCD; +0CCB : CDCDCDCD; +0CCC : CDCDCDCD; +0CCD : CDCDCDCD; +0CCE : CDCDCDCD; +0CCF : CDCDCDCD; +0CD0 : CDCDCDCD; +0CD1 : CDCDCDCD; +0CD2 : CDCDCDCD; +0CD3 : CDCDCDCD; +0CD4 : CDCDCDCD; +0CD5 : CDCDCDCD; +0CD6 : CDCDCDCD; +0CD7 : CDCDCDCD; +0CD8 : CDCDCDCD; +0CD9 : CDCDCDCD; +0CDA : CDCDCDCD; +0CDB : CDCDCDCD; +0CDC : CDCDCDCD; +0CDD : CDCDCDCD; +0CDE : CDCDCDCD; +0CDF : CDCDCDCD; +0CE0 : CDCDCDCD; +0CE1 : CDCDCDCD; +0CE2 : CDCDCDCD; +0CE3 : CDCDCDCD; +0CE4 : CDCDCDCD; +0CE5 : CDCDCDCD; +0CE6 : CDCDCDCD; +0CE7 : CDCDCDCD; +0CE8 : CDCDCDCD; +0CE9 : CDCDCDCD; +0CEA : CDCDCDCD; +0CEB : CDCDCDCD; +0CEC : CDCDCDCD; +0CED : CDCDCDCD; +0CEE : CDCDCDCD; +0CEF : CDCDCDCD; +0CF0 : CDCDCDCD; +0CF1 : CDCDCDCD; +0CF2 : CDCDCDCD; +0CF3 : CDCDCDCD; +0CF4 : CDCDCDCD; +0CF5 : CDCDCDCD; +0CF6 : CDCDCDCD; +0CF7 : CDCDCDCD; +0CF8 : CDCDCDCD; +0CF9 : CDCDCDCD; +0CFA : CDCDCDCD; +0CFB : CDCDCDCD; +0CFC : CDCDCDCD; +0CFD : CDCDCDCD; +0CFE : CDCDCDCD; +0CFF : CDCDCDCD; +0D00 : CDCDCDCD; +0D01 : CDCDCDCD; +0D02 : CDCDCDCD; +0D03 : CDCDCDCD; +0D04 : CDCDCDCD; +0D05 : CDCDCDCD; +0D06 : CDCDCDCD; +0D07 : CDCDCDCD; +0D08 : CDCDCDCD; +0D09 : CDCDCDCD; +0D0A : CDCDCDCD; +0D0B : CDCDCDCD; +0D0C : CDCDCDCD; +0D0D : CDCDCDCD; +0D0E : CDCDCDCD; +0D0F : CDCDCDCD; +0D10 : CDCDCDCD; +0D11 : CDCDCDCD; +0D12 : CDCDCDCD; +0D13 : CDCDCDCD; +0D14 : CDCDCDCD; +0D15 : CDCDCDCD; +0D16 : CDCDCDCD; +0D17 : CDCDCDCD; +0D18 : CDCDCDCD; +0D19 : CDCDCDCD; +0D1A : CDCDCDCD; +0D1B : CDCDCDCD; +0D1C : CDCDCDCD; +0D1D : CDCDCDCD; +0D1E : CDCDCDCD; +0D1F : CDCDCDCD; +0D20 : CDCDCDCD; +0D21 : CDCDCDCD; +0D22 : CDCDCDCD; +0D23 : CDCDCDCD; +0D24 : CDCDCDCD; +0D25 : CDCDCDCD; +0D26 : CDCDCDCD; +0D27 : CDCDCDCD; +0D28 : CDCDCDCD; +0D29 : CDCDCDCD; +0D2A : CDCDCDCD; +0D2B : CDCDCDCD; +0D2C : CDCDCDCD; +0D2D : CDCDCDCD; +0D2E : CDCDCDCD; +0D2F : CDCDCDCD; +0D30 : CDCDCDCD; +0D31 : CDCDCDCD; +0D32 : CDCDCDCD; +0D33 : CDCDCDCD; +0D34 : CDCDCDCD; +0D35 : CDCDCDCD; +0D36 : CDCDCDCD; +0D37 : CDCDCDCD; +0D38 : CDCDCDCD; +0D39 : CDCDCDCD; +0D3A : CDCDCDCD; +0D3B : CDCDCDCD; +0D3C : CDCDCDCD; +0D3D : CDCDCDCD; +0D3E : CDCDCDCD; +0D3F : CDCDCDCD; +0D40 : CDCDCDCD; +0D41 : CDCDCDCD; +0D42 : CDCDCDCD; +0D43 : CDCDCDCD; +0D44 : CDCDCDCD; +0D45 : CDCDCDCD; +0D46 : CDCDCDCD; +0D47 : CDCDCDCD; +0D48 : CDCDCDCD; +0D49 : CDCDCDCD; +0D4A : CDCDCDCD; +0D4B : CDCDCDCD; +0D4C : CDCDCDCD; +0D4D : CDCDCDCD; +0D4E : CDCDCDCD; +0D4F : CDCDCDCD; +0D50 : CDCDCDCD; +0D51 : CDCDCDCD; +0D52 : CDCDCDCD; +0D53 : CDCDCDCD; +0D54 : CDCDCDCD; +0D55 : CDCDCDCD; +0D56 : CDCDCDCD; +0D57 : CDCDCDCD; +0D58 : CDCDCDCD; +0D59 : CDCDCDCD; +0D5A : CDCDCDCD; +0D5B : CDCDCDCD; +0D5C : CDCDCDCD; +0D5D : CDCDCDCD; +0D5E : CDCDCDCD; +0D5F : CDCDCDCD; +0D60 : CDCDCDCD; +0D61 : CDCDCDCD; +0D62 : CDCDCDCD; +0D63 : CDCDCDCD; +0D64 : CDCDCDCD; +0D65 : CDCDCDCD; +0D66 : CDCDCDCD; +0D67 : CDCDCDCD; +0D68 : CDCDCDCD; +0D69 : CDCDCDCD; +0D6A : CDCDCDCD; +0D6B : CDCDCDCD; +0D6C : CDCDCDCD; +0D6D : CDCDCDCD; +0D6E : CDCDCDCD; +0D6F : CDCDCDCD; +0D70 : CDCDCDCD; +0D71 : CDCDCDCD; +0D72 : CDCDCDCD; +0D73 : CDCDCDCD; +0D74 : CDCDCDCD; +0D75 : CDCDCDCD; +0D76 : CDCDCDCD; +0D77 : CDCDCDCD; +0D78 : CDCDCDCD; +0D79 : CDCDCDCD; +0D7A : CDCDCDCD; +0D7B : CDCDCDCD; +0D7C : CDCDCDCD; +0D7D : CDCDCDCD; +0D7E : CDCDCDCD; +0D7F : CDCDCDCD; +0D80 : CDCDCDCD; +0D81 : CDCDCDCD; +0D82 : CDCDCDCD; +0D83 : CDCDCDCD; +0D84 : CDCDCDCD; +0D85 : CDCDCDCD; +0D86 : CDCDCDCD; +0D87 : CDCDCDCD; +0D88 : CDCDCDCD; +0D89 : CDCDCDCD; +0D8A : CDCDCDCD; +0D8B : CDCDCDCD; +0D8C : CDCDCDCD; +0D8D : CDCDCDCD; +0D8E : CDCDCDCD; +0D8F : CDCDCDCD; +0D90 : CDCDCDCD; +0D91 : CDCDCDCD; +0D92 : CDCDCDCD; +0D93 : CDCDCDCD; +0D94 : CDCDCDCD; +0D95 : CDCDCDCD; +0D96 : CDCDCDCD; +0D97 : CDCDCDCD; +0D98 : CDCDCDCD; +0D99 : CDCDCDCD; +0D9A : CDCDCDCD; +0D9B : CDCDCDCD; +0D9C : CDCDCDCD; +0D9D : CDCDCDCD; +0D9E : CDCDCDCD; +0D9F : CDCDCDCD; +0DA0 : CDCDCDCD; +0DA1 : CDCDCDCD; +0DA2 : CDCDCDCD; +0DA3 : CDCDCDCD; +0DA4 : CDCDCDCD; +0DA5 : CDCDCDCD; +0DA6 : CDCDCDCD; +0DA7 : CDCDCDCD; +0DA8 : CDCDCDCD; +0DA9 : CDCDCDCD; +0DAA : CDCDCDCD; +0DAB : CDCDCDCD; +0DAC : CDCDCDCD; +0DAD : CDCDCDCD; +0DAE : CDCDCDCD; +0DAF : CDCDCDCD; +0DB0 : CDCDCDCD; +0DB1 : CDCDCDCD; +0DB2 : CDCDCDCD; +0DB3 : CDCDCDCD; +0DB4 : CDCDCDCD; +0DB5 : CDCDCDCD; +0DB6 : CDCDCDCD; +0DB7 : CDCDCDCD; +0DB8 : CDCDCDCD; +0DB9 : CDCDCDCD; +0DBA : CDCDCDCD; +0DBB : CDCDCDCD; +0DBC : CDCDCDCD; +0DBD : CDCDCDCD; +0DBE : CDCDCDCD; +0DBF : CDCDCDCD; +0DC0 : CDCDCDCD; +0DC1 : CDCDCDCD; +0DC2 : CDCDCDCD; +0DC3 : CDCDCDCD; +0DC4 : CDCDCDCD; +0DC5 : CDCDCDCD; +0DC6 : CDCDCDCD; +0DC7 : CDCDCDCD; +0DC8 : CDCDCDCD; +0DC9 : CDCDCDCD; +0DCA : CDCDCDCD; +0DCB : CDCDCDCD; +0DCC : CDCDCDCD; +0DCD : CDCDCDCD; +0DCE : CDCDCDCD; +0DCF : CDCDCDCD; +0DD0 : CDCDCDCD; +0DD1 : CDCDCDCD; +0DD2 : CDCDCDCD; +0DD3 : CDCDCDCD; +0DD4 : CDCDCDCD; +0DD5 : CDCDCDCD; +0DD6 : CDCDCDCD; +0DD7 : CDCDCDCD; +0DD8 : CDCDCDCD; +0DD9 : CDCDCDCD; +0DDA : CDCDCDCD; +0DDB : CDCDCDCD; +0DDC : CDCDCDCD; +0DDD : CDCDCDCD; +0DDE : CDCDCDCD; +0DDF : CDCDCDCD; +0DE0 : CDCDCDCD; +0DE1 : CDCDCDCD; +0DE2 : CDCDCDCD; +0DE3 : CDCDCDCD; +0DE4 : CDCDCDCD; +0DE5 : CDCDCDCD; +0DE6 : CDCDCDCD; +0DE7 : CDCDCDCD; +0DE8 : CDCDCDCD; +0DE9 : CDCDCDCD; +0DEA : CDCDCDCD; +0DEB : CDCDCDCD; +0DEC : CDCDCDCD; +0DED : CDCDCDCD; +0DEE : CDCDCDCD; +0DEF : CDCDCDCD; +0DF0 : CDCDCDCD; +0DF1 : CDCDCDCD; +0DF2 : CDCDCDCD; +0DF3 : CDCDCDCD; +0DF4 : CDCDCDCD; +0DF5 : CDCDCDCD; +0DF6 : CDCDCDCD; +0DF7 : CDCDCDCD; +0DF8 : CDCDCDCD; +0DF9 : CDCDCDCD; +0DFA : CDCDCDCD; +0DFB : CDCDCDCD; +0DFC : CDCDCDCD; +0DFD : CDCDCDCD; +0DFE : CDCDCDCD; +0DFF : CDCDCDCD; +0E00 : CDCDCDCD; +0E01 : CDCDCDCD; +0E02 : CDCDCDCD; +0E03 : CDCDCDCD; +0E04 : CDCDCDCD; +0E05 : CDCDCDCD; +0E06 : CDCDCDCD; +0E07 : CDCDCDCD; +0E08 : CDCDCDCD; +0E09 : CDCDCDCD; +0E0A : CDCDCDCD; +0E0B : CDCDCDCD; +0E0C : CDCDCDCD; +0E0D : CDCDCDCD; +0E0E : CDCDCDCD; +0E0F : CDCDCDCD; +0E10 : CDCDCDCD; +0E11 : CDCDCDCD; +0E12 : CDCDCDCD; +0E13 : CDCDCDCD; +0E14 : CDCDCDCD; +0E15 : CDCDCDCD; +0E16 : CDCDCDCD; +0E17 : CDCDCDCD; +0E18 : CDCDCDCD; +0E19 : CDCDCDCD; +0E1A : CDCDCDCD; +0E1B : CDCDCDCD; +0E1C : CDCDCDCD; +0E1D : CDCDCDCD; +0E1E : CDCDCDCD; +0E1F : CDCDCDCD; +0E20 : CDCDCDCD; +0E21 : CDCDCDCD; +0E22 : CDCDCDCD; +0E23 : CDCDCDCD; +0E24 : CDCDCDCD; +0E25 : CDCDCDCD; +0E26 : CDCDCDCD; +0E27 : CDCDCDCD; +0E28 : CDCDCDCD; +0E29 : CDCDCDCD; +0E2A : CDCDCDCD; +0E2B : CDCDCDCD; +0E2C : CDCDCDCD; +0E2D : CDCDCDCD; +0E2E : CDCDCDCD; +0E2F : CDCDCDCD; +0E30 : CDCDCDCD; +0E31 : CDCDCDCD; +0E32 : CDCDCDCD; +0E33 : CDCDCDCD; +0E34 : CDCDCDCD; +0E35 : CDCDCDCD; +0E36 : CDCDCDCD; +0E37 : CDCDCDCD; +0E38 : CDCDCDCD; +0E39 : CDCDCDCD; +0E3A : CDCDCDCD; +0E3B : CDCDCDCD; +0E3C : CDCDCDCD; +0E3D : CDCDCDCD; +0E3E : CDCDCDCD; +0E3F : CDCDCDCD; +0E40 : CDCDCDCD; +0E41 : CDCDCDCD; +0E42 : CDCDCDCD; +0E43 : CDCDCDCD; +0E44 : CDCDCDCD; +0E45 : CDCDCDCD; +0E46 : CDCDCDCD; +0E47 : CDCDCDCD; +0E48 : CDCDCDCD; +0E49 : CDCDCDCD; +0E4A : CDCDCDCD; +0E4B : CDCDCDCD; +0E4C : CDCDCDCD; +0E4D : CDCDCDCD; +0E4E : CDCDCDCD; +0E4F : CDCDCDCD; +0E50 : CDCDCDCD; +0E51 : CDCDCDCD; +0E52 : CDCDCDCD; +0E53 : CDCDCDCD; +0E54 : CDCDCDCD; +0E55 : CDCDCDCD; +0E56 : CDCDCDCD; +0E57 : CDCDCDCD; +0E58 : CDCDCDCD; +0E59 : CDCDCDCD; +0E5A : CDCDCDCD; +0E5B : CDCDCDCD; +0E5C : CDCDCDCD; +0E5D : CDCDCDCD; +0E5E : CDCDCDCD; +0E5F : CDCDCDCD; +0E60 : CDCDCDCD; +0E61 : CDCDCDCD; +0E62 : CDCDCDCD; +0E63 : CDCDCDCD; +0E64 : CDCDCDCD; +0E65 : CDCDCDCD; +0E66 : CDCDCDCD; +0E67 : CDCDCDCD; +0E68 : CDCDCDCD; +0E69 : CDCDCDCD; +0E6A : CDCDCDCD; +0E6B : CDCDCDCD; +0E6C : CDCDCDCD; +0E6D : CDCDCDCD; +0E6E : CDCDCDCD; +0E6F : CDCDCDCD; +0E70 : CDCDCDCD; +0E71 : CDCDCDCD; +0E72 : CDCDCDCD; +0E73 : CDCDCDCD; +0E74 : CDCDCDCD; +0E75 : CDCDCDCD; +0E76 : CDCDCDCD; +0E77 : CDCDCDCD; +0E78 : CDCDCDCD; +0E79 : CDCDCDCD; +0E7A : CDCDCDCD; +0E7B : CDCDCDCD; +0E7C : CDCDCDCD; +0E7D : CDCDCDCD; +0E7E : CDCDCDCD; +0E7F : CDCDCDCD; +0E80 : CDCDCDCD; +0E81 : CDCDCDCD; +0E82 : CDCDCDCD; +0E83 : CDCDCDCD; +0E84 : CDCDCDCD; +0E85 : CDCDCDCD; +0E86 : CDCDCDCD; +0E87 : CDCDCDCD; +0E88 : CDCDCDCD; +0E89 : CDCDCDCD; +0E8A : CDCDCDCD; +0E8B : CDCDCDCD; +0E8C : CDCDCDCD; +0E8D : CDCDCDCD; +0E8E : CDCDCDCD; +0E8F : CDCDCDCD; +0E90 : CDCDCDCD; +0E91 : CDCDCDCD; +0E92 : CDCDCDCD; +0E93 : CDCDCDCD; +0E94 : CDCDCDCD; +0E95 : CDCDCDCD; +0E96 : CDCDCDCD; +0E97 : CDCDCDCD; +0E98 : CDCDCDCD; +0E99 : CDCDCDCD; +0E9A : CDCDCDCD; +0E9B : CDCDCDCD; +0E9C : CDCDCDCD; +0E9D : CDCDCDCD; +0E9E : CDCDCDCD; +0E9F : CDCDCDCD; +0EA0 : CDCDCDCD; +0EA1 : CDCDCDCD; +0EA2 : CDCDCDCD; +0EA3 : CDCDCDCD; +0EA4 : CDCDCDCD; +0EA5 : CDCDCDCD; +0EA6 : CDCDCDCD; +0EA7 : CDCDCDCD; +0EA8 : CDCDCDCD; +0EA9 : CDCDCDCD; +0EAA : CDCDCDCD; +0EAB : CDCDCDCD; +0EAC : CDCDCDCD; +0EAD : CDCDCDCD; +0EAE : CDCDCDCD; +0EAF : CDCDCDCD; +0EB0 : CDCDCDCD; +0EB1 : CDCDCDCD; +0EB2 : CDCDCDCD; +0EB3 : CDCDCDCD; +0EB4 : CDCDCDCD; +0EB5 : CDCDCDCD; +0EB6 : CDCDCDCD; +0EB7 : CDCDCDCD; +0EB8 : CDCDCDCD; +0EB9 : CDCDCDCD; +0EBA : CDCDCDCD; +0EBB : CDCDCDCD; +0EBC : CDCDCDCD; +0EBD : CDCDCDCD; +0EBE : CDCDCDCD; +0EBF : CDCDCDCD; +0EC0 : CDCDCDCD; +0EC1 : CDCDCDCD; +0EC2 : CDCDCDCD; +0EC3 : CDCDCDCD; +0EC4 : CDCDCDCD; +0EC5 : CDCDCDCD; +0EC6 : CDCDCDCD; +0EC7 : CDCDCDCD; +0EC8 : CDCDCDCD; +0EC9 : CDCDCDCD; +0ECA : CDCDCDCD; +0ECB : CDCDCDCD; +0ECC : CDCDCDCD; +0ECD : CDCDCDCD; +0ECE : CDCDCDCD; +0ECF : CDCDCDCD; +0ED0 : CDCDCDCD; +0ED1 : CDCDCDCD; +0ED2 : CDCDCDCD; +0ED3 : CDCDCDCD; +0ED4 : CDCDCDCD; +0ED5 : CDCDCDCD; +0ED6 : CDCDCDCD; +0ED7 : CDCDCDCD; +0ED8 : CDCDCDCD; +0ED9 : CDCDCDCD; +0EDA : CDCDCDCD; +0EDB : CDCDCDCD; +0EDC : CDCDCDCD; +0EDD : CDCDCDCD; +0EDE : CDCDCDCD; +0EDF : CDCDCDCD; +0EE0 : CDCDCDCD; +0EE1 : CDCDCDCD; +0EE2 : CDCDCDCD; +0EE3 : CDCDCDCD; +0EE4 : CDCDCDCD; +0EE5 : CDCDCDCD; +0EE6 : CDCDCDCD; +0EE7 : CDCDCDCD; +0EE8 : CDCDCDCD; +0EE9 : CDCDCDCD; +0EEA : CDCDCDCD; +0EEB : CDCDCDCD; +0EEC : CDCDCDCD; +0EED : CDCDCDCD; +0EEE : CDCDCDCD; +0EEF : CDCDCDCD; +0EF0 : CDCDCDCD; +0EF1 : CDCDCDCD; +0EF2 : CDCDCDCD; +0EF3 : CDCDCDCD; +0EF4 : CDCDCDCD; +0EF5 : CDCDCDCD; +0EF6 : CDCDCDCD; +0EF7 : CDCDCDCD; +0EF8 : CDCDCDCD; +0EF9 : CDCDCDCD; +0EFA : CDCDCDCD; +0EFB : CDCDCDCD; +0EFC : CDCDCDCD; +0EFD : CDCDCDCD; +0EFE : CDCDCDCD; +0EFF : CDCDCDCD; +0F00 : CDCDCDCD; +0F01 : CDCDCDCD; +0F02 : CDCDCDCD; +0F03 : CDCDCDCD; +0F04 : CDCDCDCD; +0F05 : CDCDCDCD; +0F06 : CDCDCDCD; +0F07 : CDCDCDCD; +0F08 : CDCDCDCD; +0F09 : CDCDCDCD; +0F0A : CDCDCDCD; +0F0B : CDCDCDCD; +0F0C : CDCDCDCD; +0F0D : CDCDCDCD; +0F0E : CDCDCDCD; +0F0F : CDCDCDCD; +0F10 : CDCDCDCD; +0F11 : CDCDCDCD; +0F12 : CDCDCDCD; +0F13 : CDCDCDCD; +0F14 : CDCDCDCD; +0F15 : CDCDCDCD; +0F16 : CDCDCDCD; +0F17 : CDCDCDCD; +0F18 : CDCDCDCD; +0F19 : CDCDCDCD; +0F1A : CDCDCDCD; +0F1B : CDCDCDCD; +0F1C : CDCDCDCD; +0F1D : CDCDCDCD; +0F1E : CDCDCDCD; +0F1F : CDCDCDCD; +0F20 : CDCDCDCD; +0F21 : CDCDCDCD; +0F22 : CDCDCDCD; +0F23 : CDCDCDCD; +0F24 : CDCDCDCD; +0F25 : CDCDCDCD; +0F26 : CDCDCDCD; +0F27 : CDCDCDCD; +0F28 : CDCDCDCD; +0F29 : CDCDCDCD; +0F2A : CDCDCDCD; +0F2B : CDCDCDCD; +0F2C : CDCDCDCD; +0F2D : CDCDCDCD; +0F2E : CDCDCDCD; +0F2F : CDCDCDCD; +0F30 : CDCDCDCD; +0F31 : CDCDCDCD; +0F32 : CDCDCDCD; +0F33 : CDCDCDCD; +0F34 : CDCDCDCD; +0F35 : CDCDCDCD; +0F36 : CDCDCDCD; +0F37 : CDCDCDCD; +0F38 : CDCDCDCD; +0F39 : CDCDCDCD; +0F3A : CDCDCDCD; +0F3B : CDCDCDCD; +0F3C : CDCDCDCD; +0F3D : CDCDCDCD; +0F3E : CDCDCDCD; +0F3F : CDCDCDCD; +0F40 : CDCDCDCD; +0F41 : CDCDCDCD; +0F42 : CDCDCDCD; +0F43 : CDCDCDCD; +0F44 : CDCDCDCD; +0F45 : CDCDCDCD; +0F46 : CDCDCDCD; +0F47 : CDCDCDCD; +0F48 : CDCDCDCD; +0F49 : CDCDCDCD; +0F4A : CDCDCDCD; +0F4B : CDCDCDCD; +0F4C : CDCDCDCD; +0F4D : CDCDCDCD; +0F4E : CDCDCDCD; +0F4F : CDCDCDCD; +0F50 : CDCDCDCD; +0F51 : CDCDCDCD; +0F52 : CDCDCDCD; +0F53 : CDCDCDCD; +0F54 : CDCDCDCD; +0F55 : CDCDCDCD; +0F56 : CDCDCDCD; +0F57 : CDCDCDCD; +0F58 : CDCDCDCD; +0F59 : CDCDCDCD; +0F5A : CDCDCDCD; +0F5B : CDCDCDCD; +0F5C : CDCDCDCD; +0F5D : CDCDCDCD; +0F5E : CDCDCDCD; +0F5F : CDCDCDCD; +0F60 : CDCDCDCD; +0F61 : CDCDCDCD; +0F62 : CDCDCDCD; +0F63 : CDCDCDCD; +0F64 : CDCDCDCD; +0F65 : CDCDCDCD; +0F66 : CDCDCDCD; +0F67 : CDCDCDCD; +0F68 : CDCDCDCD; +0F69 : CDCDCDCD; +0F6A : CDCDCDCD; +0F6B : CDCDCDCD; +0F6C : CDCDCDCD; +0F6D : CDCDCDCD; +0F6E : CDCDCDCD; +0F6F : CDCDCDCD; +0F70 : CDCDCDCD; +0F71 : CDCDCDCD; +0F72 : CDCDCDCD; +0F73 : CDCDCDCD; +0F74 : CDCDCDCD; +0F75 : CDCDCDCD; +0F76 : CDCDCDCD; +0F77 : CDCDCDCD; +0F78 : CDCDCDCD; +0F79 : CDCDCDCD; +0F7A : CDCDCDCD; +0F7B : CDCDCDCD; +0F7C : CDCDCDCD; +0F7D : CDCDCDCD; +0F7E : CDCDCDCD; +0F7F : CDCDCDCD; +0F80 : CDCDCDCD; +0F81 : CDCDCDCD; +0F82 : CDCDCDCD; +0F83 : CDCDCDCD; +0F84 : CDCDCDCD; +0F85 : CDCDCDCD; +0F86 : CDCDCDCD; +0F87 : CDCDCDCD; +0F88 : CDCDCDCD; +0F89 : CDCDCDCD; +0F8A : CDCDCDCD; +0F8B : CDCDCDCD; +0F8C : CDCDCDCD; +0F8D : CDCDCDCD; +0F8E : CDCDCDCD; +0F8F : CDCDCDCD; +0F90 : CDCDCDCD; +0F91 : CDCDCDCD; +0F92 : CDCDCDCD; +0F93 : CDCDCDCD; +0F94 : CDCDCDCD; +0F95 : CDCDCDCD; +0F96 : CDCDCDCD; +0F97 : CDCDCDCD; +0F98 : CDCDCDCD; +0F99 : CDCDCDCD; +0F9A : CDCDCDCD; +0F9B : CDCDCDCD; +0F9C : CDCDCDCD; +0F9D : CDCDCDCD; +0F9E : CDCDCDCD; +0F9F : CDCDCDCD; +0FA0 : CDCDCDCD; +0FA1 : CDCDCDCD; +0FA2 : CDCDCDCD; +0FA3 : CDCDCDCD; +0FA4 : CDCDCDCD; +0FA5 : CDCDCDCD; +0FA6 : CDCDCDCD; +0FA7 : CDCDCDCD; +0FA8 : CDCDCDCD; +0FA9 : CDCDCDCD; +0FAA : CDCDCDCD; +0FAB : CDCDCDCD; +0FAC : CDCDCDCD; +0FAD : CDCDCDCD; +0FAE : CDCDCDCD; +0FAF : CDCDCDCD; +0FB0 : CDCDCDCD; +0FB1 : CDCDCDCD; +0FB2 : CDCDCDCD; +0FB3 : CDCDCDCD; +0FB4 : CDCDCDCD; +0FB5 : CDCDCDCD; +0FB6 : CDCDCDCD; +0FB7 : CDCDCDCD; +0FB8 : CDCDCDCD; +0FB9 : CDCDCDCD; +0FBA : CDCDCDCD; +0FBB : CDCDCDCD; +0FBC : CDCDCDCD; +0FBD : CDCDCDCD; +0FBE : CDCDCDCD; +0FBF : CDCDCDCD; +0FC0 : CDCDCDCD; +0FC1 : CDCDCDCD; +0FC2 : CDCDCDCD; +0FC3 : CDCDCDCD; +0FC4 : CDCDCDCD; +0FC5 : CDCDCDCD; +0FC6 : CDCDCDCD; +0FC7 : CDCDCDCD; +0FC8 : CDCDCDCD; +0FC9 : CDCDCDCD; +0FCA : CDCDCDCD; +0FCB : CDCDCDCD; +0FCC : CDCDCDCD; +0FCD : CDCDCDCD; +0FCE : CDCDCDCD; +0FCF : CDCDCDCD; +0FD0 : CDCDCDCD; +0FD1 : CDCDCDCD; +0FD2 : CDCDCDCD; +0FD3 : CDCDCDCD; +0FD4 : CDCDCDCD; +0FD5 : CDCDCDCD; +0FD6 : CDCDCDCD; +0FD7 : CDCDCDCD; +0FD8 : CDCDCDCD; +0FD9 : CDCDCDCD; +0FDA : CDCDCDCD; +0FDB : CDCDCDCD; +0FDC : CDCDCDCD; +0FDD : CDCDCDCD; +0FDE : CDCDCDCD; +0FDF : CDCDCDCD; +0FE0 : CDCDCDCD; +0FE1 : CDCDCDCD; +0FE2 : CDCDCDCD; +0FE3 : CDCDCDCD; +0FE4 : CDCDCDCD; +0FE5 : CDCDCDCD; +0FE6 : CDCDCDCD; +0FE7 : CDCDCDCD; +0FE8 : CDCDCDCD; +0FE9 : CDCDCDCD; +0FEA : CDCDCDCD; +0FEB : CDCDCDCD; +0FEC : CDCDCDCD; +0FED : CDCDCDCD; +0FEE : CDCDCDCD; +0FEF : CDCDCDCD; +0FF0 : CDCDCDCD; +0FF1 : CDCDCDCD; +0FF2 : CDCDCDCD; +0FF3 : CDCDCDCD; +0FF4 : CDCDCDCD; +0FF5 : CDCDCDCD; +0FF6 : CDCDCDCD; +0FF7 : CDCDCDCD; +0FF8 : CDCDCDCD; +0FF9 : CDCDCDCD; +0FFA : CDCDCDCD; +0FFB : CDCDCDCD; +0FFC : CDCDCDCD; +0FFD : CDCDCDCD; +0FFE : CDCDCDCD; +0FFF : CDCDCDCD; +1000 : CDCDCDCD; +1001 : CDCDCDCD; +1002 : CDCDCDCD; +1003 : CDCDCDCD; +1004 : CDCDCDCD; +1005 : CDCDCDCD; +1006 : CDCDCDCD; +1007 : CDCDCDCD; +1008 : CDCDCDCD; +1009 : CDCDCDCD; +100A : CDCDCDCD; +100B : CDCDCDCD; +100C : CDCDCDCD; +100D : CDCDCDCD; +100E : CDCDCDCD; +100F : CDCDCDCD; +1010 : CDCDCDCD; +1011 : CDCDCDCD; +1012 : CDCDCDCD; +1013 : CDCDCDCD; +1014 : CDCDCDCD; +1015 : CDCDCDCD; +1016 : CDCDCDCD; +1017 : CDCDCDCD; +1018 : CDCDCDCD; +1019 : CDCDCDCD; +101A : CDCDCDCD; +101B : CDCDCDCD; +101C : CDCDCDCD; +101D : CDCDCDCD; +101E : CDCDCDCD; +101F : CDCDCDCD; +1020 : CDCDCDCD; +1021 : CDCDCDCD; +1022 : CDCDCDCD; +1023 : CDCDCDCD; +1024 : CDCDCDCD; +1025 : CDCDCDCD; +1026 : CDCDCDCD; +1027 : CDCDCDCD; +1028 : CDCDCDCD; +1029 : CDCDCDCD; +102A : CDCDCDCD; +102B : CDCDCDCD; +102C : CDCDCDCD; +102D : CDCDCDCD; +102E : CDCDCDCD; +102F : CDCDCDCD; +1030 : CDCDCDCD; +1031 : CDCDCDCD; +1032 : CDCDCDCD; +1033 : CDCDCDCD; +1034 : CDCDCDCD; +1035 : CDCDCDCD; +1036 : CDCDCDCD; +1037 : CDCDCDCD; +1038 : CDCDCDCD; +1039 : CDCDCDCD; +103A : CDCDCDCD; +103B : CDCDCDCD; +103C : CDCDCDCD; +103D : CDCDCDCD; +103E : CDCDCDCD; +103F : CDCDCDCD; +1040 : CDCDCDCD; +1041 : CDCDCDCD; +1042 : CDCDCDCD; +1043 : CDCDCDCD; +1044 : CDCDCDCD; +1045 : CDCDCDCD; +1046 : CDCDCDCD; +1047 : CDCDCDCD; +1048 : CDCDCDCD; +1049 : CDCDCDCD; +104A : CDCDCDCD; +104B : CDCDCDCD; +104C : CDCDCDCD; +104D : CDCDCDCD; +104E : CDCDCDCD; +104F : CDCDCDCD; +1050 : CDCDCDCD; +1051 : CDCDCDCD; +1052 : CDCDCDCD; +1053 : CDCDCDCD; +1054 : CDCDCDCD; +1055 : CDCDCDCD; +1056 : CDCDCDCD; +1057 : CDCDCDCD; +1058 : CDCDCDCD; +1059 : CDCDCDCD; +105A : CDCDCDCD; +105B : CDCDCDCD; +105C : CDCDCDCD; +105D : CDCDCDCD; +105E : CDCDCDCD; +105F : CDCDCDCD; +1060 : CDCDCDCD; +1061 : CDCDCDCD; +1062 : CDCDCDCD; +1063 : CDCDCDCD; +1064 : CDCDCDCD; +1065 : CDCDCDCD; +1066 : CDCDCDCD; +1067 : CDCDCDCD; +1068 : CDCDCDCD; +1069 : CDCDCDCD; +106A : CDCDCDCD; +106B : CDCDCDCD; +106C : CDCDCDCD; +106D : CDCDCDCD; +106E : CDCDCDCD; +106F : CDCDCDCD; +1070 : CDCDCDCD; +1071 : CDCDCDCD; +1072 : CDCDCDCD; +1073 : CDCDCDCD; +1074 : CDCDCDCD; +1075 : CDCDCDCD; +1076 : CDCDCDCD; +1077 : CDCDCDCD; +1078 : CDCDCDCD; +1079 : CDCDCDCD; +107A : CDCDCDCD; +107B : CDCDCDCD; +107C : CDCDCDCD; +107D : CDCDCDCD; +107E : CDCDCDCD; +107F : CDCDCDCD; +1080 : CDCDCDCD; +1081 : CDCDCDCD; +1082 : CDCDCDCD; +1083 : CDCDCDCD; +1084 : CDCDCDCD; +1085 : CDCDCDCD; +1086 : CDCDCDCD; +1087 : CDCDCDCD; +1088 : CDCDCDCD; +1089 : CDCDCDCD; +108A : CDCDCDCD; +108B : CDCDCDCD; +108C : CDCDCDCD; +108D : CDCDCDCD; +108E : CDCDCDCD; +108F : CDCDCDCD; +1090 : CDCDCDCD; +1091 : CDCDCDCD; +1092 : CDCDCDCD; +1093 : CDCDCDCD; +1094 : CDCDCDCD; +1095 : CDCDCDCD; +1096 : CDCDCDCD; +1097 : CDCDCDCD; +1098 : CDCDCDCD; +1099 : CDCDCDCD; +109A : CDCDCDCD; +109B : CDCDCDCD; +109C : CDCDCDCD; +109D : CDCDCDCD; +109E : CDCDCDCD; +109F : CDCDCDCD; +10A0 : CDCDCDCD; +10A1 : CDCDCDCD; +10A2 : CDCDCDCD; +10A3 : CDCDCDCD; +10A4 : CDCDCDCD; +10A5 : CDCDCDCD; +10A6 : CDCDCDCD; +10A7 : CDCDCDCD; +10A8 : CDCDCDCD; +10A9 : CDCDCDCD; +10AA : CDCDCDCD; +10AB : CDCDCDCD; +10AC : CDCDCDCD; +10AD : CDCDCDCD; +10AE : CDCDCDCD; +10AF : CDCDCDCD; +10B0 : CDCDCDCD; +10B1 : CDCDCDCD; +10B2 : CDCDCDCD; +10B3 : CDCDCDCD; +10B4 : CDCDCDCD; +10B5 : CDCDCDCD; +10B6 : CDCDCDCD; +10B7 : CDCDCDCD; +10B8 : CDCDCDCD; +10B9 : CDCDCDCD; +10BA : CDCDCDCD; +10BB : CDCDCDCD; +10BC : CDCDCDCD; +10BD : CDCDCDCD; +10BE : CDCDCDCD; +10BF : CDCDCDCD; +10C0 : CDCDCDCD; +10C1 : CDCDCDCD; +10C2 : CDCDCDCD; +10C3 : CDCDCDCD; +10C4 : CDCDCDCD; +10C5 : CDCDCDCD; +10C6 : CDCDCDCD; +10C7 : CDCDCDCD; +10C8 : CDCDCDCD; +10C9 : CDCDCDCD; +10CA : CDCDCDCD; +10CB : CDCDCDCD; +10CC : CDCDCDCD; +10CD : CDCDCDCD; +10CE : CDCDCDCD; +10CF : CDCDCDCD; +10D0 : CDCDCDCD; +10D1 : CDCDCDCD; +10D2 : CDCDCDCD; +10D3 : CDCDCDCD; +10D4 : CDCDCDCD; +10D5 : CDCDCDCD; +10D6 : CDCDCDCD; +10D7 : CDCDCDCD; +10D8 : CDCDCDCD; +10D9 : CDCDCDCD; +10DA : CDCDCDCD; +10DB : CDCDCDCD; +10DC : CDCDCDCD; +10DD : CDCDCDCD; +10DE : CDCDCDCD; +10DF : CDCDCDCD; +10E0 : CDCDCDCD; +10E1 : CDCDCDCD; +10E2 : CDCDCDCD; +10E3 : CDCDCDCD; +10E4 : CDCDCDCD; +10E5 : CDCDCDCD; +10E6 : CDCDCDCD; +10E7 : CDCDCDCD; +10E8 : CDCDCDCD; +10E9 : CDCDCDCD; +10EA : CDCDCDCD; +10EB : CDCDCDCD; +10EC : CDCDCDCD; +10ED : CDCDCDCD; +10EE : CDCDCDCD; +10EF : CDCDCDCD; +10F0 : CDCDCDCD; +10F1 : CDCDCDCD; +10F2 : CDCDCDCD; +10F3 : CDCDCDCD; +10F4 : CDCDCDCD; +10F5 : CDCDCDCD; +10F6 : CDCDCDCD; +10F7 : CDCDCDCD; +10F8 : CDCDCDCD; +10F9 : CDCDCDCD; +10FA : CDCDCDCD; +10FB : CDCDCDCD; +10FC : CDCDCDCD; +10FD : CDCDCDCD; +10FE : CDCDCDCD; +10FF : CDCDCDCD; +1100 : CDCDCDCD; +1101 : CDCDCDCD; +1102 : CDCDCDCD; +1103 : CDCDCDCD; +1104 : CDCDCDCD; +1105 : CDCDCDCD; +1106 : CDCDCDCD; +1107 : CDCDCDCD; +1108 : CDCDCDCD; +1109 : CDCDCDCD; +110A : CDCDCDCD; +110B : CDCDCDCD; +110C : CDCDCDCD; +110D : CDCDCDCD; +110E : CDCDCDCD; +110F : CDCDCDCD; +1110 : CDCDCDCD; +1111 : CDCDCDCD; +1112 : CDCDCDCD; +1113 : CDCDCDCD; +1114 : CDCDCDCD; +1115 : CDCDCDCD; +1116 : CDCDCDCD; +1117 : CDCDCDCD; +1118 : CDCDCDCD; +1119 : CDCDCDCD; +111A : CDCDCDCD; +111B : CDCDCDCD; +111C : CDCDCDCD; +111D : CDCDCDCD; +111E : CDCDCDCD; +111F : CDCDCDCD; +1120 : CDCDCDCD; +1121 : CDCDCDCD; +1122 : CDCDCDCD; +1123 : CDCDCDCD; +1124 : CDCDCDCD; +1125 : CDCDCDCD; +1126 : CDCDCDCD; +1127 : CDCDCDCD; +1128 : CDCDCDCD; +1129 : CDCDCDCD; +112A : CDCDCDCD; +112B : CDCDCDCD; +112C : CDCDCDCD; +112D : CDCDCDCD; +112E : CDCDCDCD; +112F : CDCDCDCD; +1130 : CDCDCDCD; +1131 : CDCDCDCD; +1132 : CDCDCDCD; +1133 : CDCDCDCD; +1134 : CDCDCDCD; +1135 : CDCDCDCD; +1136 : CDCDCDCD; +1137 : CDCDCDCD; +1138 : CDCDCDCD; +1139 : CDCDCDCD; +113A : CDCDCDCD; +113B : CDCDCDCD; +113C : CDCDCDCD; +113D : CDCDCDCD; +113E : CDCDCDCD; +113F : CDCDCDCD; +1140 : CDCDCDCD; +1141 : CDCDCDCD; +1142 : CDCDCDCD; +1143 : CDCDCDCD; +1144 : CDCDCDCD; +1145 : CDCDCDCD; +1146 : CDCDCDCD; +1147 : CDCDCDCD; +1148 : CDCDCDCD; +1149 : CDCDCDCD; +114A : CDCDCDCD; +114B : CDCDCDCD; +114C : CDCDCDCD; +114D : CDCDCDCD; +114E : CDCDCDCD; +114F : CDCDCDCD; +1150 : CDCDCDCD; +1151 : CDCDCDCD; +1152 : CDCDCDCD; +1153 : CDCDCDCD; +1154 : CDCDCDCD; +1155 : CDCDCDCD; +1156 : CDCDCDCD; +1157 : CDCDCDCD; +1158 : CDCDCDCD; +1159 : CDCDCDCD; +115A : CDCDCDCD; +115B : CDCDCDCD; +115C : CDCDCDCD; +115D : CDCDCDCD; +115E : CDCDCDCD; +115F : CDCDCDCD; +1160 : CDCDCDCD; +1161 : CDCDCDCD; +1162 : CDCDCDCD; +1163 : CDCDCDCD; +1164 : CDCDCDCD; +1165 : CDCDCDCD; +1166 : CDCDCDCD; +1167 : CDCDCDCD; +1168 : CDCDCDCD; +1169 : CDCDCDCD; +116A : CDCDCDCD; +116B : CDCDCDCD; +116C : CDCDCDCD; +116D : CDCDCDCD; +116E : CDCDCDCD; +116F : CDCDCDCD; +1170 : CDCDCDCD; +1171 : CDCDCDCD; +1172 : CDCDCDCD; +1173 : CDCDCDCD; +1174 : CDCDCDCD; +1175 : CDCDCDCD; +1176 : CDCDCDCD; +1177 : CDCDCDCD; +1178 : CDCDCDCD; +1179 : CDCDCDCD; +117A : CDCDCDCD; +117B : CDCDCDCD; +117C : CDCDCDCD; +117D : CDCDCDCD; +117E : CDCDCDCD; +117F : CDCDCDCD; +1180 : CDCDCDCD; +1181 : CDCDCDCD; +1182 : CDCDCDCD; +1183 : CDCDCDCD; +1184 : CDCDCDCD; +1185 : CDCDCDCD; +1186 : CDCDCDCD; +1187 : CDCDCDCD; +1188 : CDCDCDCD; +1189 : CDCDCDCD; +118A : CDCDCDCD; +118B : CDCDCDCD; +118C : CDCDCDCD; +118D : CDCDCDCD; +118E : CDCDCDCD; +118F : CDCDCDCD; +1190 : CDCDCDCD; +1191 : CDCDCDCD; +1192 : CDCDCDCD; +1193 : CDCDCDCD; +1194 : CDCDCDCD; +1195 : CDCDCDCD; +1196 : CDCDCDCD; +1197 : CDCDCDCD; +1198 : CDCDCDCD; +1199 : CDCDCDCD; +119A : CDCDCDCD; +119B : CDCDCDCD; +119C : CDCDCDCD; +119D : CDCDCDCD; +119E : CDCDCDCD; +119F : CDCDCDCD; +11A0 : CDCDCDCD; +11A1 : CDCDCDCD; +11A2 : CDCDCDCD; +11A3 : CDCDCDCD; +11A4 : CDCDCDCD; +11A5 : CDCDCDCD; +11A6 : CDCDCDCD; +11A7 : CDCDCDCD; +11A8 : CDCDCDCD; +11A9 : CDCDCDCD; +11AA : CDCDCDCD; +11AB : CDCDCDCD; +11AC : CDCDCDCD; +11AD : CDCDCDCD; +11AE : CDCDCDCD; +11AF : CDCDCDCD; +11B0 : CDCDCDCD; +11B1 : CDCDCDCD; +11B2 : CDCDCDCD; +11B3 : CDCDCDCD; +11B4 : CDCDCDCD; +11B5 : CDCDCDCD; +11B6 : CDCDCDCD; +11B7 : CDCDCDCD; +11B8 : CDCDCDCD; +11B9 : CDCDCDCD; +11BA : CDCDCDCD; +11BB : CDCDCDCD; +11BC : CDCDCDCD; +11BD : CDCDCDCD; +11BE : CDCDCDCD; +11BF : CDCDCDCD; +11C0 : CDCDCDCD; +11C1 : CDCDCDCD; +11C2 : CDCDCDCD; +11C3 : CDCDCDCD; +11C4 : CDCDCDCD; +11C5 : CDCDCDCD; +11C6 : CDCDCDCD; +11C7 : CDCDCDCD; +11C8 : CDCDCDCD; +11C9 : CDCDCDCD; +11CA : CDCDCDCD; +11CB : CDCDCDCD; +11CC : CDCDCDCD; +11CD : CDCDCDCD; +11CE : CDCDCDCD; +11CF : CDCDCDCD; +11D0 : CDCDCDCD; +11D1 : CDCDCDCD; +11D2 : CDCDCDCD; +11D3 : CDCDCDCD; +11D4 : CDCDCDCD; +11D5 : CDCDCDCD; +11D6 : CDCDCDCD; +11D7 : CDCDCDCD; +11D8 : CDCDCDCD; +11D9 : CDCDCDCD; +11DA : CDCDCDCD; +11DB : CDCDCDCD; +11DC : CDCDCDCD; +11DD : CDCDCDCD; +11DE : CDCDCDCD; +11DF : CDCDCDCD; +11E0 : CDCDCDCD; +11E1 : CDCDCDCD; +11E2 : CDCDCDCD; +11E3 : CDCDCDCD; +11E4 : CDCDCDCD; +11E5 : CDCDCDCD; +11E6 : CDCDCDCD; +11E7 : CDCDCDCD; +11E8 : CDCDCDCD; +11E9 : CDCDCDCD; +11EA : CDCDCDCD; +11EB : CDCDCDCD; +11EC : CDCDCDCD; +11ED : CDCDCDCD; +11EE : CDCDCDCD; +11EF : CDCDCDCD; +11F0 : CDCDCDCD; +11F1 : CDCDCDCD; +11F2 : CDCDCDCD; +11F3 : CDCDCDCD; +11F4 : CDCDCDCD; +11F5 : CDCDCDCD; +11F6 : CDCDCDCD; +11F7 : CDCDCDCD; +11F8 : CDCDCDCD; +11F9 : CDCDCDCD; +11FA : CDCDCDCD; +11FB : CDCDCDCD; +11FC : CDCDCDCD; +11FD : CDCDCDCD; +11FE : CDCDCDCD; +11FF : CDCDCDCD; +1200 : CDCDCDCD; +1201 : CDCDCDCD; +1202 : CDCDCDCD; +1203 : CDCDCDCD; +1204 : CDCDCDCD; +1205 : CDCDCDCD; +1206 : CDCDCDCD; +1207 : CDCDCDCD; +1208 : CDCDCDCD; +1209 : CDCDCDCD; +120A : CDCDCDCD; +120B : CDCDCDCD; +120C : CDCDCDCD; +120D : CDCDCDCD; +120E : CDCDCDCD; +120F : CDCDCDCD; +1210 : CDCDCDCD; +1211 : CDCDCDCD; +1212 : CDCDCDCD; +1213 : CDCDCDCD; +1214 : CDCDCDCD; +1215 : CDCDCDCD; +1216 : CDCDCDCD; +1217 : CDCDCDCD; +1218 : CDCDCDCD; +1219 : CDCDCDCD; +121A : CDCDCDCD; +121B : CDCDCDCD; +121C : CDCDCDCD; +121D : CDCDCDCD; +121E : CDCDCDCD; +121F : CDCDCDCD; +1220 : CDCDCDCD; +1221 : CDCDCDCD; +1222 : CDCDCDCD; +1223 : CDCDCDCD; +1224 : CDCDCDCD; +1225 : CDCDCDCD; +1226 : CDCDCDCD; +1227 : CDCDCDCD; +1228 : CDCDCDCD; +1229 : CDCDCDCD; +122A : CDCDCDCD; +122B : CDCDCDCD; +122C : CDCDCDCD; +122D : CDCDCDCD; +122E : CDCDCDCD; +122F : CDCDCDCD; +1230 : CDCDCDCD; +1231 : CDCDCDCD; +1232 : CDCDCDCD; +1233 : CDCDCDCD; +1234 : CDCDCDCD; +1235 : CDCDCDCD; +1236 : CDCDCDCD; +1237 : CDCDCDCD; +1238 : CDCDCDCD; +1239 : CDCDCDCD; +123A : CDCDCDCD; +123B : CDCDCDCD; +123C : CDCDCDCD; +123D : CDCDCDCD; +123E : CDCDCDCD; +123F : CDCDCDCD; +1240 : CDCDCDCD; +1241 : CDCDCDCD; +1242 : CDCDCDCD; +1243 : CDCDCDCD; +1244 : CDCDCDCD; +1245 : CDCDCDCD; +1246 : CDCDCDCD; +1247 : CDCDCDCD; +1248 : CDCDCDCD; +1249 : CDCDCDCD; +124A : CDCDCDCD; +124B : CDCDCDCD; +124C : CDCDCDCD; +124D : CDCDCDCD; +124E : CDCDCDCD; +124F : CDCDCDCD; +1250 : CDCDCDCD; +1251 : CDCDCDCD; +1252 : CDCDCDCD; +1253 : CDCDCDCD; +1254 : CDCDCDCD; +1255 : CDCDCDCD; +1256 : CDCDCDCD; +1257 : CDCDCDCD; +1258 : CDCDCDCD; +1259 : CDCDCDCD; +125A : CDCDCDCD; +125B : CDCDCDCD; +125C : CDCDCDCD; +125D : CDCDCDCD; +125E : CDCDCDCD; +125F : CDCDCDCD; +1260 : CDCDCDCD; +1261 : CDCDCDCD; +1262 : CDCDCDCD; +1263 : CDCDCDCD; +1264 : CDCDCDCD; +1265 : CDCDCDCD; +1266 : CDCDCDCD; +1267 : CDCDCDCD; +1268 : CDCDCDCD; +1269 : CDCDCDCD; +126A : CDCDCDCD; +126B : CDCDCDCD; +126C : CDCDCDCD; +126D : CDCDCDCD; +126E : CDCDCDCD; +126F : CDCDCDCD; +1270 : CDCDCDCD; +1271 : CDCDCDCD; +1272 : CDCDCDCD; +1273 : CDCDCDCD; +1274 : CDCDCDCD; +1275 : CDCDCDCD; +1276 : CDCDCDCD; +1277 : CDCDCDCD; +1278 : CDCDCDCD; +1279 : CDCDCDCD; +127A : CDCDCDCD; +127B : CDCDCDCD; +127C : CDCDCDCD; +127D : CDCDCDCD; +127E : CDCDCDCD; +127F : CDCDCDCD; +1280 : CDCDCDCD; +1281 : CDCDCDCD; +1282 : CDCDCDCD; +1283 : CDCDCDCD; +1284 : CDCDCDCD; +1285 : CDCDCDCD; +1286 : CDCDCDCD; +1287 : CDCDCDCD; +1288 : CDCDCDCD; +1289 : CDCDCDCD; +128A : CDCDCDCD; +128B : CDCDCDCD; +128C : CDCDCDCD; +128D : CDCDCDCD; +128E : CDCDCDCD; +128F : CDCDCDCD; +1290 : CDCDCDCD; +1291 : CDCDCDCD; +1292 : CDCDCDCD; +1293 : CDCDCDCD; +1294 : CDCDCDCD; +1295 : CDCDCDCD; +1296 : CDCDCDCD; +1297 : CDCDCDCD; +1298 : CDCDCDCD; +1299 : CDCDCDCD; +129A : CDCDCDCD; +129B : CDCDCDCD; +129C : CDCDCDCD; +129D : CDCDCDCD; +129E : CDCDCDCD; +129F : CDCDCDCD; +12A0 : CDCDCDCD; +12A1 : CDCDCDCD; +12A2 : CDCDCDCD; +12A3 : CDCDCDCD; +12A4 : CDCDCDCD; +12A5 : CDCDCDCD; +12A6 : CDCDCDCD; +12A7 : CDCDCDCD; +12A8 : CDCDCDCD; +12A9 : CDCDCDCD; +12AA : CDCDCDCD; +12AB : CDCDCDCD; +12AC : CDCDCDCD; +12AD : CDCDCDCD; +12AE : CDCDCDCD; +12AF : CDCDCDCD; +12B0 : CDCDCDCD; +12B1 : CDCDCDCD; +12B2 : CDCDCDCD; +12B3 : CDCDCDCD; +12B4 : CDCDCDCD; +12B5 : CDCDCDCD; +12B6 : CDCDCDCD; +12B7 : CDCDCDCD; +12B8 : CDCDCDCD; +12B9 : CDCDCDCD; +12BA : CDCDCDCD; +12BB : CDCDCDCD; +12BC : CDCDCDCD; +12BD : CDCDCDCD; +12BE : CDCDCDCD; +12BF : CDCDCDCD; +12C0 : CDCDCDCD; +12C1 : CDCDCDCD; +12C2 : CDCDCDCD; +12C3 : CDCDCDCD; +12C4 : CDCDCDCD; +12C5 : CDCDCDCD; +12C6 : CDCDCDCD; +12C7 : CDCDCDCD; +12C8 : CDCDCDCD; +12C9 : CDCDCDCD; +12CA : CDCDCDCD; +12CB : CDCDCDCD; +12CC : CDCDCDCD; +12CD : CDCDCDCD; +12CE : CDCDCDCD; +12CF : CDCDCDCD; +12D0 : CDCDCDCD; +12D1 : CDCDCDCD; +12D2 : CDCDCDCD; +12D3 : CDCDCDCD; +12D4 : CDCDCDCD; +12D5 : CDCDCDCD; +12D6 : CDCDCDCD; +12D7 : CDCDCDCD; +12D8 : CDCDCDCD; +12D9 : CDCDCDCD; +12DA : CDCDCDCD; +12DB : CDCDCDCD; +12DC : CDCDCDCD; +12DD : CDCDCDCD; +12DE : CDCDCDCD; +12DF : CDCDCDCD; +12E0 : CDCDCDCD; +12E1 : CDCDCDCD; +12E2 : CDCDCDCD; +12E3 : CDCDCDCD; +12E4 : CDCDCDCD; +12E5 : CDCDCDCD; +12E6 : CDCDCDCD; +12E7 : CDCDCDCD; +12E8 : CDCDCDCD; +12E9 : CDCDCDCD; +12EA : CDCDCDCD; +12EB : CDCDCDCD; +12EC : CDCDCDCD; +12ED : CDCDCDCD; +12EE : CDCDCDCD; +12EF : CDCDCDCD; +12F0 : CDCDCDCD; +12F1 : CDCDCDCD; +12F2 : CDCDCDCD; +12F3 : CDCDCDCD; +12F4 : CDCDCDCD; +12F5 : CDCDCDCD; +12F6 : CDCDCDCD; +12F7 : CDCDCDCD; +12F8 : CDCDCDCD; +12F9 : CDCDCDCD; +12FA : CDCDCDCD; +12FB : CDCDCDCD; +12FC : CDCDCDCD; +12FD : CDCDCDCD; +12FE : CDCDCDCD; +12FF : CDCDCDCD; +1300 : CDCDCDCD; +1301 : CDCDCDCD; +1302 : CDCDCDCD; +1303 : CDCDCDCD; +1304 : CDCDCDCD; +1305 : CDCDCDCD; +1306 : CDCDCDCD; +1307 : CDCDCDCD; +1308 : CDCDCDCD; +1309 : CDCDCDCD; +130A : CDCDCDCD; +130B : CDCDCDCD; +130C : CDCDCDCD; +130D : CDCDCDCD; +130E : CDCDCDCD; +130F : CDCDCDCD; +1310 : CDCDCDCD; +1311 : CDCDCDCD; +1312 : CDCDCDCD; +1313 : CDCDCDCD; +1314 : CDCDCDCD; +1315 : CDCDCDCD; +1316 : CDCDCDCD; +1317 : CDCDCDCD; +1318 : CDCDCDCD; +1319 : CDCDCDCD; +131A : CDCDCDCD; +131B : CDCDCDCD; +131C : CDCDCDCD; +131D : CDCDCDCD; +131E : CDCDCDCD; +131F : CDCDCDCD; +1320 : CDCDCDCD; +1321 : CDCDCDCD; +1322 : CDCDCDCD; +1323 : CDCDCDCD; +1324 : CDCDCDCD; +1325 : CDCDCDCD; +1326 : CDCDCDCD; +1327 : CDCDCDCD; +1328 : CDCDCDCD; +1329 : CDCDCDCD; +132A : CDCDCDCD; +132B : CDCDCDCD; +132C : CDCDCDCD; +132D : CDCDCDCD; +132E : CDCDCDCD; +132F : CDCDCDCD; +1330 : CDCDCDCD; +1331 : CDCDCDCD; +1332 : CDCDCDCD; +1333 : CDCDCDCD; +1334 : CDCDCDCD; +1335 : CDCDCDCD; +1336 : CDCDCDCD; +1337 : CDCDCDCD; +1338 : CDCDCDCD; +1339 : CDCDCDCD; +133A : CDCDCDCD; +133B : CDCDCDCD; +133C : CDCDCDCD; +133D : CDCDCDCD; +133E : CDCDCDCD; +133F : CDCDCDCD; +1340 : CDCDCDCD; +1341 : CDCDCDCD; +1342 : CDCDCDCD; +1343 : CDCDCDCD; +1344 : CDCDCDCD; +1345 : CDCDCDCD; +1346 : CDCDCDCD; +1347 : CDCDCDCD; +1348 : CDCDCDCD; +1349 : CDCDCDCD; +134A : CDCDCDCD; +134B : CDCDCDCD; +134C : CDCDCDCD; +134D : CDCDCDCD; +134E : CDCDCDCD; +134F : CDCDCDCD; +1350 : CDCDCDCD; +1351 : CDCDCDCD; +1352 : CDCDCDCD; +1353 : CDCDCDCD; +1354 : CDCDCDCD; +1355 : CDCDCDCD; +1356 : CDCDCDCD; +1357 : CDCDCDCD; +1358 : CDCDCDCD; +1359 : CDCDCDCD; +135A : CDCDCDCD; +135B : CDCDCDCD; +135C : CDCDCDCD; +135D : CDCDCDCD; +135E : CDCDCDCD; +135F : CDCDCDCD; +1360 : CDCDCDCD; +1361 : CDCDCDCD; +1362 : CDCDCDCD; +1363 : CDCDCDCD; +1364 : CDCDCDCD; +1365 : CDCDCDCD; +1366 : CDCDCDCD; +1367 : CDCDCDCD; +1368 : CDCDCDCD; +1369 : CDCDCDCD; +136A : CDCDCDCD; +136B : CDCDCDCD; +136C : CDCDCDCD; +136D : CDCDCDCD; +136E : CDCDCDCD; +136F : CDCDCDCD; +1370 : CDCDCDCD; +1371 : CDCDCDCD; +1372 : CDCDCDCD; +1373 : CDCDCDCD; +1374 : CDCDCDCD; +1375 : CDCDCDCD; +1376 : CDCDCDCD; +1377 : CDCDCDCD; +1378 : CDCDCDCD; +1379 : CDCDCDCD; +137A : CDCDCDCD; +137B : CDCDCDCD; +137C : CDCDCDCD; +137D : CDCDCDCD; +137E : CDCDCDCD; +137F : CDCDCDCD; +1380 : CDCDCDCD; +1381 : CDCDCDCD; +1382 : CDCDCDCD; +1383 : CDCDCDCD; +1384 : CDCDCDCD; +1385 : CDCDCDCD; +1386 : CDCDCDCD; +1387 : CDCDCDCD; +1388 : CDCDCDCD; +1389 : CDCDCDCD; +138A : CDCDCDCD; +138B : CDCDCDCD; +138C : CDCDCDCD; +138D : CDCDCDCD; +138E : CDCDCDCD; +138F : CDCDCDCD; +1390 : CDCDCDCD; +1391 : CDCDCDCD; +1392 : CDCDCDCD; +1393 : CDCDCDCD; +1394 : CDCDCDCD; +1395 : CDCDCDCD; +1396 : CDCDCDCD; +1397 : CDCDCDCD; +1398 : CDCDCDCD; +1399 : CDCDCDCD; +139A : CDCDCDCD; +139B : CDCDCDCD; +139C : CDCDCDCD; +139D : CDCDCDCD; +139E : CDCDCDCD; +139F : CDCDCDCD; +13A0 : CDCDCDCD; +13A1 : CDCDCDCD; +13A2 : CDCDCDCD; +13A3 : CDCDCDCD; +13A4 : CDCDCDCD; +13A5 : CDCDCDCD; +13A6 : CDCDCDCD; +13A7 : CDCDCDCD; +13A8 : CDCDCDCD; +13A9 : CDCDCDCD; +13AA : CDCDCDCD; +13AB : CDCDCDCD; +13AC : CDCDCDCD; +13AD : CDCDCDCD; +13AE : CDCDCDCD; +13AF : CDCDCDCD; +13B0 : CDCDCDCD; +13B1 : CDCDCDCD; +13B2 : CDCDCDCD; +13B3 : CDCDCDCD; +13B4 : CDCDCDCD; +13B5 : CDCDCDCD; +13B6 : CDCDCDCD; +13B7 : CDCDCDCD; +13B8 : CDCDCDCD; +13B9 : CDCDCDCD; +13BA : CDCDCDCD; +13BB : CDCDCDCD; +13BC : CDCDCDCD; +13BD : CDCDCDCD; +13BE : CDCDCDCD; +13BF : CDCDCDCD; +13C0 : CDCDCDCD; +13C1 : CDCDCDCD; +13C2 : CDCDCDCD; +13C3 : CDCDCDCD; +13C4 : CDCDCDCD; +13C5 : CDCDCDCD; +13C6 : CDCDCDCD; +13C7 : CDCDCDCD; +13C8 : CDCDCDCD; +13C9 : CDCDCDCD; +13CA : CDCDCDCD; +13CB : CDCDCDCD; +13CC : CDCDCDCD; +13CD : CDCDCDCD; +13CE : CDCDCDCD; +13CF : CDCDCDCD; +13D0 : CDCDCDCD; +13D1 : CDCDCDCD; +13D2 : CDCDCDCD; +13D3 : CDCDCDCD; +13D4 : CDCDCDCD; +13D5 : CDCDCDCD; +13D6 : CDCDCDCD; +13D7 : CDCDCDCD; +13D8 : CDCDCDCD; +13D9 : CDCDCDCD; +13DA : CDCDCDCD; +13DB : CDCDCDCD; +13DC : CDCDCDCD; +13DD : CDCDCDCD; +13DE : CDCDCDCD; +13DF : CDCDCDCD; +13E0 : CDCDCDCD; +13E1 : CDCDCDCD; +13E2 : CDCDCDCD; +13E3 : CDCDCDCD; +13E4 : CDCDCDCD; +13E5 : CDCDCDCD; +13E6 : CDCDCDCD; +13E7 : CDCDCDCD; +13E8 : CDCDCDCD; +13E9 : CDCDCDCD; +13EA : CDCDCDCD; +13EB : CDCDCDCD; +13EC : CDCDCDCD; +13ED : CDCDCDCD; +13EE : CDCDCDCD; +13EF : CDCDCDCD; +13F0 : CDCDCDCD; +13F1 : CDCDCDCD; +13F2 : CDCDCDCD; +13F3 : CDCDCDCD; +13F4 : CDCDCDCD; +13F5 : CDCDCDCD; +13F6 : CDCDCDCD; +13F7 : CDCDCDCD; +13F8 : CDCDCDCD; +13F9 : CDCDCDCD; +13FA : CDCDCDCD; +13FB : CDCDCDCD; +13FC : CDCDCDCD; +13FD : CDCDCDCD; +13FE : CDCDCDCD; +13FF : CDCDCDCD; +1400 : CDCDCDCD; +1401 : CDCDCDCD; +1402 : CDCDCDCD; +1403 : CDCDCDCD; +1404 : CDCDCDCD; +1405 : CDCDCDCD; +1406 : CDCDCDCD; +1407 : CDCDCDCD; +1408 : CDCDCDCD; +1409 : CDCDCDCD; +140A : CDCDCDCD; +140B : CDCDCDCD; +140C : CDCDCDCD; +140D : CDCDCDCD; +140E : CDCDCDCD; +140F : CDCDCDCD; +1410 : CDCDCDCD; +1411 : CDCDCDCD; +1412 : CDCDCDCD; +1413 : CDCDCDCD; +1414 : CDCDCDCD; +1415 : CDCDCDCD; +1416 : CDCDCDCD; +1417 : CDCDCDCD; +1418 : CDCDCDCD; +1419 : CDCDCDCD; +141A : CDCDCDCD; +141B : CDCDCDCD; +141C : CDCDCDCD; +141D : CDCDCDCD; +141E : CDCDCDCD; +141F : CDCDCDCD; +1420 : CDCDCDCD; +1421 : CDCDCDCD; +1422 : CDCDCDCD; +1423 : CDCDCDCD; +1424 : CDCDCDCD; +1425 : CDCDCDCD; +1426 : CDCDCDCD; +1427 : CDCDCDCD; +1428 : CDCDCDCD; +1429 : CDCDCDCD; +142A : CDCDCDCD; +142B : CDCDCDCD; +142C : CDCDCDCD; +142D : CDCDCDCD; +142E : CDCDCDCD; +142F : CDCDCDCD; +1430 : CDCDCDCD; +1431 : CDCDCDCD; +1432 : CDCDCDCD; +1433 : CDCDCDCD; +1434 : CDCDCDCD; +1435 : CDCDCDCD; +1436 : CDCDCDCD; +1437 : CDCDCDCD; +1438 : CDCDCDCD; +1439 : CDCDCDCD; +143A : CDCDCDCD; +143B : CDCDCDCD; +143C : CDCDCDCD; +143D : CDCDCDCD; +143E : CDCDCDCD; +143F : CDCDCDCD; +1440 : CDCDCDCD; +1441 : CDCDCDCD; +1442 : CDCDCDCD; +1443 : CDCDCDCD; +1444 : CDCDCDCD; +1445 : CDCDCDCD; +1446 : CDCDCDCD; +1447 : CDCDCDCD; +1448 : CDCDCDCD; +1449 : CDCDCDCD; +144A : CDCDCDCD; +144B : CDCDCDCD; +144C : CDCDCDCD; +144D : CDCDCDCD; +144E : CDCDCDCD; +144F : CDCDCDCD; +1450 : CDCDCDCD; +1451 : CDCDCDCD; +1452 : CDCDCDCD; +1453 : CDCDCDCD; +1454 : CDCDCDCD; +1455 : CDCDCDCD; +1456 : CDCDCDCD; +1457 : CDCDCDCD; +1458 : CDCDCDCD; +1459 : CDCDCDCD; +145A : CDCDCDCD; +145B : CDCDCDCD; +145C : CDCDCDCD; +145D : CDCDCDCD; +145E : CDCDCDCD; +145F : CDCDCDCD; +1460 : CDCDCDCD; +1461 : CDCDCDCD; +1462 : CDCDCDCD; +1463 : CDCDCDCD; +1464 : CDCDCDCD; +1465 : CDCDCDCD; +1466 : CDCDCDCD; +1467 : CDCDCDCD; +1468 : CDCDCDCD; +1469 : CDCDCDCD; +146A : CDCDCDCD; +146B : CDCDCDCD; +146C : CDCDCDCD; +146D : CDCDCDCD; +146E : CDCDCDCD; +146F : CDCDCDCD; +1470 : CDCDCDCD; +1471 : CDCDCDCD; +1472 : CDCDCDCD; +1473 : CDCDCDCD; +1474 : CDCDCDCD; +1475 : CDCDCDCD; +1476 : CDCDCDCD; +1477 : CDCDCDCD; +1478 : CDCDCDCD; +1479 : CDCDCDCD; +147A : CDCDCDCD; +147B : CDCDCDCD; +147C : CDCDCDCD; +147D : CDCDCDCD; +147E : CDCDCDCD; +147F : CDCDCDCD; +1480 : CDCDCDCD; +1481 : CDCDCDCD; +1482 : CDCDCDCD; +1483 : CDCDCDCD; +1484 : CDCDCDCD; +1485 : CDCDCDCD; +1486 : CDCDCDCD; +1487 : CDCDCDCD; +1488 : CDCDCDCD; +1489 : CDCDCDCD; +148A : CDCDCDCD; +148B : CDCDCDCD; +148C : CDCDCDCD; +148D : CDCDCDCD; +148E : CDCDCDCD; +148F : CDCDCDCD; +1490 : CDCDCDCD; +1491 : CDCDCDCD; +1492 : CDCDCDCD; +1493 : CDCDCDCD; +1494 : CDCDCDCD; +1495 : CDCDCDCD; +1496 : CDCDCDCD; +1497 : CDCDCDCD; +1498 : CDCDCDCD; +1499 : CDCDCDCD; +149A : CDCDCDCD; +149B : CDCDCDCD; +149C : CDCDCDCD; +149D : CDCDCDCD; +149E : CDCDCDCD; +149F : CDCDCDCD; +14A0 : CDCDCDCD; +14A1 : CDCDCDCD; +14A2 : CDCDCDCD; +14A3 : CDCDCDCD; +14A4 : CDCDCDCD; +14A5 : CDCDCDCD; +14A6 : CDCDCDCD; +14A7 : CDCDCDCD; +14A8 : CDCDCDCD; +14A9 : CDCDCDCD; +14AA : CDCDCDCD; +14AB : CDCDCDCD; +14AC : CDCDCDCD; +14AD : CDCDCDCD; +14AE : CDCDCDCD; +14AF : CDCDCDCD; +14B0 : CDCDCDCD; +14B1 : CDCDCDCD; +14B2 : CDCDCDCD; +14B3 : CDCDCDCD; +14B4 : CDCDCDCD; +14B5 : CDCDCDCD; +14B6 : CDCDCDCD; +14B7 : CDCDCDCD; +14B8 : CDCDCDCD; +14B9 : CDCDCDCD; +14BA : CDCDCDCD; +14BB : CDCDCDCD; +14BC : CDCDCDCD; +14BD : CDCDCDCD; +14BE : CDCDCDCD; +14BF : CDCDCDCD; +14C0 : CDCDCDCD; +14C1 : CDCDCDCD; +14C2 : CDCDCDCD; +14C3 : CDCDCDCD; +14C4 : CDCDCDCD; +14C5 : CDCDCDCD; +14C6 : CDCDCDCD; +14C7 : CDCDCDCD; +14C8 : CDCDCDCD; +14C9 : CDCDCDCD; +14CA : CDCDCDCD; +14CB : CDCDCDCD; +14CC : CDCDCDCD; +14CD : CDCDCDCD; +14CE : CDCDCDCD; +14CF : CDCDCDCD; +14D0 : CDCDCDCD; +14D1 : CDCDCDCD; +14D2 : CDCDCDCD; +14D3 : CDCDCDCD; +14D4 : CDCDCDCD; +14D5 : CDCDCDCD; +14D6 : CDCDCDCD; +14D7 : CDCDCDCD; +14D8 : CDCDCDCD; +14D9 : CDCDCDCD; +14DA : CDCDCDCD; +14DB : CDCDCDCD; +14DC : CDCDCDCD; +14DD : CDCDCDCD; +14DE : CDCDCDCD; +14DF : CDCDCDCD; +14E0 : CDCDCDCD; +14E1 : CDCDCDCD; +14E2 : CDCDCDCD; +14E3 : CDCDCDCD; +14E4 : CDCDCDCD; +14E5 : CDCDCDCD; +14E6 : CDCDCDCD; +14E7 : CDCDCDCD; +14E8 : CDCDCDCD; +14E9 : CDCDCDCD; +14EA : CDCDCDCD; +14EB : CDCDCDCD; +14EC : CDCDCDCD; +14ED : CDCDCDCD; +14EE : CDCDCDCD; +14EF : CDCDCDCD; +14F0 : CDCDCDCD; +14F1 : CDCDCDCD; +14F2 : CDCDCDCD; +14F3 : CDCDCDCD; +14F4 : CDCDCDCD; +14F5 : CDCDCDCD; +14F6 : CDCDCDCD; +14F7 : CDCDCDCD; +14F8 : CDCDCDCD; +14F9 : CDCDCDCD; +14FA : CDCDCDCD; +14FB : CDCDCDCD; +14FC : CDCDCDCD; +14FD : CDCDCDCD; +14FE : CDCDCDCD; +14FF : CDCDCDCD; +1500 : CDCDCDCD; +1501 : CDCDCDCD; +1502 : CDCDCDCD; +1503 : CDCDCDCD; +1504 : CDCDCDCD; +1505 : CDCDCDCD; +1506 : CDCDCDCD; +1507 : CDCDCDCD; +1508 : CDCDCDCD; +1509 : CDCDCDCD; +150A : CDCDCDCD; +150B : CDCDCDCD; +150C : CDCDCDCD; +150D : CDCDCDCD; +150E : CDCDCDCD; +150F : CDCDCDCD; +1510 : CDCDCDCD; +1511 : CDCDCDCD; +1512 : CDCDCDCD; +1513 : CDCDCDCD; +1514 : CDCDCDCD; +1515 : CDCDCDCD; +1516 : CDCDCDCD; +1517 : CDCDCDCD; +1518 : CDCDCDCD; +1519 : CDCDCDCD; +151A : CDCDCDCD; +151B : CDCDCDCD; +151C : CDCDCDCD; +151D : CDCDCDCD; +151E : CDCDCDCD; +151F : CDCDCDCD; +1520 : CDCDCDCD; +1521 : CDCDCDCD; +1522 : CDCDCDCD; +1523 : CDCDCDCD; +1524 : CDCDCDCD; +1525 : CDCDCDCD; +1526 : CDCDCDCD; +1527 : CDCDCDCD; +1528 : CDCDCDCD; +1529 : CDCDCDCD; +152A : CDCDCDCD; +152B : CDCDCDCD; +152C : CDCDCDCD; +152D : CDCDCDCD; +152E : CDCDCDCD; +152F : CDCDCDCD; +1530 : CDCDCDCD; +1531 : CDCDCDCD; +1532 : CDCDCDCD; +1533 : CDCDCDCD; +1534 : CDCDCDCD; +1535 : CDCDCDCD; +1536 : CDCDCDCD; +1537 : CDCDCDCD; +1538 : CDCDCDCD; +1539 : CDCDCDCD; +153A : CDCDCDCD; +153B : CDCDCDCD; +153C : CDCDCDCD; +153D : CDCDCDCD; +153E : CDCDCDCD; +153F : CDCDCDCD; +1540 : CDCDCDCD; +1541 : CDCDCDCD; +1542 : CDCDCDCD; +1543 : CDCDCDCD; +1544 : CDCDCDCD; +1545 : CDCDCDCD; +1546 : CDCDCDCD; +1547 : CDCDCDCD; +1548 : CDCDCDCD; +1549 : CDCDCDCD; +154A : CDCDCDCD; +154B : CDCDCDCD; +154C : CDCDCDCD; +154D : CDCDCDCD; +154E : CDCDCDCD; +154F : CDCDCDCD; +1550 : CDCDCDCD; +1551 : CDCDCDCD; +1552 : CDCDCDCD; +1553 : CDCDCDCD; +1554 : CDCDCDCD; +1555 : CDCDCDCD; +1556 : CDCDCDCD; +1557 : CDCDCDCD; +1558 : CDCDCDCD; +1559 : CDCDCDCD; +155A : CDCDCDCD; +155B : CDCDCDCD; +155C : CDCDCDCD; +155D : CDCDCDCD; +155E : CDCDCDCD; +155F : CDCDCDCD; +1560 : CDCDCDCD; +1561 : CDCDCDCD; +1562 : CDCDCDCD; +1563 : CDCDCDCD; +1564 : CDCDCDCD; +1565 : CDCDCDCD; +1566 : CDCDCDCD; +1567 : CDCDCDCD; +1568 : CDCDCDCD; +1569 : CDCDCDCD; +156A : CDCDCDCD; +156B : CDCDCDCD; +156C : CDCDCDCD; +156D : CDCDCDCD; +156E : CDCDCDCD; +156F : CDCDCDCD; +1570 : CDCDCDCD; +1571 : CDCDCDCD; +1572 : CDCDCDCD; +1573 : CDCDCDCD; +1574 : CDCDCDCD; +1575 : CDCDCDCD; +1576 : CDCDCDCD; +1577 : CDCDCDCD; +1578 : CDCDCDCD; +1579 : CDCDCDCD; +157A : CDCDCDCD; +157B : CDCDCDCD; +157C : CDCDCDCD; +157D : CDCDCDCD; +157E : CDCDCDCD; +157F : CDCDCDCD; +1580 : CDCDCDCD; +1581 : CDCDCDCD; +1582 : CDCDCDCD; +1583 : CDCDCDCD; +1584 : CDCDCDCD; +1585 : CDCDCDCD; +1586 : CDCDCDCD; +1587 : CDCDCDCD; +1588 : CDCDCDCD; +1589 : CDCDCDCD; +158A : CDCDCDCD; +158B : CDCDCDCD; +158C : CDCDCDCD; +158D : CDCDCDCD; +158E : CDCDCDCD; +158F : CDCDCDCD; +1590 : CDCDCDCD; +1591 : CDCDCDCD; +1592 : CDCDCDCD; +1593 : CDCDCDCD; +1594 : CDCDCDCD; +1595 : CDCDCDCD; +1596 : CDCDCDCD; +1597 : CDCDCDCD; +1598 : CDCDCDCD; +1599 : CDCDCDCD; +159A : CDCDCDCD; +159B : CDCDCDCD; +159C : CDCDCDCD; +159D : CDCDCDCD; +159E : CDCDCDCD; +159F : CDCDCDCD; +15A0 : CDCDCDCD; +15A1 : CDCDCDCD; +15A2 : CDCDCDCD; +15A3 : CDCDCDCD; +15A4 : CDCDCDCD; +15A5 : CDCDCDCD; +15A6 : CDCDCDCD; +15A7 : CDCDCDCD; +15A8 : CDCDCDCD; +15A9 : CDCDCDCD; +15AA : CDCDCDCD; +15AB : CDCDCDCD; +15AC : CDCDCDCD; +15AD : CDCDCDCD; +15AE : CDCDCDCD; +15AF : CDCDCDCD; +15B0 : CDCDCDCD; +15B1 : CDCDCDCD; +15B2 : CDCDCDCD; +15B3 : CDCDCDCD; +15B4 : CDCDCDCD; +15B5 : CDCDCDCD; +15B6 : CDCDCDCD; +15B7 : CDCDCDCD; +15B8 : CDCDCDCD; +15B9 : CDCDCDCD; +15BA : CDCDCDCD; +15BB : CDCDCDCD; +15BC : CDCDCDCD; +15BD : CDCDCDCD; +15BE : CDCDCDCD; +15BF : CDCDCDCD; +15C0 : CDCDCDCD; +15C1 : CDCDCDCD; +15C2 : CDCDCDCD; +15C3 : CDCDCDCD; +15C4 : CDCDCDCD; +15C5 : CDCDCDCD; +15C6 : CDCDCDCD; +15C7 : CDCDCDCD; +15C8 : CDCDCDCD; +15C9 : CDCDCDCD; +15CA : CDCDCDCD; +15CB : CDCDCDCD; +15CC : CDCDCDCD; +15CD : CDCDCDCD; +15CE : CDCDCDCD; +15CF : CDCDCDCD; +15D0 : CDCDCDCD; +15D1 : CDCDCDCD; +15D2 : CDCDCDCD; +15D3 : CDCDCDCD; +15D4 : CDCDCDCD; +15D5 : CDCDCDCD; +15D6 : CDCDCDCD; +15D7 : CDCDCDCD; +15D8 : CDCDCDCD; +15D9 : CDCDCDCD; +15DA : CDCDCDCD; +15DB : CDCDCDCD; +15DC : CDCDCDCD; +15DD : CDCDCDCD; +15DE : CDCDCDCD; +15DF : CDCDCDCD; +15E0 : CDCDCDCD; +15E1 : CDCDCDCD; +15E2 : CDCDCDCD; +15E3 : CDCDCDCD; +15E4 : CDCDCDCD; +15E5 : CDCDCDCD; +15E6 : CDCDCDCD; +15E7 : CDCDCDCD; +15E8 : CDCDCDCD; +15E9 : CDCDCDCD; +15EA : CDCDCDCD; +15EB : CDCDCDCD; +15EC : CDCDCDCD; +15ED : CDCDCDCD; +15EE : CDCDCDCD; +15EF : CDCDCDCD; +15F0 : CDCDCDCD; +15F1 : CDCDCDCD; +15F2 : CDCDCDCD; +15F3 : CDCDCDCD; +15F4 : CDCDCDCD; +15F5 : CDCDCDCD; +15F6 : CDCDCDCD; +15F7 : CDCDCDCD; +15F8 : CDCDCDCD; +15F9 : CDCDCDCD; +15FA : CDCDCDCD; +15FB : CDCDCDCD; +15FC : CDCDCDCD; +15FD : CDCDCDCD; +15FE : CDCDCDCD; +15FF : CDCDCDCD; +1600 : CDCDCDCD; +1601 : CDCDCDCD; +1602 : CDCDCDCD; +1603 : CDCDCDCD; +1604 : CDCDCDCD; +1605 : CDCDCDCD; +1606 : CDCDCDCD; +1607 : CDCDCDCD; +1608 : CDCDCDCD; +1609 : CDCDCDCD; +160A : CDCDCDCD; +160B : CDCDCDCD; +160C : CDCDCDCD; +160D : CDCDCDCD; +160E : CDCDCDCD; +160F : CDCDCDCD; +1610 : CDCDCDCD; +1611 : CDCDCDCD; +1612 : CDCDCDCD; +1613 : CDCDCDCD; +1614 : CDCDCDCD; +1615 : CDCDCDCD; +1616 : CDCDCDCD; +1617 : CDCDCDCD; +1618 : CDCDCDCD; +1619 : CDCDCDCD; +161A : CDCDCDCD; +161B : CDCDCDCD; +161C : CDCDCDCD; +161D : CDCDCDCD; +161E : CDCDCDCD; +161F : CDCDCDCD; +1620 : CDCDCDCD; +1621 : CDCDCDCD; +1622 : CDCDCDCD; +1623 : CDCDCDCD; +1624 : CDCDCDCD; +1625 : CDCDCDCD; +1626 : CDCDCDCD; +1627 : CDCDCDCD; +1628 : CDCDCDCD; +1629 : CDCDCDCD; +162A : CDCDCDCD; +162B : CDCDCDCD; +162C : CDCDCDCD; +162D : CDCDCDCD; +162E : CDCDCDCD; +162F : CDCDCDCD; +1630 : CDCDCDCD; +1631 : CDCDCDCD; +1632 : CDCDCDCD; +1633 : CDCDCDCD; +1634 : CDCDCDCD; +1635 : CDCDCDCD; +1636 : CDCDCDCD; +1637 : CDCDCDCD; +1638 : CDCDCDCD; +1639 : CDCDCDCD; +163A : CDCDCDCD; +163B : CDCDCDCD; +163C : CDCDCDCD; +163D : CDCDCDCD; +163E : CDCDCDCD; +163F : CDCDCDCD; +1640 : CDCDCDCD; +1641 : CDCDCDCD; +1642 : CDCDCDCD; +1643 : CDCDCDCD; +1644 : CDCDCDCD; +1645 : CDCDCDCD; +1646 : CDCDCDCD; +1647 : CDCDCDCD; +1648 : CDCDCDCD; +1649 : CDCDCDCD; +164A : CDCDCDCD; +164B : CDCDCDCD; +164C : CDCDCDCD; +164D : CDCDCDCD; +164E : CDCDCDCD; +164F : CDCDCDCD; +1650 : CDCDCDCD; +1651 : CDCDCDCD; +1652 : CDCDCDCD; +1653 : CDCDCDCD; +1654 : CDCDCDCD; +1655 : CDCDCDCD; +1656 : CDCDCDCD; +1657 : CDCDCDCD; +1658 : CDCDCDCD; +1659 : CDCDCDCD; +165A : CDCDCDCD; +165B : CDCDCDCD; +165C : CDCDCDCD; +165D : CDCDCDCD; +165E : CDCDCDCD; +165F : CDCDCDCD; +1660 : CDCDCDCD; +1661 : CDCDCDCD; +1662 : CDCDCDCD; +1663 : CDCDCDCD; +1664 : CDCDCDCD; +1665 : CDCDCDCD; +1666 : CDCDCDCD; +1667 : CDCDCDCD; +1668 : CDCDCDCD; +1669 : CDCDCDCD; +166A : CDCDCDCD; +166B : CDCDCDCD; +166C : CDCDCDCD; +166D : CDCDCDCD; +166E : CDCDCDCD; +166F : CDCDCDCD; +1670 : CDCDCDCD; +1671 : CDCDCDCD; +1672 : CDCDCDCD; +1673 : CDCDCDCD; +1674 : CDCDCDCD; +1675 : CDCDCDCD; +1676 : CDCDCDCD; +1677 : CDCDCDCD; +1678 : CDCDCDCD; +1679 : CDCDCDCD; +167A : CDCDCDCD; +167B : CDCDCDCD; +167C : CDCDCDCD; +167D : CDCDCDCD; +167E : CDCDCDCD; +167F : CDCDCDCD; +1680 : CDCDCDCD; +1681 : CDCDCDCD; +1682 : CDCDCDCD; +1683 : CDCDCDCD; +1684 : CDCDCDCD; +1685 : CDCDCDCD; +1686 : CDCDCDCD; +1687 : CDCDCDCD; +1688 : CDCDCDCD; +1689 : CDCDCDCD; +168A : CDCDCDCD; +168B : CDCDCDCD; +168C : CDCDCDCD; +168D : CDCDCDCD; +168E : CDCDCDCD; +168F : CDCDCDCD; +1690 : CDCDCDCD; +1691 : CDCDCDCD; +1692 : CDCDCDCD; +1693 : CDCDCDCD; +1694 : CDCDCDCD; +1695 : CDCDCDCD; +1696 : CDCDCDCD; +1697 : CDCDCDCD; +1698 : CDCDCDCD; +1699 : CDCDCDCD; +169A : CDCDCDCD; +169B : CDCDCDCD; +169C : CDCDCDCD; +169D : CDCDCDCD; +169E : CDCDCDCD; +169F : CDCDCDCD; +16A0 : CDCDCDCD; +16A1 : CDCDCDCD; +16A2 : CDCDCDCD; +16A3 : CDCDCDCD; +16A4 : CDCDCDCD; +16A5 : CDCDCDCD; +16A6 : CDCDCDCD; +16A7 : CDCDCDCD; +16A8 : CDCDCDCD; +16A9 : CDCDCDCD; +16AA : CDCDCDCD; +16AB : CDCDCDCD; +16AC : CDCDCDCD; +16AD : CDCDCDCD; +16AE : CDCDCDCD; +16AF : CDCDCDCD; +16B0 : CDCDCDCD; +16B1 : CDCDCDCD; +16B2 : CDCDCDCD; +16B3 : CDCDCDCD; +16B4 : CDCDCDCD; +16B5 : CDCDCDCD; +16B6 : CDCDCDCD; +16B7 : CDCDCDCD; +16B8 : CDCDCDCD; +16B9 : CDCDCDCD; +16BA : CDCDCDCD; +16BB : CDCDCDCD; +16BC : CDCDCDCD; +16BD : CDCDCDCD; +16BE : CDCDCDCD; +16BF : CDCDCDCD; +16C0 : CDCDCDCD; +16C1 : CDCDCDCD; +16C2 : CDCDCDCD; +16C3 : CDCDCDCD; +16C4 : CDCDCDCD; +16C5 : CDCDCDCD; +16C6 : CDCDCDCD; +16C7 : CDCDCDCD; +16C8 : CDCDCDCD; +16C9 : CDCDCDCD; +16CA : CDCDCDCD; +16CB : CDCDCDCD; +16CC : CDCDCDCD; +16CD : CDCDCDCD; +16CE : CDCDCDCD; +16CF : CDCDCDCD; +16D0 : CDCDCDCD; +16D1 : CDCDCDCD; +16D2 : CDCDCDCD; +16D3 : CDCDCDCD; +16D4 : CDCDCDCD; +16D5 : CDCDCDCD; +16D6 : CDCDCDCD; +16D7 : CDCDCDCD; +16D8 : CDCDCDCD; +16D9 : CDCDCDCD; +16DA : CDCDCDCD; +16DB : CDCDCDCD; +16DC : CDCDCDCD; +16DD : CDCDCDCD; +16DE : CDCDCDCD; +16DF : CDCDCDCD; +16E0 : CDCDCDCD; +16E1 : CDCDCDCD; +16E2 : CDCDCDCD; +16E3 : CDCDCDCD; +16E4 : CDCDCDCD; +16E5 : CDCDCDCD; +16E6 : CDCDCDCD; +16E7 : CDCDCDCD; +16E8 : CDCDCDCD; +16E9 : CDCDCDCD; +16EA : CDCDCDCD; +16EB : CDCDCDCD; +16EC : CDCDCDCD; +16ED : CDCDCDCD; +16EE : CDCDCDCD; +16EF : CDCDCDCD; +16F0 : CDCDCDCD; +16F1 : CDCDCDCD; +16F2 : CDCDCDCD; +16F3 : CDCDCDCD; +16F4 : CDCDCDCD; +16F5 : CDCDCDCD; +16F6 : CDCDCDCD; +16F7 : CDCDCDCD; +16F8 : CDCDCDCD; +16F9 : CDCDCDCD; +16FA : CDCDCDCD; +16FB : CDCDCDCD; +16FC : CDCDCDCD; +16FD : CDCDCDCD; +16FE : CDCDCDCD; +16FF : CDCDCDCD; +1700 : CDCDCDCD; +1701 : CDCDCDCD; +1702 : CDCDCDCD; +1703 : CDCDCDCD; +1704 : CDCDCDCD; +1705 : CDCDCDCD; +1706 : CDCDCDCD; +1707 : CDCDCDCD; +1708 : CDCDCDCD; +1709 : CDCDCDCD; +170A : CDCDCDCD; +170B : CDCDCDCD; +170C : CDCDCDCD; +170D : CDCDCDCD; +170E : CDCDCDCD; +170F : CDCDCDCD; +1710 : CDCDCDCD; +1711 : CDCDCDCD; +1712 : CDCDCDCD; +1713 : CDCDCDCD; +1714 : CDCDCDCD; +1715 : CDCDCDCD; +1716 : CDCDCDCD; +1717 : CDCDCDCD; +1718 : CDCDCDCD; +1719 : CDCDCDCD; +171A : CDCDCDCD; +171B : CDCDCDCD; +171C : CDCDCDCD; +171D : CDCDCDCD; +171E : CDCDCDCD; +171F : CDCDCDCD; +1720 : CDCDCDCD; +1721 : CDCDCDCD; +1722 : CDCDCDCD; +1723 : CDCDCDCD; +1724 : CDCDCDCD; +1725 : CDCDCDCD; +1726 : CDCDCDCD; +1727 : CDCDCDCD; +1728 : CDCDCDCD; +1729 : CDCDCDCD; +172A : CDCDCDCD; +172B : CDCDCDCD; +172C : CDCDCDCD; +172D : CDCDCDCD; +172E : CDCDCDCD; +172F : CDCDCDCD; +1730 : CDCDCDCD; +1731 : CDCDCDCD; +1732 : CDCDCDCD; +1733 : CDCDCDCD; +1734 : CDCDCDCD; +1735 : CDCDCDCD; +1736 : CDCDCDCD; +1737 : CDCDCDCD; +1738 : CDCDCDCD; +1739 : CDCDCDCD; +173A : CDCDCDCD; +173B : CDCDCDCD; +173C : CDCDCDCD; +173D : CDCDCDCD; +173E : CDCDCDCD; +173F : CDCDCDCD; +1740 : CDCDCDCD; +1741 : CDCDCDCD; +1742 : CDCDCDCD; +1743 : CDCDCDCD; +1744 : CDCDCDCD; +1745 : CDCDCDCD; +1746 : CDCDCDCD; +1747 : CDCDCDCD; +1748 : CDCDCDCD; +1749 : CDCDCDCD; +174A : CDCDCDCD; +174B : CDCDCDCD; +174C : CDCDCDCD; +174D : CDCDCDCD; +174E : CDCDCDCD; +174F : CDCDCDCD; +1750 : CDCDCDCD; +1751 : CDCDCDCD; +1752 : CDCDCDCD; +1753 : CDCDCDCD; +1754 : CDCDCDCD; +1755 : CDCDCDCD; +1756 : CDCDCDCD; +1757 : CDCDCDCD; +1758 : CDCDCDCD; +1759 : CDCDCDCD; +175A : CDCDCDCD; +175B : CDCDCDCD; +175C : CDCDCDCD; +175D : CDCDCDCD; +175E : CDCDCDCD; +175F : CDCDCDCD; +1760 : CDCDCDCD; +1761 : CDCDCDCD; +1762 : CDCDCDCD; +1763 : CDCDCDCD; +1764 : CDCDCDCD; +1765 : CDCDCDCD; +1766 : CDCDCDCD; +1767 : CDCDCDCD; +1768 : CDCDCDCD; +1769 : CDCDCDCD; +176A : CDCDCDCD; +176B : CDCDCDCD; +176C : CDCDCDCD; +176D : CDCDCDCD; +176E : CDCDCDCD; +176F : CDCDCDCD; +1770 : CDCDCDCD; +1771 : CDCDCDCD; +1772 : CDCDCDCD; +1773 : CDCDCDCD; +1774 : CDCDCDCD; +1775 : CDCDCDCD; +1776 : CDCDCDCD; +1777 : CDCDCDCD; +1778 : CDCDCDCD; +1779 : CDCDCDCD; +177A : CDCDCDCD; +177B : CDCDCDCD; +177C : CDCDCDCD; +177D : CDCDCDCD; +177E : CDCDCDCD; +177F : CDCDCDCD; +1780 : CDCDCDCD; +1781 : CDCDCDCD; +1782 : CDCDCDCD; +1783 : CDCDCDCD; +1784 : CDCDCDCD; +1785 : CDCDCDCD; +1786 : CDCDCDCD; +1787 : CDCDCDCD; +1788 : CDCDCDCD; +1789 : CDCDCDCD; +178A : CDCDCDCD; +178B : CDCDCDCD; +178C : CDCDCDCD; +178D : CDCDCDCD; +178E : CDCDCDCD; +178F : CDCDCDCD; +1790 : CDCDCDCD; +1791 : CDCDCDCD; +1792 : CDCDCDCD; +1793 : CDCDCDCD; +1794 : CDCDCDCD; +1795 : CDCDCDCD; +1796 : CDCDCDCD; +1797 : CDCDCDCD; +1798 : CDCDCDCD; +1799 : CDCDCDCD; +179A : CDCDCDCD; +179B : CDCDCDCD; +179C : CDCDCDCD; +179D : CDCDCDCD; +179E : CDCDCDCD; +179F : CDCDCDCD; +17A0 : CDCDCDCD; +17A1 : CDCDCDCD; +17A2 : CDCDCDCD; +17A3 : CDCDCDCD; +17A4 : CDCDCDCD; +17A5 : CDCDCDCD; +17A6 : CDCDCDCD; +17A7 : CDCDCDCD; +17A8 : CDCDCDCD; +17A9 : CDCDCDCD; +17AA : CDCDCDCD; +17AB : CDCDCDCD; +17AC : CDCDCDCD; +17AD : CDCDCDCD; +17AE : CDCDCDCD; +17AF : CDCDCDCD; +17B0 : CDCDCDCD; +17B1 : CDCDCDCD; +17B2 : CDCDCDCD; +17B3 : CDCDCDCD; +17B4 : CDCDCDCD; +17B5 : CDCDCDCD; +17B6 : CDCDCDCD; +17B7 : CDCDCDCD; +17B8 : CDCDCDCD; +17B9 : CDCDCDCD; +17BA : CDCDCDCD; +17BB : CDCDCDCD; +17BC : CDCDCDCD; +17BD : CDCDCDCD; +17BE : CDCDCDCD; +17BF : CDCDCDCD; +17C0 : CDCDCDCD; +17C1 : CDCDCDCD; +17C2 : CDCDCDCD; +17C3 : CDCDCDCD; +17C4 : CDCDCDCD; +17C5 : CDCDCDCD; +17C6 : CDCDCDCD; +17C7 : CDCDCDCD; +17C8 : CDCDCDCD; +17C9 : CDCDCDCD; +17CA : CDCDCDCD; +17CB : CDCDCDCD; +17CC : CDCDCDCD; +17CD : CDCDCDCD; +17CE : CDCDCDCD; +17CF : CDCDCDCD; +17D0 : CDCDCDCD; +17D1 : CDCDCDCD; +17D2 : CDCDCDCD; +17D3 : CDCDCDCD; +17D4 : CDCDCDCD; +17D5 : CDCDCDCD; +17D6 : CDCDCDCD; +17D7 : CDCDCDCD; +17D8 : CDCDCDCD; +17D9 : CDCDCDCD; +17DA : CDCDCDCD; +17DB : CDCDCDCD; +17DC : CDCDCDCD; +17DD : CDCDCDCD; +17DE : CDCDCDCD; +17DF : CDCDCDCD; +17E0 : CDCDCDCD; +17E1 : CDCDCDCD; +17E2 : CDCDCDCD; +17E3 : CDCDCDCD; +17E4 : CDCDCDCD; +17E5 : CDCDCDCD; +17E6 : CDCDCDCD; +17E7 : CDCDCDCD; +17E8 : CDCDCDCD; +17E9 : CDCDCDCD; +17EA : CDCDCDCD; +17EB : CDCDCDCD; +17EC : CDCDCDCD; +17ED : CDCDCDCD; +17EE : CDCDCDCD; +17EF : CDCDCDCD; +17F0 : CDCDCDCD; +17F1 : CDCDCDCD; +17F2 : CDCDCDCD; +17F3 : CDCDCDCD; +17F4 : CDCDCDCD; +17F5 : CDCDCDCD; +17F6 : CDCDCDCD; +17F7 : CDCDCDCD; +17F8 : CDCDCDCD; +17F9 : CDCDCDCD; +17FA : CDCDCDCD; +17FB : CDCDCDCD; +17FC : CDCDCDCD; +17FD : CDCDCDCD; +17FE : CDCDCDCD; +17FF : CDCDCDCD; +1800 : CDCDCDCD; +1801 : CDCDCDCD; +1802 : CDCDCDCD; +1803 : CDCDCDCD; +1804 : CDCDCDCD; +1805 : CDCDCDCD; +1806 : CDCDCDCD; +1807 : CDCDCDCD; +1808 : CDCDCDCD; +1809 : CDCDCDCD; +180A : CDCDCDCD; +180B : CDCDCDCD; +180C : CDCDCDCD; +180D : CDCDCDCD; +180E : CDCDCDCD; +180F : CDCDCDCD; +1810 : CDCDCDCD; +1811 : CDCDCDCD; +1812 : CDCDCDCD; +1813 : CDCDCDCD; +1814 : CDCDCDCD; +1815 : CDCDCDCD; +1816 : CDCDCDCD; +1817 : CDCDCDCD; +1818 : CDCDCDCD; +1819 : CDCDCDCD; +181A : CDCDCDCD; +181B : CDCDCDCD; +181C : CDCDCDCD; +181D : CDCDCDCD; +181E : CDCDCDCD; +181F : CDCDCDCD; +1820 : CDCDCDCD; +1821 : CDCDCDCD; +1822 : CDCDCDCD; +1823 : CDCDCDCD; +1824 : CDCDCDCD; +1825 : CDCDCDCD; +1826 : CDCDCDCD; +1827 : CDCDCDCD; +1828 : CDCDCDCD; +1829 : CDCDCDCD; +182A : CDCDCDCD; +182B : CDCDCDCD; +182C : CDCDCDCD; +182D : CDCDCDCD; +182E : CDCDCDCD; +182F : CDCDCDCD; +1830 : CDCDCDCD; +1831 : CDCDCDCD; +1832 : CDCDCDCD; +1833 : CDCDCDCD; +1834 : CDCDCDCD; +1835 : CDCDCDCD; +1836 : CDCDCDCD; +1837 : CDCDCDCD; +1838 : CDCDCDCD; +1839 : CDCDCDCD; +183A : CDCDCDCD; +183B : CDCDCDCD; +183C : CDCDCDCD; +183D : CDCDCDCD; +183E : CDCDCDCD; +183F : CDCDCDCD; +1840 : CDCDCDCD; +1841 : CDCDCDCD; +1842 : CDCDCDCD; +1843 : CDCDCDCD; +1844 : CDCDCDCD; +1845 : CDCDCDCD; +1846 : CDCDCDCD; +1847 : CDCDCDCD; +1848 : CDCDCDCD; +1849 : CDCDCDCD; +184A : CDCDCDCD; +184B : CDCDCDCD; +184C : CDCDCDCD; +184D : CDCDCDCD; +184E : CDCDCDCD; +184F : CDCDCDCD; +1850 : CDCDCDCD; +1851 : CDCDCDCD; +1852 : CDCDCDCD; +1853 : CDCDCDCD; +1854 : CDCDCDCD; +1855 : CDCDCDCD; +1856 : CDCDCDCD; +1857 : CDCDCDCD; +1858 : CDCDCDCD; +1859 : CDCDCDCD; +185A : CDCDCDCD; +185B : CDCDCDCD; +185C : CDCDCDCD; +185D : CDCDCDCD; +185E : CDCDCDCD; +185F : CDCDCDCD; +1860 : CDCDCDCD; +1861 : CDCDCDCD; +1862 : CDCDCDCD; +1863 : CDCDCDCD; +1864 : CDCDCDCD; +1865 : CDCDCDCD; +1866 : CDCDCDCD; +1867 : CDCDCDCD; +1868 : CDCDCDCD; +1869 : CDCDCDCD; +186A : CDCDCDCD; +186B : CDCDCDCD; +186C : CDCDCDCD; +186D : CDCDCDCD; +186E : CDCDCDCD; +186F : CDCDCDCD; +1870 : CDCDCDCD; +1871 : CDCDCDCD; +1872 : CDCDCDCD; +1873 : CDCDCDCD; +1874 : CDCDCDCD; +1875 : CDCDCDCD; +1876 : CDCDCDCD; +1877 : CDCDCDCD; +1878 : CDCDCDCD; +1879 : CDCDCDCD; +187A : CDCDCDCD; +187B : CDCDCDCD; +187C : CDCDCDCD; +187D : CDCDCDCD; +187E : CDCDCDCD; +187F : CDCDCDCD; +1880 : CDCDCDCD; +1881 : CDCDCDCD; +1882 : CDCDCDCD; +1883 : CDCDCDCD; +1884 : CDCDCDCD; +1885 : CDCDCDCD; +1886 : CDCDCDCD; +1887 : CDCDCDCD; +1888 : CDCDCDCD; +1889 : CDCDCDCD; +188A : CDCDCDCD; +188B : CDCDCDCD; +188C : CDCDCDCD; +188D : CDCDCDCD; +188E : CDCDCDCD; +188F : CDCDCDCD; +1890 : CDCDCDCD; +1891 : CDCDCDCD; +1892 : CDCDCDCD; +1893 : CDCDCDCD; +1894 : CDCDCDCD; +1895 : CDCDCDCD; +1896 : CDCDCDCD; +1897 : CDCDCDCD; +1898 : CDCDCDCD; +1899 : CDCDCDCD; +189A : CDCDCDCD; +189B : CDCDCDCD; +189C : CDCDCDCD; +189D : CDCDCDCD; +189E : CDCDCDCD; +189F : CDCDCDCD; +18A0 : CDCDCDCD; +18A1 : CDCDCDCD; +18A2 : CDCDCDCD; +18A3 : CDCDCDCD; +18A4 : CDCDCDCD; +18A5 : CDCDCDCD; +18A6 : CDCDCDCD; +18A7 : CDCDCDCD; +18A8 : CDCDCDCD; +18A9 : CDCDCDCD; +18AA : CDCDCDCD; +18AB : CDCDCDCD; +18AC : CDCDCDCD; +18AD : CDCDCDCD; +18AE : CDCDCDCD; +18AF : CDCDCDCD; +18B0 : CDCDCDCD; +18B1 : CDCDCDCD; +18B2 : CDCDCDCD; +18B3 : CDCDCDCD; +18B4 : CDCDCDCD; +18B5 : CDCDCDCD; +18B6 : CDCDCDCD; +18B7 : CDCDCDCD; +18B8 : CDCDCDCD; +18B9 : CDCDCDCD; +18BA : CDCDCDCD; +18BB : CDCDCDCD; +18BC : CDCDCDCD; +18BD : CDCDCDCD; +18BE : CDCDCDCD; +18BF : CDCDCDCD; +18C0 : CDCDCDCD; +18C1 : CDCDCDCD; +18C2 : CDCDCDCD; +18C3 : CDCDCDCD; +18C4 : CDCDCDCD; +18C5 : CDCDCDCD; +18C6 : CDCDCDCD; +18C7 : CDCDCDCD; +18C8 : CDCDCDCD; +18C9 : CDCDCDCD; +18CA : CDCDCDCD; +18CB : CDCDCDCD; +18CC : CDCDCDCD; +18CD : CDCDCDCD; +18CE : CDCDCDCD; +18CF : CDCDCDCD; +18D0 : CDCDCDCD; +18D1 : CDCDCDCD; +18D2 : CDCDCDCD; +18D3 : CDCDCDCD; +18D4 : CDCDCDCD; +18D5 : CDCDCDCD; +18D6 : CDCDCDCD; +18D7 : CDCDCDCD; +18D8 : CDCDCDCD; +18D9 : CDCDCDCD; +18DA : CDCDCDCD; +18DB : CDCDCDCD; +18DC : CDCDCDCD; +18DD : CDCDCDCD; +18DE : CDCDCDCD; +18DF : CDCDCDCD; +18E0 : CDCDCDCD; +18E1 : CDCDCDCD; +18E2 : CDCDCDCD; +18E3 : CDCDCDCD; +18E4 : CDCDCDCD; +18E5 : CDCDCDCD; +18E6 : CDCDCDCD; +18E7 : CDCDCDCD; +18E8 : CDCDCDCD; +18E9 : CDCDCDCD; +18EA : CDCDCDCD; +18EB : CDCDCDCD; +18EC : CDCDCDCD; +18ED : CDCDCDCD; +18EE : CDCDCDCD; +18EF : CDCDCDCD; +18F0 : CDCDCDCD; +18F1 : CDCDCDCD; +18F2 : CDCDCDCD; +18F3 : CDCDCDCD; +18F4 : CDCDCDCD; +18F5 : CDCDCDCD; +18F6 : CDCDCDCD; +18F7 : CDCDCDCD; +18F8 : CDCDCDCD; +18F9 : CDCDCDCD; +18FA : CDCDCDCD; +18FB : CDCDCDCD; +18FC : CDCDCDCD; +18FD : CDCDCDCD; +18FE : CDCDCDCD; +18FF : CDCDCDCD; +1900 : CDCDCDCD; +1901 : CDCDCDCD; +1902 : CDCDCDCD; +1903 : CDCDCDCD; +1904 : CDCDCDCD; +1905 : CDCDCDCD; +1906 : CDCDCDCD; +1907 : CDCDCDCD; +1908 : CDCDCDCD; +1909 : CDCDCDCD; +190A : CDCDCDCD; +190B : CDCDCDCD; +190C : CDCDCDCD; +190D : CDCDCDCD; +190E : CDCDCDCD; +190F : CDCDCDCD; +1910 : CDCDCDCD; +1911 : CDCDCDCD; +1912 : CDCDCDCD; +1913 : CDCDCDCD; +1914 : CDCDCDCD; +1915 : CDCDCDCD; +1916 : CDCDCDCD; +1917 : CDCDCDCD; +1918 : CDCDCDCD; +1919 : CDCDCDCD; +191A : CDCDCDCD; +191B : CDCDCDCD; +191C : CDCDCDCD; +191D : CDCDCDCD; +191E : CDCDCDCD; +191F : CDCDCDCD; +1920 : CDCDCDCD; +1921 : CDCDCDCD; +1922 : CDCDCDCD; +1923 : CDCDCDCD; +1924 : CDCDCDCD; +1925 : CDCDCDCD; +1926 : CDCDCDCD; +1927 : CDCDCDCD; +1928 : CDCDCDCD; +1929 : CDCDCDCD; +192A : CDCDCDCD; +192B : CDCDCDCD; +192C : CDCDCDCD; +192D : CDCDCDCD; +192E : CDCDCDCD; +192F : CDCDCDCD; +1930 : CDCDCDCD; +1931 : CDCDCDCD; +1932 : CDCDCDCD; +1933 : CDCDCDCD; +1934 : CDCDCDCD; +1935 : CDCDCDCD; +1936 : CDCDCDCD; +1937 : CDCDCDCD; +1938 : CDCDCDCD; +1939 : CDCDCDCD; +193A : CDCDCDCD; +193B : CDCDCDCD; +193C : CDCDCDCD; +193D : CDCDCDCD; +193E : CDCDCDCD; +193F : CDCDCDCD; +1940 : CDCDCDCD; +1941 : CDCDCDCD; +1942 : CDCDCDCD; +1943 : CDCDCDCD; +1944 : CDCDCDCD; +1945 : CDCDCDCD; +1946 : CDCDCDCD; +1947 : CDCDCDCD; +1948 : CDCDCDCD; +1949 : CDCDCDCD; +194A : CDCDCDCD; +194B : CDCDCDCD; +194C : CDCDCDCD; +194D : CDCDCDCD; +194E : CDCDCDCD; +194F : CDCDCDCD; +1950 : CDCDCDCD; +1951 : CDCDCDCD; +1952 : CDCDCDCD; +1953 : CDCDCDCD; +1954 : CDCDCDCD; +1955 : CDCDCDCD; +1956 : CDCDCDCD; +1957 : CDCDCDCD; +1958 : CDCDCDCD; +1959 : CDCDCDCD; +195A : CDCDCDCD; +195B : CDCDCDCD; +195C : CDCDCDCD; +195D : CDCDCDCD; +195E : CDCDCDCD; +195F : CDCDCDCD; +1960 : CDCDCDCD; +1961 : CDCDCDCD; +1962 : CDCDCDCD; +1963 : CDCDCDCD; +1964 : CDCDCDCD; +1965 : CDCDCDCD; +1966 : CDCDCDCD; +1967 : CDCDCDCD; +1968 : CDCDCDCD; +1969 : CDCDCDCD; +196A : CDCDCDCD; +196B : CDCDCDCD; +196C : CDCDCDCD; +196D : CDCDCDCD; +196E : CDCDCDCD; +196F : CDCDCDCD; +1970 : CDCDCDCD; +1971 : CDCDCDCD; +1972 : CDCDCDCD; +1973 : CDCDCDCD; +1974 : CDCDCDCD; +1975 : CDCDCDCD; +1976 : CDCDCDCD; +1977 : CDCDCDCD; +1978 : CDCDCDCD; +1979 : CDCDCDCD; +197A : CDCDCDCD; +197B : CDCDCDCD; +197C : CDCDCDCD; +197D : CDCDCDCD; +197E : CDCDCDCD; +197F : CDCDCDCD; +1980 : CDCDCDCD; +1981 : CDCDCDCD; +1982 : CDCDCDCD; +1983 : CDCDCDCD; +1984 : CDCDCDCD; +1985 : CDCDCDCD; +1986 : CDCDCDCD; +1987 : CDCDCDCD; +1988 : CDCDCDCD; +1989 : CDCDCDCD; +198A : CDCDCDCD; +198B : CDCDCDCD; +198C : CDCDCDCD; +198D : CDCDCDCD; +198E : CDCDCDCD; +198F : CDCDCDCD; +1990 : CDCDCDCD; +1991 : CDCDCDCD; +1992 : CDCDCDCD; +1993 : CDCDCDCD; +1994 : CDCDCDCD; +1995 : CDCDCDCD; +1996 : CDCDCDCD; +1997 : CDCDCDCD; +1998 : CDCDCDCD; +1999 : CDCDCDCD; +199A : CDCDCDCD; +199B : CDCDCDCD; +199C : CDCDCDCD; +199D : CDCDCDCD; +199E : CDCDCDCD; +199F : CDCDCDCD; +19A0 : CDCDCDCD; +19A1 : CDCDCDCD; +19A2 : CDCDCDCD; +19A3 : CDCDCDCD; +19A4 : CDCDCDCD; +19A5 : CDCDCDCD; +19A6 : CDCDCDCD; +19A7 : CDCDCDCD; +19A8 : CDCDCDCD; +19A9 : CDCDCDCD; +19AA : CDCDCDCD; +19AB : CDCDCDCD; +19AC : CDCDCDCD; +19AD : CDCDCDCD; +19AE : CDCDCDCD; +19AF : CDCDCDCD; +19B0 : CDCDCDCD; +19B1 : CDCDCDCD; +19B2 : CDCDCDCD; +19B3 : CDCDCDCD; +19B4 : CDCDCDCD; +19B5 : CDCDCDCD; +19B6 : CDCDCDCD; +19B7 : CDCDCDCD; +19B8 : CDCDCDCD; +19B9 : CDCDCDCD; +19BA : CDCDCDCD; +19BB : CDCDCDCD; +19BC : CDCDCDCD; +19BD : CDCDCDCD; +19BE : CDCDCDCD; +19BF : CDCDCDCD; +19C0 : CDCDCDCD; +19C1 : CDCDCDCD; +19C2 : CDCDCDCD; +19C3 : CDCDCDCD; +19C4 : CDCDCDCD; +19C5 : CDCDCDCD; +19C6 : CDCDCDCD; +19C7 : CDCDCDCD; +19C8 : CDCDCDCD; +19C9 : CDCDCDCD; +19CA : CDCDCDCD; +19CB : CDCDCDCD; +19CC : CDCDCDCD; +19CD : CDCDCDCD; +19CE : CDCDCDCD; +19CF : CDCDCDCD; +19D0 : CDCDCDCD; +19D1 : CDCDCDCD; +19D2 : CDCDCDCD; +19D3 : CDCDCDCD; +19D4 : CDCDCDCD; +19D5 : CDCDCDCD; +19D6 : CDCDCDCD; +19D7 : CDCDCDCD; +19D8 : CDCDCDCD; +19D9 : CDCDCDCD; +19DA : CDCDCDCD; +19DB : CDCDCDCD; +19DC : CDCDCDCD; +19DD : CDCDCDCD; +19DE : CDCDCDCD; +19DF : CDCDCDCD; +19E0 : CDCDCDCD; +19E1 : CDCDCDCD; +19E2 : CDCDCDCD; +19E3 : CDCDCDCD; +19E4 : CDCDCDCD; +19E5 : CDCDCDCD; +19E6 : CDCDCDCD; +19E7 : CDCDCDCD; +19E8 : CDCDCDCD; +19E9 : CDCDCDCD; +19EA : CDCDCDCD; +19EB : CDCDCDCD; +19EC : CDCDCDCD; +19ED : CDCDCDCD; +19EE : CDCDCDCD; +19EF : CDCDCDCD; +19F0 : CDCDCDCD; +19F1 : CDCDCDCD; +19F2 : CDCDCDCD; +19F3 : CDCDCDCD; +19F4 : CDCDCDCD; +19F5 : CDCDCDCD; +19F6 : CDCDCDCD; +19F7 : CDCDCDCD; +19F8 : CDCDCDCD; +19F9 : CDCDCDCD; +19FA : CDCDCDCD; +19FB : CDCDCDCD; +19FC : CDCDCDCD; +19FD : CDCDCDCD; +19FE : CDCDCDCD; +19FF : CDCDCDCD; +1A00 : CDCDCDCD; +1A01 : CDCDCDCD; +1A02 : CDCDCDCD; +1A03 : CDCDCDCD; +1A04 : CDCDCDCD; +1A05 : CDCDCDCD; +1A06 : CDCDCDCD; +1A07 : CDCDCDCD; +1A08 : CDCDCDCD; +1A09 : CDCDCDCD; +1A0A : CDCDCDCD; +1A0B : CDCDCDCD; +1A0C : CDCDCDCD; +1A0D : CDCDCDCD; +1A0E : CDCDCDCD; +1A0F : CDCDCDCD; +1A10 : CDCDCDCD; +1A11 : CDCDCDCD; +1A12 : CDCDCDCD; +1A13 : CDCDCDCD; +1A14 : CDCDCDCD; +1A15 : CDCDCDCD; +1A16 : CDCDCDCD; +1A17 : CDCDCDCD; +1A18 : CDCDCDCD; +1A19 : CDCDCDCD; +1A1A : CDCDCDCD; +1A1B : CDCDCDCD; +1A1C : CDCDCDCD; +1A1D : CDCDCDCD; +1A1E : CDCDCDCD; +1A1F : CDCDCDCD; +1A20 : CDCDCDCD; +1A21 : CDCDCDCD; +1A22 : CDCDCDCD; +1A23 : CDCDCDCD; +1A24 : CDCDCDCD; +1A25 : CDCDCDCD; +1A26 : CDCDCDCD; +1A27 : CDCDCDCD; +1A28 : CDCDCDCD; +1A29 : CDCDCDCD; +1A2A : CDCDCDCD; +1A2B : CDCDCDCD; +1A2C : CDCDCDCD; +1A2D : CDCDCDCD; +1A2E : CDCDCDCD; +1A2F : CDCDCDCD; +1A30 : CDCDCDCD; +1A31 : CDCDCDCD; +1A32 : CDCDCDCD; +1A33 : CDCDCDCD; +1A34 : CDCDCDCD; +1A35 : CDCDCDCD; +1A36 : CDCDCDCD; +1A37 : CDCDCDCD; +1A38 : CDCDCDCD; +1A39 : CDCDCDCD; +1A3A : CDCDCDCD; +1A3B : CDCDCDCD; +1A3C : CDCDCDCD; +1A3D : CDCDCDCD; +1A3E : CDCDCDCD; +1A3F : CDCDCDCD; +1A40 : CDCDCDCD; +1A41 : CDCDCDCD; +1A42 : CDCDCDCD; +1A43 : CDCDCDCD; +1A44 : CDCDCDCD; +1A45 : CDCDCDCD; +1A46 : CDCDCDCD; +1A47 : CDCDCDCD; +1A48 : CDCDCDCD; +1A49 : CDCDCDCD; +1A4A : CDCDCDCD; +1A4B : CDCDCDCD; +1A4C : CDCDCDCD; +1A4D : CDCDCDCD; +1A4E : CDCDCDCD; +1A4F : CDCDCDCD; +1A50 : CDCDCDCD; +1A51 : CDCDCDCD; +1A52 : CDCDCDCD; +1A53 : CDCDCDCD; +1A54 : CDCDCDCD; +1A55 : CDCDCDCD; +1A56 : CDCDCDCD; +1A57 : CDCDCDCD; +1A58 : CDCDCDCD; +1A59 : CDCDCDCD; +1A5A : CDCDCDCD; +1A5B : CDCDCDCD; +1A5C : CDCDCDCD; +1A5D : CDCDCDCD; +1A5E : CDCDCDCD; +1A5F : CDCDCDCD; +1A60 : CDCDCDCD; +1A61 : CDCDCDCD; +1A62 : CDCDCDCD; +1A63 : CDCDCDCD; +1A64 : CDCDCDCD; +1A65 : CDCDCDCD; +1A66 : CDCDCDCD; +1A67 : CDCDCDCD; +1A68 : CDCDCDCD; +1A69 : CDCDCDCD; +1A6A : CDCDCDCD; +1A6B : CDCDCDCD; +1A6C : CDCDCDCD; +1A6D : CDCDCDCD; +1A6E : CDCDCDCD; +1A6F : CDCDCDCD; +1A70 : CDCDCDCD; +1A71 : CDCDCDCD; +1A72 : CDCDCDCD; +1A73 : CDCDCDCD; +1A74 : CDCDCDCD; +1A75 : CDCDCDCD; +1A76 : CDCDCDCD; +1A77 : CDCDCDCD; +1A78 : CDCDCDCD; +1A79 : CDCDCDCD; +1A7A : CDCDCDCD; +1A7B : CDCDCDCD; +1A7C : CDCDCDCD; +1A7D : CDCDCDCD; +1A7E : CDCDCDCD; +1A7F : CDCDCDCD; +1A80 : CDCDCDCD; +1A81 : CDCDCDCD; +1A82 : CDCDCDCD; +1A83 : CDCDCDCD; +1A84 : CDCDCDCD; +1A85 : CDCDCDCD; +1A86 : CDCDCDCD; +1A87 : CDCDCDCD; +1A88 : CDCDCDCD; +1A89 : CDCDCDCD; +1A8A : CDCDCDCD; +1A8B : CDCDCDCD; +1A8C : CDCDCDCD; +1A8D : CDCDCDCD; +1A8E : CDCDCDCD; +1A8F : CDCDCDCD; +1A90 : CDCDCDCD; +1A91 : CDCDCDCD; +1A92 : CDCDCDCD; +1A93 : CDCDCDCD; +1A94 : CDCDCDCD; +1A95 : CDCDCDCD; +1A96 : CDCDCDCD; +1A97 : CDCDCDCD; +1A98 : CDCDCDCD; +1A99 : CDCDCDCD; +1A9A : CDCDCDCD; +1A9B : CDCDCDCD; +1A9C : CDCDCDCD; +1A9D : CDCDCDCD; +1A9E : CDCDCDCD; +1A9F : CDCDCDCD; +1AA0 : CDCDCDCD; +1AA1 : CDCDCDCD; +1AA2 : CDCDCDCD; +1AA3 : CDCDCDCD; +1AA4 : CDCDCDCD; +1AA5 : CDCDCDCD; +1AA6 : CDCDCDCD; +1AA7 : CDCDCDCD; +1AA8 : CDCDCDCD; +1AA9 : CDCDCDCD; +1AAA : CDCDCDCD; +1AAB : CDCDCDCD; +1AAC : CDCDCDCD; +1AAD : CDCDCDCD; +1AAE : CDCDCDCD; +1AAF : CDCDCDCD; +1AB0 : CDCDCDCD; +1AB1 : CDCDCDCD; +1AB2 : CDCDCDCD; +1AB3 : CDCDCDCD; +1AB4 : CDCDCDCD; +1AB5 : CDCDCDCD; +1AB6 : CDCDCDCD; +1AB7 : CDCDCDCD; +1AB8 : CDCDCDCD; +1AB9 : CDCDCDCD; +1ABA : CDCDCDCD; +1ABB : CDCDCDCD; +1ABC : CDCDCDCD; +1ABD : CDCDCDCD; +1ABE : CDCDCDCD; +1ABF : CDCDCDCD; +1AC0 : CDCDCDCD; +1AC1 : CDCDCDCD; +1AC2 : CDCDCDCD; +1AC3 : CDCDCDCD; +1AC4 : CDCDCDCD; +1AC5 : CDCDCDCD; +1AC6 : CDCDCDCD; +1AC7 : CDCDCDCD; +1AC8 : CDCDCDCD; +1AC9 : CDCDCDCD; +1ACA : CDCDCDCD; +1ACB : CDCDCDCD; +1ACC : CDCDCDCD; +1ACD : CDCDCDCD; +1ACE : CDCDCDCD; +1ACF : CDCDCDCD; +1AD0 : CDCDCDCD; +1AD1 : CDCDCDCD; +1AD2 : CDCDCDCD; +1AD3 : CDCDCDCD; +1AD4 : CDCDCDCD; +1AD5 : CDCDCDCD; +1AD6 : CDCDCDCD; +1AD7 : CDCDCDCD; +1AD8 : CDCDCDCD; +1AD9 : CDCDCDCD; +1ADA : CDCDCDCD; +1ADB : CDCDCDCD; +1ADC : CDCDCDCD; +1ADD : CDCDCDCD; +1ADE : CDCDCDCD; +1ADF : CDCDCDCD; +1AE0 : CDCDCDCD; +1AE1 : CDCDCDCD; +1AE2 : CDCDCDCD; +1AE3 : CDCDCDCD; +1AE4 : CDCDCDCD; +1AE5 : CDCDCDCD; +1AE6 : CDCDCDCD; +1AE7 : CDCDCDCD; +1AE8 : CDCDCDCD; +1AE9 : CDCDCDCD; +1AEA : CDCDCDCD; +1AEB : CDCDCDCD; +1AEC : CDCDCDCD; +1AED : CDCDCDCD; +1AEE : CDCDCDCD; +1AEF : CDCDCDCD; +1AF0 : CDCDCDCD; +1AF1 : CDCDCDCD; +1AF2 : CDCDCDCD; +1AF3 : CDCDCDCD; +1AF4 : CDCDCDCD; +1AF5 : CDCDCDCD; +1AF6 : CDCDCDCD; +1AF7 : CDCDCDCD; +1AF8 : CDCDCDCD; +1AF9 : CDCDCDCD; +1AFA : CDCDCDCD; +1AFB : CDCDCDCD; +1AFC : CDCDCDCD; +1AFD : CDCDCDCD; +1AFE : CDCDCDCD; +1AFF : CDCDCDCD; +1B00 : CDCDCDCD; +1B01 : CDCDCDCD; +1B02 : CDCDCDCD; +1B03 : CDCDCDCD; +1B04 : CDCDCDCD; +1B05 : CDCDCDCD; +1B06 : CDCDCDCD; +1B07 : CDCDCDCD; +1B08 : CDCDCDCD; +1B09 : CDCDCDCD; +1B0A : CDCDCDCD; +1B0B : CDCDCDCD; +1B0C : CDCDCDCD; +1B0D : CDCDCDCD; +1B0E : CDCDCDCD; +1B0F : CDCDCDCD; +1B10 : CDCDCDCD; +1B11 : CDCDCDCD; +1B12 : CDCDCDCD; +1B13 : CDCDCDCD; +1B14 : CDCDCDCD; +1B15 : CDCDCDCD; +1B16 : CDCDCDCD; +1B17 : CDCDCDCD; +1B18 : CDCDCDCD; +1B19 : CDCDCDCD; +1B1A : CDCDCDCD; +1B1B : CDCDCDCD; +1B1C : CDCDCDCD; +1B1D : CDCDCDCD; +1B1E : CDCDCDCD; +1B1F : CDCDCDCD; +1B20 : CDCDCDCD; +1B21 : CDCDCDCD; +1B22 : CDCDCDCD; +1B23 : CDCDCDCD; +1B24 : CDCDCDCD; +1B25 : CDCDCDCD; +1B26 : CDCDCDCD; +1B27 : CDCDCDCD; +1B28 : CDCDCDCD; +1B29 : CDCDCDCD; +1B2A : CDCDCDCD; +1B2B : CDCDCDCD; +1B2C : CDCDCDCD; +1B2D : CDCDCDCD; +1B2E : CDCDCDCD; +1B2F : CDCDCDCD; +1B30 : CDCDCDCD; +1B31 : CDCDCDCD; +1B32 : CDCDCDCD; +1B33 : CDCDCDCD; +1B34 : CDCDCDCD; +1B35 : CDCDCDCD; +1B36 : CDCDCDCD; +1B37 : CDCDCDCD; +1B38 : CDCDCDCD; +1B39 : CDCDCDCD; +1B3A : CDCDCDCD; +1B3B : CDCDCDCD; +1B3C : CDCDCDCD; +1B3D : CDCDCDCD; +1B3E : CDCDCDCD; +1B3F : CDCDCDCD; +1B40 : CDCDCDCD; +1B41 : CDCDCDCD; +1B42 : CDCDCDCD; +1B43 : CDCDCDCD; +1B44 : CDCDCDCD; +1B45 : CDCDCDCD; +1B46 : CDCDCDCD; +1B47 : CDCDCDCD; +1B48 : CDCDCDCD; +1B49 : CDCDCDCD; +1B4A : CDCDCDCD; +1B4B : CDCDCDCD; +1B4C : CDCDCDCD; +1B4D : CDCDCDCD; +1B4E : CDCDCDCD; +1B4F : CDCDCDCD; +1B50 : CDCDCDCD; +1B51 : CDCDCDCD; +1B52 : CDCDCDCD; +1B53 : CDCDCDCD; +1B54 : CDCDCDCD; +1B55 : CDCDCDCD; +1B56 : CDCDCDCD; +1B57 : CDCDCDCD; +1B58 : CDCDCDCD; +1B59 : CDCDCDCD; +1B5A : CDCDCDCD; +1B5B : CDCDCDCD; +1B5C : CDCDCDCD; +1B5D : CDCDCDCD; +1B5E : CDCDCDCD; +1B5F : CDCDCDCD; +1B60 : CDCDCDCD; +1B61 : CDCDCDCD; +1B62 : CDCDCDCD; +1B63 : CDCDCDCD; +1B64 : CDCDCDCD; +1B65 : CDCDCDCD; +1B66 : CDCDCDCD; +1B67 : CDCDCDCD; +1B68 : CDCDCDCD; +1B69 : CDCDCDCD; +1B6A : CDCDCDCD; +1B6B : CDCDCDCD; +1B6C : CDCDCDCD; +1B6D : CDCDCDCD; +1B6E : CDCDCDCD; +1B6F : CDCDCDCD; +1B70 : CDCDCDCD; +1B71 : CDCDCDCD; +1B72 : CDCDCDCD; +1B73 : CDCDCDCD; +1B74 : CDCDCDCD; +1B75 : CDCDCDCD; +1B76 : CDCDCDCD; +1B77 : CDCDCDCD; +1B78 : CDCDCDCD; +1B79 : CDCDCDCD; +1B7A : CDCDCDCD; +1B7B : CDCDCDCD; +1B7C : CDCDCDCD; +1B7D : CDCDCDCD; +1B7E : CDCDCDCD; +1B7F : CDCDCDCD; +1B80 : CDCDCDCD; +1B81 : CDCDCDCD; +1B82 : CDCDCDCD; +1B83 : CDCDCDCD; +1B84 : CDCDCDCD; +1B85 : CDCDCDCD; +1B86 : CDCDCDCD; +1B87 : CDCDCDCD; +1B88 : CDCDCDCD; +1B89 : CDCDCDCD; +1B8A : CDCDCDCD; +1B8B : CDCDCDCD; +1B8C : CDCDCDCD; +1B8D : CDCDCDCD; +1B8E : CDCDCDCD; +1B8F : CDCDCDCD; +1B90 : CDCDCDCD; +1B91 : CDCDCDCD; +1B92 : CDCDCDCD; +1B93 : CDCDCDCD; +1B94 : CDCDCDCD; +1B95 : CDCDCDCD; +1B96 : CDCDCDCD; +1B97 : CDCDCDCD; +1B98 : CDCDCDCD; +1B99 : CDCDCDCD; +1B9A : CDCDCDCD; +1B9B : CDCDCDCD; +1B9C : CDCDCDCD; +1B9D : CDCDCDCD; +1B9E : CDCDCDCD; +1B9F : CDCDCDCD; +1BA0 : CDCDCDCD; +1BA1 : CDCDCDCD; +1BA2 : CDCDCDCD; +1BA3 : CDCDCDCD; +1BA4 : CDCDCDCD; +1BA5 : CDCDCDCD; +1BA6 : CDCDCDCD; +1BA7 : CDCDCDCD; +1BA8 : CDCDCDCD; +1BA9 : CDCDCDCD; +1BAA : CDCDCDCD; +1BAB : CDCDCDCD; +1BAC : CDCDCDCD; +1BAD : CDCDCDCD; +1BAE : CDCDCDCD; +1BAF : CDCDCDCD; +1BB0 : CDCDCDCD; +1BB1 : CDCDCDCD; +1BB2 : CDCDCDCD; +1BB3 : CDCDCDCD; +1BB4 : CDCDCDCD; +1BB5 : CDCDCDCD; +1BB6 : CDCDCDCD; +1BB7 : CDCDCDCD; +1BB8 : CDCDCDCD; +1BB9 : CDCDCDCD; +1BBA : CDCDCDCD; +1BBB : CDCDCDCD; +1BBC : CDCDCDCD; +1BBD : CDCDCDCD; +1BBE : CDCDCDCD; +1BBF : CDCDCDCD; +1BC0 : CDCDCDCD; +1BC1 : CDCDCDCD; +1BC2 : CDCDCDCD; +1BC3 : CDCDCDCD; +1BC4 : CDCDCDCD; +1BC5 : CDCDCDCD; +1BC6 : CDCDCDCD; +1BC7 : CDCDCDCD; +1BC8 : CDCDCDCD; +1BC9 : CDCDCDCD; +1BCA : CDCDCDCD; +1BCB : CDCDCDCD; +1BCC : CDCDCDCD; +1BCD : CDCDCDCD; +1BCE : CDCDCDCD; +1BCF : CDCDCDCD; +1BD0 : CDCDCDCD; +1BD1 : CDCDCDCD; +1BD2 : CDCDCDCD; +1BD3 : CDCDCDCD; +1BD4 : CDCDCDCD; +1BD5 : CDCDCDCD; +1BD6 : CDCDCDCD; +1BD7 : CDCDCDCD; +1BD8 : CDCDCDCD; +1BD9 : CDCDCDCD; +1BDA : CDCDCDCD; +1BDB : CDCDCDCD; +1BDC : CDCDCDCD; +1BDD : CDCDCDCD; +1BDE : CDCDCDCD; +1BDF : CDCDCDCD; +1BE0 : CDCDCDCD; +1BE1 : CDCDCDCD; +1BE2 : CDCDCDCD; +1BE3 : CDCDCDCD; +1BE4 : CDCDCDCD; +1BE5 : CDCDCDCD; +1BE6 : CDCDCDCD; +1BE7 : CDCDCDCD; +1BE8 : CDCDCDCD; +1BE9 : CDCDCDCD; +1BEA : CDCDCDCD; +1BEB : CDCDCDCD; +1BEC : CDCDCDCD; +1BED : CDCDCDCD; +1BEE : CDCDCDCD; +1BEF : CDCDCDCD; +1BF0 : CDCDCDCD; +1BF1 : CDCDCDCD; +1BF2 : CDCDCDCD; +1BF3 : CDCDCDCD; +1BF4 : CDCDCDCD; +1BF5 : CDCDCDCD; +1BF6 : CDCDCDCD; +1BF7 : CDCDCDCD; +1BF8 : CDCDCDCD; +1BF9 : CDCDCDCD; +1BFA : CDCDCDCD; +1BFB : CDCDCDCD; +1BFC : CDCDCDCD; +1BFD : CDCDCDCD; +1BFE : CDCDCDCD; +1BFF : CDCDCDCD; +1C00 : CDCDCDCD; +1C01 : CDCDCDCD; +1C02 : CDCDCDCD; +1C03 : CDCDCDCD; +1C04 : CDCDCDCD; +1C05 : CDCDCDCD; +1C06 : CDCDCDCD; +1C07 : CDCDCDCD; +1C08 : CDCDCDCD; +1C09 : CDCDCDCD; +1C0A : CDCDCDCD; +1C0B : CDCDCDCD; +1C0C : CDCDCDCD; +1C0D : CDCDCDCD; +1C0E : CDCDCDCD; +1C0F : CDCDCDCD; +1C10 : CDCDCDCD; +1C11 : CDCDCDCD; +1C12 : CDCDCDCD; +1C13 : CDCDCDCD; +1C14 : CDCDCDCD; +1C15 : CDCDCDCD; +1C16 : CDCDCDCD; +1C17 : CDCDCDCD; +1C18 : CDCDCDCD; +1C19 : CDCDCDCD; +1C1A : CDCDCDCD; +1C1B : CDCDCDCD; +1C1C : CDCDCDCD; +1C1D : CDCDCDCD; +1C1E : CDCDCDCD; +1C1F : CDCDCDCD; +1C20 : CDCDCDCD; +1C21 : CDCDCDCD; +1C22 : CDCDCDCD; +1C23 : CDCDCDCD; +1C24 : CDCDCDCD; +1C25 : CDCDCDCD; +1C26 : CDCDCDCD; +1C27 : CDCDCDCD; +1C28 : CDCDCDCD; +1C29 : CDCDCDCD; +1C2A : CDCDCDCD; +1C2B : CDCDCDCD; +1C2C : CDCDCDCD; +1C2D : CDCDCDCD; +1C2E : CDCDCDCD; +1C2F : CDCDCDCD; +1C30 : CDCDCDCD; +1C31 : CDCDCDCD; +1C32 : CDCDCDCD; +1C33 : CDCDCDCD; +1C34 : CDCDCDCD; +1C35 : CDCDCDCD; +1C36 : CDCDCDCD; +1C37 : CDCDCDCD; +1C38 : CDCDCDCD; +1C39 : CDCDCDCD; +1C3A : CDCDCDCD; +1C3B : CDCDCDCD; +1C3C : CDCDCDCD; +1C3D : CDCDCDCD; +1C3E : CDCDCDCD; +1C3F : CDCDCDCD; +1C40 : CDCDCDCD; +1C41 : CDCDCDCD; +1C42 : CDCDCDCD; +1C43 : CDCDCDCD; +1C44 : CDCDCDCD; +1C45 : CDCDCDCD; +1C46 : CDCDCDCD; +1C47 : CDCDCDCD; +1C48 : CDCDCDCD; +1C49 : CDCDCDCD; +1C4A : CDCDCDCD; +1C4B : CDCDCDCD; +1C4C : CDCDCDCD; +1C4D : CDCDCDCD; +1C4E : CDCDCDCD; +1C4F : CDCDCDCD; +1C50 : CDCDCDCD; +1C51 : CDCDCDCD; +1C52 : CDCDCDCD; +1C53 : CDCDCDCD; +1C54 : CDCDCDCD; +1C55 : CDCDCDCD; +1C56 : CDCDCDCD; +1C57 : CDCDCDCD; +1C58 : CDCDCDCD; +1C59 : CDCDCDCD; +1C5A : CDCDCDCD; +1C5B : CDCDCDCD; +1C5C : CDCDCDCD; +1C5D : CDCDCDCD; +1C5E : CDCDCDCD; +1C5F : CDCDCDCD; +1C60 : CDCDCDCD; +1C61 : CDCDCDCD; +1C62 : CDCDCDCD; +1C63 : CDCDCDCD; +1C64 : CDCDCDCD; +1C65 : CDCDCDCD; +1C66 : CDCDCDCD; +1C67 : CDCDCDCD; +1C68 : CDCDCDCD; +1C69 : CDCDCDCD; +1C6A : CDCDCDCD; +1C6B : CDCDCDCD; +1C6C : CDCDCDCD; +1C6D : CDCDCDCD; +1C6E : CDCDCDCD; +1C6F : CDCDCDCD; +1C70 : CDCDCDCD; +1C71 : CDCDCDCD; +1C72 : CDCDCDCD; +1C73 : CDCDCDCD; +1C74 : CDCDCDCD; +1C75 : CDCDCDCD; +1C76 : CDCDCDCD; +1C77 : CDCDCDCD; +1C78 : CDCDCDCD; +1C79 : CDCDCDCD; +1C7A : CDCDCDCD; +1C7B : CDCDCDCD; +1C7C : CDCDCDCD; +1C7D : CDCDCDCD; +1C7E : CDCDCDCD; +1C7F : CDCDCDCD; +1C80 : CDCDCDCD; +1C81 : CDCDCDCD; +1C82 : CDCDCDCD; +1C83 : CDCDCDCD; +1C84 : CDCDCDCD; +1C85 : CDCDCDCD; +1C86 : CDCDCDCD; +1C87 : CDCDCDCD; +1C88 : CDCDCDCD; +1C89 : CDCDCDCD; +1C8A : CDCDCDCD; +1C8B : CDCDCDCD; +1C8C : CDCDCDCD; +1C8D : CDCDCDCD; +1C8E : CDCDCDCD; +1C8F : CDCDCDCD; +1C90 : CDCDCDCD; +1C91 : CDCDCDCD; +1C92 : CDCDCDCD; +1C93 : CDCDCDCD; +1C94 : CDCDCDCD; +1C95 : CDCDCDCD; +1C96 : CDCDCDCD; +1C97 : CDCDCDCD; +1C98 : CDCDCDCD; +1C99 : CDCDCDCD; +1C9A : CDCDCDCD; +1C9B : CDCDCDCD; +1C9C : CDCDCDCD; +1C9D : CDCDCDCD; +1C9E : CDCDCDCD; +1C9F : CDCDCDCD; +1CA0 : CDCDCDCD; +1CA1 : CDCDCDCD; +1CA2 : CDCDCDCD; +1CA3 : CDCDCDCD; +1CA4 : CDCDCDCD; +1CA5 : CDCDCDCD; +1CA6 : CDCDCDCD; +1CA7 : CDCDCDCD; +1CA8 : CDCDCDCD; +1CA9 : CDCDCDCD; +1CAA : CDCDCDCD; +1CAB : CDCDCDCD; +1CAC : CDCDCDCD; +1CAD : CDCDCDCD; +1CAE : CDCDCDCD; +1CAF : CDCDCDCD; +1CB0 : CDCDCDCD; +1CB1 : CDCDCDCD; +1CB2 : CDCDCDCD; +1CB3 : CDCDCDCD; +1CB4 : CDCDCDCD; +1CB5 : CDCDCDCD; +1CB6 : CDCDCDCD; +1CB7 : CDCDCDCD; +1CB8 : CDCDCDCD; +1CB9 : CDCDCDCD; +1CBA : CDCDCDCD; +1CBB : CDCDCDCD; +1CBC : CDCDCDCD; +1CBD : CDCDCDCD; +1CBE : CDCDCDCD; +1CBF : CDCDCDCD; +1CC0 : CDCDCDCD; +1CC1 : CDCDCDCD; +1CC2 : CDCDCDCD; +1CC3 : CDCDCDCD; +1CC4 : CDCDCDCD; +1CC5 : CDCDCDCD; +1CC6 : CDCDCDCD; +1CC7 : CDCDCDCD; +1CC8 : CDCDCDCD; +1CC9 : CDCDCDCD; +1CCA : CDCDCDCD; +1CCB : CDCDCDCD; +1CCC : CDCDCDCD; +1CCD : CDCDCDCD; +1CCE : CDCDCDCD; +1CCF : CDCDCDCD; +1CD0 : CDCDCDCD; +1CD1 : CDCDCDCD; +1CD2 : CDCDCDCD; +1CD3 : CDCDCDCD; +1CD4 : CDCDCDCD; +1CD5 : CDCDCDCD; +1CD6 : CDCDCDCD; +1CD7 : CDCDCDCD; +1CD8 : CDCDCDCD; +1CD9 : CDCDCDCD; +1CDA : CDCDCDCD; +1CDB : CDCDCDCD; +1CDC : CDCDCDCD; +1CDD : CDCDCDCD; +1CDE : CDCDCDCD; +1CDF : CDCDCDCD; +1CE0 : CDCDCDCD; +1CE1 : CDCDCDCD; +1CE2 : CDCDCDCD; +1CE3 : CDCDCDCD; +1CE4 : CDCDCDCD; +1CE5 : CDCDCDCD; +1CE6 : CDCDCDCD; +1CE7 : CDCDCDCD; +1CE8 : CDCDCDCD; +1CE9 : CDCDCDCD; +1CEA : CDCDCDCD; +1CEB : CDCDCDCD; +1CEC : CDCDCDCD; +1CED : CDCDCDCD; +1CEE : CDCDCDCD; +1CEF : CDCDCDCD; +1CF0 : CDCDCDCD; +1CF1 : CDCDCDCD; +1CF2 : CDCDCDCD; +1CF3 : CDCDCDCD; +1CF4 : CDCDCDCD; +1CF5 : CDCDCDCD; +1CF6 : CDCDCDCD; +1CF7 : CDCDCDCD; +1CF8 : CDCDCDCD; +1CF9 : CDCDCDCD; +1CFA : CDCDCDCD; +1CFB : CDCDCDCD; +1CFC : CDCDCDCD; +1CFD : CDCDCDCD; +1CFE : CDCDCDCD; +1CFF : CDCDCDCD; +1D00 : CDCDCDCD; +1D01 : CDCDCDCD; +1D02 : CDCDCDCD; +1D03 : CDCDCDCD; +1D04 : CDCDCDCD; +1D05 : CDCDCDCD; +1D06 : CDCDCDCD; +1D07 : CDCDCDCD; +1D08 : CDCDCDCD; +1D09 : CDCDCDCD; +1D0A : CDCDCDCD; +1D0B : CDCDCDCD; +1D0C : CDCDCDCD; +1D0D : CDCDCDCD; +1D0E : CDCDCDCD; +1D0F : CDCDCDCD; +1D10 : CDCDCDCD; +1D11 : CDCDCDCD; +1D12 : CDCDCDCD; +1D13 : CDCDCDCD; +1D14 : CDCDCDCD; +1D15 : CDCDCDCD; +1D16 : CDCDCDCD; +1D17 : CDCDCDCD; +1D18 : CDCDCDCD; +1D19 : CDCDCDCD; +1D1A : CDCDCDCD; +1D1B : CDCDCDCD; +1D1C : CDCDCDCD; +1D1D : CDCDCDCD; +1D1E : CDCDCDCD; +1D1F : CDCDCDCD; +1D20 : CDCDCDCD; +1D21 : CDCDCDCD; +1D22 : CDCDCDCD; +1D23 : CDCDCDCD; +1D24 : CDCDCDCD; +1D25 : CDCDCDCD; +1D26 : CDCDCDCD; +1D27 : CDCDCDCD; +1D28 : CDCDCDCD; +1D29 : CDCDCDCD; +1D2A : CDCDCDCD; +1D2B : CDCDCDCD; +1D2C : CDCDCDCD; +1D2D : CDCDCDCD; +1D2E : CDCDCDCD; +1D2F : CDCDCDCD; +1D30 : CDCDCDCD; +1D31 : CDCDCDCD; +1D32 : CDCDCDCD; +1D33 : CDCDCDCD; +1D34 : CDCDCDCD; +1D35 : CDCDCDCD; +1D36 : CDCDCDCD; +1D37 : CDCDCDCD; +1D38 : CDCDCDCD; +1D39 : CDCDCDCD; +1D3A : CDCDCDCD; +1D3B : CDCDCDCD; +1D3C : CDCDCDCD; +1D3D : CDCDCDCD; +1D3E : CDCDCDCD; +1D3F : CDCDCDCD; +1D40 : CDCDCDCD; +1D41 : CDCDCDCD; +1D42 : CDCDCDCD; +1D43 : CDCDCDCD; +1D44 : CDCDCDCD; +1D45 : CDCDCDCD; +1D46 : CDCDCDCD; +1D47 : CDCDCDCD; +1D48 : CDCDCDCD; +1D49 : CDCDCDCD; +1D4A : CDCDCDCD; +1D4B : CDCDCDCD; +1D4C : CDCDCDCD; +1D4D : CDCDCDCD; +1D4E : CDCDCDCD; +1D4F : CDCDCDCD; +1D50 : CDCDCDCD; +1D51 : CDCDCDCD; +1D52 : CDCDCDCD; +1D53 : CDCDCDCD; +1D54 : CDCDCDCD; +1D55 : CDCDCDCD; +1D56 : CDCDCDCD; +1D57 : CDCDCDCD; +1D58 : CDCDCDCD; +1D59 : CDCDCDCD; +1D5A : CDCDCDCD; +1D5B : CDCDCDCD; +1D5C : CDCDCDCD; +1D5D : CDCDCDCD; +1D5E : CDCDCDCD; +1D5F : CDCDCDCD; +1D60 : CDCDCDCD; +1D61 : CDCDCDCD; +1D62 : CDCDCDCD; +1D63 : CDCDCDCD; +1D64 : CDCDCDCD; +1D65 : CDCDCDCD; +1D66 : CDCDCDCD; +1D67 : CDCDCDCD; +1D68 : CDCDCDCD; +1D69 : CDCDCDCD; +1D6A : CDCDCDCD; +1D6B : CDCDCDCD; +1D6C : CDCDCDCD; +1D6D : CDCDCDCD; +1D6E : CDCDCDCD; +1D6F : CDCDCDCD; +1D70 : CDCDCDCD; +1D71 : CDCDCDCD; +1D72 : CDCDCDCD; +1D73 : CDCDCDCD; +1D74 : CDCDCDCD; +1D75 : CDCDCDCD; +1D76 : CDCDCDCD; +1D77 : CDCDCDCD; +1D78 : CDCDCDCD; +1D79 : CDCDCDCD; +1D7A : CDCDCDCD; +1D7B : CDCDCDCD; +1D7C : CDCDCDCD; +1D7D : CDCDCDCD; +1D7E : CDCDCDCD; +1D7F : CDCDCDCD; +1D80 : CDCDCDCD; +1D81 : CDCDCDCD; +1D82 : CDCDCDCD; +1D83 : CDCDCDCD; +1D84 : CDCDCDCD; +1D85 : CDCDCDCD; +1D86 : CDCDCDCD; +1D87 : CDCDCDCD; +1D88 : CDCDCDCD; +1D89 : CDCDCDCD; +1D8A : CDCDCDCD; +1D8B : CDCDCDCD; +1D8C : CDCDCDCD; +1D8D : CDCDCDCD; +1D8E : CDCDCDCD; +1D8F : CDCDCDCD; +1D90 : CDCDCDCD; +1D91 : CDCDCDCD; +1D92 : CDCDCDCD; +1D93 : CDCDCDCD; +1D94 : CDCDCDCD; +1D95 : CDCDCDCD; +1D96 : CDCDCDCD; +1D97 : CDCDCDCD; +1D98 : CDCDCDCD; +1D99 : CDCDCDCD; +1D9A : CDCDCDCD; +1D9B : CDCDCDCD; +1D9C : CDCDCDCD; +1D9D : CDCDCDCD; +1D9E : CDCDCDCD; +1D9F : CDCDCDCD; +1DA0 : CDCDCDCD; +1DA1 : CDCDCDCD; +1DA2 : CDCDCDCD; +1DA3 : CDCDCDCD; +1DA4 : CDCDCDCD; +1DA5 : CDCDCDCD; +1DA6 : CDCDCDCD; +1DA7 : CDCDCDCD; +1DA8 : CDCDCDCD; +1DA9 : CDCDCDCD; +1DAA : CDCDCDCD; +1DAB : CDCDCDCD; +1DAC : CDCDCDCD; +1DAD : CDCDCDCD; +1DAE : CDCDCDCD; +1DAF : CDCDCDCD; +1DB0 : CDCDCDCD; +1DB1 : CDCDCDCD; +1DB2 : CDCDCDCD; +1DB3 : CDCDCDCD; +1DB4 : CDCDCDCD; +1DB5 : CDCDCDCD; +1DB6 : CDCDCDCD; +1DB7 : CDCDCDCD; +1DB8 : CDCDCDCD; +1DB9 : CDCDCDCD; +1DBA : CDCDCDCD; +1DBB : CDCDCDCD; +1DBC : CDCDCDCD; +1DBD : CDCDCDCD; +1DBE : CDCDCDCD; +1DBF : CDCDCDCD; +1DC0 : CDCDCDCD; +1DC1 : CDCDCDCD; +1DC2 : CDCDCDCD; +1DC3 : CDCDCDCD; +1DC4 : CDCDCDCD; +1DC5 : CDCDCDCD; +1DC6 : CDCDCDCD; +1DC7 : CDCDCDCD; +1DC8 : CDCDCDCD; +1DC9 : CDCDCDCD; +1DCA : CDCDCDCD; +1DCB : CDCDCDCD; +1DCC : CDCDCDCD; +1DCD : CDCDCDCD; +1DCE : CDCDCDCD; +1DCF : CDCDCDCD; +1DD0 : CDCDCDCD; +1DD1 : CDCDCDCD; +1DD2 : CDCDCDCD; +1DD3 : CDCDCDCD; +1DD4 : CDCDCDCD; +1DD5 : CDCDCDCD; +1DD6 : CDCDCDCD; +1DD7 : CDCDCDCD; +1DD8 : CDCDCDCD; +1DD9 : CDCDCDCD; +1DDA : CDCDCDCD; +1DDB : CDCDCDCD; +1DDC : CDCDCDCD; +1DDD : CDCDCDCD; +1DDE : CDCDCDCD; +1DDF : CDCDCDCD; +1DE0 : CDCDCDCD; +1DE1 : CDCDCDCD; +1DE2 : CDCDCDCD; +1DE3 : CDCDCDCD; +1DE4 : CDCDCDCD; +1DE5 : CDCDCDCD; +1DE6 : CDCDCDCD; +1DE7 : CDCDCDCD; +1DE8 : CDCDCDCD; +1DE9 : CDCDCDCD; +1DEA : CDCDCDCD; +1DEB : CDCDCDCD; +1DEC : CDCDCDCD; +1DED : CDCDCDCD; +1DEE : CDCDCDCD; +1DEF : CDCDCDCD; +1DF0 : CDCDCDCD; +1DF1 : CDCDCDCD; +1DF2 : CDCDCDCD; +1DF3 : CDCDCDCD; +1DF4 : CDCDCDCD; +1DF5 : CDCDCDCD; +1DF6 : CDCDCDCD; +1DF7 : CDCDCDCD; +1DF8 : CDCDCDCD; +1DF9 : CDCDCDCD; +1DFA : CDCDCDCD; +1DFB : CDCDCDCD; +1DFC : CDCDCDCD; +1DFD : CDCDCDCD; +1DFE : CDCDCDCD; +1DFF : CDCDCDCD; +1E00 : CDCDCDCD; +1E01 : CDCDCDCD; +1E02 : CDCDCDCD; +1E03 : CDCDCDCD; +1E04 : CDCDCDCD; +1E05 : CDCDCDCD; +1E06 : CDCDCDCD; +1E07 : CDCDCDCD; +1E08 : CDCDCDCD; +1E09 : CDCDCDCD; +1E0A : CDCDCDCD; +1E0B : CDCDCDCD; +1E0C : CDCDCDCD; +1E0D : CDCDCDCD; +1E0E : CDCDCDCD; +1E0F : CDCDCDCD; +1E10 : CDCDCDCD; +1E11 : CDCDCDCD; +1E12 : CDCDCDCD; +1E13 : CDCDCDCD; +1E14 : CDCDCDCD; +1E15 : CDCDCDCD; +1E16 : CDCDCDCD; +1E17 : CDCDCDCD; +1E18 : CDCDCDCD; +1E19 : CDCDCDCD; +1E1A : CDCDCDCD; +1E1B : CDCDCDCD; +1E1C : CDCDCDCD; +1E1D : CDCDCDCD; +1E1E : CDCDCDCD; +1E1F : CDCDCDCD; +1E20 : CDCDCDCD; +1E21 : CDCDCDCD; +1E22 : CDCDCDCD; +1E23 : CDCDCDCD; +1E24 : CDCDCDCD; +1E25 : CDCDCDCD; +1E26 : CDCDCDCD; +1E27 : CDCDCDCD; +1E28 : CDCDCDCD; +1E29 : CDCDCDCD; +1E2A : CDCDCDCD; +1E2B : CDCDCDCD; +1E2C : CDCDCDCD; +1E2D : CDCDCDCD; +1E2E : CDCDCDCD; +1E2F : CDCDCDCD; +1E30 : CDCDCDCD; +1E31 : CDCDCDCD; +1E32 : CDCDCDCD; +1E33 : CDCDCDCD; +1E34 : CDCDCDCD; +1E35 : CDCDCDCD; +1E36 : CDCDCDCD; +1E37 : CDCDCDCD; +1E38 : CDCDCDCD; +1E39 : CDCDCDCD; +1E3A : CDCDCDCD; +1E3B : CDCDCDCD; +1E3C : CDCDCDCD; +1E3D : CDCDCDCD; +1E3E : CDCDCDCD; +1E3F : CDCDCDCD; +1E40 : CDCDCDCD; +1E41 : CDCDCDCD; +1E42 : CDCDCDCD; +1E43 : CDCDCDCD; +1E44 : CDCDCDCD; +1E45 : CDCDCDCD; +1E46 : CDCDCDCD; +1E47 : CDCDCDCD; +1E48 : CDCDCDCD; +1E49 : CDCDCDCD; +1E4A : CDCDCDCD; +1E4B : CDCDCDCD; +1E4C : CDCDCDCD; +1E4D : CDCDCDCD; +1E4E : CDCDCDCD; +1E4F : CDCDCDCD; +1E50 : CDCDCDCD; +1E51 : CDCDCDCD; +1E52 : CDCDCDCD; +1E53 : CDCDCDCD; +1E54 : CDCDCDCD; +1E55 : CDCDCDCD; +1E56 : CDCDCDCD; +1E57 : CDCDCDCD; +1E58 : CDCDCDCD; +1E59 : CDCDCDCD; +1E5A : CDCDCDCD; +1E5B : CDCDCDCD; +1E5C : CDCDCDCD; +1E5D : CDCDCDCD; +1E5E : CDCDCDCD; +1E5F : CDCDCDCD; +1E60 : CDCDCDCD; +1E61 : CDCDCDCD; +1E62 : CDCDCDCD; +1E63 : CDCDCDCD; +1E64 : CDCDCDCD; +1E65 : CDCDCDCD; +1E66 : CDCDCDCD; +1E67 : CDCDCDCD; +1E68 : CDCDCDCD; +1E69 : CDCDCDCD; +1E6A : CDCDCDCD; +1E6B : CDCDCDCD; +1E6C : CDCDCDCD; +1E6D : CDCDCDCD; +1E6E : CDCDCDCD; +1E6F : CDCDCDCD; +1E70 : CDCDCDCD; +1E71 : CDCDCDCD; +1E72 : CDCDCDCD; +1E73 : CDCDCDCD; +1E74 : CDCDCDCD; +1E75 : CDCDCDCD; +1E76 : CDCDCDCD; +1E77 : CDCDCDCD; +1E78 : CDCDCDCD; +1E79 : CDCDCDCD; +1E7A : CDCDCDCD; +1E7B : CDCDCDCD; +1E7C : CDCDCDCD; +1E7D : CDCDCDCD; +1E7E : CDCDCDCD; +1E7F : CDCDCDCD; +1E80 : CDCDCDCD; +1E81 : CDCDCDCD; +1E82 : CDCDCDCD; +1E83 : CDCDCDCD; +1E84 : CDCDCDCD; +1E85 : CDCDCDCD; +1E86 : CDCDCDCD; +1E87 : CDCDCDCD; +1E88 : CDCDCDCD; +1E89 : CDCDCDCD; +1E8A : CDCDCDCD; +1E8B : CDCDCDCD; +1E8C : CDCDCDCD; +1E8D : CDCDCDCD; +1E8E : CDCDCDCD; +1E8F : CDCDCDCD; +1E90 : CDCDCDCD; +1E91 : CDCDCDCD; +1E92 : CDCDCDCD; +1E93 : CDCDCDCD; +1E94 : CDCDCDCD; +1E95 : CDCDCDCD; +1E96 : CDCDCDCD; +1E97 : CDCDCDCD; +1E98 : CDCDCDCD; +1E99 : CDCDCDCD; +1E9A : CDCDCDCD; +1E9B : CDCDCDCD; +1E9C : CDCDCDCD; +1E9D : CDCDCDCD; +1E9E : CDCDCDCD; +1E9F : CDCDCDCD; +1EA0 : CDCDCDCD; +1EA1 : CDCDCDCD; +1EA2 : CDCDCDCD; +1EA3 : CDCDCDCD; +1EA4 : CDCDCDCD; +1EA5 : CDCDCDCD; +1EA6 : CDCDCDCD; +1EA7 : CDCDCDCD; +1EA8 : CDCDCDCD; +1EA9 : CDCDCDCD; +1EAA : CDCDCDCD; +1EAB : CDCDCDCD; +1EAC : CDCDCDCD; +1EAD : CDCDCDCD; +1EAE : CDCDCDCD; +1EAF : CDCDCDCD; +1EB0 : CDCDCDCD; +1EB1 : CDCDCDCD; +1EB2 : CDCDCDCD; +1EB3 : CDCDCDCD; +1EB4 : CDCDCDCD; +1EB5 : CDCDCDCD; +1EB6 : CDCDCDCD; +1EB7 : CDCDCDCD; +1EB8 : CDCDCDCD; +1EB9 : CDCDCDCD; +1EBA : CDCDCDCD; +1EBB : CDCDCDCD; +1EBC : CDCDCDCD; +1EBD : CDCDCDCD; +1EBE : CDCDCDCD; +1EBF : CDCDCDCD; +1EC0 : CDCDCDCD; +1EC1 : CDCDCDCD; +1EC2 : CDCDCDCD; +1EC3 : CDCDCDCD; +1EC4 : CDCDCDCD; +1EC5 : CDCDCDCD; +1EC6 : CDCDCDCD; +1EC7 : CDCDCDCD; +1EC8 : CDCDCDCD; +1EC9 : CDCDCDCD; +1ECA : CDCDCDCD; +1ECB : CDCDCDCD; +1ECC : CDCDCDCD; +1ECD : CDCDCDCD; +1ECE : CDCDCDCD; +1ECF : CDCDCDCD; +1ED0 : CDCDCDCD; +1ED1 : CDCDCDCD; +1ED2 : CDCDCDCD; +1ED3 : CDCDCDCD; +1ED4 : CDCDCDCD; +1ED5 : CDCDCDCD; +1ED6 : CDCDCDCD; +1ED7 : CDCDCDCD; +1ED8 : CDCDCDCD; +1ED9 : CDCDCDCD; +1EDA : CDCDCDCD; +1EDB : CDCDCDCD; +1EDC : CDCDCDCD; +1EDD : CDCDCDCD; +1EDE : CDCDCDCD; +1EDF : CDCDCDCD; +1EE0 : CDCDCDCD; +1EE1 : CDCDCDCD; +1EE2 : CDCDCDCD; +1EE3 : CDCDCDCD; +1EE4 : CDCDCDCD; +1EE5 : CDCDCDCD; +1EE6 : CDCDCDCD; +1EE7 : CDCDCDCD; +1EE8 : CDCDCDCD; +1EE9 : CDCDCDCD; +1EEA : CDCDCDCD; +1EEB : CDCDCDCD; +1EEC : CDCDCDCD; +1EED : CDCDCDCD; +1EEE : CDCDCDCD; +1EEF : CDCDCDCD; +1EF0 : CDCDCDCD; +1EF1 : CDCDCDCD; +1EF2 : CDCDCDCD; +1EF3 : CDCDCDCD; +1EF4 : CDCDCDCD; +1EF5 : CDCDCDCD; +1EF6 : CDCDCDCD; +1EF7 : CDCDCDCD; +1EF8 : CDCDCDCD; +1EF9 : CDCDCDCD; +1EFA : CDCDCDCD; +1EFB : CDCDCDCD; +1EFC : CDCDCDCD; +1EFD : CDCDCDCD; +1EFE : CDCDCDCD; +1EFF : CDCDCDCD; +1F00 : CDCDCDCD; +1F01 : CDCDCDCD; +1F02 : CDCDCDCD; +1F03 : CDCDCDCD; +1F04 : CDCDCDCD; +1F05 : CDCDCDCD; +1F06 : CDCDCDCD; +1F07 : CDCDCDCD; +1F08 : CDCDCDCD; +1F09 : CDCDCDCD; +1F0A : CDCDCDCD; +1F0B : CDCDCDCD; +1F0C : CDCDCDCD; +1F0D : CDCDCDCD; +1F0E : CDCDCDCD; +1F0F : CDCDCDCD; +1F10 : CDCDCDCD; +1F11 : CDCDCDCD; +1F12 : CDCDCDCD; +1F13 : CDCDCDCD; +1F14 : CDCDCDCD; +1F15 : CDCDCDCD; +1F16 : CDCDCDCD; +1F17 : CDCDCDCD; +1F18 : CDCDCDCD; +1F19 : CDCDCDCD; +1F1A : CDCDCDCD; +1F1B : CDCDCDCD; +1F1C : CDCDCDCD; +1F1D : CDCDCDCD; +1F1E : CDCDCDCD; +1F1F : CDCDCDCD; +1F20 : CDCDCDCD; +1F21 : CDCDCDCD; +1F22 : CDCDCDCD; +1F23 : CDCDCDCD; +1F24 : CDCDCDCD; +1F25 : CDCDCDCD; +1F26 : CDCDCDCD; +1F27 : CDCDCDCD; +1F28 : CDCDCDCD; +1F29 : CDCDCDCD; +1F2A : CDCDCDCD; +1F2B : CDCDCDCD; +1F2C : CDCDCDCD; +1F2D : CDCDCDCD; +1F2E : CDCDCDCD; +1F2F : CDCDCDCD; +1F30 : CDCDCDCD; +1F31 : CDCDCDCD; +1F32 : CDCDCDCD; +1F33 : CDCDCDCD; +1F34 : CDCDCDCD; +1F35 : CDCDCDCD; +1F36 : CDCDCDCD; +1F37 : CDCDCDCD; +1F38 : CDCDCDCD; +1F39 : CDCDCDCD; +1F3A : CDCDCDCD; +1F3B : CDCDCDCD; +1F3C : CDCDCDCD; +1F3D : CDCDCDCD; +1F3E : CDCDCDCD; +1F3F : CDCDCDCD; +1F40 : CDCDCDCD; +1F41 : CDCDCDCD; +1F42 : CDCDCDCD; +1F43 : CDCDCDCD; +1F44 : CDCDCDCD; +1F45 : CDCDCDCD; +1F46 : CDCDCDCD; +1F47 : CDCDCDCD; +1F48 : CDCDCDCD; +1F49 : CDCDCDCD; +1F4A : CDCDCDCD; +1F4B : CDCDCDCD; +1F4C : CDCDCDCD; +1F4D : CDCDCDCD; +1F4E : CDCDCDCD; +1F4F : CDCDCDCD; +1F50 : CDCDCDCD; +1F51 : CDCDCDCD; +1F52 : CDCDCDCD; +1F53 : CDCDCDCD; +1F54 : CDCDCDCD; +1F55 : CDCDCDCD; +1F56 : CDCDCDCD; +1F57 : CDCDCDCD; +1F58 : CDCDCDCD; +1F59 : CDCDCDCD; +1F5A : CDCDCDCD; +1F5B : CDCDCDCD; +1F5C : CDCDCDCD; +1F5D : CDCDCDCD; +1F5E : CDCDCDCD; +1F5F : CDCDCDCD; +1F60 : CDCDCDCD; +1F61 : CDCDCDCD; +1F62 : CDCDCDCD; +1F63 : CDCDCDCD; +1F64 : CDCDCDCD; +1F65 : CDCDCDCD; +1F66 : CDCDCDCD; +1F67 : CDCDCDCD; +1F68 : CDCDCDCD; +1F69 : CDCDCDCD; +1F6A : CDCDCDCD; +1F6B : CDCDCDCD; +1F6C : CDCDCDCD; +1F6D : CDCDCDCD; +1F6E : CDCDCDCD; +1F6F : CDCDCDCD; +1F70 : CDCDCDCD; +1F71 : CDCDCDCD; +1F72 : CDCDCDCD; +1F73 : CDCDCDCD; +1F74 : CDCDCDCD; +1F75 : CDCDCDCD; +1F76 : CDCDCDCD; +1F77 : CDCDCDCD; +1F78 : CDCDCDCD; +1F79 : CDCDCDCD; +1F7A : CDCDCDCD; +1F7B : CDCDCDCD; +1F7C : CDCDCDCD; +1F7D : CDCDCDCD; +1F7E : CDCDCDCD; +1F7F : CDCDCDCD; +1F80 : CDCDCDCD; +1F81 : CDCDCDCD; +1F82 : CDCDCDCD; +1F83 : CDCDCDCD; +1F84 : CDCDCDCD; +1F85 : CDCDCDCD; +1F86 : CDCDCDCD; +1F87 : CDCDCDCD; +1F88 : CDCDCDCD; +1F89 : CDCDCDCD; +1F8A : CDCDCDCD; +1F8B : CDCDCDCD; +1F8C : CDCDCDCD; +1F8D : CDCDCDCD; +1F8E : CDCDCDCD; +1F8F : CDCDCDCD; +1F90 : CDCDCDCD; +1F91 : CDCDCDCD; +1F92 : CDCDCDCD; +1F93 : CDCDCDCD; +1F94 : CDCDCDCD; +1F95 : CDCDCDCD; +1F96 : CDCDCDCD; +1F97 : CDCDCDCD; +1F98 : CDCDCDCD; +1F99 : CDCDCDCD; +1F9A : CDCDCDCD; +1F9B : CDCDCDCD; +1F9C : CDCDCDCD; +1F9D : CDCDCDCD; +1F9E : CDCDCDCD; +1F9F : CDCDCDCD; +1FA0 : CDCDCDCD; +1FA1 : CDCDCDCD; +1FA2 : CDCDCDCD; +1FA3 : CDCDCDCD; +1FA4 : CDCDCDCD; +1FA5 : CDCDCDCD; +1FA6 : CDCDCDCD; +1FA7 : CDCDCDCD; +1FA8 : CDCDCDCD; +1FA9 : CDCDCDCD; +1FAA : CDCDCDCD; +1FAB : CDCDCDCD; +1FAC : CDCDCDCD; +1FAD : CDCDCDCD; +1FAE : CDCDCDCD; +1FAF : CDCDCDCD; +1FB0 : CDCDCDCD; +1FB1 : CDCDCDCD; +1FB2 : CDCDCDCD; +1FB3 : CDCDCDCD; +1FB4 : CDCDCDCD; +1FB5 : CDCDCDCD; +1FB6 : CDCDCDCD; +1FB7 : CDCDCDCD; +1FB8 : CDCDCDCD; +1FB9 : CDCDCDCD; +1FBA : CDCDCDCD; +1FBB : CDCDCDCD; +1FBC : CDCDCDCD; +1FBD : CDCDCDCD; +1FBE : CDCDCDCD; +1FBF : CDCDCDCD; +1FC0 : CDCDCDCD; +1FC1 : CDCDCDCD; +1FC2 : CDCDCDCD; +1FC3 : CDCDCDCD; +1FC4 : CDCDCDCD; +1FC5 : CDCDCDCD; +1FC6 : CDCDCDCD; +1FC7 : CDCDCDCD; +1FC8 : CDCDCDCD; +1FC9 : CDCDCDCD; +1FCA : CDCDCDCD; +1FCB : CDCDCDCD; +1FCC : CDCDCDCD; +1FCD : CDCDCDCD; +1FCE : CDCDCDCD; +1FCF : CDCDCDCD; +1FD0 : CDCDCDCD; +1FD1 : CDCDCDCD; +1FD2 : CDCDCDCD; +1FD3 : CDCDCDCD; +1FD4 : CDCDCDCD; +1FD5 : CDCDCDCD; +1FD6 : CDCDCDCD; +1FD7 : CDCDCDCD; +1FD8 : CDCDCDCD; +1FD9 : CDCDCDCD; +1FDA : CDCDCDCD; +1FDB : CDCDCDCD; +1FDC : CDCDCDCD; +1FDD : CDCDCDCD; +1FDE : CDCDCDCD; +1FDF : CDCDCDCD; +1FE0 : CDCDCDCD; +1FE1 : CDCDCDCD; +1FE2 : CDCDCDCD; +1FE3 : CDCDCDCD; +1FE4 : CDCDCDCD; +1FE5 : CDCDCDCD; +1FE6 : CDCDCDCD; +1FE7 : CDCDCDCD; +1FE8 : CDCDCDCD; +1FE9 : CDCDCDCD; +1FEA : CDCDCDCD; +1FEB : CDCDCDCD; +1FEC : CDCDCDCD; +1FED : CDCDCDCD; +1FEE : CDCDCDCD; +1FEF : CDCDCDCD; +1FF0 : CDCDCDCD; +1FF1 : CDCDCDCD; +1FF2 : CDCDCDCD; +1FF3 : CDCDCDCD; +1FF4 : CDCDCDCD; +1FF5 : CDCDCDCD; +1FF6 : CDCDCDCD; +1FF7 : CDCDCDCD; +1FF8 : CDCDCDCD; +1FF9 : CDCDCDCD; +1FFA : CDCDCDCD; +1FFB : CDCDCDCD; +1FFC : CDCDCDCD; +1FFD : CDCDCDCD; +1FFE : CDCDCDCD; +1FFF : CDCDCDCD; +2000 : CDCDCDCD; +2001 : CDCDCDCD; +2002 : CDCDCDCD; +2003 : CDCDCDCD; +2004 : CDCDCDCD; +2005 : CDCDCDCD; +2006 : CDCDCDCD; +2007 : CDCDCDCD; +2008 : CDCDCDCD; +2009 : CDCDCDCD; +200A : CDCDCDCD; +200B : CDCDCDCD; +200C : CDCDCDCD; +200D : CDCDCDCD; +200E : CDCDCDCD; +200F : CDCDCDCD; +2010 : CDCDCDCD; +2011 : CDCDCDCD; +2012 : CDCDCDCD; +2013 : CDCDCDCD; +2014 : CDCDCDCD; +2015 : CDCDCDCD; +2016 : CDCDCDCD; +2017 : CDCDCDCD; +2018 : CDCDCDCD; +2019 : CDCDCDCD; +201A : CDCDCDCD; +201B : CDCDCDCD; +201C : CDCDCDCD; +201D : CDCDCDCD; +201E : CDCDCDCD; +201F : CDCDCDCD; +2020 : CDCDCDCD; +2021 : CDCDCDCD; +2022 : CDCDCDCD; +2023 : CDCDCDCD; +2024 : CDCDCDCD; +2025 : CDCDCDCD; +2026 : CDCDCDCD; +2027 : CDCDCDCD; +2028 : CDCDCDCD; +2029 : CDCDCDCD; +202A : CDCDCDCD; +202B : CDCDCDCD; +202C : CDCDCDCD; +202D : CDCDCDCD; +202E : CDCDCDCD; +202F : CDCDCDCD; +2030 : CDCDCDCD; +2031 : CDCDCDCD; +2032 : CDCDCDCD; +2033 : CDCDCDCD; +2034 : CDCDCDCD; +2035 : CDCDCDCD; +2036 : CDCDCDCD; +2037 : CDCDCDCD; +2038 : CDCDCDCD; +2039 : CDCDCDCD; +203A : CDCDCDCD; +203B : CDCDCDCD; +203C : CDCDCDCD; +203D : CDCDCDCD; +203E : CDCDCDCD; +203F : CDCDCDCD; +2040 : CDCDCDCD; +2041 : CDCDCDCD; +2042 : CDCDCDCD; +2043 : CDCDCDCD; +2044 : CDCDCDCD; +2045 : CDCDCDCD; +2046 : CDCDCDCD; +2047 : CDCDCDCD; +2048 : CDCDCDCD; +2049 : CDCDCDCD; +204A : CDCDCDCD; +204B : CDCDCDCD; +204C : CDCDCDCD; +204D : CDCDCDCD; +204E : CDCDCDCD; +204F : CDCDCDCD; +2050 : CDCDCDCD; +2051 : CDCDCDCD; +2052 : CDCDCDCD; +2053 : CDCDCDCD; +2054 : CDCDCDCD; +2055 : CDCDCDCD; +2056 : CDCDCDCD; +2057 : CDCDCDCD; +2058 : CDCDCDCD; +2059 : CDCDCDCD; +205A : CDCDCDCD; +205B : CDCDCDCD; +205C : CDCDCDCD; +205D : CDCDCDCD; +205E : CDCDCDCD; +205F : CDCDCDCD; +2060 : CDCDCDCD; +2061 : CDCDCDCD; +2062 : CDCDCDCD; +2063 : CDCDCDCD; +2064 : CDCDCDCD; +2065 : CDCDCDCD; +2066 : CDCDCDCD; +2067 : CDCDCDCD; +2068 : CDCDCDCD; +2069 : CDCDCDCD; +206A : CDCDCDCD; +206B : CDCDCDCD; +206C : CDCDCDCD; +206D : CDCDCDCD; +206E : CDCDCDCD; +206F : CDCDCDCD; +2070 : CDCDCDCD; +2071 : CDCDCDCD; +2072 : CDCDCDCD; +2073 : CDCDCDCD; +2074 : CDCDCDCD; +2075 : CDCDCDCD; +2076 : CDCDCDCD; +2077 : CDCDCDCD; +2078 : CDCDCDCD; +2079 : CDCDCDCD; +207A : CDCDCDCD; +207B : CDCDCDCD; +207C : CDCDCDCD; +207D : CDCDCDCD; +207E : CDCDCDCD; +207F : CDCDCDCD; +2080 : CDCDCDCD; +2081 : CDCDCDCD; +2082 : CDCDCDCD; +2083 : CDCDCDCD; +2084 : CDCDCDCD; +2085 : CDCDCDCD; +2086 : CDCDCDCD; +2087 : CDCDCDCD; +2088 : CDCDCDCD; +2089 : CDCDCDCD; +208A : CDCDCDCD; +208B : CDCDCDCD; +208C : CDCDCDCD; +208D : CDCDCDCD; +208E : CDCDCDCD; +208F : CDCDCDCD; +2090 : CDCDCDCD; +2091 : CDCDCDCD; +2092 : CDCDCDCD; +2093 : CDCDCDCD; +2094 : CDCDCDCD; +2095 : CDCDCDCD; +2096 : CDCDCDCD; +2097 : CDCDCDCD; +2098 : CDCDCDCD; +2099 : CDCDCDCD; +209A : CDCDCDCD; +209B : CDCDCDCD; +209C : CDCDCDCD; +209D : CDCDCDCD; +209E : CDCDCDCD; +209F : CDCDCDCD; +20A0 : CDCDCDCD; +20A1 : CDCDCDCD; +20A2 : CDCDCDCD; +20A3 : CDCDCDCD; +20A4 : CDCDCDCD; +20A5 : CDCDCDCD; +20A6 : CDCDCDCD; +20A7 : CDCDCDCD; +20A8 : CDCDCDCD; +20A9 : CDCDCDCD; +20AA : CDCDCDCD; +20AB : CDCDCDCD; +20AC : CDCDCDCD; +20AD : CDCDCDCD; +20AE : CDCDCDCD; +20AF : CDCDCDCD; +20B0 : CDCDCDCD; +20B1 : CDCDCDCD; +20B2 : CDCDCDCD; +20B3 : CDCDCDCD; +20B4 : CDCDCDCD; +20B5 : CDCDCDCD; +20B6 : CDCDCDCD; +20B7 : CDCDCDCD; +20B8 : CDCDCDCD; +20B9 : CDCDCDCD; +20BA : CDCDCDCD; +20BB : CDCDCDCD; +20BC : CDCDCDCD; +20BD : CDCDCDCD; +20BE : CDCDCDCD; +20BF : CDCDCDCD; +20C0 : CDCDCDCD; +20C1 : CDCDCDCD; +20C2 : CDCDCDCD; +20C3 : CDCDCDCD; +20C4 : CDCDCDCD; +20C5 : CDCDCDCD; +20C6 : CDCDCDCD; +20C7 : CDCDCDCD; +20C8 : CDCDCDCD; +20C9 : CDCDCDCD; +20CA : CDCDCDCD; +20CB : CDCDCDCD; +20CC : CDCDCDCD; +20CD : CDCDCDCD; +20CE : CDCDCDCD; +20CF : CDCDCDCD; +20D0 : CDCDCDCD; +20D1 : CDCDCDCD; +20D2 : CDCDCDCD; +20D3 : CDCDCDCD; +20D4 : CDCDCDCD; +20D5 : CDCDCDCD; +20D6 : CDCDCDCD; +20D7 : CDCDCDCD; +20D8 : CDCDCDCD; +20D9 : CDCDCDCD; +20DA : CDCDCDCD; +20DB : CDCDCDCD; +20DC : CDCDCDCD; +20DD : CDCDCDCD; +20DE : CDCDCDCD; +20DF : CDCDCDCD; +20E0 : CDCDCDCD; +20E1 : CDCDCDCD; +20E2 : CDCDCDCD; +20E3 : CDCDCDCD; +20E4 : CDCDCDCD; +20E5 : CDCDCDCD; +20E6 : CDCDCDCD; +20E7 : CDCDCDCD; +20E8 : CDCDCDCD; +20E9 : CDCDCDCD; +20EA : CDCDCDCD; +20EB : CDCDCDCD; +20EC : CDCDCDCD; +20ED : CDCDCDCD; +20EE : CDCDCDCD; +20EF : CDCDCDCD; +20F0 : CDCDCDCD; +20F1 : CDCDCDCD; +20F2 : CDCDCDCD; +20F3 : CDCDCDCD; +20F4 : CDCDCDCD; +20F5 : CDCDCDCD; +20F6 : CDCDCDCD; +20F7 : CDCDCDCD; +20F8 : CDCDCDCD; +20F9 : CDCDCDCD; +20FA : CDCDCDCD; +20FB : CDCDCDCD; +20FC : CDCDCDCD; +20FD : CDCDCDCD; +20FE : CDCDCDCD; +20FF : CDCDCDCD; +2100 : CDCDCDCD; +2101 : CDCDCDCD; +2102 : CDCDCDCD; +2103 : CDCDCDCD; +2104 : CDCDCDCD; +2105 : CDCDCDCD; +2106 : CDCDCDCD; +2107 : CDCDCDCD; +2108 : CDCDCDCD; +2109 : CDCDCDCD; +210A : CDCDCDCD; +210B : CDCDCDCD; +210C : CDCDCDCD; +210D : CDCDCDCD; +210E : CDCDCDCD; +210F : CDCDCDCD; +2110 : CDCDCDCD; +2111 : CDCDCDCD; +2112 : CDCDCDCD; +2113 : CDCDCDCD; +2114 : CDCDCDCD; +2115 : CDCDCDCD; +2116 : CDCDCDCD; +2117 : CDCDCDCD; +2118 : CDCDCDCD; +2119 : CDCDCDCD; +211A : CDCDCDCD; +211B : CDCDCDCD; +211C : CDCDCDCD; +211D : CDCDCDCD; +211E : CDCDCDCD; +211F : CDCDCDCD; +2120 : CDCDCDCD; +2121 : CDCDCDCD; +2122 : CDCDCDCD; +2123 : CDCDCDCD; +2124 : CDCDCDCD; +2125 : CDCDCDCD; +2126 : CDCDCDCD; +2127 : CDCDCDCD; +2128 : CDCDCDCD; +2129 : CDCDCDCD; +212A : CDCDCDCD; +212B : CDCDCDCD; +212C : CDCDCDCD; +212D : CDCDCDCD; +212E : CDCDCDCD; +212F : CDCDCDCD; +2130 : CDCDCDCD; +2131 : CDCDCDCD; +2132 : CDCDCDCD; +2133 : CDCDCDCD; +2134 : CDCDCDCD; +2135 : CDCDCDCD; +2136 : CDCDCDCD; +2137 : CDCDCDCD; +2138 : CDCDCDCD; +2139 : CDCDCDCD; +213A : CDCDCDCD; +213B : CDCDCDCD; +213C : CDCDCDCD; +213D : CDCDCDCD; +213E : CDCDCDCD; +213F : CDCDCDCD; +2140 : CDCDCDCD; +2141 : CDCDCDCD; +2142 : CDCDCDCD; +2143 : CDCDCDCD; +2144 : CDCDCDCD; +2145 : CDCDCDCD; +2146 : CDCDCDCD; +2147 : CDCDCDCD; +2148 : CDCDCDCD; +2149 : CDCDCDCD; +214A : CDCDCDCD; +214B : CDCDCDCD; +214C : CDCDCDCD; +214D : CDCDCDCD; +214E : CDCDCDCD; +214F : CDCDCDCD; +2150 : CDCDCDCD; +2151 : CDCDCDCD; +2152 : CDCDCDCD; +2153 : CDCDCDCD; +2154 : CDCDCDCD; +2155 : CDCDCDCD; +2156 : CDCDCDCD; +2157 : CDCDCDCD; +2158 : CDCDCDCD; +2159 : CDCDCDCD; +215A : CDCDCDCD; +215B : CDCDCDCD; +215C : CDCDCDCD; +215D : CDCDCDCD; +215E : CDCDCDCD; +215F : CDCDCDCD; +2160 : CDCDCDCD; +2161 : CDCDCDCD; +2162 : CDCDCDCD; +2163 : CDCDCDCD; +2164 : CDCDCDCD; +2165 : CDCDCDCD; +2166 : CDCDCDCD; +2167 : CDCDCDCD; +2168 : CDCDCDCD; +2169 : CDCDCDCD; +216A : CDCDCDCD; +216B : CDCDCDCD; +216C : CDCDCDCD; +216D : CDCDCDCD; +216E : CDCDCDCD; +216F : CDCDCDCD; +2170 : CDCDCDCD; +2171 : CDCDCDCD; +2172 : CDCDCDCD; +2173 : CDCDCDCD; +2174 : CDCDCDCD; +2175 : CDCDCDCD; +2176 : CDCDCDCD; +2177 : CDCDCDCD; +2178 : CDCDCDCD; +2179 : CDCDCDCD; +217A : CDCDCDCD; +217B : CDCDCDCD; +217C : CDCDCDCD; +217D : CDCDCDCD; +217E : CDCDCDCD; +217F : CDCDCDCD; +2180 : CDCDCDCD; +2181 : CDCDCDCD; +2182 : CDCDCDCD; +2183 : CDCDCDCD; +2184 : CDCDCDCD; +2185 : CDCDCDCD; +2186 : CDCDCDCD; +2187 : CDCDCDCD; +2188 : CDCDCDCD; +2189 : CDCDCDCD; +218A : CDCDCDCD; +218B : CDCDCDCD; +218C : CDCDCDCD; +218D : CDCDCDCD; +218E : CDCDCDCD; +218F : CDCDCDCD; +2190 : CDCDCDCD; +2191 : CDCDCDCD; +2192 : CDCDCDCD; +2193 : CDCDCDCD; +2194 : CDCDCDCD; +2195 : CDCDCDCD; +2196 : CDCDCDCD; +2197 : CDCDCDCD; +2198 : CDCDCDCD; +2199 : CDCDCDCD; +219A : CDCDCDCD; +219B : CDCDCDCD; +219C : CDCDCDCD; +219D : CDCDCDCD; +219E : CDCDCDCD; +219F : CDCDCDCD; +21A0 : CDCDCDCD; +21A1 : CDCDCDCD; +21A2 : CDCDCDCD; +21A3 : CDCDCDCD; +21A4 : CDCDCDCD; +21A5 : CDCDCDCD; +21A6 : CDCDCDCD; +21A7 : CDCDCDCD; +21A8 : CDCDCDCD; +21A9 : CDCDCDCD; +21AA : CDCDCDCD; +21AB : CDCDCDCD; +21AC : CDCDCDCD; +21AD : CDCDCDCD; +21AE : CDCDCDCD; +21AF : CDCDCDCD; +21B0 : CDCDCDCD; +21B1 : CDCDCDCD; +21B2 : CDCDCDCD; +21B3 : CDCDCDCD; +21B4 : CDCDCDCD; +21B5 : CDCDCDCD; +21B6 : CDCDCDCD; +21B7 : CDCDCDCD; +21B8 : CDCDCDCD; +21B9 : CDCDCDCD; +21BA : CDCDCDCD; +21BB : CDCDCDCD; +21BC : CDCDCDCD; +21BD : CDCDCDCD; +21BE : CDCDCDCD; +21BF : CDCDCDCD; +21C0 : CDCDCDCD; +21C1 : CDCDCDCD; +21C2 : CDCDCDCD; +21C3 : CDCDCDCD; +21C4 : CDCDCDCD; +21C5 : CDCDCDCD; +21C6 : CDCDCDCD; +21C7 : CDCDCDCD; +21C8 : CDCDCDCD; +21C9 : CDCDCDCD; +21CA : CDCDCDCD; +21CB : CDCDCDCD; +21CC : CDCDCDCD; +21CD : CDCDCDCD; +21CE : CDCDCDCD; +21CF : CDCDCDCD; +21D0 : CDCDCDCD; +21D1 : CDCDCDCD; +21D2 : CDCDCDCD; +21D3 : CDCDCDCD; +21D4 : CDCDCDCD; +21D5 : CDCDCDCD; +21D6 : CDCDCDCD; +21D7 : CDCDCDCD; +21D8 : CDCDCDCD; +21D9 : CDCDCDCD; +21DA : CDCDCDCD; +21DB : CDCDCDCD; +21DC : CDCDCDCD; +21DD : CDCDCDCD; +21DE : CDCDCDCD; +21DF : CDCDCDCD; +21E0 : CDCDCDCD; +21E1 : CDCDCDCD; +21E2 : CDCDCDCD; +21E3 : CDCDCDCD; +21E4 : CDCDCDCD; +21E5 : CDCDCDCD; +21E6 : CDCDCDCD; +21E7 : CDCDCDCD; +21E8 : CDCDCDCD; +21E9 : CDCDCDCD; +21EA : CDCDCDCD; +21EB : CDCDCDCD; +21EC : CDCDCDCD; +21ED : CDCDCDCD; +21EE : CDCDCDCD; +21EF : CDCDCDCD; +21F0 : CDCDCDCD; +21F1 : CDCDCDCD; +21F2 : CDCDCDCD; +21F3 : CDCDCDCD; +21F4 : CDCDCDCD; +21F5 : CDCDCDCD; +21F6 : CDCDCDCD; +21F7 : CDCDCDCD; +21F8 : CDCDCDCD; +21F9 : CDCDCDCD; +21FA : CDCDCDCD; +21FB : CDCDCDCD; +21FC : CDCDCDCD; +21FD : CDCDCDCD; +21FE : CDCDCDCD; +21FF : CDCDCDCD; +2200 : CDCDCDCD; +2201 : CDCDCDCD; +2202 : CDCDCDCD; +2203 : CDCDCDCD; +2204 : CDCDCDCD; +2205 : CDCDCDCD; +2206 : CDCDCDCD; +2207 : CDCDCDCD; +2208 : CDCDCDCD; +2209 : CDCDCDCD; +220A : CDCDCDCD; +220B : CDCDCDCD; +220C : CDCDCDCD; +220D : CDCDCDCD; +220E : CDCDCDCD; +220F : CDCDCDCD; +2210 : CDCDCDCD; +2211 : CDCDCDCD; +2212 : CDCDCDCD; +2213 : CDCDCDCD; +2214 : CDCDCDCD; +2215 : CDCDCDCD; +2216 : CDCDCDCD; +2217 : CDCDCDCD; +2218 : CDCDCDCD; +2219 : CDCDCDCD; +221A : CDCDCDCD; +221B : CDCDCDCD; +221C : CDCDCDCD; +221D : CDCDCDCD; +221E : CDCDCDCD; +221F : CDCDCDCD; +2220 : CDCDCDCD; +2221 : CDCDCDCD; +2222 : CDCDCDCD; +2223 : CDCDCDCD; +2224 : CDCDCDCD; +2225 : CDCDCDCD; +2226 : CDCDCDCD; +2227 : CDCDCDCD; +2228 : CDCDCDCD; +2229 : CDCDCDCD; +222A : CDCDCDCD; +222B : CDCDCDCD; +222C : CDCDCDCD; +222D : CDCDCDCD; +222E : CDCDCDCD; +222F : CDCDCDCD; +2230 : CDCDCDCD; +2231 : CDCDCDCD; +2232 : CDCDCDCD; +2233 : CDCDCDCD; +2234 : CDCDCDCD; +2235 : CDCDCDCD; +2236 : CDCDCDCD; +2237 : CDCDCDCD; +2238 : CDCDCDCD; +2239 : CDCDCDCD; +223A : CDCDCDCD; +223B : CDCDCDCD; +223C : CDCDCDCD; +223D : CDCDCDCD; +223E : CDCDCDCD; +223F : CDCDCDCD; +2240 : CDCDCDCD; +2241 : CDCDCDCD; +2242 : CDCDCDCD; +2243 : CDCDCDCD; +2244 : CDCDCDCD; +2245 : CDCDCDCD; +2246 : CDCDCDCD; +2247 : CDCDCDCD; +2248 : CDCDCDCD; +2249 : CDCDCDCD; +224A : CDCDCDCD; +224B : CDCDCDCD; +224C : CDCDCDCD; +224D : CDCDCDCD; +224E : CDCDCDCD; +224F : CDCDCDCD; +2250 : CDCDCDCD; +2251 : CDCDCDCD; +2252 : CDCDCDCD; +2253 : CDCDCDCD; +2254 : CDCDCDCD; +2255 : CDCDCDCD; +2256 : CDCDCDCD; +2257 : CDCDCDCD; +2258 : CDCDCDCD; +2259 : CDCDCDCD; +225A : CDCDCDCD; +225B : CDCDCDCD; +225C : CDCDCDCD; +225D : CDCDCDCD; +225E : CDCDCDCD; +225F : CDCDCDCD; +2260 : CDCDCDCD; +2261 : CDCDCDCD; +2262 : CDCDCDCD; +2263 : CDCDCDCD; +2264 : CDCDCDCD; +2265 : CDCDCDCD; +2266 : CDCDCDCD; +2267 : CDCDCDCD; +2268 : CDCDCDCD; +2269 : CDCDCDCD; +226A : CDCDCDCD; +226B : CDCDCDCD; +226C : CDCDCDCD; +226D : CDCDCDCD; +226E : CDCDCDCD; +226F : CDCDCDCD; +2270 : CDCDCDCD; +2271 : CDCDCDCD; +2272 : CDCDCDCD; +2273 : CDCDCDCD; +2274 : CDCDCDCD; +2275 : CDCDCDCD; +2276 : CDCDCDCD; +2277 : CDCDCDCD; +2278 : CDCDCDCD; +2279 : CDCDCDCD; +227A : CDCDCDCD; +227B : CDCDCDCD; +227C : CDCDCDCD; +227D : CDCDCDCD; +227E : CDCDCDCD; +227F : CDCDCDCD; +2280 : CDCDCDCD; +2281 : CDCDCDCD; +2282 : CDCDCDCD; +2283 : CDCDCDCD; +2284 : CDCDCDCD; +2285 : CDCDCDCD; +2286 : CDCDCDCD; +2287 : CDCDCDCD; +2288 : CDCDCDCD; +2289 : CDCDCDCD; +228A : CDCDCDCD; +228B : CDCDCDCD; +228C : CDCDCDCD; +228D : CDCDCDCD; +228E : CDCDCDCD; +228F : CDCDCDCD; +2290 : CDCDCDCD; +2291 : CDCDCDCD; +2292 : CDCDCDCD; +2293 : CDCDCDCD; +2294 : CDCDCDCD; +2295 : CDCDCDCD; +2296 : CDCDCDCD; +2297 : CDCDCDCD; +2298 : CDCDCDCD; +2299 : CDCDCDCD; +229A : CDCDCDCD; +229B : CDCDCDCD; +229C : CDCDCDCD; +229D : CDCDCDCD; +229E : CDCDCDCD; +229F : CDCDCDCD; +22A0 : CDCDCDCD; +22A1 : CDCDCDCD; +22A2 : CDCDCDCD; +22A3 : CDCDCDCD; +22A4 : CDCDCDCD; +22A5 : CDCDCDCD; +22A6 : CDCDCDCD; +22A7 : CDCDCDCD; +22A8 : CDCDCDCD; +22A9 : CDCDCDCD; +22AA : CDCDCDCD; +22AB : CDCDCDCD; +22AC : CDCDCDCD; +22AD : CDCDCDCD; +22AE : CDCDCDCD; +22AF : CDCDCDCD; +22B0 : CDCDCDCD; +22B1 : CDCDCDCD; +22B2 : CDCDCDCD; +22B3 : CDCDCDCD; +22B4 : CDCDCDCD; +22B5 : CDCDCDCD; +22B6 : CDCDCDCD; +22B7 : CDCDCDCD; +22B8 : CDCDCDCD; +22B9 : CDCDCDCD; +22BA : CDCDCDCD; +22BB : CDCDCDCD; +22BC : CDCDCDCD; +22BD : CDCDCDCD; +22BE : CDCDCDCD; +22BF : CDCDCDCD; +22C0 : CDCDCDCD; +22C1 : CDCDCDCD; +22C2 : CDCDCDCD; +22C3 : CDCDCDCD; +22C4 : CDCDCDCD; +22C5 : CDCDCDCD; +22C6 : CDCDCDCD; +22C7 : CDCDCDCD; +22C8 : CDCDCDCD; +22C9 : CDCDCDCD; +22CA : CDCDCDCD; +22CB : CDCDCDCD; +22CC : CDCDCDCD; +22CD : CDCDCDCD; +22CE : CDCDCDCD; +22CF : CDCDCDCD; +22D0 : CDCDCDCD; +22D1 : CDCDCDCD; +22D2 : CDCDCDCD; +22D3 : CDCDCDCD; +22D4 : CDCDCDCD; +22D5 : CDCDCDCD; +22D6 : CDCDCDCD; +22D7 : CDCDCDCD; +22D8 : CDCDCDCD; +22D9 : CDCDCDCD; +22DA : CDCDCDCD; +22DB : CDCDCDCD; +22DC : CDCDCDCD; +22DD : CDCDCDCD; +22DE : CDCDCDCD; +22DF : CDCDCDCD; +22E0 : CDCDCDCD; +22E1 : CDCDCDCD; +22E2 : CDCDCDCD; +22E3 : CDCDCDCD; +22E4 : CDCDCDCD; +22E5 : CDCDCDCD; +22E6 : CDCDCDCD; +22E7 : CDCDCDCD; +22E8 : CDCDCDCD; +22E9 : CDCDCDCD; +22EA : CDCDCDCD; +22EB : CDCDCDCD; +22EC : CDCDCDCD; +22ED : CDCDCDCD; +22EE : CDCDCDCD; +22EF : CDCDCDCD; +22F0 : CDCDCDCD; +22F1 : CDCDCDCD; +22F2 : CDCDCDCD; +22F3 : CDCDCDCD; +22F4 : CDCDCDCD; +22F5 : CDCDCDCD; +22F6 : CDCDCDCD; +22F7 : CDCDCDCD; +22F8 : CDCDCDCD; +22F9 : CDCDCDCD; +22FA : CDCDCDCD; +22FB : CDCDCDCD; +22FC : CDCDCDCD; +22FD : CDCDCDCD; +22FE : CDCDCDCD; +22FF : CDCDCDCD; +2300 : CDCDCDCD; +2301 : CDCDCDCD; +2302 : CDCDCDCD; +2303 : CDCDCDCD; +2304 : CDCDCDCD; +2305 : CDCDCDCD; +2306 : CDCDCDCD; +2307 : CDCDCDCD; +2308 : CDCDCDCD; +2309 : CDCDCDCD; +230A : CDCDCDCD; +230B : CDCDCDCD; +230C : CDCDCDCD; +230D : CDCDCDCD; +230E : CDCDCDCD; +230F : CDCDCDCD; +2310 : CDCDCDCD; +2311 : CDCDCDCD; +2312 : CDCDCDCD; +2313 : CDCDCDCD; +2314 : CDCDCDCD; +2315 : CDCDCDCD; +2316 : CDCDCDCD; +2317 : CDCDCDCD; +2318 : CDCDCDCD; +2319 : CDCDCDCD; +231A : CDCDCDCD; +231B : CDCDCDCD; +231C : CDCDCDCD; +231D : CDCDCDCD; +231E : CDCDCDCD; +231F : CDCDCDCD; +2320 : CDCDCDCD; +2321 : CDCDCDCD; +2322 : CDCDCDCD; +2323 : CDCDCDCD; +2324 : CDCDCDCD; +2325 : CDCDCDCD; +2326 : CDCDCDCD; +2327 : CDCDCDCD; +2328 : CDCDCDCD; +2329 : CDCDCDCD; +232A : CDCDCDCD; +232B : CDCDCDCD; +232C : CDCDCDCD; +232D : CDCDCDCD; +232E : CDCDCDCD; +232F : CDCDCDCD; +2330 : CDCDCDCD; +2331 : CDCDCDCD; +2332 : CDCDCDCD; +2333 : CDCDCDCD; +2334 : CDCDCDCD; +2335 : CDCDCDCD; +2336 : CDCDCDCD; +2337 : CDCDCDCD; +2338 : CDCDCDCD; +2339 : CDCDCDCD; +233A : CDCDCDCD; +233B : CDCDCDCD; +233C : CDCDCDCD; +233D : CDCDCDCD; +233E : CDCDCDCD; +233F : CDCDCDCD; +2340 : CDCDCDCD; +2341 : CDCDCDCD; +2342 : CDCDCDCD; +2343 : CDCDCDCD; +2344 : CDCDCDCD; +2345 : CDCDCDCD; +2346 : CDCDCDCD; +2347 : CDCDCDCD; +2348 : CDCDCDCD; +2349 : CDCDCDCD; +234A : CDCDCDCD; +234B : CDCDCDCD; +234C : CDCDCDCD; +234D : CDCDCDCD; +234E : CDCDCDCD; +234F : CDCDCDCD; +2350 : CDCDCDCD; +2351 : CDCDCDCD; +2352 : CDCDCDCD; +2353 : CDCDCDCD; +2354 : CDCDCDCD; +2355 : CDCDCDCD; +2356 : CDCDCDCD; +2357 : CDCDCDCD; +2358 : CDCDCDCD; +2359 : CDCDCDCD; +235A : CDCDCDCD; +235B : CDCDCDCD; +235C : CDCDCDCD; +235D : CDCDCDCD; +235E : CDCDCDCD; +235F : CDCDCDCD; +2360 : CDCDCDCD; +2361 : CDCDCDCD; +2362 : CDCDCDCD; +2363 : CDCDCDCD; +2364 : CDCDCDCD; +2365 : CDCDCDCD; +2366 : CDCDCDCD; +2367 : CDCDCDCD; +2368 : CDCDCDCD; +2369 : CDCDCDCD; +236A : CDCDCDCD; +236B : CDCDCDCD; +236C : CDCDCDCD; +236D : CDCDCDCD; +236E : CDCDCDCD; +236F : CDCDCDCD; +2370 : CDCDCDCD; +2371 : CDCDCDCD; +2372 : CDCDCDCD; +2373 : CDCDCDCD; +2374 : CDCDCDCD; +2375 : CDCDCDCD; +2376 : CDCDCDCD; +2377 : CDCDCDCD; +2378 : CDCDCDCD; +2379 : CDCDCDCD; +237A : CDCDCDCD; +237B : CDCDCDCD; +237C : CDCDCDCD; +237D : CDCDCDCD; +237E : CDCDCDCD; +237F : CDCDCDCD; +2380 : CDCDCDCD; +2381 : CDCDCDCD; +2382 : CDCDCDCD; +2383 : CDCDCDCD; +2384 : CDCDCDCD; +2385 : CDCDCDCD; +2386 : CDCDCDCD; +2387 : CDCDCDCD; +2388 : CDCDCDCD; +2389 : CDCDCDCD; +238A : CDCDCDCD; +238B : CDCDCDCD; +238C : CDCDCDCD; +238D : CDCDCDCD; +238E : CDCDCDCD; +238F : CDCDCDCD; +2390 : CDCDCDCD; +2391 : CDCDCDCD; +2392 : CDCDCDCD; +2393 : CDCDCDCD; +2394 : CDCDCDCD; +2395 : CDCDCDCD; +2396 : CDCDCDCD; +2397 : CDCDCDCD; +2398 : CDCDCDCD; +2399 : CDCDCDCD; +239A : CDCDCDCD; +239B : CDCDCDCD; +239C : CDCDCDCD; +239D : CDCDCDCD; +239E : CDCDCDCD; +239F : CDCDCDCD; +23A0 : CDCDCDCD; +23A1 : CDCDCDCD; +23A2 : CDCDCDCD; +23A3 : CDCDCDCD; +23A4 : CDCDCDCD; +23A5 : CDCDCDCD; +23A6 : CDCDCDCD; +23A7 : CDCDCDCD; +23A8 : CDCDCDCD; +23A9 : CDCDCDCD; +23AA : CDCDCDCD; +23AB : CDCDCDCD; +23AC : CDCDCDCD; +23AD : CDCDCDCD; +23AE : CDCDCDCD; +23AF : CDCDCDCD; +23B0 : CDCDCDCD; +23B1 : CDCDCDCD; +23B2 : CDCDCDCD; +23B3 : CDCDCDCD; +23B4 : CDCDCDCD; +23B5 : CDCDCDCD; +23B6 : CDCDCDCD; +23B7 : CDCDCDCD; +23B8 : CDCDCDCD; +23B9 : CDCDCDCD; +23BA : CDCDCDCD; +23BB : CDCDCDCD; +23BC : CDCDCDCD; +23BD : CDCDCDCD; +23BE : CDCDCDCD; +23BF : CDCDCDCD; +23C0 : CDCDCDCD; +23C1 : CDCDCDCD; +23C2 : CDCDCDCD; +23C3 : CDCDCDCD; +23C4 : CDCDCDCD; +23C5 : CDCDCDCD; +23C6 : CDCDCDCD; +23C7 : CDCDCDCD; +23C8 : CDCDCDCD; +23C9 : CDCDCDCD; +23CA : CDCDCDCD; +23CB : CDCDCDCD; +23CC : CDCDCDCD; +23CD : CDCDCDCD; +23CE : CDCDCDCD; +23CF : CDCDCDCD; +23D0 : CDCDCDCD; +23D1 : CDCDCDCD; +23D2 : CDCDCDCD; +23D3 : CDCDCDCD; +23D4 : CDCDCDCD; +23D5 : CDCDCDCD; +23D6 : CDCDCDCD; +23D7 : CDCDCDCD; +23D8 : CDCDCDCD; +23D9 : CDCDCDCD; +23DA : CDCDCDCD; +23DB : CDCDCDCD; +23DC : CDCDCDCD; +23DD : CDCDCDCD; +23DE : CDCDCDCD; +23DF : CDCDCDCD; +23E0 : CDCDCDCD; +23E1 : CDCDCDCD; +23E2 : CDCDCDCD; +23E3 : CDCDCDCD; +23E4 : CDCDCDCD; +23E5 : CDCDCDCD; +23E6 : CDCDCDCD; +23E7 : CDCDCDCD; +23E8 : CDCDCDCD; +23E9 : CDCDCDCD; +23EA : CDCDCDCD; +23EB : CDCDCDCD; +23EC : CDCDCDCD; +23ED : CDCDCDCD; +23EE : CDCDCDCD; +23EF : CDCDCDCD; +23F0 : CDCDCDCD; +23F1 : CDCDCDCD; +23F2 : CDCDCDCD; +23F3 : CDCDCDCD; +23F4 : CDCDCDCD; +23F5 : CDCDCDCD; +23F6 : CDCDCDCD; +23F7 : CDCDCDCD; +23F8 : CDCDCDCD; +23F9 : CDCDCDCD; +23FA : CDCDCDCD; +23FB : CDCDCDCD; +23FC : CDCDCDCD; +23FD : CDCDCDCD; +23FE : CDCDCDCD; +23FF : CDCDCDCD; +2400 : CDCDCDCD; +2401 : CDCDCDCD; +2402 : CDCDCDCD; +2403 : CDCDCDCD; +2404 : CDCDCDCD; +2405 : CDCDCDCD; +2406 : CDCDCDCD; +2407 : CDCDCDCD; +2408 : CDCDCDCD; +2409 : CDCDCDCD; +240A : CDCDCDCD; +240B : CDCDCDCD; +240C : CDCDCDCD; +240D : CDCDCDCD; +240E : CDCDCDCD; +240F : CDCDCDCD; +2410 : CDCDCDCD; +2411 : CDCDCDCD; +2412 : CDCDCDCD; +2413 : CDCDCDCD; +2414 : CDCDCDCD; +2415 : CDCDCDCD; +2416 : CDCDCDCD; +2417 : CDCDCDCD; +2418 : CDCDCDCD; +2419 : CDCDCDCD; +241A : CDCDCDCD; +241B : CDCDCDCD; +241C : CDCDCDCD; +241D : CDCDCDCD; +241E : CDCDCDCD; +241F : CDCDCDCD; +2420 : CDCDCDCD; +2421 : CDCDCDCD; +2422 : CDCDCDCD; +2423 : CDCDCDCD; +2424 : CDCDCDCD; +2425 : CDCDCDCD; +2426 : CDCDCDCD; +2427 : CDCDCDCD; +2428 : CDCDCDCD; +2429 : CDCDCDCD; +242A : CDCDCDCD; +242B : CDCDCDCD; +242C : CDCDCDCD; +242D : CDCDCDCD; +242E : CDCDCDCD; +242F : CDCDCDCD; +2430 : CDCDCDCD; +2431 : CDCDCDCD; +2432 : CDCDCDCD; +2433 : CDCDCDCD; +2434 : CDCDCDCD; +2435 : CDCDCDCD; +2436 : CDCDCDCD; +2437 : CDCDCDCD; +2438 : CDCDCDCD; +2439 : CDCDCDCD; +243A : CDCDCDCD; +243B : CDCDCDCD; +243C : CDCDCDCD; +243D : CDCDCDCD; +243E : CDCDCDCD; +243F : CDCDCDCD; +2440 : CDCDCDCD; +2441 : CDCDCDCD; +2442 : CDCDCDCD; +2443 : CDCDCDCD; +2444 : CDCDCDCD; +2445 : CDCDCDCD; +2446 : CDCDCDCD; +2447 : CDCDCDCD; +2448 : CDCDCDCD; +2449 : CDCDCDCD; +244A : CDCDCDCD; +244B : CDCDCDCD; +244C : CDCDCDCD; +244D : CDCDCDCD; +244E : CDCDCDCD; +244F : CDCDCDCD; +2450 : CDCDCDCD; +2451 : CDCDCDCD; +2452 : CDCDCDCD; +2453 : CDCDCDCD; +2454 : CDCDCDCD; +2455 : CDCDCDCD; +2456 : CDCDCDCD; +2457 : CDCDCDCD; +2458 : CDCDCDCD; +2459 : CDCDCDCD; +245A : CDCDCDCD; +245B : CDCDCDCD; +245C : CDCDCDCD; +245D : CDCDCDCD; +245E : CDCDCDCD; +245F : CDCDCDCD; +2460 : CDCDCDCD; +2461 : CDCDCDCD; +2462 : CDCDCDCD; +2463 : CDCDCDCD; +2464 : CDCDCDCD; +2465 : CDCDCDCD; +2466 : CDCDCDCD; +2467 : CDCDCDCD; +2468 : CDCDCDCD; +2469 : CDCDCDCD; +246A : CDCDCDCD; +246B : CDCDCDCD; +246C : CDCDCDCD; +246D : CDCDCDCD; +246E : CDCDCDCD; +246F : CDCDCDCD; +2470 : CDCDCDCD; +2471 : CDCDCDCD; +2472 : CDCDCDCD; +2473 : CDCDCDCD; +2474 : CDCDCDCD; +2475 : CDCDCDCD; +2476 : CDCDCDCD; +2477 : CDCDCDCD; +2478 : CDCDCDCD; +2479 : CDCDCDCD; +247A : CDCDCDCD; +247B : CDCDCDCD; +247C : CDCDCDCD; +247D : CDCDCDCD; +247E : CDCDCDCD; +247F : CDCDCDCD; +2480 : CDCDCDCD; +2481 : CDCDCDCD; +2482 : CDCDCDCD; +2483 : CDCDCDCD; +2484 : CDCDCDCD; +2485 : CDCDCDCD; +2486 : CDCDCDCD; +2487 : CDCDCDCD; +2488 : CDCDCDCD; +2489 : CDCDCDCD; +248A : CDCDCDCD; +248B : CDCDCDCD; +248C : CDCDCDCD; +248D : CDCDCDCD; +248E : CDCDCDCD; +248F : CDCDCDCD; +2490 : CDCDCDCD; +2491 : CDCDCDCD; +2492 : CDCDCDCD; +2493 : CDCDCDCD; +2494 : CDCDCDCD; +2495 : CDCDCDCD; +2496 : CDCDCDCD; +2497 : CDCDCDCD; +2498 : CDCDCDCD; +2499 : CDCDCDCD; +249A : CDCDCDCD; +249B : CDCDCDCD; +249C : CDCDCDCD; +249D : CDCDCDCD; +249E : CDCDCDCD; +249F : CDCDCDCD; +24A0 : CDCDCDCD; +24A1 : CDCDCDCD; +24A2 : CDCDCDCD; +24A3 : CDCDCDCD; +24A4 : CDCDCDCD; +24A5 : CDCDCDCD; +24A6 : CDCDCDCD; +24A7 : CDCDCDCD; +24A8 : CDCDCDCD; +24A9 : CDCDCDCD; +24AA : CDCDCDCD; +24AB : CDCDCDCD; +24AC : CDCDCDCD; +24AD : CDCDCDCD; +24AE : CDCDCDCD; +24AF : CDCDCDCD; +24B0 : CDCDCDCD; +24B1 : CDCDCDCD; +24B2 : CDCDCDCD; +24B3 : CDCDCDCD; +24B4 : CDCDCDCD; +24B5 : CDCDCDCD; +24B6 : CDCDCDCD; +24B7 : CDCDCDCD; +24B8 : CDCDCDCD; +24B9 : CDCDCDCD; +24BA : CDCDCDCD; +24BB : CDCDCDCD; +24BC : CDCDCDCD; +24BD : CDCDCDCD; +24BE : CDCDCDCD; +24BF : CDCDCDCD; +24C0 : CDCDCDCD; +24C1 : CDCDCDCD; +24C2 : CDCDCDCD; +24C3 : CDCDCDCD; +24C4 : CDCDCDCD; +24C5 : CDCDCDCD; +24C6 : CDCDCDCD; +24C7 : CDCDCDCD; +24C8 : CDCDCDCD; +24C9 : CDCDCDCD; +24CA : CDCDCDCD; +24CB : CDCDCDCD; +24CC : CDCDCDCD; +24CD : CDCDCDCD; +24CE : CDCDCDCD; +24CF : CDCDCDCD; +24D0 : CDCDCDCD; +24D1 : CDCDCDCD; +24D2 : CDCDCDCD; +24D3 : CDCDCDCD; +24D4 : CDCDCDCD; +24D5 : CDCDCDCD; +24D6 : CDCDCDCD; +24D7 : CDCDCDCD; +24D8 : CDCDCDCD; +24D9 : CDCDCDCD; +24DA : CDCDCDCD; +24DB : CDCDCDCD; +24DC : CDCDCDCD; +24DD : CDCDCDCD; +24DE : CDCDCDCD; +24DF : CDCDCDCD; +24E0 : CDCDCDCD; +24E1 : CDCDCDCD; +24E2 : CDCDCDCD; +24E3 : CDCDCDCD; +24E4 : CDCDCDCD; +24E5 : CDCDCDCD; +24E6 : CDCDCDCD; +24E7 : CDCDCDCD; +24E8 : CDCDCDCD; +24E9 : CDCDCDCD; +24EA : CDCDCDCD; +24EB : CDCDCDCD; +24EC : CDCDCDCD; +24ED : CDCDCDCD; +24EE : CDCDCDCD; +24EF : CDCDCDCD; +24F0 : CDCDCDCD; +24F1 : CDCDCDCD; +24F2 : CDCDCDCD; +24F3 : CDCDCDCD; +24F4 : CDCDCDCD; +24F5 : CDCDCDCD; +24F6 : CDCDCDCD; +24F7 : CDCDCDCD; +24F8 : CDCDCDCD; +24F9 : CDCDCDCD; +24FA : CDCDCDCD; +24FB : CDCDCDCD; +24FC : CDCDCDCD; +24FD : CDCDCDCD; +24FE : CDCDCDCD; +24FF : CDCDCDCD; +2500 : CDCDCDCD; +2501 : CDCDCDCD; +2502 : CDCDCDCD; +2503 : CDCDCDCD; +2504 : CDCDCDCD; +2505 : CDCDCDCD; +2506 : CDCDCDCD; +2507 : CDCDCDCD; +2508 : CDCDCDCD; +2509 : CDCDCDCD; +250A : CDCDCDCD; +250B : CDCDCDCD; +250C : CDCDCDCD; +250D : CDCDCDCD; +250E : CDCDCDCD; +250F : CDCDCDCD; +2510 : CDCDCDCD; +2511 : CDCDCDCD; +2512 : CDCDCDCD; +2513 : CDCDCDCD; +2514 : CDCDCDCD; +2515 : CDCDCDCD; +2516 : CDCDCDCD; +2517 : CDCDCDCD; +2518 : CDCDCDCD; +2519 : CDCDCDCD; +251A : CDCDCDCD; +251B : CDCDCDCD; +251C : CDCDCDCD; +251D : CDCDCDCD; +251E : CDCDCDCD; +251F : CDCDCDCD; +2520 : CDCDCDCD; +2521 : CDCDCDCD; +2522 : CDCDCDCD; +2523 : CDCDCDCD; +2524 : CDCDCDCD; +2525 : CDCDCDCD; +2526 : CDCDCDCD; +2527 : CDCDCDCD; +2528 : CDCDCDCD; +2529 : CDCDCDCD; +252A : CDCDCDCD; +252B : CDCDCDCD; +252C : CDCDCDCD; +252D : CDCDCDCD; +252E : CDCDCDCD; +252F : CDCDCDCD; +2530 : CDCDCDCD; +2531 : CDCDCDCD; +2532 : CDCDCDCD; +2533 : CDCDCDCD; +2534 : CDCDCDCD; +2535 : CDCDCDCD; +2536 : CDCDCDCD; +2537 : CDCDCDCD; +2538 : CDCDCDCD; +2539 : CDCDCDCD; +253A : CDCDCDCD; +253B : CDCDCDCD; +253C : CDCDCDCD; +253D : CDCDCDCD; +253E : CDCDCDCD; +253F : CDCDCDCD; +2540 : CDCDCDCD; +2541 : CDCDCDCD; +2542 : CDCDCDCD; +2543 : CDCDCDCD; +2544 : CDCDCDCD; +2545 : CDCDCDCD; +2546 : CDCDCDCD; +2547 : CDCDCDCD; +2548 : CDCDCDCD; +2549 : CDCDCDCD; +254A : CDCDCDCD; +254B : CDCDCDCD; +254C : CDCDCDCD; +254D : CDCDCDCD; +254E : CDCDCDCD; +254F : CDCDCDCD; +2550 : CDCDCDCD; +2551 : CDCDCDCD; +2552 : CDCDCDCD; +2553 : CDCDCDCD; +2554 : CDCDCDCD; +2555 : CDCDCDCD; +2556 : CDCDCDCD; +2557 : CDCDCDCD; +2558 : CDCDCDCD; +2559 : CDCDCDCD; +255A : CDCDCDCD; +255B : CDCDCDCD; +255C : CDCDCDCD; +255D : CDCDCDCD; +255E : CDCDCDCD; +255F : CDCDCDCD; +2560 : CDCDCDCD; +2561 : CDCDCDCD; +2562 : CDCDCDCD; +2563 : CDCDCDCD; +2564 : CDCDCDCD; +2565 : CDCDCDCD; +2566 : CDCDCDCD; +2567 : CDCDCDCD; +2568 : CDCDCDCD; +2569 : CDCDCDCD; +256A : CDCDCDCD; +256B : CDCDCDCD; +256C : CDCDCDCD; +256D : CDCDCDCD; +256E : CDCDCDCD; +256F : CDCDCDCD; +2570 : CDCDCDCD; +2571 : CDCDCDCD; +2572 : CDCDCDCD; +2573 : CDCDCDCD; +2574 : CDCDCDCD; +2575 : CDCDCDCD; +2576 : CDCDCDCD; +2577 : CDCDCDCD; +2578 : CDCDCDCD; +2579 : CDCDCDCD; +257A : CDCDCDCD; +257B : CDCDCDCD; +257C : CDCDCDCD; +257D : CDCDCDCD; +257E : CDCDCDCD; +257F : CDCDCDCD; +2580 : CDCDCDCD; +2581 : CDCDCDCD; +2582 : CDCDCDCD; +2583 : CDCDCDCD; +2584 : CDCDCDCD; +2585 : CDCDCDCD; +2586 : CDCDCDCD; +2587 : CDCDCDCD; +2588 : CDCDCDCD; +2589 : CDCDCDCD; +258A : CDCDCDCD; +258B : CDCDCDCD; +258C : CDCDCDCD; +258D : CDCDCDCD; +258E : CDCDCDCD; +258F : CDCDCDCD; +2590 : CDCDCDCD; +2591 : CDCDCDCD; +2592 : CDCDCDCD; +2593 : CDCDCDCD; +2594 : CDCDCDCD; +2595 : CDCDCDCD; +2596 : CDCDCDCD; +2597 : CDCDCDCD; +2598 : CDCDCDCD; +2599 : CDCDCDCD; +259A : CDCDCDCD; +259B : CDCDCDCD; +259C : CDCDCDCD; +259D : CDCDCDCD; +259E : CDCDCDCD; +259F : CDCDCDCD; +25A0 : CDCDCDCD; +25A1 : CDCDCDCD; +25A2 : CDCDCDCD; +25A3 : CDCDCDCD; +25A4 : CDCDCDCD; +25A5 : CDCDCDCD; +25A6 : CDCDCDCD; +25A7 : CDCDCDCD; +25A8 : CDCDCDCD; +25A9 : CDCDCDCD; +25AA : CDCDCDCD; +25AB : CDCDCDCD; +25AC : CDCDCDCD; +25AD : CDCDCDCD; +25AE : CDCDCDCD; +25AF : CDCDCDCD; +25B0 : CDCDCDCD; +25B1 : CDCDCDCD; +25B2 : CDCDCDCD; +25B3 : CDCDCDCD; +25B4 : CDCDCDCD; +25B5 : CDCDCDCD; +25B6 : CDCDCDCD; +25B7 : CDCDCDCD; +25B8 : CDCDCDCD; +25B9 : CDCDCDCD; +25BA : CDCDCDCD; +25BB : CDCDCDCD; +25BC : CDCDCDCD; +25BD : CDCDCDCD; +25BE : CDCDCDCD; +25BF : CDCDCDCD; +25C0 : CDCDCDCD; +25C1 : CDCDCDCD; +25C2 : CDCDCDCD; +25C3 : CDCDCDCD; +25C4 : CDCDCDCD; +25C5 : CDCDCDCD; +25C6 : CDCDCDCD; +25C7 : CDCDCDCD; +25C8 : CDCDCDCD; +25C9 : CDCDCDCD; +25CA : CDCDCDCD; +25CB : CDCDCDCD; +25CC : CDCDCDCD; +25CD : CDCDCDCD; +25CE : CDCDCDCD; +25CF : CDCDCDCD; +25D0 : CDCDCDCD; +25D1 : CDCDCDCD; +25D2 : CDCDCDCD; +25D3 : CDCDCDCD; +25D4 : CDCDCDCD; +25D5 : CDCDCDCD; +25D6 : CDCDCDCD; +25D7 : CDCDCDCD; +25D8 : CDCDCDCD; +25D9 : CDCDCDCD; +25DA : CDCDCDCD; +25DB : CDCDCDCD; +25DC : CDCDCDCD; +25DD : CDCDCDCD; +25DE : CDCDCDCD; +25DF : CDCDCDCD; +25E0 : CDCDCDCD; +25E1 : CDCDCDCD; +25E2 : CDCDCDCD; +25E3 : CDCDCDCD; +25E4 : CDCDCDCD; +25E5 : CDCDCDCD; +25E6 : CDCDCDCD; +25E7 : CDCDCDCD; +25E8 : CDCDCDCD; +25E9 : CDCDCDCD; +25EA : CDCDCDCD; +25EB : CDCDCDCD; +25EC : CDCDCDCD; +25ED : CDCDCDCD; +25EE : CDCDCDCD; +25EF : CDCDCDCD; +25F0 : CDCDCDCD; +25F1 : CDCDCDCD; +25F2 : CDCDCDCD; +25F3 : CDCDCDCD; +25F4 : CDCDCDCD; +25F5 : CDCDCDCD; +25F6 : CDCDCDCD; +25F7 : CDCDCDCD; +25F8 : CDCDCDCD; +25F9 : CDCDCDCD; +25FA : CDCDCDCD; +25FB : CDCDCDCD; +25FC : CDCDCDCD; +25FD : CDCDCDCD; +25FE : CDCDCDCD; +25FF : CDCDCDCD; +2600 : CDCDCDCD; +2601 : CDCDCDCD; +2602 : CDCDCDCD; +2603 : CDCDCDCD; +2604 : CDCDCDCD; +2605 : CDCDCDCD; +2606 : CDCDCDCD; +2607 : CDCDCDCD; +2608 : CDCDCDCD; +2609 : CDCDCDCD; +260A : CDCDCDCD; +260B : CDCDCDCD; +260C : CDCDCDCD; +260D : CDCDCDCD; +260E : CDCDCDCD; +260F : CDCDCDCD; +2610 : CDCDCDCD; +2611 : CDCDCDCD; +2612 : CDCDCDCD; +2613 : CDCDCDCD; +2614 : CDCDCDCD; +2615 : CDCDCDCD; +2616 : CDCDCDCD; +2617 : CDCDCDCD; +2618 : CDCDCDCD; +2619 : CDCDCDCD; +261A : CDCDCDCD; +261B : CDCDCDCD; +261C : CDCDCDCD; +261D : CDCDCDCD; +261E : CDCDCDCD; +261F : CDCDCDCD; +2620 : CDCDCDCD; +2621 : CDCDCDCD; +2622 : CDCDCDCD; +2623 : CDCDCDCD; +2624 : CDCDCDCD; +2625 : CDCDCDCD; +2626 : CDCDCDCD; +2627 : CDCDCDCD; +2628 : CDCDCDCD; +2629 : CDCDCDCD; +262A : CDCDCDCD; +262B : CDCDCDCD; +262C : CDCDCDCD; +262D : CDCDCDCD; +262E : CDCDCDCD; +262F : CDCDCDCD; +2630 : CDCDCDCD; +2631 : CDCDCDCD; +2632 : CDCDCDCD; +2633 : CDCDCDCD; +2634 : CDCDCDCD; +2635 : CDCDCDCD; +2636 : CDCDCDCD; +2637 : CDCDCDCD; +2638 : CDCDCDCD; +2639 : CDCDCDCD; +263A : CDCDCDCD; +263B : CDCDCDCD; +263C : CDCDCDCD; +263D : CDCDCDCD; +263E : CDCDCDCD; +263F : CDCDCDCD; +2640 : CDCDCDCD; +2641 : CDCDCDCD; +2642 : CDCDCDCD; +2643 : CDCDCDCD; +2644 : CDCDCDCD; +2645 : CDCDCDCD; +2646 : CDCDCDCD; +2647 : CDCDCDCD; +2648 : CDCDCDCD; +2649 : CDCDCDCD; +264A : CDCDCDCD; +264B : CDCDCDCD; +264C : CDCDCDCD; +264D : CDCDCDCD; +264E : CDCDCDCD; +264F : CDCDCDCD; +2650 : CDCDCDCD; +2651 : CDCDCDCD; +2652 : CDCDCDCD; +2653 : CDCDCDCD; +2654 : CDCDCDCD; +2655 : CDCDCDCD; +2656 : CDCDCDCD; +2657 : CDCDCDCD; +2658 : CDCDCDCD; +2659 : CDCDCDCD; +265A : CDCDCDCD; +265B : CDCDCDCD; +265C : CDCDCDCD; +265D : CDCDCDCD; +265E : CDCDCDCD; +265F : CDCDCDCD; +2660 : CDCDCDCD; +2661 : CDCDCDCD; +2662 : CDCDCDCD; +2663 : CDCDCDCD; +2664 : CDCDCDCD; +2665 : CDCDCDCD; +2666 : CDCDCDCD; +2667 : CDCDCDCD; +2668 : CDCDCDCD; +2669 : CDCDCDCD; +266A : CDCDCDCD; +266B : CDCDCDCD; +266C : CDCDCDCD; +266D : CDCDCDCD; +266E : CDCDCDCD; +266F : CDCDCDCD; +2670 : CDCDCDCD; +2671 : CDCDCDCD; +2672 : CDCDCDCD; +2673 : CDCDCDCD; +2674 : CDCDCDCD; +2675 : CDCDCDCD; +2676 : CDCDCDCD; +2677 : CDCDCDCD; +2678 : CDCDCDCD; +2679 : CDCDCDCD; +267A : CDCDCDCD; +267B : CDCDCDCD; +267C : CDCDCDCD; +267D : CDCDCDCD; +267E : CDCDCDCD; +267F : CDCDCDCD; +2680 : CDCDCDCD; +2681 : CDCDCDCD; +2682 : CDCDCDCD; +2683 : CDCDCDCD; +2684 : CDCDCDCD; +2685 : CDCDCDCD; +2686 : CDCDCDCD; +2687 : CDCDCDCD; +2688 : CDCDCDCD; +2689 : CDCDCDCD; +268A : CDCDCDCD; +268B : CDCDCDCD; +268C : CDCDCDCD; +268D : CDCDCDCD; +268E : CDCDCDCD; +268F : CDCDCDCD; +2690 : CDCDCDCD; +2691 : CDCDCDCD; +2692 : CDCDCDCD; +2693 : CDCDCDCD; +2694 : CDCDCDCD; +2695 : CDCDCDCD; +2696 : CDCDCDCD; +2697 : CDCDCDCD; +2698 : CDCDCDCD; +2699 : CDCDCDCD; +269A : CDCDCDCD; +269B : CDCDCDCD; +269C : CDCDCDCD; +269D : CDCDCDCD; +269E : CDCDCDCD; +269F : CDCDCDCD; +26A0 : CDCDCDCD; +26A1 : CDCDCDCD; +26A2 : CDCDCDCD; +26A3 : CDCDCDCD; +26A4 : CDCDCDCD; +26A5 : CDCDCDCD; +26A6 : CDCDCDCD; +26A7 : CDCDCDCD; +26A8 : CDCDCDCD; +26A9 : CDCDCDCD; +26AA : CDCDCDCD; +26AB : CDCDCDCD; +26AC : CDCDCDCD; +26AD : CDCDCDCD; +26AE : CDCDCDCD; +26AF : CDCDCDCD; +26B0 : CDCDCDCD; +26B1 : CDCDCDCD; +26B2 : CDCDCDCD; +26B3 : CDCDCDCD; +26B4 : CDCDCDCD; +26B5 : CDCDCDCD; +26B6 : CDCDCDCD; +26B7 : CDCDCDCD; +26B8 : CDCDCDCD; +26B9 : CDCDCDCD; +26BA : CDCDCDCD; +26BB : CDCDCDCD; +26BC : CDCDCDCD; +26BD : CDCDCDCD; +26BE : CDCDCDCD; +26BF : CDCDCDCD; +26C0 : CDCDCDCD; +26C1 : CDCDCDCD; +26C2 : CDCDCDCD; +26C3 : CDCDCDCD; +26C4 : CDCDCDCD; +26C5 : CDCDCDCD; +26C6 : CDCDCDCD; +26C7 : CDCDCDCD; +26C8 : CDCDCDCD; +26C9 : CDCDCDCD; +26CA : CDCDCDCD; +26CB : CDCDCDCD; +26CC : CDCDCDCD; +26CD : CDCDCDCD; +26CE : CDCDCDCD; +26CF : CDCDCDCD; +26D0 : CDCDCDCD; +26D1 : CDCDCDCD; +26D2 : CDCDCDCD; +26D3 : CDCDCDCD; +26D4 : CDCDCDCD; +26D5 : CDCDCDCD; +26D6 : CDCDCDCD; +26D7 : CDCDCDCD; +26D8 : CDCDCDCD; +26D9 : CDCDCDCD; +26DA : CDCDCDCD; +26DB : CDCDCDCD; +26DC : CDCDCDCD; +26DD : CDCDCDCD; +26DE : CDCDCDCD; +26DF : CDCDCDCD; +26E0 : CDCDCDCD; +26E1 : CDCDCDCD; +26E2 : CDCDCDCD; +26E3 : CDCDCDCD; +26E4 : CDCDCDCD; +26E5 : CDCDCDCD; +26E6 : CDCDCDCD; +26E7 : CDCDCDCD; +26E8 : CDCDCDCD; +26E9 : CDCDCDCD; +26EA : CDCDCDCD; +26EB : CDCDCDCD; +26EC : CDCDCDCD; +26ED : CDCDCDCD; +26EE : CDCDCDCD; +26EF : CDCDCDCD; +26F0 : CDCDCDCD; +26F1 : CDCDCDCD; +26F2 : CDCDCDCD; +26F3 : CDCDCDCD; +26F4 : CDCDCDCD; +26F5 : CDCDCDCD; +26F6 : CDCDCDCD; +26F7 : CDCDCDCD; +26F8 : CDCDCDCD; +26F9 : CDCDCDCD; +26FA : CDCDCDCD; +26FB : CDCDCDCD; +26FC : CDCDCDCD; +26FD : CDCDCDCD; +26FE : CDCDCDCD; +26FF : CDCDCDCD; +2700 : CDCDCDCD; +2701 : CDCDCDCD; +2702 : CDCDCDCD; +2703 : CDCDCDCD; +2704 : CDCDCDCD; +2705 : CDCDCDCD; +2706 : CDCDCDCD; +2707 : CDCDCDCD; +2708 : CDCDCDCD; +2709 : CDCDCDCD; +270A : CDCDCDCD; +270B : CDCDCDCD; +270C : CDCDCDCD; +270D : CDCDCDCD; +270E : CDCDCDCD; +270F : CDCDCDCD; +2710 : CDCDCDCD; +2711 : CDCDCDCD; +2712 : CDCDCDCD; +2713 : CDCDCDCD; +2714 : CDCDCDCD; +2715 : CDCDCDCD; +2716 : CDCDCDCD; +2717 : CDCDCDCD; +2718 : CDCDCDCD; +2719 : CDCDCDCD; +271A : CDCDCDCD; +271B : CDCDCDCD; +271C : CDCDCDCD; +271D : CDCDCDCD; +271E : CDCDCDCD; +271F : CDCDCDCD; +2720 : CDCDCDCD; +2721 : CDCDCDCD; +2722 : CDCDCDCD; +2723 : CDCDCDCD; +2724 : CDCDCDCD; +2725 : CDCDCDCD; +2726 : CDCDCDCD; +2727 : CDCDCDCD; +2728 : CDCDCDCD; +2729 : CDCDCDCD; +272A : CDCDCDCD; +272B : CDCDCDCD; +272C : CDCDCDCD; +272D : CDCDCDCD; +272E : CDCDCDCD; +272F : CDCDCDCD; +2730 : CDCDCDCD; +2731 : CDCDCDCD; +2732 : CDCDCDCD; +2733 : CDCDCDCD; +2734 : CDCDCDCD; +2735 : CDCDCDCD; +2736 : CDCDCDCD; +2737 : CDCDCDCD; +2738 : CDCDCDCD; +2739 : CDCDCDCD; +273A : CDCDCDCD; +273B : CDCDCDCD; +273C : CDCDCDCD; +273D : CDCDCDCD; +273E : CDCDCDCD; +273F : CDCDCDCD; +2740 : CDCDCDCD; +2741 : CDCDCDCD; +2742 : CDCDCDCD; +2743 : CDCDCDCD; +2744 : CDCDCDCD; +2745 : CDCDCDCD; +2746 : CDCDCDCD; +2747 : CDCDCDCD; +2748 : CDCDCDCD; +2749 : CDCDCDCD; +274A : CDCDCDCD; +274B : CDCDCDCD; +274C : CDCDCDCD; +274D : CDCDCDCD; +274E : CDCDCDCD; +274F : CDCDCDCD; +2750 : CDCDCDCD; +2751 : CDCDCDCD; +2752 : CDCDCDCD; +2753 : CDCDCDCD; +2754 : CDCDCDCD; +2755 : CDCDCDCD; +2756 : CDCDCDCD; +2757 : CDCDCDCD; +2758 : CDCDCDCD; +2759 : CDCDCDCD; +275A : CDCDCDCD; +275B : CDCDCDCD; +275C : CDCDCDCD; +275D : CDCDCDCD; +275E : CDCDCDCD; +275F : CDCDCDCD; +2760 : CDCDCDCD; +2761 : CDCDCDCD; +2762 : CDCDCDCD; +2763 : CDCDCDCD; +2764 : CDCDCDCD; +2765 : CDCDCDCD; +2766 : CDCDCDCD; +2767 : CDCDCDCD; +2768 : CDCDCDCD; +2769 : CDCDCDCD; +276A : CDCDCDCD; +276B : CDCDCDCD; +276C : CDCDCDCD; +276D : CDCDCDCD; +276E : CDCDCDCD; +276F : CDCDCDCD; +2770 : CDCDCDCD; +2771 : CDCDCDCD; +2772 : CDCDCDCD; +2773 : CDCDCDCD; +2774 : CDCDCDCD; +2775 : CDCDCDCD; +2776 : CDCDCDCD; +2777 : CDCDCDCD; +2778 : CDCDCDCD; +2779 : CDCDCDCD; +277A : CDCDCDCD; +277B : CDCDCDCD; +277C : CDCDCDCD; +277D : CDCDCDCD; +277E : CDCDCDCD; +277F : CDCDCDCD; +2780 : CDCDCDCD; +2781 : CDCDCDCD; +2782 : CDCDCDCD; +2783 : CDCDCDCD; +2784 : CDCDCDCD; +2785 : CDCDCDCD; +2786 : CDCDCDCD; +2787 : CDCDCDCD; +2788 : CDCDCDCD; +2789 : CDCDCDCD; +278A : CDCDCDCD; +278B : CDCDCDCD; +278C : CDCDCDCD; +278D : CDCDCDCD; +278E : CDCDCDCD; +278F : CDCDCDCD; +2790 : CDCDCDCD; +2791 : CDCDCDCD; +2792 : CDCDCDCD; +2793 : CDCDCDCD; +2794 : CDCDCDCD; +2795 : CDCDCDCD; +2796 : CDCDCDCD; +2797 : CDCDCDCD; +2798 : CDCDCDCD; +2799 : CDCDCDCD; +279A : CDCDCDCD; +279B : CDCDCDCD; +279C : CDCDCDCD; +279D : CDCDCDCD; +279E : CDCDCDCD; +279F : CDCDCDCD; +27A0 : CDCDCDCD; +27A1 : CDCDCDCD; +27A2 : CDCDCDCD; +27A3 : CDCDCDCD; +27A4 : CDCDCDCD; +27A5 : CDCDCDCD; +27A6 : CDCDCDCD; +27A7 : CDCDCDCD; +27A8 : CDCDCDCD; +27A9 : CDCDCDCD; +27AA : CDCDCDCD; +27AB : CDCDCDCD; +27AC : CDCDCDCD; +27AD : CDCDCDCD; +27AE : CDCDCDCD; +27AF : CDCDCDCD; +27B0 : CDCDCDCD; +27B1 : CDCDCDCD; +27B2 : CDCDCDCD; +27B3 : CDCDCDCD; +27B4 : CDCDCDCD; +27B5 : CDCDCDCD; +27B6 : CDCDCDCD; +27B7 : CDCDCDCD; +27B8 : CDCDCDCD; +27B9 : CDCDCDCD; +27BA : CDCDCDCD; +27BB : CDCDCDCD; +27BC : CDCDCDCD; +27BD : CDCDCDCD; +27BE : CDCDCDCD; +27BF : CDCDCDCD; +27C0 : CDCDCDCD; +27C1 : CDCDCDCD; +27C2 : CDCDCDCD; +27C3 : CDCDCDCD; +27C4 : CDCDCDCD; +27C5 : CDCDCDCD; +27C6 : CDCDCDCD; +27C7 : CDCDCDCD; +27C8 : CDCDCDCD; +27C9 : CDCDCDCD; +27CA : CDCDCDCD; +27CB : CDCDCDCD; +27CC : CDCDCDCD; +27CD : CDCDCDCD; +27CE : CDCDCDCD; +27CF : CDCDCDCD; +27D0 : CDCDCDCD; +27D1 : CDCDCDCD; +27D2 : CDCDCDCD; +27D3 : CDCDCDCD; +27D4 : CDCDCDCD; +27D5 : CDCDCDCD; +27D6 : CDCDCDCD; +27D7 : CDCDCDCD; +27D8 : CDCDCDCD; +27D9 : CDCDCDCD; +27DA : CDCDCDCD; +27DB : CDCDCDCD; +27DC : CDCDCDCD; +27DD : CDCDCDCD; +27DE : CDCDCDCD; +27DF : CDCDCDCD; +27E0 : CDCDCDCD; +27E1 : CDCDCDCD; +27E2 : CDCDCDCD; +27E3 : CDCDCDCD; +27E4 : CDCDCDCD; +27E5 : CDCDCDCD; +27E6 : CDCDCDCD; +27E7 : CDCDCDCD; +27E8 : CDCDCDCD; +27E9 : CDCDCDCD; +27EA : CDCDCDCD; +27EB : CDCDCDCD; +27EC : CDCDCDCD; +27ED : CDCDCDCD; +27EE : CDCDCDCD; +27EF : CDCDCDCD; +27F0 : CDCDCDCD; +27F1 : CDCDCDCD; +27F2 : CDCDCDCD; +27F3 : CDCDCDCD; +27F4 : CDCDCDCD; +27F5 : CDCDCDCD; +27F6 : CDCDCDCD; +27F7 : CDCDCDCD; +27F8 : CDCDCDCD; +27F9 : CDCDCDCD; +27FA : CDCDCDCD; +27FB : CDCDCDCD; +27FC : CDCDCDCD; +27FD : CDCDCDCD; +27FE : CDCDCDCD; +27FF : CDCDCDCD; +2800 : CDCDCDCD; +2801 : CDCDCDCD; +2802 : CDCDCDCD; +2803 : CDCDCDCD; +2804 : CDCDCDCD; +2805 : CDCDCDCD; +2806 : CDCDCDCD; +2807 : CDCDCDCD; +2808 : CDCDCDCD; +2809 : CDCDCDCD; +280A : CDCDCDCD; +280B : CDCDCDCD; +280C : CDCDCDCD; +280D : CDCDCDCD; +280E : CDCDCDCD; +280F : CDCDCDCD; +2810 : CDCDCDCD; +2811 : CDCDCDCD; +2812 : CDCDCDCD; +2813 : CDCDCDCD; +2814 : CDCDCDCD; +2815 : CDCDCDCD; +2816 : CDCDCDCD; +2817 : CDCDCDCD; +2818 : CDCDCDCD; +2819 : CDCDCDCD; +281A : CDCDCDCD; +281B : CDCDCDCD; +281C : CDCDCDCD; +281D : CDCDCDCD; +281E : CDCDCDCD; +281F : CDCDCDCD; +2820 : CDCDCDCD; +2821 : CDCDCDCD; +2822 : CDCDCDCD; +2823 : CDCDCDCD; +2824 : CDCDCDCD; +2825 : CDCDCDCD; +2826 : CDCDCDCD; +2827 : CDCDCDCD; +2828 : CDCDCDCD; +2829 : CDCDCDCD; +282A : CDCDCDCD; +282B : CDCDCDCD; +282C : CDCDCDCD; +282D : CDCDCDCD; +282E : CDCDCDCD; +282F : CDCDCDCD; +2830 : CDCDCDCD; +2831 : CDCDCDCD; +2832 : CDCDCDCD; +2833 : CDCDCDCD; +2834 : CDCDCDCD; +2835 : CDCDCDCD; +2836 : CDCDCDCD; +2837 : CDCDCDCD; +2838 : CDCDCDCD; +2839 : CDCDCDCD; +283A : CDCDCDCD; +283B : CDCDCDCD; +283C : CDCDCDCD; +283D : CDCDCDCD; +283E : CDCDCDCD; +283F : CDCDCDCD; +2840 : CDCDCDCD; +2841 : CDCDCDCD; +2842 : CDCDCDCD; +2843 : CDCDCDCD; +2844 : CDCDCDCD; +2845 : CDCDCDCD; +2846 : CDCDCDCD; +2847 : CDCDCDCD; +2848 : CDCDCDCD; +2849 : CDCDCDCD; +284A : CDCDCDCD; +284B : CDCDCDCD; +284C : CDCDCDCD; +284D : CDCDCDCD; +284E : CDCDCDCD; +284F : CDCDCDCD; +2850 : CDCDCDCD; +2851 : CDCDCDCD; +2852 : CDCDCDCD; +2853 : CDCDCDCD; +2854 : CDCDCDCD; +2855 : CDCDCDCD; +2856 : CDCDCDCD; +2857 : CDCDCDCD; +2858 : CDCDCDCD; +2859 : CDCDCDCD; +285A : CDCDCDCD; +285B : CDCDCDCD; +285C : CDCDCDCD; +285D : CDCDCDCD; +285E : CDCDCDCD; +285F : CDCDCDCD; +2860 : CDCDCDCD; +2861 : CDCDCDCD; +2862 : CDCDCDCD; +2863 : CDCDCDCD; +2864 : CDCDCDCD; +2865 : CDCDCDCD; +2866 : CDCDCDCD; +2867 : CDCDCDCD; +2868 : CDCDCDCD; +2869 : CDCDCDCD; +286A : CDCDCDCD; +286B : CDCDCDCD; +286C : CDCDCDCD; +286D : CDCDCDCD; +286E : CDCDCDCD; +286F : CDCDCDCD; +2870 : CDCDCDCD; +2871 : CDCDCDCD; +2872 : CDCDCDCD; +2873 : CDCDCDCD; +2874 : CDCDCDCD; +2875 : CDCDCDCD; +2876 : CDCDCDCD; +2877 : CDCDCDCD; +2878 : CDCDCDCD; +2879 : CDCDCDCD; +287A : CDCDCDCD; +287B : CDCDCDCD; +287C : CDCDCDCD; +287D : CDCDCDCD; +287E : CDCDCDCD; +287F : CDCDCDCD; +2880 : CDCDCDCD; +2881 : CDCDCDCD; +2882 : CDCDCDCD; +2883 : CDCDCDCD; +2884 : CDCDCDCD; +2885 : CDCDCDCD; +2886 : CDCDCDCD; +2887 : CDCDCDCD; +2888 : CDCDCDCD; +2889 : CDCDCDCD; +288A : CDCDCDCD; +288B : CDCDCDCD; +288C : CDCDCDCD; +288D : CDCDCDCD; +288E : CDCDCDCD; +288F : CDCDCDCD; +2890 : CDCDCDCD; +2891 : CDCDCDCD; +2892 : CDCDCDCD; +2893 : CDCDCDCD; +2894 : CDCDCDCD; +2895 : CDCDCDCD; +2896 : CDCDCDCD; +2897 : CDCDCDCD; +2898 : CDCDCDCD; +2899 : CDCDCDCD; +289A : CDCDCDCD; +289B : CDCDCDCD; +289C : CDCDCDCD; +289D : CDCDCDCD; +289E : CDCDCDCD; +289F : CDCDCDCD; +28A0 : CDCDCDCD; +28A1 : CDCDCDCD; +28A2 : CDCDCDCD; +28A3 : CDCDCDCD; +28A4 : CDCDCDCD; +28A5 : CDCDCDCD; +28A6 : CDCDCDCD; +28A7 : CDCDCDCD; +28A8 : CDCDCDCD; +28A9 : CDCDCDCD; +28AA : CDCDCDCD; +28AB : CDCDCDCD; +28AC : CDCDCDCD; +28AD : CDCDCDCD; +28AE : CDCDCDCD; +28AF : CDCDCDCD; +28B0 : CDCDCDCD; +28B1 : CDCDCDCD; +28B2 : CDCDCDCD; +28B3 : CDCDCDCD; +28B4 : CDCDCDCD; +28B5 : CDCDCDCD; +28B6 : CDCDCDCD; +28B7 : CDCDCDCD; +28B8 : CDCDCDCD; +28B9 : CDCDCDCD; +28BA : CDCDCDCD; +28BB : CDCDCDCD; +28BC : CDCDCDCD; +28BD : CDCDCDCD; +28BE : CDCDCDCD; +28BF : CDCDCDCD; +28C0 : CDCDCDCD; +28C1 : CDCDCDCD; +28C2 : CDCDCDCD; +28C3 : CDCDCDCD; +28C4 : CDCDCDCD; +28C5 : CDCDCDCD; +28C6 : CDCDCDCD; +28C7 : CDCDCDCD; +28C8 : CDCDCDCD; +28C9 : CDCDCDCD; +28CA : CDCDCDCD; +28CB : CDCDCDCD; +28CC : CDCDCDCD; +28CD : CDCDCDCD; +28CE : CDCDCDCD; +28CF : CDCDCDCD; +28D0 : CDCDCDCD; +28D1 : CDCDCDCD; +28D2 : CDCDCDCD; +28D3 : CDCDCDCD; +28D4 : CDCDCDCD; +28D5 : CDCDCDCD; +28D6 : CDCDCDCD; +28D7 : CDCDCDCD; +28D8 : CDCDCDCD; +28D9 : CDCDCDCD; +28DA : CDCDCDCD; +28DB : CDCDCDCD; +28DC : CDCDCDCD; +28DD : CDCDCDCD; +28DE : CDCDCDCD; +28DF : CDCDCDCD; +28E0 : CDCDCDCD; +28E1 : CDCDCDCD; +28E2 : CDCDCDCD; +28E3 : CDCDCDCD; +28E4 : CDCDCDCD; +28E5 : CDCDCDCD; +28E6 : CDCDCDCD; +28E7 : CDCDCDCD; +28E8 : CDCDCDCD; +28E9 : CDCDCDCD; +28EA : CDCDCDCD; +28EB : CDCDCDCD; +28EC : CDCDCDCD; +28ED : CDCDCDCD; +28EE : CDCDCDCD; +28EF : CDCDCDCD; +28F0 : CDCDCDCD; +28F1 : CDCDCDCD; +28F2 : CDCDCDCD; +28F3 : CDCDCDCD; +28F4 : CDCDCDCD; +28F5 : CDCDCDCD; +28F6 : CDCDCDCD; +28F7 : CDCDCDCD; +28F8 : CDCDCDCD; +28F9 : CDCDCDCD; +28FA : CDCDCDCD; +28FB : CDCDCDCD; +28FC : CDCDCDCD; +28FD : CDCDCDCD; +28FE : CDCDCDCD; +28FF : CDCDCDCD; +2900 : CDCDCDCD; +2901 : CDCDCDCD; +2902 : CDCDCDCD; +2903 : CDCDCDCD; +2904 : CDCDCDCD; +2905 : CDCDCDCD; +2906 : CDCDCDCD; +2907 : CDCDCDCD; +2908 : CDCDCDCD; +2909 : CDCDCDCD; +290A : CDCDCDCD; +290B : CDCDCDCD; +290C : CDCDCDCD; +290D : CDCDCDCD; +290E : CDCDCDCD; +290F : CDCDCDCD; +2910 : CDCDCDCD; +2911 : CDCDCDCD; +2912 : CDCDCDCD; +2913 : CDCDCDCD; +2914 : CDCDCDCD; +2915 : CDCDCDCD; +2916 : CDCDCDCD; +2917 : CDCDCDCD; +2918 : CDCDCDCD; +2919 : CDCDCDCD; +291A : CDCDCDCD; +291B : CDCDCDCD; +291C : CDCDCDCD; +291D : CDCDCDCD; +291E : CDCDCDCD; +291F : CDCDCDCD; +2920 : CDCDCDCD; +2921 : CDCDCDCD; +2922 : CDCDCDCD; +2923 : CDCDCDCD; +2924 : CDCDCDCD; +2925 : CDCDCDCD; +2926 : CDCDCDCD; +2927 : CDCDCDCD; +2928 : CDCDCDCD; +2929 : CDCDCDCD; +292A : CDCDCDCD; +292B : CDCDCDCD; +292C : CDCDCDCD; +292D : CDCDCDCD; +292E : CDCDCDCD; +292F : CDCDCDCD; +2930 : CDCDCDCD; +2931 : CDCDCDCD; +2932 : CDCDCDCD; +2933 : CDCDCDCD; +2934 : CDCDCDCD; +2935 : CDCDCDCD; +2936 : CDCDCDCD; +2937 : CDCDCDCD; +2938 : CDCDCDCD; +2939 : CDCDCDCD; +293A : CDCDCDCD; +293B : CDCDCDCD; +293C : CDCDCDCD; +293D : CDCDCDCD; +293E : CDCDCDCD; +293F : CDCDCDCD; +2940 : CDCDCDCD; +2941 : CDCDCDCD; +2942 : CDCDCDCD; +2943 : CDCDCDCD; +2944 : CDCDCDCD; +2945 : CDCDCDCD; +2946 : CDCDCDCD; +2947 : CDCDCDCD; +2948 : CDCDCDCD; +2949 : CDCDCDCD; +294A : CDCDCDCD; +294B : CDCDCDCD; +294C : CDCDCDCD; +294D : CDCDCDCD; +294E : CDCDCDCD; +294F : CDCDCDCD; +2950 : CDCDCDCD; +2951 : CDCDCDCD; +2952 : CDCDCDCD; +2953 : CDCDCDCD; +2954 : CDCDCDCD; +2955 : CDCDCDCD; +2956 : CDCDCDCD; +2957 : CDCDCDCD; +2958 : CDCDCDCD; +2959 : CDCDCDCD; +295A : CDCDCDCD; +295B : CDCDCDCD; +295C : CDCDCDCD; +295D : CDCDCDCD; +295E : CDCDCDCD; +295F : CDCDCDCD; +2960 : CDCDCDCD; +2961 : CDCDCDCD; +2962 : CDCDCDCD; +2963 : CDCDCDCD; +2964 : CDCDCDCD; +2965 : CDCDCDCD; +2966 : CDCDCDCD; +2967 : CDCDCDCD; +2968 : CDCDCDCD; +2969 : CDCDCDCD; +296A : CDCDCDCD; +296B : CDCDCDCD; +296C : CDCDCDCD; +296D : CDCDCDCD; +296E : CDCDCDCD; +296F : CDCDCDCD; +2970 : CDCDCDCD; +2971 : CDCDCDCD; +2972 : CDCDCDCD; +2973 : CDCDCDCD; +2974 : CDCDCDCD; +2975 : CDCDCDCD; +2976 : CDCDCDCD; +2977 : CDCDCDCD; +2978 : CDCDCDCD; +2979 : CDCDCDCD; +297A : CDCDCDCD; +297B : CDCDCDCD; +297C : CDCDCDCD; +297D : CDCDCDCD; +297E : CDCDCDCD; +297F : CDCDCDCD; +2980 : CDCDCDCD; +2981 : CDCDCDCD; +2982 : CDCDCDCD; +2983 : CDCDCDCD; +2984 : CDCDCDCD; +2985 : CDCDCDCD; +2986 : CDCDCDCD; +2987 : CDCDCDCD; +2988 : CDCDCDCD; +2989 : CDCDCDCD; +298A : CDCDCDCD; +298B : CDCDCDCD; +298C : CDCDCDCD; +298D : CDCDCDCD; +298E : CDCDCDCD; +298F : CDCDCDCD; +2990 : CDCDCDCD; +2991 : CDCDCDCD; +2992 : CDCDCDCD; +2993 : CDCDCDCD; +2994 : CDCDCDCD; +2995 : CDCDCDCD; +2996 : CDCDCDCD; +2997 : CDCDCDCD; +2998 : CDCDCDCD; +2999 : CDCDCDCD; +299A : CDCDCDCD; +299B : CDCDCDCD; +299C : CDCDCDCD; +299D : CDCDCDCD; +299E : CDCDCDCD; +299F : CDCDCDCD; +29A0 : CDCDCDCD; +29A1 : CDCDCDCD; +29A2 : CDCDCDCD; +29A3 : CDCDCDCD; +29A4 : CDCDCDCD; +29A5 : CDCDCDCD; +29A6 : CDCDCDCD; +29A7 : CDCDCDCD; +29A8 : CDCDCDCD; +29A9 : CDCDCDCD; +29AA : CDCDCDCD; +29AB : CDCDCDCD; +29AC : CDCDCDCD; +29AD : CDCDCDCD; +29AE : CDCDCDCD; +29AF : CDCDCDCD; +29B0 : CDCDCDCD; +29B1 : CDCDCDCD; +29B2 : CDCDCDCD; +29B3 : CDCDCDCD; +29B4 : CDCDCDCD; +29B5 : CDCDCDCD; +29B6 : CDCDCDCD; +29B7 : CDCDCDCD; +29B8 : CDCDCDCD; +29B9 : CDCDCDCD; +29BA : CDCDCDCD; +29BB : CDCDCDCD; +29BC : CDCDCDCD; +29BD : CDCDCDCD; +29BE : CDCDCDCD; +29BF : CDCDCDCD; +29C0 : CDCDCDCD; +29C1 : CDCDCDCD; +29C2 : CDCDCDCD; +29C3 : CDCDCDCD; +29C4 : CDCDCDCD; +29C5 : CDCDCDCD; +29C6 : CDCDCDCD; +29C7 : CDCDCDCD; +29C8 : CDCDCDCD; +29C9 : CDCDCDCD; +29CA : CDCDCDCD; +29CB : CDCDCDCD; +29CC : CDCDCDCD; +29CD : CDCDCDCD; +29CE : CDCDCDCD; +29CF : CDCDCDCD; +29D0 : CDCDCDCD; +29D1 : CDCDCDCD; +29D2 : CDCDCDCD; +29D3 : CDCDCDCD; +29D4 : CDCDCDCD; +29D5 : CDCDCDCD; +29D6 : CDCDCDCD; +29D7 : CDCDCDCD; +29D8 : CDCDCDCD; +29D9 : CDCDCDCD; +29DA : CDCDCDCD; +29DB : CDCDCDCD; +29DC : CDCDCDCD; +29DD : CDCDCDCD; +29DE : CDCDCDCD; +29DF : CDCDCDCD; +29E0 : CDCDCDCD; +29E1 : CDCDCDCD; +29E2 : CDCDCDCD; +29E3 : CDCDCDCD; +29E4 : CDCDCDCD; +29E5 : CDCDCDCD; +29E6 : CDCDCDCD; +29E7 : CDCDCDCD; +29E8 : CDCDCDCD; +29E9 : CDCDCDCD; +29EA : CDCDCDCD; +29EB : CDCDCDCD; +29EC : CDCDCDCD; +29ED : CDCDCDCD; +29EE : CDCDCDCD; +29EF : CDCDCDCD; +29F0 : CDCDCDCD; +29F1 : CDCDCDCD; +29F2 : CDCDCDCD; +29F3 : CDCDCDCD; +29F4 : CDCDCDCD; +29F5 : CDCDCDCD; +29F6 : CDCDCDCD; +29F7 : CDCDCDCD; +29F8 : CDCDCDCD; +29F9 : CDCDCDCD; +29FA : CDCDCDCD; +29FB : CDCDCDCD; +29FC : CDCDCDCD; +29FD : CDCDCDCD; +29FE : CDCDCDCD; +29FF : CDCDCDCD; +2A00 : CDCDCDCD; +2A01 : CDCDCDCD; +2A02 : CDCDCDCD; +2A03 : CDCDCDCD; +2A04 : CDCDCDCD; +2A05 : CDCDCDCD; +2A06 : CDCDCDCD; +2A07 : CDCDCDCD; +2A08 : CDCDCDCD; +2A09 : CDCDCDCD; +2A0A : CDCDCDCD; +2A0B : CDCDCDCD; +2A0C : CDCDCDCD; +2A0D : CDCDCDCD; +2A0E : CDCDCDCD; +2A0F : CDCDCDCD; +2A10 : CDCDCDCD; +2A11 : CDCDCDCD; +2A12 : CDCDCDCD; +2A13 : CDCDCDCD; +2A14 : CDCDCDCD; +2A15 : CDCDCDCD; +2A16 : CDCDCDCD; +2A17 : CDCDCDCD; +2A18 : CDCDCDCD; +2A19 : CDCDCDCD; +2A1A : CDCDCDCD; +2A1B : CDCDCDCD; +2A1C : CDCDCDCD; +2A1D : CDCDCDCD; +2A1E : CDCDCDCD; +2A1F : CDCDCDCD; +2A20 : CDCDCDCD; +2A21 : CDCDCDCD; +2A22 : CDCDCDCD; +2A23 : CDCDCDCD; +2A24 : CDCDCDCD; +2A25 : CDCDCDCD; +2A26 : CDCDCDCD; +2A27 : CDCDCDCD; +2A28 : CDCDCDCD; +2A29 : CDCDCDCD; +2A2A : CDCDCDCD; +2A2B : CDCDCDCD; +2A2C : CDCDCDCD; +2A2D : CDCDCDCD; +2A2E : CDCDCDCD; +2A2F : CDCDCDCD; +2A30 : CDCDCDCD; +2A31 : CDCDCDCD; +2A32 : CDCDCDCD; +2A33 : CDCDCDCD; +2A34 : CDCDCDCD; +2A35 : CDCDCDCD; +2A36 : CDCDCDCD; +2A37 : CDCDCDCD; +2A38 : CDCDCDCD; +2A39 : CDCDCDCD; +2A3A : CDCDCDCD; +2A3B : CDCDCDCD; +2A3C : CDCDCDCD; +2A3D : CDCDCDCD; +2A3E : CDCDCDCD; +2A3F : CDCDCDCD; +2A40 : CDCDCDCD; +2A41 : CDCDCDCD; +2A42 : CDCDCDCD; +2A43 : CDCDCDCD; +2A44 : CDCDCDCD; +2A45 : CDCDCDCD; +2A46 : CDCDCDCD; +2A47 : CDCDCDCD; +2A48 : CDCDCDCD; +2A49 : CDCDCDCD; +2A4A : CDCDCDCD; +2A4B : CDCDCDCD; +2A4C : CDCDCDCD; +2A4D : CDCDCDCD; +2A4E : CDCDCDCD; +2A4F : CDCDCDCD; +2A50 : CDCDCDCD; +2A51 : CDCDCDCD; +2A52 : CDCDCDCD; +2A53 : CDCDCDCD; +2A54 : CDCDCDCD; +2A55 : CDCDCDCD; +2A56 : CDCDCDCD; +2A57 : CDCDCDCD; +2A58 : CDCDCDCD; +2A59 : CDCDCDCD; +2A5A : CDCDCDCD; +2A5B : CDCDCDCD; +2A5C : CDCDCDCD; +2A5D : CDCDCDCD; +2A5E : CDCDCDCD; +2A5F : CDCDCDCD; +2A60 : CDCDCDCD; +2A61 : CDCDCDCD; +2A62 : CDCDCDCD; +2A63 : CDCDCDCD; +2A64 : CDCDCDCD; +2A65 : CDCDCDCD; +2A66 : CDCDCDCD; +2A67 : CDCDCDCD; +2A68 : CDCDCDCD; +2A69 : CDCDCDCD; +2A6A : CDCDCDCD; +2A6B : CDCDCDCD; +2A6C : CDCDCDCD; +2A6D : CDCDCDCD; +2A6E : CDCDCDCD; +2A6F : CDCDCDCD; +2A70 : CDCDCDCD; +2A71 : CDCDCDCD; +2A72 : CDCDCDCD; +2A73 : CDCDCDCD; +2A74 : CDCDCDCD; +2A75 : CDCDCDCD; +2A76 : CDCDCDCD; +2A77 : CDCDCDCD; +2A78 : CDCDCDCD; +2A79 : CDCDCDCD; +2A7A : CDCDCDCD; +2A7B : CDCDCDCD; +2A7C : CDCDCDCD; +2A7D : CDCDCDCD; +2A7E : CDCDCDCD; +2A7F : CDCDCDCD; +2A80 : CDCDCDCD; +2A81 : CDCDCDCD; +2A82 : CDCDCDCD; +2A83 : CDCDCDCD; +2A84 : CDCDCDCD; +2A85 : CDCDCDCD; +2A86 : CDCDCDCD; +2A87 : CDCDCDCD; +2A88 : CDCDCDCD; +2A89 : CDCDCDCD; +2A8A : CDCDCDCD; +2A8B : CDCDCDCD; +2A8C : CDCDCDCD; +2A8D : CDCDCDCD; +2A8E : CDCDCDCD; +2A8F : CDCDCDCD; +2A90 : CDCDCDCD; +2A91 : CDCDCDCD; +2A92 : CDCDCDCD; +2A93 : CDCDCDCD; +2A94 : CDCDCDCD; +2A95 : CDCDCDCD; +2A96 : CDCDCDCD; +2A97 : CDCDCDCD; +2A98 : CDCDCDCD; +2A99 : CDCDCDCD; +2A9A : CDCDCDCD; +2A9B : CDCDCDCD; +2A9C : CDCDCDCD; +2A9D : CDCDCDCD; +2A9E : CDCDCDCD; +2A9F : CDCDCDCD; +2AA0 : CDCDCDCD; +2AA1 : CDCDCDCD; +2AA2 : CDCDCDCD; +2AA3 : CDCDCDCD; +2AA4 : CDCDCDCD; +2AA5 : CDCDCDCD; +2AA6 : CDCDCDCD; +2AA7 : CDCDCDCD; +2AA8 : CDCDCDCD; +2AA9 : CDCDCDCD; +2AAA : CDCDCDCD; +2AAB : CDCDCDCD; +2AAC : CDCDCDCD; +2AAD : CDCDCDCD; +2AAE : CDCDCDCD; +2AAF : CDCDCDCD; +2AB0 : CDCDCDCD; +2AB1 : CDCDCDCD; +2AB2 : CDCDCDCD; +2AB3 : CDCDCDCD; +2AB4 : CDCDCDCD; +2AB5 : CDCDCDCD; +2AB6 : CDCDCDCD; +2AB7 : CDCDCDCD; +2AB8 : CDCDCDCD; +2AB9 : CDCDCDCD; +2ABA : CDCDCDCD; +2ABB : CDCDCDCD; +2ABC : CDCDCDCD; +2ABD : CDCDCDCD; +2ABE : CDCDCDCD; +2ABF : CDCDCDCD; +2AC0 : CDCDCDCD; +2AC1 : CDCDCDCD; +2AC2 : CDCDCDCD; +2AC3 : CDCDCDCD; +2AC4 : CDCDCDCD; +2AC5 : CDCDCDCD; +2AC6 : CDCDCDCD; +2AC7 : CDCDCDCD; +2AC8 : CDCDCDCD; +2AC9 : CDCDCDCD; +2ACA : CDCDCDCD; +2ACB : CDCDCDCD; +2ACC : CDCDCDCD; +2ACD : CDCDCDCD; +2ACE : CDCDCDCD; +2ACF : CDCDCDCD; +2AD0 : CDCDCDCD; +2AD1 : CDCDCDCD; +2AD2 : CDCDCDCD; +2AD3 : CDCDCDCD; +2AD4 : CDCDCDCD; +2AD5 : CDCDCDCD; +2AD6 : CDCDCDCD; +2AD7 : CDCDCDCD; +2AD8 : CDCDCDCD; +2AD9 : CDCDCDCD; +2ADA : CDCDCDCD; +2ADB : CDCDCDCD; +2ADC : CDCDCDCD; +2ADD : CDCDCDCD; +2ADE : CDCDCDCD; +2ADF : CDCDCDCD; +2AE0 : CDCDCDCD; +2AE1 : CDCDCDCD; +2AE2 : CDCDCDCD; +2AE3 : CDCDCDCD; +2AE4 : CDCDCDCD; +2AE5 : CDCDCDCD; +2AE6 : CDCDCDCD; +2AE7 : CDCDCDCD; +2AE8 : CDCDCDCD; +2AE9 : CDCDCDCD; +2AEA : CDCDCDCD; +2AEB : CDCDCDCD; +2AEC : CDCDCDCD; +2AED : CDCDCDCD; +2AEE : CDCDCDCD; +2AEF : CDCDCDCD; +2AF0 : CDCDCDCD; +2AF1 : CDCDCDCD; +2AF2 : CDCDCDCD; +2AF3 : CDCDCDCD; +2AF4 : CDCDCDCD; +2AF5 : CDCDCDCD; +2AF6 : CDCDCDCD; +2AF7 : CDCDCDCD; +2AF8 : CDCDCDCD; +2AF9 : CDCDCDCD; +2AFA : CDCDCDCD; +2AFB : CDCDCDCD; +2AFC : CDCDCDCD; +2AFD : CDCDCDCD; +2AFE : CDCDCDCD; +2AFF : CDCDCDCD; +2B00 : CDCDCDCD; +2B01 : CDCDCDCD; +2B02 : CDCDCDCD; +2B03 : CDCDCDCD; +2B04 : CDCDCDCD; +2B05 : CDCDCDCD; +2B06 : CDCDCDCD; +2B07 : CDCDCDCD; +2B08 : CDCDCDCD; +2B09 : CDCDCDCD; +2B0A : CDCDCDCD; +2B0B : CDCDCDCD; +2B0C : CDCDCDCD; +2B0D : CDCDCDCD; +2B0E : CDCDCDCD; +2B0F : CDCDCDCD; +2B10 : CDCDCDCD; +2B11 : CDCDCDCD; +2B12 : CDCDCDCD; +2B13 : CDCDCDCD; +2B14 : CDCDCDCD; +2B15 : CDCDCDCD; +2B16 : CDCDCDCD; +2B17 : CDCDCDCD; +2B18 : CDCDCDCD; +2B19 : CDCDCDCD; +2B1A : CDCDCDCD; +2B1B : CDCDCDCD; +2B1C : CDCDCDCD; +2B1D : CDCDCDCD; +2B1E : CDCDCDCD; +2B1F : CDCDCDCD; +2B20 : CDCDCDCD; +2B21 : CDCDCDCD; +2B22 : CDCDCDCD; +2B23 : CDCDCDCD; +2B24 : CDCDCDCD; +2B25 : CDCDCDCD; +2B26 : CDCDCDCD; +2B27 : CDCDCDCD; +2B28 : CDCDCDCD; +2B29 : CDCDCDCD; +2B2A : CDCDCDCD; +2B2B : CDCDCDCD; +2B2C : CDCDCDCD; +2B2D : CDCDCDCD; +2B2E : CDCDCDCD; +2B2F : CDCDCDCD; +2B30 : CDCDCDCD; +2B31 : CDCDCDCD; +2B32 : CDCDCDCD; +2B33 : CDCDCDCD; +2B34 : CDCDCDCD; +2B35 : CDCDCDCD; +2B36 : CDCDCDCD; +2B37 : CDCDCDCD; +2B38 : CDCDCDCD; +2B39 : CDCDCDCD; +2B3A : CDCDCDCD; +2B3B : CDCDCDCD; +2B3C : CDCDCDCD; +2B3D : CDCDCDCD; +2B3E : CDCDCDCD; +2B3F : CDCDCDCD; +2B40 : CDCDCDCD; +2B41 : CDCDCDCD; +2B42 : CDCDCDCD; +2B43 : CDCDCDCD; +2B44 : CDCDCDCD; +2B45 : CDCDCDCD; +2B46 : CDCDCDCD; +2B47 : CDCDCDCD; +2B48 : CDCDCDCD; +2B49 : CDCDCDCD; +2B4A : CDCDCDCD; +2B4B : CDCDCDCD; +2B4C : CDCDCDCD; +2B4D : CDCDCDCD; +2B4E : CDCDCDCD; +2B4F : CDCDCDCD; +2B50 : CDCDCDCD; +2B51 : CDCDCDCD; +2B52 : CDCDCDCD; +2B53 : CDCDCDCD; +2B54 : CDCDCDCD; +2B55 : CDCDCDCD; +2B56 : CDCDCDCD; +2B57 : CDCDCDCD; +2B58 : CDCDCDCD; +2B59 : CDCDCDCD; +2B5A : CDCDCDCD; +2B5B : CDCDCDCD; +2B5C : CDCDCDCD; +2B5D : CDCDCDCD; +2B5E : CDCDCDCD; +2B5F : CDCDCDCD; +2B60 : CDCDCDCD; +2B61 : CDCDCDCD; +2B62 : CDCDCDCD; +2B63 : CDCDCDCD; +2B64 : CDCDCDCD; +2B65 : CDCDCDCD; +2B66 : CDCDCDCD; +2B67 : CDCDCDCD; +2B68 : CDCDCDCD; +2B69 : CDCDCDCD; +2B6A : CDCDCDCD; +2B6B : CDCDCDCD; +2B6C : CDCDCDCD; +2B6D : CDCDCDCD; +2B6E : CDCDCDCD; +2B6F : CDCDCDCD; +2B70 : CDCDCDCD; +2B71 : CDCDCDCD; +2B72 : CDCDCDCD; +2B73 : CDCDCDCD; +2B74 : CDCDCDCD; +2B75 : CDCDCDCD; +2B76 : CDCDCDCD; +2B77 : CDCDCDCD; +2B78 : CDCDCDCD; +2B79 : CDCDCDCD; +2B7A : CDCDCDCD; +2B7B : CDCDCDCD; +2B7C : CDCDCDCD; +2B7D : CDCDCDCD; +2B7E : CDCDCDCD; +2B7F : CDCDCDCD; +2B80 : CDCDCDCD; +2B81 : CDCDCDCD; +2B82 : CDCDCDCD; +2B83 : CDCDCDCD; +2B84 : CDCDCDCD; +2B85 : CDCDCDCD; +2B86 : CDCDCDCD; +2B87 : CDCDCDCD; +2B88 : CDCDCDCD; +2B89 : CDCDCDCD; +2B8A : CDCDCDCD; +2B8B : CDCDCDCD; +2B8C : CDCDCDCD; +2B8D : CDCDCDCD; +2B8E : CDCDCDCD; +2B8F : CDCDCDCD; +2B90 : CDCDCDCD; +2B91 : CDCDCDCD; +2B92 : CDCDCDCD; +2B93 : CDCDCDCD; +2B94 : CDCDCDCD; +2B95 : CDCDCDCD; +2B96 : CDCDCDCD; +2B97 : CDCDCDCD; +2B98 : CDCDCDCD; +2B99 : CDCDCDCD; +2B9A : CDCDCDCD; +2B9B : CDCDCDCD; +2B9C : CDCDCDCD; +2B9D : CDCDCDCD; +2B9E : CDCDCDCD; +2B9F : CDCDCDCD; +2BA0 : CDCDCDCD; +2BA1 : CDCDCDCD; +2BA2 : CDCDCDCD; +2BA3 : CDCDCDCD; +2BA4 : CDCDCDCD; +2BA5 : CDCDCDCD; +2BA6 : CDCDCDCD; +2BA7 : CDCDCDCD; +2BA8 : CDCDCDCD; +2BA9 : CDCDCDCD; +2BAA : CDCDCDCD; +2BAB : CDCDCDCD; +2BAC : CDCDCDCD; +2BAD : CDCDCDCD; +2BAE : CDCDCDCD; +2BAF : CDCDCDCD; +2BB0 : CDCDCDCD; +2BB1 : CDCDCDCD; +2BB2 : CDCDCDCD; +2BB3 : CDCDCDCD; +2BB4 : CDCDCDCD; +2BB5 : CDCDCDCD; +2BB6 : CDCDCDCD; +2BB7 : CDCDCDCD; +2BB8 : CDCDCDCD; +2BB9 : CDCDCDCD; +2BBA : CDCDCDCD; +2BBB : CDCDCDCD; +2BBC : CDCDCDCD; +2BBD : CDCDCDCD; +2BBE : CDCDCDCD; +2BBF : CDCDCDCD; +2BC0 : CDCDCDCD; +2BC1 : CDCDCDCD; +2BC2 : CDCDCDCD; +2BC3 : CDCDCDCD; +2BC4 : CDCDCDCD; +2BC5 : CDCDCDCD; +2BC6 : CDCDCDCD; +2BC7 : CDCDCDCD; +2BC8 : CDCDCDCD; +2BC9 : CDCDCDCD; +2BCA : CDCDCDCD; +2BCB : CDCDCDCD; +2BCC : CDCDCDCD; +2BCD : CDCDCDCD; +2BCE : CDCDCDCD; +2BCF : CDCDCDCD; +2BD0 : CDCDCDCD; +2BD1 : CDCDCDCD; +2BD2 : CDCDCDCD; +2BD3 : CDCDCDCD; +2BD4 : CDCDCDCD; +2BD5 : CDCDCDCD; +2BD6 : CDCDCDCD; +2BD7 : CDCDCDCD; +2BD8 : CDCDCDCD; +2BD9 : CDCDCDCD; +2BDA : CDCDCDCD; +2BDB : CDCDCDCD; +2BDC : CDCDCDCD; +2BDD : CDCDCDCD; +2BDE : CDCDCDCD; +2BDF : CDCDCDCD; +2BE0 : CDCDCDCD; +2BE1 : CDCDCDCD; +2BE2 : CDCDCDCD; +2BE3 : CDCDCDCD; +2BE4 : CDCDCDCD; +2BE5 : CDCDCDCD; +2BE6 : CDCDCDCD; +2BE7 : CDCDCDCD; +2BE8 : CDCDCDCD; +2BE9 : CDCDCDCD; +2BEA : CDCDCDCD; +2BEB : CDCDCDCD; +2BEC : CDCDCDCD; +2BED : CDCDCDCD; +2BEE : CDCDCDCD; +2BEF : CDCDCDCD; +2BF0 : CDCDCDCD; +2BF1 : CDCDCDCD; +2BF2 : CDCDCDCD; +2BF3 : CDCDCDCD; +2BF4 : CDCDCDCD; +2BF5 : CDCDCDCD; +2BF6 : CDCDCDCD; +2BF7 : CDCDCDCD; +2BF8 : CDCDCDCD; +2BF9 : CDCDCDCD; +2BFA : CDCDCDCD; +2BFB : CDCDCDCD; +2BFC : CDCDCDCD; +2BFD : CDCDCDCD; +2BFE : CDCDCDCD; +2BFF : CDCDCDCD; +2C00 : CDCDCDCD; +2C01 : CDCDCDCD; +2C02 : CDCDCDCD; +2C03 : CDCDCDCD; +2C04 : CDCDCDCD; +2C05 : CDCDCDCD; +2C06 : CDCDCDCD; +2C07 : CDCDCDCD; +2C08 : CDCDCDCD; +2C09 : CDCDCDCD; +2C0A : CDCDCDCD; +2C0B : CDCDCDCD; +2C0C : CDCDCDCD; +2C0D : CDCDCDCD; +2C0E : CDCDCDCD; +2C0F : CDCDCDCD; +2C10 : CDCDCDCD; +2C11 : CDCDCDCD; +2C12 : CDCDCDCD; +2C13 : CDCDCDCD; +2C14 : CDCDCDCD; +2C15 : CDCDCDCD; +2C16 : CDCDCDCD; +2C17 : CDCDCDCD; +2C18 : CDCDCDCD; +2C19 : CDCDCDCD; +2C1A : CDCDCDCD; +2C1B : CDCDCDCD; +2C1C : CDCDCDCD; +2C1D : CDCDCDCD; +2C1E : CDCDCDCD; +2C1F : CDCDCDCD; +2C20 : CDCDCDCD; +2C21 : CDCDCDCD; +2C22 : CDCDCDCD; +2C23 : CDCDCDCD; +2C24 : CDCDCDCD; +2C25 : CDCDCDCD; +2C26 : CDCDCDCD; +2C27 : CDCDCDCD; +2C28 : CDCDCDCD; +2C29 : CDCDCDCD; +2C2A : CDCDCDCD; +2C2B : CDCDCDCD; +2C2C : CDCDCDCD; +2C2D : CDCDCDCD; +2C2E : CDCDCDCD; +2C2F : CDCDCDCD; +2C30 : CDCDCDCD; +2C31 : CDCDCDCD; +2C32 : CDCDCDCD; +2C33 : CDCDCDCD; +2C34 : CDCDCDCD; +2C35 : CDCDCDCD; +2C36 : CDCDCDCD; +2C37 : CDCDCDCD; +2C38 : CDCDCDCD; +2C39 : CDCDCDCD; +2C3A : CDCDCDCD; +2C3B : CDCDCDCD; +2C3C : CDCDCDCD; +2C3D : CDCDCDCD; +2C3E : CDCDCDCD; +2C3F : CDCDCDCD; +2C40 : CDCDCDCD; +2C41 : CDCDCDCD; +2C42 : CDCDCDCD; +2C43 : CDCDCDCD; +2C44 : CDCDCDCD; +2C45 : CDCDCDCD; +2C46 : CDCDCDCD; +2C47 : CDCDCDCD; +2C48 : CDCDCDCD; +2C49 : CDCDCDCD; +2C4A : CDCDCDCD; +2C4B : CDCDCDCD; +2C4C : CDCDCDCD; +2C4D : CDCDCDCD; +2C4E : CDCDCDCD; +2C4F : CDCDCDCD; +2C50 : CDCDCDCD; +2C51 : CDCDCDCD; +2C52 : CDCDCDCD; +2C53 : CDCDCDCD; +2C54 : CDCDCDCD; +2C55 : CDCDCDCD; +2C56 : CDCDCDCD; +2C57 : CDCDCDCD; +2C58 : CDCDCDCD; +2C59 : CDCDCDCD; +2C5A : CDCDCDCD; +2C5B : CDCDCDCD; +2C5C : CDCDCDCD; +2C5D : CDCDCDCD; +2C5E : CDCDCDCD; +2C5F : CDCDCDCD; +2C60 : CDCDCDCD; +2C61 : CDCDCDCD; +2C62 : CDCDCDCD; +2C63 : CDCDCDCD; +2C64 : CDCDCDCD; +2C65 : CDCDCDCD; +2C66 : CDCDCDCD; +2C67 : CDCDCDCD; +2C68 : CDCDCDCD; +2C69 : CDCDCDCD; +2C6A : CDCDCDCD; +2C6B : CDCDCDCD; +2C6C : CDCDCDCD; +2C6D : CDCDCDCD; +2C6E : CDCDCDCD; +2C6F : CDCDCDCD; +2C70 : CDCDCDCD; +2C71 : CDCDCDCD; +2C72 : CDCDCDCD; +2C73 : CDCDCDCD; +2C74 : CDCDCDCD; +2C75 : CDCDCDCD; +2C76 : CDCDCDCD; +2C77 : CDCDCDCD; +2C78 : CDCDCDCD; +2C79 : CDCDCDCD; +2C7A : CDCDCDCD; +2C7B : CDCDCDCD; +2C7C : CDCDCDCD; +2C7D : CDCDCDCD; +2C7E : CDCDCDCD; +2C7F : CDCDCDCD; +2C80 : CDCDCDCD; +2C81 : CDCDCDCD; +2C82 : CDCDCDCD; +2C83 : CDCDCDCD; +2C84 : CDCDCDCD; +2C85 : CDCDCDCD; +2C86 : CDCDCDCD; +2C87 : CDCDCDCD; +2C88 : CDCDCDCD; +2C89 : CDCDCDCD; +2C8A : CDCDCDCD; +2C8B : CDCDCDCD; +2C8C : CDCDCDCD; +2C8D : CDCDCDCD; +2C8E : CDCDCDCD; +2C8F : CDCDCDCD; +2C90 : CDCDCDCD; +2C91 : CDCDCDCD; +2C92 : CDCDCDCD; +2C93 : CDCDCDCD; +2C94 : CDCDCDCD; +2C95 : CDCDCDCD; +2C96 : CDCDCDCD; +2C97 : CDCDCDCD; +2C98 : CDCDCDCD; +2C99 : CDCDCDCD; +2C9A : CDCDCDCD; +2C9B : CDCDCDCD; +2C9C : CDCDCDCD; +2C9D : CDCDCDCD; +2C9E : CDCDCDCD; +2C9F : CDCDCDCD; +2CA0 : CDCDCDCD; +2CA1 : CDCDCDCD; +2CA2 : CDCDCDCD; +2CA3 : CDCDCDCD; +2CA4 : CDCDCDCD; +2CA5 : CDCDCDCD; +2CA6 : CDCDCDCD; +2CA7 : CDCDCDCD; +2CA8 : CDCDCDCD; +2CA9 : CDCDCDCD; +2CAA : CDCDCDCD; +2CAB : CDCDCDCD; +2CAC : CDCDCDCD; +2CAD : CDCDCDCD; +2CAE : CDCDCDCD; +2CAF : CDCDCDCD; +2CB0 : CDCDCDCD; +2CB1 : CDCDCDCD; +2CB2 : CDCDCDCD; +2CB3 : CDCDCDCD; +2CB4 : CDCDCDCD; +2CB5 : CDCDCDCD; +2CB6 : CDCDCDCD; +2CB7 : CDCDCDCD; +2CB8 : CDCDCDCD; +2CB9 : CDCDCDCD; +2CBA : CDCDCDCD; +2CBB : CDCDCDCD; +2CBC : CDCDCDCD; +2CBD : CDCDCDCD; +2CBE : CDCDCDCD; +2CBF : CDCDCDCD; +2CC0 : CDCDCDCD; +2CC1 : CDCDCDCD; +2CC2 : CDCDCDCD; +2CC3 : CDCDCDCD; +2CC4 : CDCDCDCD; +2CC5 : CDCDCDCD; +2CC6 : CDCDCDCD; +2CC7 : CDCDCDCD; +2CC8 : CDCDCDCD; +2CC9 : CDCDCDCD; +2CCA : CDCDCDCD; +2CCB : CDCDCDCD; +2CCC : CDCDCDCD; +2CCD : CDCDCDCD; +2CCE : CDCDCDCD; +2CCF : CDCDCDCD; +2CD0 : CDCDCDCD; +2CD1 : CDCDCDCD; +2CD2 : CDCDCDCD; +2CD3 : CDCDCDCD; +2CD4 : CDCDCDCD; +2CD5 : CDCDCDCD; +2CD6 : CDCDCDCD; +2CD7 : CDCDCDCD; +2CD8 : CDCDCDCD; +2CD9 : CDCDCDCD; +2CDA : CDCDCDCD; +2CDB : CDCDCDCD; +2CDC : CDCDCDCD; +2CDD : CDCDCDCD; +2CDE : CDCDCDCD; +2CDF : CDCDCDCD; +2CE0 : CDCDCDCD; +2CE1 : CDCDCDCD; +2CE2 : CDCDCDCD; +2CE3 : CDCDCDCD; +2CE4 : CDCDCDCD; +2CE5 : CDCDCDCD; +2CE6 : CDCDCDCD; +2CE7 : CDCDCDCD; +2CE8 : CDCDCDCD; +2CE9 : CDCDCDCD; +2CEA : CDCDCDCD; +2CEB : CDCDCDCD; +2CEC : CDCDCDCD; +2CED : CDCDCDCD; +2CEE : CDCDCDCD; +2CEF : CDCDCDCD; +2CF0 : CDCDCDCD; +2CF1 : CDCDCDCD; +2CF2 : CDCDCDCD; +2CF3 : CDCDCDCD; +2CF4 : CDCDCDCD; +2CF5 : CDCDCDCD; +2CF6 : CDCDCDCD; +2CF7 : CDCDCDCD; +2CF8 : CDCDCDCD; +2CF9 : CDCDCDCD; +2CFA : CDCDCDCD; +2CFB : CDCDCDCD; +2CFC : CDCDCDCD; +2CFD : CDCDCDCD; +2CFE : CDCDCDCD; +2CFF : CDCDCDCD; +2D00 : CDCDCDCD; +2D01 : CDCDCDCD; +2D02 : CDCDCDCD; +2D03 : CDCDCDCD; +2D04 : CDCDCDCD; +2D05 : CDCDCDCD; +2D06 : CDCDCDCD; +2D07 : CDCDCDCD; +2D08 : CDCDCDCD; +2D09 : CDCDCDCD; +2D0A : CDCDCDCD; +2D0B : CDCDCDCD; +2D0C : CDCDCDCD; +2D0D : CDCDCDCD; +2D0E : CDCDCDCD; +2D0F : CDCDCDCD; +2D10 : CDCDCDCD; +2D11 : CDCDCDCD; +2D12 : CDCDCDCD; +2D13 : CDCDCDCD; +2D14 : CDCDCDCD; +2D15 : CDCDCDCD; +2D16 : CDCDCDCD; +2D17 : CDCDCDCD; +2D18 : CDCDCDCD; +2D19 : CDCDCDCD; +2D1A : CDCDCDCD; +2D1B : CDCDCDCD; +2D1C : CDCDCDCD; +2D1D : CDCDCDCD; +2D1E : CDCDCDCD; +2D1F : CDCDCDCD; +2D20 : CDCDCDCD; +2D21 : CDCDCDCD; +2D22 : CDCDCDCD; +2D23 : CDCDCDCD; +2D24 : CDCDCDCD; +2D25 : CDCDCDCD; +2D26 : CDCDCDCD; +2D27 : CDCDCDCD; +2D28 : CDCDCDCD; +2D29 : CDCDCDCD; +2D2A : CDCDCDCD; +2D2B : CDCDCDCD; +2D2C : CDCDCDCD; +2D2D : CDCDCDCD; +2D2E : CDCDCDCD; +2D2F : CDCDCDCD; +2D30 : CDCDCDCD; +2D31 : CDCDCDCD; +2D32 : CDCDCDCD; +2D33 : CDCDCDCD; +2D34 : CDCDCDCD; +2D35 : CDCDCDCD; +2D36 : CDCDCDCD; +2D37 : CDCDCDCD; +2D38 : CDCDCDCD; +2D39 : CDCDCDCD; +2D3A : CDCDCDCD; +2D3B : CDCDCDCD; +2D3C : CDCDCDCD; +2D3D : CDCDCDCD; +2D3E : CDCDCDCD; +2D3F : CDCDCDCD; +2D40 : CDCDCDCD; +2D41 : CDCDCDCD; +2D42 : CDCDCDCD; +2D43 : CDCDCDCD; +2D44 : CDCDCDCD; +2D45 : CDCDCDCD; +2D46 : CDCDCDCD; +2D47 : CDCDCDCD; +2D48 : CDCDCDCD; +2D49 : CDCDCDCD; +2D4A : CDCDCDCD; +2D4B : CDCDCDCD; +2D4C : CDCDCDCD; +2D4D : CDCDCDCD; +2D4E : CDCDCDCD; +2D4F : CDCDCDCD; +2D50 : CDCDCDCD; +2D51 : CDCDCDCD; +2D52 : CDCDCDCD; +2D53 : CDCDCDCD; +2D54 : CDCDCDCD; +2D55 : CDCDCDCD; +2D56 : CDCDCDCD; +2D57 : CDCDCDCD; +2D58 : CDCDCDCD; +2D59 : CDCDCDCD; +2D5A : CDCDCDCD; +2D5B : CDCDCDCD; +2D5C : CDCDCDCD; +2D5D : CDCDCDCD; +2D5E : CDCDCDCD; +2D5F : CDCDCDCD; +2D60 : CDCDCDCD; +2D61 : CDCDCDCD; +2D62 : CDCDCDCD; +2D63 : CDCDCDCD; +2D64 : CDCDCDCD; +2D65 : CDCDCDCD; +2D66 : CDCDCDCD; +2D67 : CDCDCDCD; +2D68 : CDCDCDCD; +2D69 : CDCDCDCD; +2D6A : CDCDCDCD; +2D6B : CDCDCDCD; +2D6C : CDCDCDCD; +2D6D : CDCDCDCD; +2D6E : CDCDCDCD; +2D6F : CDCDCDCD; +2D70 : CDCDCDCD; +2D71 : CDCDCDCD; +2D72 : CDCDCDCD; +2D73 : CDCDCDCD; +2D74 : CDCDCDCD; +2D75 : CDCDCDCD; +2D76 : CDCDCDCD; +2D77 : CDCDCDCD; +2D78 : CDCDCDCD; +2D79 : CDCDCDCD; +2D7A : CDCDCDCD; +2D7B : CDCDCDCD; +2D7C : CDCDCDCD; +2D7D : CDCDCDCD; +2D7E : CDCDCDCD; +2D7F : CDCDCDCD; +2D80 : CDCDCDCD; +2D81 : CDCDCDCD; +2D82 : CDCDCDCD; +2D83 : CDCDCDCD; +2D84 : CDCDCDCD; +2D85 : CDCDCDCD; +2D86 : CDCDCDCD; +2D87 : CDCDCDCD; +2D88 : CDCDCDCD; +2D89 : CDCDCDCD; +2D8A : CDCDCDCD; +2D8B : CDCDCDCD; +2D8C : CDCDCDCD; +2D8D : CDCDCDCD; +2D8E : CDCDCDCD; +2D8F : CDCDCDCD; +2D90 : CDCDCDCD; +2D91 : CDCDCDCD; +2D92 : CDCDCDCD; +2D93 : CDCDCDCD; +2D94 : CDCDCDCD; +2D95 : CDCDCDCD; +2D96 : CDCDCDCD; +2D97 : CDCDCDCD; +2D98 : CDCDCDCD; +2D99 : CDCDCDCD; +2D9A : CDCDCDCD; +2D9B : CDCDCDCD; +2D9C : CDCDCDCD; +2D9D : CDCDCDCD; +2D9E : CDCDCDCD; +2D9F : CDCDCDCD; +2DA0 : CDCDCDCD; +2DA1 : CDCDCDCD; +2DA2 : CDCDCDCD; +2DA3 : CDCDCDCD; +2DA4 : CDCDCDCD; +2DA5 : CDCDCDCD; +2DA6 : CDCDCDCD; +2DA7 : CDCDCDCD; +2DA8 : CDCDCDCD; +2DA9 : CDCDCDCD; +2DAA : CDCDCDCD; +2DAB : CDCDCDCD; +2DAC : CDCDCDCD; +2DAD : CDCDCDCD; +2DAE : CDCDCDCD; +2DAF : CDCDCDCD; +2DB0 : CDCDCDCD; +2DB1 : CDCDCDCD; +2DB2 : CDCDCDCD; +2DB3 : CDCDCDCD; +2DB4 : CDCDCDCD; +2DB5 : CDCDCDCD; +2DB6 : CDCDCDCD; +2DB7 : CDCDCDCD; +2DB8 : CDCDCDCD; +2DB9 : CDCDCDCD; +2DBA : CDCDCDCD; +2DBB : CDCDCDCD; +2DBC : CDCDCDCD; +2DBD : CDCDCDCD; +2DBE : CDCDCDCD; +2DBF : CDCDCDCD; +2DC0 : CDCDCDCD; +2DC1 : CDCDCDCD; +2DC2 : CDCDCDCD; +2DC3 : CDCDCDCD; +2DC4 : CDCDCDCD; +2DC5 : CDCDCDCD; +2DC6 : CDCDCDCD; +2DC7 : CDCDCDCD; +2DC8 : CDCDCDCD; +2DC9 : CDCDCDCD; +2DCA : CDCDCDCD; +2DCB : CDCDCDCD; +2DCC : CDCDCDCD; +2DCD : CDCDCDCD; +2DCE : CDCDCDCD; +2DCF : CDCDCDCD; +2DD0 : CDCDCDCD; +2DD1 : CDCDCDCD; +2DD2 : CDCDCDCD; +2DD3 : CDCDCDCD; +2DD4 : CDCDCDCD; +2DD5 : CDCDCDCD; +2DD6 : CDCDCDCD; +2DD7 : CDCDCDCD; +2DD8 : CDCDCDCD; +2DD9 : CDCDCDCD; +2DDA : CDCDCDCD; +2DDB : CDCDCDCD; +2DDC : CDCDCDCD; +2DDD : CDCDCDCD; +2DDE : CDCDCDCD; +2DDF : CDCDCDCD; +2DE0 : CDCDCDCD; +2DE1 : CDCDCDCD; +2DE2 : CDCDCDCD; +2DE3 : CDCDCDCD; +2DE4 : CDCDCDCD; +2DE5 : CDCDCDCD; +2DE6 : CDCDCDCD; +2DE7 : CDCDCDCD; +2DE8 : CDCDCDCD; +2DE9 : CDCDCDCD; +2DEA : CDCDCDCD; +2DEB : CDCDCDCD; +2DEC : CDCDCDCD; +2DED : CDCDCDCD; +2DEE : CDCDCDCD; +2DEF : CDCDCDCD; +2DF0 : CDCDCDCD; +2DF1 : CDCDCDCD; +2DF2 : CDCDCDCD; +2DF3 : CDCDCDCD; +2DF4 : CDCDCDCD; +2DF5 : CDCDCDCD; +2DF6 : CDCDCDCD; +2DF7 : CDCDCDCD; +2DF8 : CDCDCDCD; +2DF9 : CDCDCDCD; +2DFA : CDCDCDCD; +2DFB : CDCDCDCD; +2DFC : CDCDCDCD; +2DFD : CDCDCDCD; +2DFE : CDCDCDCD; +2DFF : CDCDCDCD; +2E00 : CDCDCDCD; +2E01 : CDCDCDCD; +2E02 : CDCDCDCD; +2E03 : CDCDCDCD; +2E04 : CDCDCDCD; +2E05 : CDCDCDCD; +2E06 : CDCDCDCD; +2E07 : CDCDCDCD; +2E08 : CDCDCDCD; +2E09 : CDCDCDCD; +2E0A : CDCDCDCD; +2E0B : CDCDCDCD; +2E0C : CDCDCDCD; +2E0D : CDCDCDCD; +2E0E : CDCDCDCD; +2E0F : CDCDCDCD; +2E10 : CDCDCDCD; +2E11 : CDCDCDCD; +2E12 : CDCDCDCD; +2E13 : CDCDCDCD; +2E14 : CDCDCDCD; +2E15 : CDCDCDCD; +2E16 : CDCDCDCD; +2E17 : CDCDCDCD; +2E18 : CDCDCDCD; +2E19 : CDCDCDCD; +2E1A : CDCDCDCD; +2E1B : CDCDCDCD; +2E1C : CDCDCDCD; +2E1D : CDCDCDCD; +2E1E : CDCDCDCD; +2E1F : CDCDCDCD; +2E20 : CDCDCDCD; +2E21 : CDCDCDCD; +2E22 : CDCDCDCD; +2E23 : CDCDCDCD; +2E24 : CDCDCDCD; +2E25 : CDCDCDCD; +2E26 : CDCDCDCD; +2E27 : CDCDCDCD; +2E28 : CDCDCDCD; +2E29 : CDCDCDCD; +2E2A : CDCDCDCD; +2E2B : CDCDCDCD; +2E2C : CDCDCDCD; +2E2D : CDCDCDCD; +2E2E : CDCDCDCD; +2E2F : CDCDCDCD; +2E30 : CDCDCDCD; +2E31 : CDCDCDCD; +2E32 : CDCDCDCD; +2E33 : CDCDCDCD; +2E34 : CDCDCDCD; +2E35 : CDCDCDCD; +2E36 : CDCDCDCD; +2E37 : CDCDCDCD; +2E38 : CDCDCDCD; +2E39 : CDCDCDCD; +2E3A : CDCDCDCD; +2E3B : CDCDCDCD; +2E3C : CDCDCDCD; +2E3D : CDCDCDCD; +2E3E : CDCDCDCD; +2E3F : CDCDCDCD; +2E40 : CDCDCDCD; +2E41 : CDCDCDCD; +2E42 : CDCDCDCD; +2E43 : CDCDCDCD; +2E44 : CDCDCDCD; +2E45 : CDCDCDCD; +2E46 : CDCDCDCD; +2E47 : CDCDCDCD; +2E48 : CDCDCDCD; +2E49 : CDCDCDCD; +2E4A : CDCDCDCD; +2E4B : CDCDCDCD; +2E4C : CDCDCDCD; +2E4D : CDCDCDCD; +2E4E : CDCDCDCD; +2E4F : CDCDCDCD; +2E50 : CDCDCDCD; +2E51 : CDCDCDCD; +2E52 : CDCDCDCD; +2E53 : CDCDCDCD; +2E54 : CDCDCDCD; +2E55 : CDCDCDCD; +2E56 : CDCDCDCD; +2E57 : CDCDCDCD; +2E58 : CDCDCDCD; +2E59 : CDCDCDCD; +2E5A : CDCDCDCD; +2E5B : CDCDCDCD; +2E5C : CDCDCDCD; +2E5D : CDCDCDCD; +2E5E : CDCDCDCD; +2E5F : CDCDCDCD; +2E60 : CDCDCDCD; +2E61 : CDCDCDCD; +2E62 : CDCDCDCD; +2E63 : CDCDCDCD; +2E64 : CDCDCDCD; +2E65 : CDCDCDCD; +2E66 : CDCDCDCD; +2E67 : CDCDCDCD; +2E68 : CDCDCDCD; +2E69 : CDCDCDCD; +2E6A : CDCDCDCD; +2E6B : CDCDCDCD; +2E6C : CDCDCDCD; +2E6D : CDCDCDCD; +2E6E : CDCDCDCD; +2E6F : CDCDCDCD; +2E70 : CDCDCDCD; +2E71 : CDCDCDCD; +2E72 : CDCDCDCD; +2E73 : CDCDCDCD; +2E74 : CDCDCDCD; +2E75 : CDCDCDCD; +2E76 : CDCDCDCD; +2E77 : CDCDCDCD; +2E78 : CDCDCDCD; +2E79 : CDCDCDCD; +2E7A : CDCDCDCD; +2E7B : CDCDCDCD; +2E7C : CDCDCDCD; +2E7D : CDCDCDCD; +2E7E : CDCDCDCD; +2E7F : CDCDCDCD; +2E80 : CDCDCDCD; +2E81 : CDCDCDCD; +2E82 : CDCDCDCD; +2E83 : CDCDCDCD; +2E84 : CDCDCDCD; +2E85 : CDCDCDCD; +2E86 : CDCDCDCD; +2E87 : CDCDCDCD; +2E88 : CDCDCDCD; +2E89 : CDCDCDCD; +2E8A : CDCDCDCD; +2E8B : CDCDCDCD; +2E8C : CDCDCDCD; +2E8D : CDCDCDCD; +2E8E : CDCDCDCD; +2E8F : CDCDCDCD; +2E90 : CDCDCDCD; +2E91 : CDCDCDCD; +2E92 : CDCDCDCD; +2E93 : CDCDCDCD; +2E94 : CDCDCDCD; +2E95 : CDCDCDCD; +2E96 : CDCDCDCD; +2E97 : CDCDCDCD; +2E98 : CDCDCDCD; +2E99 : CDCDCDCD; +2E9A : CDCDCDCD; +2E9B : CDCDCDCD; +2E9C : CDCDCDCD; +2E9D : CDCDCDCD; +2E9E : CDCDCDCD; +2E9F : CDCDCDCD; +2EA0 : CDCDCDCD; +2EA1 : CDCDCDCD; +2EA2 : CDCDCDCD; +2EA3 : CDCDCDCD; +2EA4 : CDCDCDCD; +2EA5 : CDCDCDCD; +2EA6 : CDCDCDCD; +2EA7 : CDCDCDCD; +2EA8 : CDCDCDCD; +2EA9 : CDCDCDCD; +2EAA : CDCDCDCD; +2EAB : CDCDCDCD; +2EAC : CDCDCDCD; +2EAD : CDCDCDCD; +2EAE : CDCDCDCD; +2EAF : CDCDCDCD; +2EB0 : CDCDCDCD; +2EB1 : CDCDCDCD; +2EB2 : CDCDCDCD; +2EB3 : CDCDCDCD; +2EB4 : CDCDCDCD; +2EB5 : CDCDCDCD; +2EB6 : CDCDCDCD; +2EB7 : CDCDCDCD; +2EB8 : CDCDCDCD; +2EB9 : CDCDCDCD; +2EBA : CDCDCDCD; +2EBB : CDCDCDCD; +2EBC : CDCDCDCD; +2EBD : CDCDCDCD; +2EBE : CDCDCDCD; +2EBF : CDCDCDCD; +2EC0 : CDCDCDCD; +2EC1 : CDCDCDCD; +2EC2 : CDCDCDCD; +2EC3 : CDCDCDCD; +2EC4 : CDCDCDCD; +2EC5 : CDCDCDCD; +2EC6 : CDCDCDCD; +2EC7 : CDCDCDCD; +2EC8 : CDCDCDCD; +2EC9 : CDCDCDCD; +2ECA : CDCDCDCD; +2ECB : CDCDCDCD; +2ECC : CDCDCDCD; +2ECD : CDCDCDCD; +2ECE : CDCDCDCD; +2ECF : CDCDCDCD; +2ED0 : CDCDCDCD; +2ED1 : CDCDCDCD; +2ED2 : CDCDCDCD; +2ED3 : CDCDCDCD; +2ED4 : CDCDCDCD; +2ED5 : CDCDCDCD; +2ED6 : CDCDCDCD; +2ED7 : CDCDCDCD; +2ED8 : CDCDCDCD; +2ED9 : CDCDCDCD; +2EDA : CDCDCDCD; +2EDB : CDCDCDCD; +2EDC : CDCDCDCD; +2EDD : CDCDCDCD; +2EDE : CDCDCDCD; +2EDF : CDCDCDCD; +2EE0 : CDCDCDCD; +2EE1 : CDCDCDCD; +2EE2 : CDCDCDCD; +2EE3 : CDCDCDCD; +2EE4 : CDCDCDCD; +2EE5 : CDCDCDCD; +2EE6 : CDCDCDCD; +2EE7 : CDCDCDCD; +2EE8 : CDCDCDCD; +2EE9 : CDCDCDCD; +2EEA : CDCDCDCD; +2EEB : CDCDCDCD; +2EEC : CDCDCDCD; +2EED : CDCDCDCD; +2EEE : CDCDCDCD; +2EEF : CDCDCDCD; +2EF0 : CDCDCDCD; +2EF1 : CDCDCDCD; +2EF2 : CDCDCDCD; +2EF3 : CDCDCDCD; +2EF4 : CDCDCDCD; +2EF5 : CDCDCDCD; +2EF6 : CDCDCDCD; +2EF7 : CDCDCDCD; +2EF8 : CDCDCDCD; +2EF9 : CDCDCDCD; +2EFA : CDCDCDCD; +2EFB : CDCDCDCD; +2EFC : CDCDCDCD; +2EFD : CDCDCDCD; +2EFE : CDCDCDCD; +2EFF : CDCDCDCD; +2F00 : CDCDCDCD; +2F01 : CDCDCDCD; +2F02 : CDCDCDCD; +2F03 : CDCDCDCD; +2F04 : CDCDCDCD; +2F05 : CDCDCDCD; +2F06 : CDCDCDCD; +2F07 : CDCDCDCD; +2F08 : CDCDCDCD; +2F09 : CDCDCDCD; +2F0A : CDCDCDCD; +2F0B : CDCDCDCD; +2F0C : CDCDCDCD; +2F0D : CDCDCDCD; +2F0E : CDCDCDCD; +2F0F : CDCDCDCD; +2F10 : CDCDCDCD; +2F11 : CDCDCDCD; +2F12 : CDCDCDCD; +2F13 : CDCDCDCD; +2F14 : CDCDCDCD; +2F15 : CDCDCDCD; +2F16 : CDCDCDCD; +2F17 : CDCDCDCD; +2F18 : CDCDCDCD; +2F19 : CDCDCDCD; +2F1A : CDCDCDCD; +2F1B : CDCDCDCD; +2F1C : CDCDCDCD; +2F1D : CDCDCDCD; +2F1E : CDCDCDCD; +2F1F : CDCDCDCD; +2F20 : CDCDCDCD; +2F21 : CDCDCDCD; +2F22 : CDCDCDCD; +2F23 : CDCDCDCD; +2F24 : CDCDCDCD; +2F25 : CDCDCDCD; +2F26 : CDCDCDCD; +2F27 : CDCDCDCD; +2F28 : CDCDCDCD; +2F29 : CDCDCDCD; +2F2A : CDCDCDCD; +2F2B : CDCDCDCD; +2F2C : CDCDCDCD; +2F2D : CDCDCDCD; +2F2E : CDCDCDCD; +2F2F : CDCDCDCD; +2F30 : CDCDCDCD; +2F31 : CDCDCDCD; +2F32 : CDCDCDCD; +2F33 : CDCDCDCD; +2F34 : CDCDCDCD; +2F35 : CDCDCDCD; +2F36 : CDCDCDCD; +2F37 : CDCDCDCD; +2F38 : CDCDCDCD; +2F39 : CDCDCDCD; +2F3A : CDCDCDCD; +2F3B : CDCDCDCD; +2F3C : CDCDCDCD; +2F3D : CDCDCDCD; +2F3E : CDCDCDCD; +2F3F : CDCDCDCD; +2F40 : CDCDCDCD; +2F41 : CDCDCDCD; +2F42 : CDCDCDCD; +2F43 : CDCDCDCD; +2F44 : CDCDCDCD; +2F45 : CDCDCDCD; +2F46 : CDCDCDCD; +2F47 : CDCDCDCD; +2F48 : CDCDCDCD; +2F49 : CDCDCDCD; +2F4A : CDCDCDCD; +2F4B : CDCDCDCD; +2F4C : CDCDCDCD; +2F4D : CDCDCDCD; +2F4E : CDCDCDCD; +2F4F : CDCDCDCD; +2F50 : CDCDCDCD; +2F51 : CDCDCDCD; +2F52 : CDCDCDCD; +2F53 : CDCDCDCD; +2F54 : CDCDCDCD; +2F55 : CDCDCDCD; +2F56 : CDCDCDCD; +2F57 : CDCDCDCD; +2F58 : CDCDCDCD; +2F59 : CDCDCDCD; +2F5A : CDCDCDCD; +2F5B : CDCDCDCD; +2F5C : CDCDCDCD; +2F5D : CDCDCDCD; +2F5E : CDCDCDCD; +2F5F : CDCDCDCD; +2F60 : CDCDCDCD; +2F61 : CDCDCDCD; +2F62 : CDCDCDCD; +2F63 : CDCDCDCD; +2F64 : CDCDCDCD; +2F65 : CDCDCDCD; +2F66 : CDCDCDCD; +2F67 : CDCDCDCD; +2F68 : CDCDCDCD; +2F69 : CDCDCDCD; +2F6A : CDCDCDCD; +2F6B : CDCDCDCD; +2F6C : CDCDCDCD; +2F6D : CDCDCDCD; +2F6E : CDCDCDCD; +2F6F : CDCDCDCD; +2F70 : CDCDCDCD; +2F71 : CDCDCDCD; +2F72 : CDCDCDCD; +2F73 : CDCDCDCD; +2F74 : CDCDCDCD; +2F75 : CDCDCDCD; +2F76 : CDCDCDCD; +2F77 : CDCDCDCD; +2F78 : CDCDCDCD; +2F79 : CDCDCDCD; +2F7A : CDCDCDCD; +2F7B : CDCDCDCD; +2F7C : CDCDCDCD; +2F7D : CDCDCDCD; +2F7E : CDCDCDCD; +2F7F : CDCDCDCD; +2F80 : CDCDCDCD; +2F81 : CDCDCDCD; +2F82 : CDCDCDCD; +2F83 : CDCDCDCD; +2F84 : CDCDCDCD; +2F85 : CDCDCDCD; +2F86 : CDCDCDCD; +2F87 : CDCDCDCD; +2F88 : CDCDCDCD; +2F89 : CDCDCDCD; +2F8A : CDCDCDCD; +2F8B : CDCDCDCD; +2F8C : CDCDCDCD; +2F8D : CDCDCDCD; +2F8E : CDCDCDCD; +2F8F : CDCDCDCD; +2F90 : CDCDCDCD; +2F91 : CDCDCDCD; +2F92 : CDCDCDCD; +2F93 : CDCDCDCD; +2F94 : CDCDCDCD; +2F95 : CDCDCDCD; +2F96 : CDCDCDCD; +2F97 : CDCDCDCD; +2F98 : CDCDCDCD; +2F99 : CDCDCDCD; +2F9A : CDCDCDCD; +2F9B : CDCDCDCD; +2F9C : CDCDCDCD; +2F9D : CDCDCDCD; +2F9E : CDCDCDCD; +2F9F : CDCDCDCD; +2FA0 : CDCDCDCD; +2FA1 : CDCDCDCD; +2FA2 : CDCDCDCD; +2FA3 : CDCDCDCD; +2FA4 : CDCDCDCD; +2FA5 : CDCDCDCD; +2FA6 : CDCDCDCD; +2FA7 : CDCDCDCD; +2FA8 : CDCDCDCD; +2FA9 : CDCDCDCD; +2FAA : CDCDCDCD; +2FAB : CDCDCDCD; +2FAC : CDCDCDCD; +2FAD : CDCDCDCD; +2FAE : CDCDCDCD; +2FAF : CDCDCDCD; +2FB0 : CDCDCDCD; +2FB1 : CDCDCDCD; +2FB2 : CDCDCDCD; +2FB3 : CDCDCDCD; +2FB4 : CDCDCDCD; +2FB5 : CDCDCDCD; +2FB6 : CDCDCDCD; +2FB7 : CDCDCDCD; +2FB8 : CDCDCDCD; +2FB9 : CDCDCDCD; +2FBA : CDCDCDCD; +2FBB : CDCDCDCD; +2FBC : CDCDCDCD; +2FBD : CDCDCDCD; +2FBE : CDCDCDCD; +2FBF : CDCDCDCD; +2FC0 : CDCDCDCD; +2FC1 : CDCDCDCD; +2FC2 : CDCDCDCD; +2FC3 : CDCDCDCD; +2FC4 : CDCDCDCD; +2FC5 : CDCDCDCD; +2FC6 : CDCDCDCD; +2FC7 : CDCDCDCD; +2FC8 : CDCDCDCD; +2FC9 : CDCDCDCD; +2FCA : CDCDCDCD; +2FCB : CDCDCDCD; +2FCC : CDCDCDCD; +2FCD : CDCDCDCD; +2FCE : CDCDCDCD; +2FCF : CDCDCDCD; +2FD0 : CDCDCDCD; +2FD1 : CDCDCDCD; +2FD2 : CDCDCDCD; +2FD3 : CDCDCDCD; +2FD4 : CDCDCDCD; +2FD5 : CDCDCDCD; +2FD6 : CDCDCDCD; +2FD7 : CDCDCDCD; +2FD8 : CDCDCDCD; +2FD9 : CDCDCDCD; +2FDA : CDCDCDCD; +2FDB : CDCDCDCD; +2FDC : CDCDCDCD; +2FDD : CDCDCDCD; +2FDE : CDCDCDCD; +2FDF : CDCDCDCD; +2FE0 : CDCDCDCD; +2FE1 : CDCDCDCD; +2FE2 : CDCDCDCD; +2FE3 : CDCDCDCD; +2FE4 : CDCDCDCD; +2FE5 : CDCDCDCD; +2FE6 : CDCDCDCD; +2FE7 : CDCDCDCD; +2FE8 : CDCDCDCD; +2FE9 : CDCDCDCD; +2FEA : CDCDCDCD; +2FEB : CDCDCDCD; +2FEC : CDCDCDCD; +2FED : CDCDCDCD; +2FEE : CDCDCDCD; +2FEF : CDCDCDCD; +2FF0 : CDCDCDCD; +2FF1 : CDCDCDCD; +2FF2 : CDCDCDCD; +2FF3 : CDCDCDCD; +2FF4 : CDCDCDCD; +2FF5 : CDCDCDCD; +2FF6 : CDCDCDCD; +2FF7 : CDCDCDCD; +2FF8 : CDCDCDCD; +2FF9 : CDCDCDCD; +2FFA : CDCDCDCD; +2FFB : CDCDCDCD; +2FFC : CDCDCDCD; +2FFD : CDCDCDCD; +2FFE : CDCDCDCD; +2FFF : CDCDCDCD; +3000 : CDCDCDCD; +3001 : CDCDCDCD; +3002 : CDCDCDCD; +3003 : CDCDCDCD; +3004 : CDCDCDCD; +3005 : CDCDCDCD; +3006 : CDCDCDCD; +3007 : CDCDCDCD; +3008 : CDCDCDCD; +3009 : CDCDCDCD; +300A : CDCDCDCD; +300B : CDCDCDCD; +300C : CDCDCDCD; +300D : CDCDCDCD; +300E : CDCDCDCD; +300F : CDCDCDCD; +3010 : CDCDCDCD; +3011 : CDCDCDCD; +3012 : CDCDCDCD; +3013 : CDCDCDCD; +3014 : CDCDCDCD; +3015 : CDCDCDCD; +3016 : CDCDCDCD; +3017 : CDCDCDCD; +3018 : CDCDCDCD; +3019 : CDCDCDCD; +301A : CDCDCDCD; +301B : CDCDCDCD; +301C : CDCDCDCD; +301D : CDCDCDCD; +301E : CDCDCDCD; +301F : CDCDCDCD; +3020 : CDCDCDCD; +3021 : CDCDCDCD; +3022 : CDCDCDCD; +3023 : CDCDCDCD; +3024 : CDCDCDCD; +3025 : CDCDCDCD; +3026 : CDCDCDCD; +3027 : CDCDCDCD; +3028 : CDCDCDCD; +3029 : CDCDCDCD; +302A : CDCDCDCD; +302B : CDCDCDCD; +302C : CDCDCDCD; +302D : CDCDCDCD; +302E : CDCDCDCD; +302F : CDCDCDCD; +3030 : CDCDCDCD; +3031 : CDCDCDCD; +3032 : CDCDCDCD; +3033 : CDCDCDCD; +3034 : CDCDCDCD; +3035 : CDCDCDCD; +3036 : CDCDCDCD; +3037 : CDCDCDCD; +3038 : CDCDCDCD; +3039 : CDCDCDCD; +303A : CDCDCDCD; +303B : CDCDCDCD; +303C : CDCDCDCD; +303D : CDCDCDCD; +303E : CDCDCDCD; +303F : CDCDCDCD; +3040 : CDCDCDCD; +3041 : CDCDCDCD; +3042 : CDCDCDCD; +3043 : CDCDCDCD; +3044 : CDCDCDCD; +3045 : CDCDCDCD; +3046 : CDCDCDCD; +3047 : CDCDCDCD; +3048 : CDCDCDCD; +3049 : CDCDCDCD; +304A : CDCDCDCD; +304B : CDCDCDCD; +304C : CDCDCDCD; +304D : CDCDCDCD; +304E : CDCDCDCD; +304F : CDCDCDCD; +3050 : CDCDCDCD; +3051 : CDCDCDCD; +3052 : CDCDCDCD; +3053 : CDCDCDCD; +3054 : CDCDCDCD; +3055 : CDCDCDCD; +3056 : CDCDCDCD; +3057 : CDCDCDCD; +3058 : CDCDCDCD; +3059 : CDCDCDCD; +305A : CDCDCDCD; +305B : CDCDCDCD; +305C : CDCDCDCD; +305D : CDCDCDCD; +305E : CDCDCDCD; +305F : CDCDCDCD; +3060 : CDCDCDCD; +3061 : CDCDCDCD; +3062 : CDCDCDCD; +3063 : CDCDCDCD; +3064 : CDCDCDCD; +3065 : CDCDCDCD; +3066 : CDCDCDCD; +3067 : CDCDCDCD; +3068 : CDCDCDCD; +3069 : CDCDCDCD; +306A : CDCDCDCD; +306B : CDCDCDCD; +306C : CDCDCDCD; +306D : CDCDCDCD; +306E : CDCDCDCD; +306F : CDCDCDCD; +3070 : CDCDCDCD; +3071 : CDCDCDCD; +3072 : CDCDCDCD; +3073 : CDCDCDCD; +3074 : CDCDCDCD; +3075 : CDCDCDCD; +3076 : CDCDCDCD; +3077 : CDCDCDCD; +3078 : CDCDCDCD; +3079 : CDCDCDCD; +307A : CDCDCDCD; +307B : CDCDCDCD; +307C : CDCDCDCD; +307D : CDCDCDCD; +307E : CDCDCDCD; +307F : CDCDCDCD; +3080 : CDCDCDCD; +3081 : CDCDCDCD; +3082 : CDCDCDCD; +3083 : CDCDCDCD; +3084 : CDCDCDCD; +3085 : CDCDCDCD; +3086 : CDCDCDCD; +3087 : CDCDCDCD; +3088 : CDCDCDCD; +3089 : CDCDCDCD; +308A : CDCDCDCD; +308B : CDCDCDCD; +308C : CDCDCDCD; +308D : CDCDCDCD; +308E : CDCDCDCD; +308F : CDCDCDCD; +3090 : CDCDCDCD; +3091 : CDCDCDCD; +3092 : CDCDCDCD; +3093 : CDCDCDCD; +3094 : CDCDCDCD; +3095 : CDCDCDCD; +3096 : CDCDCDCD; +3097 : CDCDCDCD; +3098 : CDCDCDCD; +3099 : CDCDCDCD; +309A : CDCDCDCD; +309B : CDCDCDCD; +309C : CDCDCDCD; +309D : CDCDCDCD; +309E : CDCDCDCD; +309F : CDCDCDCD; +30A0 : CDCDCDCD; +30A1 : CDCDCDCD; +30A2 : CDCDCDCD; +30A3 : CDCDCDCD; +30A4 : CDCDCDCD; +30A5 : CDCDCDCD; +30A6 : CDCDCDCD; +30A7 : CDCDCDCD; +30A8 : CDCDCDCD; +30A9 : CDCDCDCD; +30AA : CDCDCDCD; +30AB : CDCDCDCD; +30AC : CDCDCDCD; +30AD : CDCDCDCD; +30AE : CDCDCDCD; +30AF : CDCDCDCD; +30B0 : CDCDCDCD; +30B1 : CDCDCDCD; +30B2 : CDCDCDCD; +30B3 : CDCDCDCD; +30B4 : CDCDCDCD; +30B5 : CDCDCDCD; +30B6 : CDCDCDCD; +30B7 : CDCDCDCD; +30B8 : CDCDCDCD; +30B9 : CDCDCDCD; +30BA : CDCDCDCD; +30BB : CDCDCDCD; +30BC : CDCDCDCD; +30BD : CDCDCDCD; +30BE : CDCDCDCD; +30BF : CDCDCDCD; +30C0 : CDCDCDCD; +30C1 : CDCDCDCD; +30C2 : CDCDCDCD; +30C3 : CDCDCDCD; +30C4 : CDCDCDCD; +30C5 : CDCDCDCD; +30C6 : CDCDCDCD; +30C7 : CDCDCDCD; +30C8 : CDCDCDCD; +30C9 : CDCDCDCD; +30CA : CDCDCDCD; +30CB : CDCDCDCD; +30CC : CDCDCDCD; +30CD : CDCDCDCD; +30CE : CDCDCDCD; +30CF : CDCDCDCD; +30D0 : CDCDCDCD; +30D1 : CDCDCDCD; +30D2 : CDCDCDCD; +30D3 : CDCDCDCD; +30D4 : CDCDCDCD; +30D5 : CDCDCDCD; +30D6 : CDCDCDCD; +30D7 : CDCDCDCD; +30D8 : CDCDCDCD; +30D9 : CDCDCDCD; +30DA : CDCDCDCD; +30DB : CDCDCDCD; +30DC : CDCDCDCD; +30DD : CDCDCDCD; +30DE : CDCDCDCD; +30DF : CDCDCDCD; +30E0 : CDCDCDCD; +30E1 : CDCDCDCD; +30E2 : CDCDCDCD; +30E3 : CDCDCDCD; +30E4 : CDCDCDCD; +30E5 : CDCDCDCD; +30E6 : CDCDCDCD; +30E7 : CDCDCDCD; +30E8 : CDCDCDCD; +30E9 : CDCDCDCD; +30EA : CDCDCDCD; +30EB : CDCDCDCD; +30EC : CDCDCDCD; +30ED : CDCDCDCD; +30EE : CDCDCDCD; +30EF : CDCDCDCD; +30F0 : CDCDCDCD; +30F1 : CDCDCDCD; +30F2 : CDCDCDCD; +30F3 : CDCDCDCD; +30F4 : CDCDCDCD; +30F5 : CDCDCDCD; +30F6 : CDCDCDCD; +30F7 : CDCDCDCD; +30F8 : CDCDCDCD; +30F9 : CDCDCDCD; +30FA : CDCDCDCD; +30FB : CDCDCDCD; +30FC : CDCDCDCD; +30FD : CDCDCDCD; +30FE : CDCDCDCD; +30FF : CDCDCDCD; +3100 : CDCDCDCD; +3101 : CDCDCDCD; +3102 : CDCDCDCD; +3103 : CDCDCDCD; +3104 : CDCDCDCD; +3105 : CDCDCDCD; +3106 : CDCDCDCD; +3107 : CDCDCDCD; +3108 : CDCDCDCD; +3109 : CDCDCDCD; +310A : CDCDCDCD; +310B : CDCDCDCD; +310C : CDCDCDCD; +310D : CDCDCDCD; +310E : CDCDCDCD; +310F : CDCDCDCD; +3110 : CDCDCDCD; +3111 : CDCDCDCD; +3112 : CDCDCDCD; +3113 : CDCDCDCD; +3114 : CDCDCDCD; +3115 : CDCDCDCD; +3116 : CDCDCDCD; +3117 : CDCDCDCD; +3118 : CDCDCDCD; +3119 : CDCDCDCD; +311A : CDCDCDCD; +311B : CDCDCDCD; +311C : CDCDCDCD; +311D : CDCDCDCD; +311E : CDCDCDCD; +311F : CDCDCDCD; +3120 : CDCDCDCD; +3121 : CDCDCDCD; +3122 : CDCDCDCD; +3123 : CDCDCDCD; +3124 : CDCDCDCD; +3125 : CDCDCDCD; +3126 : CDCDCDCD; +3127 : CDCDCDCD; +3128 : CDCDCDCD; +3129 : CDCDCDCD; +312A : CDCDCDCD; +312B : CDCDCDCD; +312C : CDCDCDCD; +312D : CDCDCDCD; +312E : CDCDCDCD; +312F : CDCDCDCD; +3130 : CDCDCDCD; +3131 : CDCDCDCD; +3132 : CDCDCDCD; +3133 : CDCDCDCD; +3134 : CDCDCDCD; +3135 : CDCDCDCD; +3136 : CDCDCDCD; +3137 : CDCDCDCD; +3138 : CDCDCDCD; +3139 : CDCDCDCD; +313A : CDCDCDCD; +313B : CDCDCDCD; +313C : CDCDCDCD; +313D : CDCDCDCD; +313E : CDCDCDCD; +313F : CDCDCDCD; +3140 : CDCDCDCD; +3141 : CDCDCDCD; +3142 : CDCDCDCD; +3143 : CDCDCDCD; +3144 : CDCDCDCD; +3145 : CDCDCDCD; +3146 : CDCDCDCD; +3147 : CDCDCDCD; +3148 : CDCDCDCD; +3149 : CDCDCDCD; +314A : CDCDCDCD; +314B : CDCDCDCD; +314C : CDCDCDCD; +314D : CDCDCDCD; +314E : CDCDCDCD; +314F : CDCDCDCD; +3150 : CDCDCDCD; +3151 : CDCDCDCD; +3152 : CDCDCDCD; +3153 : CDCDCDCD; +3154 : CDCDCDCD; +3155 : CDCDCDCD; +3156 : CDCDCDCD; +3157 : CDCDCDCD; +3158 : CDCDCDCD; +3159 : CDCDCDCD; +315A : CDCDCDCD; +315B : CDCDCDCD; +315C : CDCDCDCD; +315D : CDCDCDCD; +315E : CDCDCDCD; +315F : CDCDCDCD; +3160 : CDCDCDCD; +3161 : CDCDCDCD; +3162 : CDCDCDCD; +3163 : CDCDCDCD; +3164 : CDCDCDCD; +3165 : CDCDCDCD; +3166 : CDCDCDCD; +3167 : CDCDCDCD; +3168 : CDCDCDCD; +3169 : CDCDCDCD; +316A : CDCDCDCD; +316B : CDCDCDCD; +316C : CDCDCDCD; +316D : CDCDCDCD; +316E : CDCDCDCD; +316F : CDCDCDCD; +3170 : CDCDCDCD; +3171 : CDCDCDCD; +3172 : CDCDCDCD; +3173 : CDCDCDCD; +3174 : CDCDCDCD; +3175 : CDCDCDCD; +3176 : CDCDCDCD; +3177 : CDCDCDCD; +3178 : CDCDCDCD; +3179 : CDCDCDCD; +317A : CDCDCDCD; +317B : CDCDCDCD; +317C : CDCDCDCD; +317D : CDCDCDCD; +317E : CDCDCDCD; +317F : CDCDCDCD; +3180 : CDCDCDCD; +3181 : CDCDCDCD; +3182 : CDCDCDCD; +3183 : CDCDCDCD; +3184 : CDCDCDCD; +3185 : CDCDCDCD; +3186 : CDCDCDCD; +3187 : CDCDCDCD; +3188 : CDCDCDCD; +3189 : CDCDCDCD; +318A : CDCDCDCD; +318B : CDCDCDCD; +318C : CDCDCDCD; +318D : CDCDCDCD; +318E : CDCDCDCD; +318F : CDCDCDCD; +3190 : CDCDCDCD; +3191 : CDCDCDCD; +3192 : CDCDCDCD; +3193 : CDCDCDCD; +3194 : CDCDCDCD; +3195 : CDCDCDCD; +3196 : CDCDCDCD; +3197 : CDCDCDCD; +3198 : CDCDCDCD; +3199 : CDCDCDCD; +319A : CDCDCDCD; +319B : CDCDCDCD; +319C : CDCDCDCD; +319D : CDCDCDCD; +319E : CDCDCDCD; +319F : CDCDCDCD; +31A0 : CDCDCDCD; +31A1 : CDCDCDCD; +31A2 : CDCDCDCD; +31A3 : CDCDCDCD; +31A4 : CDCDCDCD; +31A5 : CDCDCDCD; +31A6 : CDCDCDCD; +31A7 : CDCDCDCD; +31A8 : CDCDCDCD; +31A9 : CDCDCDCD; +31AA : CDCDCDCD; +31AB : CDCDCDCD; +31AC : CDCDCDCD; +31AD : CDCDCDCD; +31AE : CDCDCDCD; +31AF : CDCDCDCD; +31B0 : CDCDCDCD; +31B1 : CDCDCDCD; +31B2 : CDCDCDCD; +31B3 : CDCDCDCD; +31B4 : CDCDCDCD; +31B5 : CDCDCDCD; +31B6 : CDCDCDCD; +31B7 : CDCDCDCD; +31B8 : CDCDCDCD; +31B9 : CDCDCDCD; +31BA : CDCDCDCD; +31BB : CDCDCDCD; +31BC : CDCDCDCD; +31BD : CDCDCDCD; +31BE : CDCDCDCD; +31BF : CDCDCDCD; +31C0 : CDCDCDCD; +31C1 : CDCDCDCD; +31C2 : CDCDCDCD; +31C3 : CDCDCDCD; +31C4 : CDCDCDCD; +31C5 : CDCDCDCD; +31C6 : CDCDCDCD; +31C7 : CDCDCDCD; +31C8 : CDCDCDCD; +31C9 : CDCDCDCD; +31CA : CDCDCDCD; +31CB : CDCDCDCD; +31CC : CDCDCDCD; +31CD : CDCDCDCD; +31CE : CDCDCDCD; +31CF : CDCDCDCD; +31D0 : CDCDCDCD; +31D1 : CDCDCDCD; +31D2 : CDCDCDCD; +31D3 : CDCDCDCD; +31D4 : CDCDCDCD; +31D5 : CDCDCDCD; +31D6 : CDCDCDCD; +31D7 : CDCDCDCD; +31D8 : CDCDCDCD; +31D9 : CDCDCDCD; +31DA : CDCDCDCD; +31DB : CDCDCDCD; +31DC : CDCDCDCD; +31DD : CDCDCDCD; +31DE : CDCDCDCD; +31DF : CDCDCDCD; +31E0 : CDCDCDCD; +31E1 : CDCDCDCD; +31E2 : CDCDCDCD; +31E3 : CDCDCDCD; +31E4 : CDCDCDCD; +31E5 : CDCDCDCD; +31E6 : CDCDCDCD; +31E7 : CDCDCDCD; +31E8 : CDCDCDCD; +31E9 : CDCDCDCD; +31EA : CDCDCDCD; +31EB : CDCDCDCD; +31EC : CDCDCDCD; +31ED : CDCDCDCD; +31EE : CDCDCDCD; +31EF : CDCDCDCD; +31F0 : CDCDCDCD; +31F1 : CDCDCDCD; +31F2 : CDCDCDCD; +31F3 : CDCDCDCD; +31F4 : CDCDCDCD; +31F5 : CDCDCDCD; +31F6 : CDCDCDCD; +31F7 : CDCDCDCD; +31F8 : CDCDCDCD; +31F9 : CDCDCDCD; +31FA : CDCDCDCD; +31FB : CDCDCDCD; +31FC : CDCDCDCD; +31FD : CDCDCDCD; +31FE : CDCDCDCD; +31FF : CDCDCDCD; +3200 : CDCDCDCD; +3201 : CDCDCDCD; +3202 : CDCDCDCD; +3203 : CDCDCDCD; +3204 : CDCDCDCD; +3205 : CDCDCDCD; +3206 : CDCDCDCD; +3207 : CDCDCDCD; +3208 : CDCDCDCD; +3209 : CDCDCDCD; +320A : CDCDCDCD; +320B : CDCDCDCD; +320C : CDCDCDCD; +320D : CDCDCDCD; +320E : CDCDCDCD; +320F : CDCDCDCD; +3210 : CDCDCDCD; +3211 : CDCDCDCD; +3212 : CDCDCDCD; +3213 : CDCDCDCD; +3214 : CDCDCDCD; +3215 : CDCDCDCD; +3216 : CDCDCDCD; +3217 : CDCDCDCD; +3218 : CDCDCDCD; +3219 : CDCDCDCD; +321A : CDCDCDCD; +321B : CDCDCDCD; +321C : CDCDCDCD; +321D : CDCDCDCD; +321E : CDCDCDCD; +321F : CDCDCDCD; +3220 : CDCDCDCD; +3221 : CDCDCDCD; +3222 : CDCDCDCD; +3223 : CDCDCDCD; +3224 : CDCDCDCD; +3225 : CDCDCDCD; +3226 : CDCDCDCD; +3227 : CDCDCDCD; +3228 : CDCDCDCD; +3229 : CDCDCDCD; +322A : CDCDCDCD; +322B : CDCDCDCD; +322C : CDCDCDCD; +322D : CDCDCDCD; +322E : CDCDCDCD; +322F : CDCDCDCD; +3230 : CDCDCDCD; +3231 : CDCDCDCD; +3232 : CDCDCDCD; +3233 : CDCDCDCD; +3234 : CDCDCDCD; +3235 : CDCDCDCD; +3236 : CDCDCDCD; +3237 : CDCDCDCD; +3238 : CDCDCDCD; +3239 : CDCDCDCD; +323A : CDCDCDCD; +323B : CDCDCDCD; +323C : CDCDCDCD; +323D : CDCDCDCD; +323E : CDCDCDCD; +323F : CDCDCDCD; +3240 : CDCDCDCD; +3241 : CDCDCDCD; +3242 : CDCDCDCD; +3243 : CDCDCDCD; +3244 : CDCDCDCD; +3245 : CDCDCDCD; +3246 : CDCDCDCD; +3247 : CDCDCDCD; +3248 : CDCDCDCD; +3249 : CDCDCDCD; +324A : CDCDCDCD; +324B : CDCDCDCD; +324C : CDCDCDCD; +324D : CDCDCDCD; +324E : CDCDCDCD; +324F : CDCDCDCD; +3250 : CDCDCDCD; +3251 : CDCDCDCD; +3252 : CDCDCDCD; +3253 : CDCDCDCD; +3254 : CDCDCDCD; +3255 : CDCDCDCD; +3256 : CDCDCDCD; +3257 : CDCDCDCD; +3258 : CDCDCDCD; +3259 : CDCDCDCD; +325A : CDCDCDCD; +325B : CDCDCDCD; +325C : CDCDCDCD; +325D : CDCDCDCD; +325E : CDCDCDCD; +325F : CDCDCDCD; +3260 : CDCDCDCD; +3261 : CDCDCDCD; +3262 : CDCDCDCD; +3263 : CDCDCDCD; +3264 : CDCDCDCD; +3265 : CDCDCDCD; +3266 : CDCDCDCD; +3267 : CDCDCDCD; +3268 : CDCDCDCD; +3269 : CDCDCDCD; +326A : CDCDCDCD; +326B : CDCDCDCD; +326C : CDCDCDCD; +326D : CDCDCDCD; +326E : CDCDCDCD; +326F : CDCDCDCD; +3270 : CDCDCDCD; +3271 : CDCDCDCD; +3272 : CDCDCDCD; +3273 : CDCDCDCD; +3274 : CDCDCDCD; +3275 : CDCDCDCD; +3276 : CDCDCDCD; +3277 : CDCDCDCD; +3278 : CDCDCDCD; +3279 : CDCDCDCD; +327A : CDCDCDCD; +327B : CDCDCDCD; +327C : CDCDCDCD; +327D : CDCDCDCD; +327E : CDCDCDCD; +327F : CDCDCDCD; +3280 : CDCDCDCD; +3281 : CDCDCDCD; +3282 : CDCDCDCD; +3283 : CDCDCDCD; +3284 : CDCDCDCD; +3285 : CDCDCDCD; +3286 : CDCDCDCD; +3287 : CDCDCDCD; +3288 : CDCDCDCD; +3289 : CDCDCDCD; +328A : CDCDCDCD; +328B : CDCDCDCD; +328C : CDCDCDCD; +328D : CDCDCDCD; +328E : CDCDCDCD; +328F : CDCDCDCD; +3290 : CDCDCDCD; +3291 : CDCDCDCD; +3292 : CDCDCDCD; +3293 : CDCDCDCD; +3294 : CDCDCDCD; +3295 : CDCDCDCD; +3296 : CDCDCDCD; +3297 : CDCDCDCD; +3298 : CDCDCDCD; +3299 : CDCDCDCD; +329A : CDCDCDCD; +329B : CDCDCDCD; +329C : CDCDCDCD; +329D : CDCDCDCD; +329E : CDCDCDCD; +329F : CDCDCDCD; +32A0 : CDCDCDCD; +32A1 : CDCDCDCD; +32A2 : CDCDCDCD; +32A3 : CDCDCDCD; +32A4 : CDCDCDCD; +32A5 : CDCDCDCD; +32A6 : CDCDCDCD; +32A7 : CDCDCDCD; +32A8 : CDCDCDCD; +32A9 : CDCDCDCD; +32AA : CDCDCDCD; +32AB : CDCDCDCD; +32AC : CDCDCDCD; +32AD : CDCDCDCD; +32AE : CDCDCDCD; +32AF : CDCDCDCD; +32B0 : CDCDCDCD; +32B1 : CDCDCDCD; +32B2 : CDCDCDCD; +32B3 : CDCDCDCD; +32B4 : CDCDCDCD; +32B5 : CDCDCDCD; +32B6 : CDCDCDCD; +32B7 : CDCDCDCD; +32B8 : CDCDCDCD; +32B9 : CDCDCDCD; +32BA : CDCDCDCD; +32BB : CDCDCDCD; +32BC : CDCDCDCD; +32BD : CDCDCDCD; +32BE : CDCDCDCD; +32BF : CDCDCDCD; +32C0 : CDCDCDCD; +32C1 : CDCDCDCD; +32C2 : CDCDCDCD; +32C3 : CDCDCDCD; +32C4 : CDCDCDCD; +32C5 : CDCDCDCD; +32C6 : CDCDCDCD; +32C7 : CDCDCDCD; +32C8 : CDCDCDCD; +32C9 : CDCDCDCD; +32CA : CDCDCDCD; +32CB : CDCDCDCD; +32CC : CDCDCDCD; +32CD : CDCDCDCD; +32CE : CDCDCDCD; +32CF : CDCDCDCD; +32D0 : CDCDCDCD; +32D1 : CDCDCDCD; +32D2 : CDCDCDCD; +32D3 : CDCDCDCD; +32D4 : CDCDCDCD; +32D5 : CDCDCDCD; +32D6 : CDCDCDCD; +32D7 : CDCDCDCD; +32D8 : CDCDCDCD; +32D9 : CDCDCDCD; +32DA : CDCDCDCD; +32DB : CDCDCDCD; +32DC : CDCDCDCD; +32DD : CDCDCDCD; +32DE : CDCDCDCD; +32DF : CDCDCDCD; +32E0 : CDCDCDCD; +32E1 : CDCDCDCD; +32E2 : CDCDCDCD; +32E3 : CDCDCDCD; +32E4 : CDCDCDCD; +32E5 : CDCDCDCD; +32E6 : CDCDCDCD; +32E7 : CDCDCDCD; +32E8 : CDCDCDCD; +32E9 : CDCDCDCD; +32EA : CDCDCDCD; +32EB : CDCDCDCD; +32EC : CDCDCDCD; +32ED : CDCDCDCD; +32EE : CDCDCDCD; +32EF : CDCDCDCD; +32F0 : CDCDCDCD; +32F1 : CDCDCDCD; +32F2 : CDCDCDCD; +32F3 : CDCDCDCD; +32F4 : CDCDCDCD; +32F5 : CDCDCDCD; +32F6 : CDCDCDCD; +32F7 : CDCDCDCD; +32F8 : CDCDCDCD; +32F9 : CDCDCDCD; +32FA : CDCDCDCD; +32FB : CDCDCDCD; +32FC : CDCDCDCD; +32FD : CDCDCDCD; +32FE : CDCDCDCD; +32FF : CDCDCDCD; +3300 : CDCDCDCD; +3301 : CDCDCDCD; +3302 : CDCDCDCD; +3303 : CDCDCDCD; +3304 : CDCDCDCD; +3305 : CDCDCDCD; +3306 : CDCDCDCD; +3307 : CDCDCDCD; +3308 : CDCDCDCD; +3309 : CDCDCDCD; +330A : CDCDCDCD; +330B : CDCDCDCD; +330C : CDCDCDCD; +330D : CDCDCDCD; +330E : CDCDCDCD; +330F : CDCDCDCD; +3310 : CDCDCDCD; +3311 : CDCDCDCD; +3312 : CDCDCDCD; +3313 : CDCDCDCD; +3314 : CDCDCDCD; +3315 : CDCDCDCD; +3316 : CDCDCDCD; +3317 : CDCDCDCD; +3318 : CDCDCDCD; +3319 : CDCDCDCD; +331A : CDCDCDCD; +331B : CDCDCDCD; +331C : CDCDCDCD; +331D : CDCDCDCD; +331E : CDCDCDCD; +331F : CDCDCDCD; +3320 : CDCDCDCD; +3321 : CDCDCDCD; +3322 : CDCDCDCD; +3323 : CDCDCDCD; +3324 : CDCDCDCD; +3325 : CDCDCDCD; +3326 : CDCDCDCD; +3327 : CDCDCDCD; +3328 : CDCDCDCD; +3329 : CDCDCDCD; +332A : CDCDCDCD; +332B : CDCDCDCD; +332C : CDCDCDCD; +332D : CDCDCDCD; +332E : CDCDCDCD; +332F : CDCDCDCD; +3330 : CDCDCDCD; +3331 : CDCDCDCD; +3332 : CDCDCDCD; +3333 : CDCDCDCD; +3334 : CDCDCDCD; +3335 : CDCDCDCD; +3336 : CDCDCDCD; +3337 : CDCDCDCD; +3338 : CDCDCDCD; +3339 : CDCDCDCD; +333A : CDCDCDCD; +333B : CDCDCDCD; +333C : CDCDCDCD; +333D : CDCDCDCD; +333E : CDCDCDCD; +333F : CDCDCDCD; +3340 : CDCDCDCD; +3341 : CDCDCDCD; +3342 : CDCDCDCD; +3343 : CDCDCDCD; +3344 : CDCDCDCD; +3345 : CDCDCDCD; +3346 : CDCDCDCD; +3347 : CDCDCDCD; +3348 : CDCDCDCD; +3349 : CDCDCDCD; +334A : CDCDCDCD; +334B : CDCDCDCD; +334C : CDCDCDCD; +334D : CDCDCDCD; +334E : CDCDCDCD; +334F : CDCDCDCD; +3350 : CDCDCDCD; +3351 : CDCDCDCD; +3352 : CDCDCDCD; +3353 : CDCDCDCD; +3354 : CDCDCDCD; +3355 : CDCDCDCD; +3356 : CDCDCDCD; +3357 : CDCDCDCD; +3358 : CDCDCDCD; +3359 : CDCDCDCD; +335A : CDCDCDCD; +335B : CDCDCDCD; +335C : CDCDCDCD; +335D : CDCDCDCD; +335E : CDCDCDCD; +335F : CDCDCDCD; +3360 : CDCDCDCD; +3361 : CDCDCDCD; +3362 : CDCDCDCD; +3363 : CDCDCDCD; +3364 : CDCDCDCD; +3365 : CDCDCDCD; +3366 : CDCDCDCD; +3367 : CDCDCDCD; +3368 : CDCDCDCD; +3369 : CDCDCDCD; +336A : CDCDCDCD; +336B : CDCDCDCD; +336C : CDCDCDCD; +336D : CDCDCDCD; +336E : CDCDCDCD; +336F : CDCDCDCD; +3370 : CDCDCDCD; +3371 : CDCDCDCD; +3372 : CDCDCDCD; +3373 : CDCDCDCD; +3374 : CDCDCDCD; +3375 : CDCDCDCD; +3376 : CDCDCDCD; +3377 : CDCDCDCD; +3378 : CDCDCDCD; +3379 : CDCDCDCD; +337A : CDCDCDCD; +337B : CDCDCDCD; +337C : CDCDCDCD; +337D : CDCDCDCD; +337E : CDCDCDCD; +337F : CDCDCDCD; +3380 : CDCDCDCD; +3381 : CDCDCDCD; +3382 : CDCDCDCD; +3383 : CDCDCDCD; +3384 : CDCDCDCD; +3385 : CDCDCDCD; +3386 : CDCDCDCD; +3387 : CDCDCDCD; +3388 : CDCDCDCD; +3389 : CDCDCDCD; +338A : CDCDCDCD; +338B : CDCDCDCD; +338C : CDCDCDCD; +338D : CDCDCDCD; +338E : CDCDCDCD; +338F : CDCDCDCD; +3390 : CDCDCDCD; +3391 : CDCDCDCD; +3392 : CDCDCDCD; +3393 : CDCDCDCD; +3394 : CDCDCDCD; +3395 : CDCDCDCD; +3396 : CDCDCDCD; +3397 : CDCDCDCD; +3398 : CDCDCDCD; +3399 : CDCDCDCD; +339A : CDCDCDCD; +339B : CDCDCDCD; +339C : CDCDCDCD; +339D : CDCDCDCD; +339E : CDCDCDCD; +339F : CDCDCDCD; +33A0 : CDCDCDCD; +33A1 : CDCDCDCD; +33A2 : CDCDCDCD; +33A3 : CDCDCDCD; +33A4 : CDCDCDCD; +33A5 : CDCDCDCD; +33A6 : CDCDCDCD; +33A7 : CDCDCDCD; +33A8 : CDCDCDCD; +33A9 : CDCDCDCD; +33AA : CDCDCDCD; +33AB : CDCDCDCD; +33AC : CDCDCDCD; +33AD : CDCDCDCD; +33AE : CDCDCDCD; +33AF : CDCDCDCD; +33B0 : CDCDCDCD; +33B1 : CDCDCDCD; +33B2 : CDCDCDCD; +33B3 : CDCDCDCD; +33B4 : CDCDCDCD; +33B5 : CDCDCDCD; +33B6 : CDCDCDCD; +33B7 : CDCDCDCD; +33B8 : CDCDCDCD; +33B9 : CDCDCDCD; +33BA : CDCDCDCD; +33BB : CDCDCDCD; +33BC : CDCDCDCD; +33BD : CDCDCDCD; +33BE : CDCDCDCD; +33BF : CDCDCDCD; +33C0 : CDCDCDCD; +33C1 : CDCDCDCD; +33C2 : CDCDCDCD; +33C3 : CDCDCDCD; +33C4 : CDCDCDCD; +33C5 : CDCDCDCD; +33C6 : CDCDCDCD; +33C7 : CDCDCDCD; +33C8 : CDCDCDCD; +33C9 : CDCDCDCD; +33CA : CDCDCDCD; +33CB : CDCDCDCD; +33CC : CDCDCDCD; +33CD : CDCDCDCD; +33CE : CDCDCDCD; +33CF : CDCDCDCD; +33D0 : CDCDCDCD; +33D1 : CDCDCDCD; +33D2 : CDCDCDCD; +33D3 : CDCDCDCD; +33D4 : CDCDCDCD; +33D5 : CDCDCDCD; +33D6 : CDCDCDCD; +33D7 : CDCDCDCD; +33D8 : CDCDCDCD; +33D9 : CDCDCDCD; +33DA : CDCDCDCD; +33DB : CDCDCDCD; +33DC : CDCDCDCD; +33DD : CDCDCDCD; +33DE : CDCDCDCD; +33DF : CDCDCDCD; +33E0 : CDCDCDCD; +33E1 : CDCDCDCD; +33E2 : CDCDCDCD; +33E3 : CDCDCDCD; +33E4 : CDCDCDCD; +33E5 : CDCDCDCD; +33E6 : CDCDCDCD; +33E7 : CDCDCDCD; +33E8 : CDCDCDCD; +33E9 : CDCDCDCD; +33EA : CDCDCDCD; +33EB : CDCDCDCD; +33EC : CDCDCDCD; +33ED : CDCDCDCD; +33EE : CDCDCDCD; +33EF : CDCDCDCD; +33F0 : CDCDCDCD; +33F1 : CDCDCDCD; +33F2 : CDCDCDCD; +33F3 : CDCDCDCD; +33F4 : CDCDCDCD; +33F5 : CDCDCDCD; +33F6 : CDCDCDCD; +33F7 : CDCDCDCD; +33F8 : CDCDCDCD; +33F9 : CDCDCDCD; +33FA : CDCDCDCD; +33FB : CDCDCDCD; +33FC : CDCDCDCD; +33FD : CDCDCDCD; +33FE : CDCDCDCD; +33FF : CDCDCDCD; +3400 : CDCDCDCD; +3401 : CDCDCDCD; +3402 : CDCDCDCD; +3403 : CDCDCDCD; +3404 : CDCDCDCD; +3405 : CDCDCDCD; +3406 : CDCDCDCD; +3407 : CDCDCDCD; +3408 : CDCDCDCD; +3409 : CDCDCDCD; +340A : CDCDCDCD; +340B : CDCDCDCD; +340C : CDCDCDCD; +340D : CDCDCDCD; +340E : CDCDCDCD; +340F : CDCDCDCD; +3410 : CDCDCDCD; +3411 : CDCDCDCD; +3412 : CDCDCDCD; +3413 : CDCDCDCD; +3414 : CDCDCDCD; +3415 : CDCDCDCD; +3416 : CDCDCDCD; +3417 : CDCDCDCD; +3418 : CDCDCDCD; +3419 : CDCDCDCD; +341A : CDCDCDCD; +341B : CDCDCDCD; +341C : CDCDCDCD; +341D : CDCDCDCD; +341E : CDCDCDCD; +341F : CDCDCDCD; +3420 : CDCDCDCD; +3421 : CDCDCDCD; +3422 : CDCDCDCD; +3423 : CDCDCDCD; +3424 : CDCDCDCD; +3425 : CDCDCDCD; +3426 : CDCDCDCD; +3427 : CDCDCDCD; +3428 : CDCDCDCD; +3429 : CDCDCDCD; +342A : CDCDCDCD; +342B : CDCDCDCD; +342C : CDCDCDCD; +342D : CDCDCDCD; +342E : CDCDCDCD; +342F : CDCDCDCD; +3430 : CDCDCDCD; +3431 : CDCDCDCD; +3432 : CDCDCDCD; +3433 : CDCDCDCD; +3434 : CDCDCDCD; +3435 : CDCDCDCD; +3436 : CDCDCDCD; +3437 : CDCDCDCD; +3438 : CDCDCDCD; +3439 : CDCDCDCD; +343A : CDCDCDCD; +343B : CDCDCDCD; +343C : CDCDCDCD; +343D : CDCDCDCD; +343E : CDCDCDCD; +343F : CDCDCDCD; +3440 : CDCDCDCD; +3441 : CDCDCDCD; +3442 : CDCDCDCD; +3443 : CDCDCDCD; +3444 : CDCDCDCD; +3445 : CDCDCDCD; +3446 : CDCDCDCD; +3447 : CDCDCDCD; +3448 : CDCDCDCD; +3449 : CDCDCDCD; +344A : CDCDCDCD; +344B : CDCDCDCD; +344C : CDCDCDCD; +344D : CDCDCDCD; +344E : CDCDCDCD; +344F : CDCDCDCD; +3450 : CDCDCDCD; +3451 : CDCDCDCD; +3452 : CDCDCDCD; +3453 : CDCDCDCD; +3454 : CDCDCDCD; +3455 : CDCDCDCD; +3456 : CDCDCDCD; +3457 : CDCDCDCD; +3458 : CDCDCDCD; +3459 : CDCDCDCD; +345A : CDCDCDCD; +345B : CDCDCDCD; +345C : CDCDCDCD; +345D : CDCDCDCD; +345E : CDCDCDCD; +345F : CDCDCDCD; +3460 : CDCDCDCD; +3461 : CDCDCDCD; +3462 : CDCDCDCD; +3463 : CDCDCDCD; +3464 : CDCDCDCD; +3465 : CDCDCDCD; +3466 : CDCDCDCD; +3467 : CDCDCDCD; +3468 : CDCDCDCD; +3469 : CDCDCDCD; +346A : CDCDCDCD; +346B : CDCDCDCD; +346C : CDCDCDCD; +346D : CDCDCDCD; +346E : CDCDCDCD; +346F : CDCDCDCD; +3470 : CDCDCDCD; +3471 : CDCDCDCD; +3472 : CDCDCDCD; +3473 : CDCDCDCD; +3474 : CDCDCDCD; +3475 : CDCDCDCD; +3476 : CDCDCDCD; +3477 : CDCDCDCD; +3478 : CDCDCDCD; +3479 : CDCDCDCD; +347A : CDCDCDCD; +347B : CDCDCDCD; +347C : CDCDCDCD; +347D : CDCDCDCD; +347E : CDCDCDCD; +347F : CDCDCDCD; +3480 : CDCDCDCD; +3481 : CDCDCDCD; +3482 : CDCDCDCD; +3483 : CDCDCDCD; +3484 : CDCDCDCD; +3485 : CDCDCDCD; +3486 : CDCDCDCD; +3487 : CDCDCDCD; +3488 : CDCDCDCD; +3489 : CDCDCDCD; +348A : CDCDCDCD; +348B : CDCDCDCD; +348C : CDCDCDCD; +348D : CDCDCDCD; +348E : CDCDCDCD; +348F : CDCDCDCD; +3490 : CDCDCDCD; +3491 : CDCDCDCD; +3492 : CDCDCDCD; +3493 : CDCDCDCD; +3494 : CDCDCDCD; +3495 : CDCDCDCD; +3496 : CDCDCDCD; +3497 : CDCDCDCD; +3498 : CDCDCDCD; +3499 : CDCDCDCD; +349A : CDCDCDCD; +349B : CDCDCDCD; +349C : CDCDCDCD; +349D : CDCDCDCD; +349E : CDCDCDCD; +349F : CDCDCDCD; +34A0 : CDCDCDCD; +34A1 : CDCDCDCD; +34A2 : CDCDCDCD; +34A3 : CDCDCDCD; +34A4 : CDCDCDCD; +34A5 : CDCDCDCD; +34A6 : CDCDCDCD; +34A7 : CDCDCDCD; +34A8 : CDCDCDCD; +34A9 : CDCDCDCD; +34AA : CDCDCDCD; +34AB : CDCDCDCD; +34AC : CDCDCDCD; +34AD : CDCDCDCD; +34AE : CDCDCDCD; +34AF : CDCDCDCD; +34B0 : CDCDCDCD; +34B1 : CDCDCDCD; +34B2 : CDCDCDCD; +34B3 : CDCDCDCD; +34B4 : CDCDCDCD; +34B5 : CDCDCDCD; +34B6 : CDCDCDCD; +34B7 : CDCDCDCD; +34B8 : CDCDCDCD; +34B9 : CDCDCDCD; +34BA : CDCDCDCD; +34BB : CDCDCDCD; +34BC : CDCDCDCD; +34BD : CDCDCDCD; +34BE : CDCDCDCD; +34BF : CDCDCDCD; +34C0 : CDCDCDCD; +34C1 : CDCDCDCD; +34C2 : CDCDCDCD; +34C3 : CDCDCDCD; +34C4 : CDCDCDCD; +34C5 : CDCDCDCD; +34C6 : CDCDCDCD; +34C7 : CDCDCDCD; +34C8 : CDCDCDCD; +34C9 : CDCDCDCD; +34CA : CDCDCDCD; +34CB : CDCDCDCD; +34CC : CDCDCDCD; +34CD : CDCDCDCD; +34CE : CDCDCDCD; +34CF : CDCDCDCD; +34D0 : CDCDCDCD; +34D1 : CDCDCDCD; +34D2 : CDCDCDCD; +34D3 : CDCDCDCD; +34D4 : CDCDCDCD; +34D5 : CDCDCDCD; +34D6 : CDCDCDCD; +34D7 : CDCDCDCD; +34D8 : CDCDCDCD; +34D9 : CDCDCDCD; +34DA : CDCDCDCD; +34DB : CDCDCDCD; +34DC : CDCDCDCD; +34DD : CDCDCDCD; +34DE : CDCDCDCD; +34DF : CDCDCDCD; +34E0 : CDCDCDCD; +34E1 : CDCDCDCD; +34E2 : CDCDCDCD; +34E3 : CDCDCDCD; +34E4 : CDCDCDCD; +34E5 : CDCDCDCD; +34E6 : CDCDCDCD; +34E7 : CDCDCDCD; +34E8 : CDCDCDCD; +34E9 : CDCDCDCD; +34EA : CDCDCDCD; +34EB : CDCDCDCD; +34EC : CDCDCDCD; +34ED : CDCDCDCD; +34EE : CDCDCDCD; +34EF : CDCDCDCD; +34F0 : CDCDCDCD; +34F1 : CDCDCDCD; +34F2 : CDCDCDCD; +34F3 : CDCDCDCD; +34F4 : CDCDCDCD; +34F5 : CDCDCDCD; +34F6 : CDCDCDCD; +34F7 : CDCDCDCD; +34F8 : CDCDCDCD; +34F9 : CDCDCDCD; +34FA : CDCDCDCD; +34FB : CDCDCDCD; +34FC : CDCDCDCD; +34FD : CDCDCDCD; +34FE : CDCDCDCD; +34FF : CDCDCDCD; +3500 : CDCDCDCD; +3501 : CDCDCDCD; +3502 : CDCDCDCD; +3503 : CDCDCDCD; +3504 : CDCDCDCD; +3505 : CDCDCDCD; +3506 : CDCDCDCD; +3507 : CDCDCDCD; +3508 : CDCDCDCD; +3509 : CDCDCDCD; +350A : CDCDCDCD; +350B : CDCDCDCD; +350C : CDCDCDCD; +350D : CDCDCDCD; +350E : CDCDCDCD; +350F : CDCDCDCD; +3510 : CDCDCDCD; +3511 : CDCDCDCD; +3512 : CDCDCDCD; +3513 : CDCDCDCD; +3514 : CDCDCDCD; +3515 : CDCDCDCD; +3516 : CDCDCDCD; +3517 : CDCDCDCD; +3518 : CDCDCDCD; +3519 : CDCDCDCD; +351A : CDCDCDCD; +351B : CDCDCDCD; +351C : CDCDCDCD; +351D : CDCDCDCD; +351E : CDCDCDCD; +351F : CDCDCDCD; +3520 : CDCDCDCD; +3521 : CDCDCDCD; +3522 : CDCDCDCD; +3523 : CDCDCDCD; +3524 : CDCDCDCD; +3525 : CDCDCDCD; +3526 : CDCDCDCD; +3527 : CDCDCDCD; +3528 : CDCDCDCD; +3529 : CDCDCDCD; +352A : CDCDCDCD; +352B : CDCDCDCD; +352C : CDCDCDCD; +352D : CDCDCDCD; +352E : CDCDCDCD; +352F : CDCDCDCD; +3530 : CDCDCDCD; +3531 : CDCDCDCD; +3532 : CDCDCDCD; +3533 : CDCDCDCD; +3534 : CDCDCDCD; +3535 : CDCDCDCD; +3536 : CDCDCDCD; +3537 : CDCDCDCD; +3538 : CDCDCDCD; +3539 : CDCDCDCD; +353A : CDCDCDCD; +353B : CDCDCDCD; +353C : CDCDCDCD; +353D : CDCDCDCD; +353E : CDCDCDCD; +353F : CDCDCDCD; +3540 : CDCDCDCD; +3541 : CDCDCDCD; +3542 : CDCDCDCD; +3543 : CDCDCDCD; +3544 : CDCDCDCD; +3545 : CDCDCDCD; +3546 : CDCDCDCD; +3547 : CDCDCDCD; +3548 : CDCDCDCD; +3549 : CDCDCDCD; +354A : CDCDCDCD; +354B : CDCDCDCD; +354C : CDCDCDCD; +354D : CDCDCDCD; +354E : CDCDCDCD; +354F : CDCDCDCD; +3550 : CDCDCDCD; +3551 : CDCDCDCD; +3552 : CDCDCDCD; +3553 : CDCDCDCD; +3554 : CDCDCDCD; +3555 : CDCDCDCD; +3556 : CDCDCDCD; +3557 : CDCDCDCD; +3558 : CDCDCDCD; +3559 : CDCDCDCD; +355A : CDCDCDCD; +355B : CDCDCDCD; +355C : CDCDCDCD; +355D : CDCDCDCD; +355E : CDCDCDCD; +355F : CDCDCDCD; +3560 : CDCDCDCD; +3561 : CDCDCDCD; +3562 : CDCDCDCD; +3563 : CDCDCDCD; +3564 : CDCDCDCD; +3565 : CDCDCDCD; +3566 : CDCDCDCD; +3567 : CDCDCDCD; +3568 : CDCDCDCD; +3569 : CDCDCDCD; +356A : CDCDCDCD; +356B : CDCDCDCD; +356C : CDCDCDCD; +356D : CDCDCDCD; +356E : CDCDCDCD; +356F : CDCDCDCD; +3570 : CDCDCDCD; +3571 : CDCDCDCD; +3572 : CDCDCDCD; +3573 : CDCDCDCD; +3574 : CDCDCDCD; +3575 : CDCDCDCD; +3576 : CDCDCDCD; +3577 : CDCDCDCD; +3578 : CDCDCDCD; +3579 : CDCDCDCD; +357A : CDCDCDCD; +357B : CDCDCDCD; +357C : CDCDCDCD; +357D : CDCDCDCD; +357E : CDCDCDCD; +357F : CDCDCDCD; +3580 : CDCDCDCD; +3581 : CDCDCDCD; +3582 : CDCDCDCD; +3583 : CDCDCDCD; +3584 : CDCDCDCD; +3585 : CDCDCDCD; +3586 : CDCDCDCD; +3587 : CDCDCDCD; +3588 : CDCDCDCD; +3589 : CDCDCDCD; +358A : CDCDCDCD; +358B : CDCDCDCD; +358C : CDCDCDCD; +358D : CDCDCDCD; +358E : CDCDCDCD; +358F : CDCDCDCD; +3590 : CDCDCDCD; +3591 : CDCDCDCD; +3592 : CDCDCDCD; +3593 : CDCDCDCD; +3594 : CDCDCDCD; +3595 : CDCDCDCD; +3596 : CDCDCDCD; +3597 : CDCDCDCD; +3598 : CDCDCDCD; +3599 : CDCDCDCD; +359A : CDCDCDCD; +359B : CDCDCDCD; +359C : CDCDCDCD; +359D : CDCDCDCD; +359E : CDCDCDCD; +359F : CDCDCDCD; +35A0 : CDCDCDCD; +35A1 : CDCDCDCD; +35A2 : CDCDCDCD; +35A3 : CDCDCDCD; +35A4 : CDCDCDCD; +35A5 : CDCDCDCD; +35A6 : CDCDCDCD; +35A7 : CDCDCDCD; +35A8 : CDCDCDCD; +35A9 : CDCDCDCD; +35AA : CDCDCDCD; +35AB : CDCDCDCD; +35AC : CDCDCDCD; +35AD : CDCDCDCD; +35AE : CDCDCDCD; +35AF : CDCDCDCD; +35B0 : CDCDCDCD; +35B1 : CDCDCDCD; +35B2 : CDCDCDCD; +35B3 : CDCDCDCD; +35B4 : CDCDCDCD; +35B5 : CDCDCDCD; +35B6 : CDCDCDCD; +35B7 : CDCDCDCD; +35B8 : CDCDCDCD; +35B9 : CDCDCDCD; +35BA : CDCDCDCD; +35BB : CDCDCDCD; +35BC : CDCDCDCD; +35BD : CDCDCDCD; +35BE : CDCDCDCD; +35BF : CDCDCDCD; +35C0 : CDCDCDCD; +35C1 : CDCDCDCD; +35C2 : CDCDCDCD; +35C3 : CDCDCDCD; +35C4 : CDCDCDCD; +35C5 : CDCDCDCD; +35C6 : CDCDCDCD; +35C7 : CDCDCDCD; +35C8 : CDCDCDCD; +35C9 : CDCDCDCD; +35CA : CDCDCDCD; +35CB : CDCDCDCD; +35CC : CDCDCDCD; +35CD : CDCDCDCD; +35CE : CDCDCDCD; +35CF : CDCDCDCD; +35D0 : CDCDCDCD; +35D1 : CDCDCDCD; +35D2 : CDCDCDCD; +35D3 : CDCDCDCD; +35D4 : CDCDCDCD; +35D5 : CDCDCDCD; +35D6 : CDCDCDCD; +35D7 : CDCDCDCD; +35D8 : CDCDCDCD; +35D9 : CDCDCDCD; +35DA : CDCDCDCD; +35DB : CDCDCDCD; +35DC : CDCDCDCD; +35DD : CDCDCDCD; +35DE : CDCDCDCD; +35DF : CDCDCDCD; +35E0 : CDCDCDCD; +35E1 : CDCDCDCD; +35E2 : CDCDCDCD; +35E3 : CDCDCDCD; +35E4 : CDCDCDCD; +35E5 : CDCDCDCD; +35E6 : CDCDCDCD; +35E7 : CDCDCDCD; +35E8 : CDCDCDCD; +35E9 : CDCDCDCD; +35EA : CDCDCDCD; +35EB : CDCDCDCD; +35EC : CDCDCDCD; +35ED : CDCDCDCD; +35EE : CDCDCDCD; +35EF : CDCDCDCD; +35F0 : CDCDCDCD; +35F1 : CDCDCDCD; +35F2 : CDCDCDCD; +35F3 : CDCDCDCD; +35F4 : CDCDCDCD; +35F5 : CDCDCDCD; +35F6 : CDCDCDCD; +35F7 : CDCDCDCD; +35F8 : CDCDCDCD; +35F9 : CDCDCDCD; +35FA : CDCDCDCD; +35FB : CDCDCDCD; +35FC : CDCDCDCD; +35FD : CDCDCDCD; +35FE : CDCDCDCD; +35FF : CDCDCDCD; +3600 : CDCDCDCD; +3601 : CDCDCDCD; +3602 : CDCDCDCD; +3603 : CDCDCDCD; +3604 : CDCDCDCD; +3605 : CDCDCDCD; +3606 : CDCDCDCD; +3607 : CDCDCDCD; +3608 : CDCDCDCD; +3609 : CDCDCDCD; +360A : CDCDCDCD; +360B : CDCDCDCD; +360C : CDCDCDCD; +360D : CDCDCDCD; +360E : CDCDCDCD; +360F : CDCDCDCD; +3610 : CDCDCDCD; +3611 : CDCDCDCD; +3612 : CDCDCDCD; +3613 : CDCDCDCD; +3614 : CDCDCDCD; +3615 : CDCDCDCD; +3616 : CDCDCDCD; +3617 : CDCDCDCD; +3618 : CDCDCDCD; +3619 : CDCDCDCD; +361A : CDCDCDCD; +361B : CDCDCDCD; +361C : CDCDCDCD; +361D : CDCDCDCD; +361E : CDCDCDCD; +361F : CDCDCDCD; +3620 : CDCDCDCD; +3621 : CDCDCDCD; +3622 : CDCDCDCD; +3623 : CDCDCDCD; +3624 : CDCDCDCD; +3625 : CDCDCDCD; +3626 : CDCDCDCD; +3627 : CDCDCDCD; +3628 : CDCDCDCD; +3629 : CDCDCDCD; +362A : CDCDCDCD; +362B : CDCDCDCD; +362C : CDCDCDCD; +362D : CDCDCDCD; +362E : CDCDCDCD; +362F : CDCDCDCD; +3630 : CDCDCDCD; +3631 : CDCDCDCD; +3632 : CDCDCDCD; +3633 : CDCDCDCD; +3634 : CDCDCDCD; +3635 : CDCDCDCD; +3636 : CDCDCDCD; +3637 : CDCDCDCD; +3638 : CDCDCDCD; +3639 : CDCDCDCD; +363A : CDCDCDCD; +363B : CDCDCDCD; +363C : CDCDCDCD; +363D : CDCDCDCD; +363E : CDCDCDCD; +363F : CDCDCDCD; +3640 : CDCDCDCD; +3641 : CDCDCDCD; +3642 : CDCDCDCD; +3643 : CDCDCDCD; +3644 : CDCDCDCD; +3645 : CDCDCDCD; +3646 : CDCDCDCD; +3647 : CDCDCDCD; +3648 : CDCDCDCD; +3649 : CDCDCDCD; +364A : CDCDCDCD; +364B : CDCDCDCD; +364C : CDCDCDCD; +364D : CDCDCDCD; +364E : CDCDCDCD; +364F : CDCDCDCD; +3650 : CDCDCDCD; +3651 : CDCDCDCD; +3652 : CDCDCDCD; +3653 : CDCDCDCD; +3654 : CDCDCDCD; +3655 : CDCDCDCD; +3656 : CDCDCDCD; +3657 : CDCDCDCD; +3658 : CDCDCDCD; +3659 : CDCDCDCD; +365A : CDCDCDCD; +365B : CDCDCDCD; +365C : CDCDCDCD; +365D : CDCDCDCD; +365E : CDCDCDCD; +365F : CDCDCDCD; +3660 : CDCDCDCD; +3661 : CDCDCDCD; +3662 : CDCDCDCD; +3663 : CDCDCDCD; +3664 : CDCDCDCD; +3665 : CDCDCDCD; +3666 : CDCDCDCD; +3667 : CDCDCDCD; +3668 : CDCDCDCD; +3669 : CDCDCDCD; +366A : CDCDCDCD; +366B : CDCDCDCD; +366C : CDCDCDCD; +366D : CDCDCDCD; +366E : CDCDCDCD; +366F : CDCDCDCD; +3670 : CDCDCDCD; +3671 : CDCDCDCD; +3672 : CDCDCDCD; +3673 : CDCDCDCD; +3674 : CDCDCDCD; +3675 : CDCDCDCD; +3676 : CDCDCDCD; +3677 : CDCDCDCD; +3678 : CDCDCDCD; +3679 : CDCDCDCD; +367A : CDCDCDCD; +367B : CDCDCDCD; +367C : CDCDCDCD; +367D : CDCDCDCD; +367E : CDCDCDCD; +367F : CDCDCDCD; +3680 : CDCDCDCD; +3681 : CDCDCDCD; +3682 : CDCDCDCD; +3683 : CDCDCDCD; +3684 : CDCDCDCD; +3685 : CDCDCDCD; +3686 : CDCDCDCD; +3687 : CDCDCDCD; +3688 : CDCDCDCD; +3689 : CDCDCDCD; +368A : CDCDCDCD; +368B : CDCDCDCD; +368C : CDCDCDCD; +368D : CDCDCDCD; +368E : CDCDCDCD; +368F : CDCDCDCD; +3690 : CDCDCDCD; +3691 : CDCDCDCD; +3692 : CDCDCDCD; +3693 : CDCDCDCD; +3694 : CDCDCDCD; +3695 : CDCDCDCD; +3696 : CDCDCDCD; +3697 : CDCDCDCD; +3698 : CDCDCDCD; +3699 : CDCDCDCD; +369A : CDCDCDCD; +369B : CDCDCDCD; +369C : CDCDCDCD; +369D : CDCDCDCD; +369E : CDCDCDCD; +369F : CDCDCDCD; +36A0 : CDCDCDCD; +36A1 : CDCDCDCD; +36A2 : CDCDCDCD; +36A3 : CDCDCDCD; +36A4 : CDCDCDCD; +36A5 : CDCDCDCD; +36A6 : CDCDCDCD; +36A7 : CDCDCDCD; +36A8 : CDCDCDCD; +36A9 : CDCDCDCD; +36AA : CDCDCDCD; +36AB : CDCDCDCD; +36AC : CDCDCDCD; +36AD : CDCDCDCD; +36AE : CDCDCDCD; +36AF : CDCDCDCD; +36B0 : CDCDCDCD; +36B1 : CDCDCDCD; +36B2 : CDCDCDCD; +36B3 : CDCDCDCD; +36B4 : CDCDCDCD; +36B5 : CDCDCDCD; +36B6 : CDCDCDCD; +36B7 : CDCDCDCD; +36B8 : CDCDCDCD; +36B9 : CDCDCDCD; +36BA : CDCDCDCD; +36BB : CDCDCDCD; +36BC : CDCDCDCD; +36BD : CDCDCDCD; +36BE : CDCDCDCD; +36BF : CDCDCDCD; +36C0 : CDCDCDCD; +36C1 : CDCDCDCD; +36C2 : CDCDCDCD; +36C3 : CDCDCDCD; +36C4 : CDCDCDCD; +36C5 : CDCDCDCD; +36C6 : CDCDCDCD; +36C7 : CDCDCDCD; +36C8 : CDCDCDCD; +36C9 : CDCDCDCD; +36CA : CDCDCDCD; +36CB : CDCDCDCD; +36CC : CDCDCDCD; +36CD : CDCDCDCD; +36CE : CDCDCDCD; +36CF : CDCDCDCD; +36D0 : CDCDCDCD; +36D1 : CDCDCDCD; +36D2 : CDCDCDCD; +36D3 : CDCDCDCD; +36D4 : CDCDCDCD; +36D5 : CDCDCDCD; +36D6 : CDCDCDCD; +36D7 : CDCDCDCD; +36D8 : CDCDCDCD; +36D9 : CDCDCDCD; +36DA : CDCDCDCD; +36DB : CDCDCDCD; +36DC : CDCDCDCD; +36DD : CDCDCDCD; +36DE : CDCDCDCD; +36DF : CDCDCDCD; +36E0 : CDCDCDCD; +36E1 : CDCDCDCD; +36E2 : CDCDCDCD; +36E3 : CDCDCDCD; +36E4 : CDCDCDCD; +36E5 : CDCDCDCD; +36E6 : CDCDCDCD; +36E7 : CDCDCDCD; +36E8 : CDCDCDCD; +36E9 : CDCDCDCD; +36EA : CDCDCDCD; +36EB : CDCDCDCD; +36EC : CDCDCDCD; +36ED : CDCDCDCD; +36EE : CDCDCDCD; +36EF : CDCDCDCD; +36F0 : CDCDCDCD; +36F1 : CDCDCDCD; +36F2 : CDCDCDCD; +36F3 : CDCDCDCD; +36F4 : CDCDCDCD; +36F5 : CDCDCDCD; +36F6 : CDCDCDCD; +36F7 : CDCDCDCD; +36F8 : CDCDCDCD; +36F9 : CDCDCDCD; +36FA : CDCDCDCD; +36FB : CDCDCDCD; +36FC : CDCDCDCD; +36FD : CDCDCDCD; +36FE : CDCDCDCD; +36FF : CDCDCDCD; +3700 : CDCDCDCD; +3701 : CDCDCDCD; +3702 : CDCDCDCD; +3703 : CDCDCDCD; +3704 : CDCDCDCD; +3705 : CDCDCDCD; +3706 : CDCDCDCD; +3707 : CDCDCDCD; +3708 : CDCDCDCD; +3709 : CDCDCDCD; +370A : CDCDCDCD; +370B : CDCDCDCD; +370C : CDCDCDCD; +370D : CDCDCDCD; +370E : CDCDCDCD; +370F : CDCDCDCD; +3710 : CDCDCDCD; +3711 : CDCDCDCD; +3712 : CDCDCDCD; +3713 : CDCDCDCD; +3714 : CDCDCDCD; +3715 : CDCDCDCD; +3716 : CDCDCDCD; +3717 : CDCDCDCD; +3718 : CDCDCDCD; +3719 : CDCDCDCD; +371A : CDCDCDCD; +371B : CDCDCDCD; +371C : CDCDCDCD; +371D : CDCDCDCD; +371E : CDCDCDCD; +371F : CDCDCDCD; +3720 : CDCDCDCD; +3721 : CDCDCDCD; +3722 : CDCDCDCD; +3723 : CDCDCDCD; +3724 : CDCDCDCD; +3725 : CDCDCDCD; +3726 : CDCDCDCD; +3727 : CDCDCDCD; +3728 : CDCDCDCD; +3729 : CDCDCDCD; +372A : CDCDCDCD; +372B : CDCDCDCD; +372C : CDCDCDCD; +372D : CDCDCDCD; +372E : CDCDCDCD; +372F : CDCDCDCD; +3730 : CDCDCDCD; +3731 : CDCDCDCD; +3732 : CDCDCDCD; +3733 : CDCDCDCD; +3734 : CDCDCDCD; +3735 : CDCDCDCD; +3736 : CDCDCDCD; +3737 : CDCDCDCD; +3738 : CDCDCDCD; +3739 : CDCDCDCD; +373A : CDCDCDCD; +373B : CDCDCDCD; +373C : CDCDCDCD; +373D : CDCDCDCD; +373E : CDCDCDCD; +373F : CDCDCDCD; +3740 : CDCDCDCD; +3741 : CDCDCDCD; +3742 : CDCDCDCD; +3743 : CDCDCDCD; +3744 : CDCDCDCD; +3745 : CDCDCDCD; +3746 : CDCDCDCD; +3747 : CDCDCDCD; +3748 : CDCDCDCD; +3749 : CDCDCDCD; +374A : CDCDCDCD; +374B : CDCDCDCD; +374C : CDCDCDCD; +374D : CDCDCDCD; +374E : CDCDCDCD; +374F : CDCDCDCD; +3750 : CDCDCDCD; +3751 : CDCDCDCD; +3752 : CDCDCDCD; +3753 : CDCDCDCD; +3754 : CDCDCDCD; +3755 : CDCDCDCD; +3756 : CDCDCDCD; +3757 : CDCDCDCD; +3758 : CDCDCDCD; +3759 : CDCDCDCD; +375A : CDCDCDCD; +375B : CDCDCDCD; +375C : CDCDCDCD; +375D : CDCDCDCD; +375E : CDCDCDCD; +375F : CDCDCDCD; +3760 : CDCDCDCD; +3761 : CDCDCDCD; +3762 : CDCDCDCD; +3763 : CDCDCDCD; +3764 : CDCDCDCD; +3765 : CDCDCDCD; +3766 : CDCDCDCD; +3767 : CDCDCDCD; +3768 : CDCDCDCD; +3769 : CDCDCDCD; +376A : CDCDCDCD; +376B : CDCDCDCD; +376C : CDCDCDCD; +376D : CDCDCDCD; +376E : CDCDCDCD; +376F : CDCDCDCD; +3770 : CDCDCDCD; +3771 : CDCDCDCD; +3772 : CDCDCDCD; +3773 : CDCDCDCD; +3774 : CDCDCDCD; +3775 : CDCDCDCD; +3776 : CDCDCDCD; +3777 : CDCDCDCD; +3778 : CDCDCDCD; +3779 : CDCDCDCD; +377A : CDCDCDCD; +377B : CDCDCDCD; +377C : CDCDCDCD; +377D : CDCDCDCD; +377E : CDCDCDCD; +377F : CDCDCDCD; +3780 : CDCDCDCD; +3781 : CDCDCDCD; +3782 : CDCDCDCD; +3783 : CDCDCDCD; +3784 : CDCDCDCD; +3785 : CDCDCDCD; +3786 : CDCDCDCD; +3787 : CDCDCDCD; +3788 : CDCDCDCD; +3789 : CDCDCDCD; +378A : CDCDCDCD; +378B : CDCDCDCD; +378C : CDCDCDCD; +378D : CDCDCDCD; +378E : CDCDCDCD; +378F : CDCDCDCD; +3790 : CDCDCDCD; +3791 : CDCDCDCD; +3792 : CDCDCDCD; +3793 : CDCDCDCD; +3794 : CDCDCDCD; +3795 : CDCDCDCD; +3796 : CDCDCDCD; +3797 : CDCDCDCD; +3798 : CDCDCDCD; +3799 : CDCDCDCD; +379A : CDCDCDCD; +379B : CDCDCDCD; +379C : CDCDCDCD; +379D : CDCDCDCD; +379E : CDCDCDCD; +379F : CDCDCDCD; +37A0 : CDCDCDCD; +37A1 : CDCDCDCD; +37A2 : CDCDCDCD; +37A3 : CDCDCDCD; +37A4 : CDCDCDCD; +37A5 : CDCDCDCD; +37A6 : CDCDCDCD; +37A7 : CDCDCDCD; +37A8 : CDCDCDCD; +37A9 : CDCDCDCD; +37AA : CDCDCDCD; +37AB : CDCDCDCD; +37AC : CDCDCDCD; +37AD : CDCDCDCD; +37AE : CDCDCDCD; +37AF : CDCDCDCD; +37B0 : CDCDCDCD; +37B1 : CDCDCDCD; +37B2 : CDCDCDCD; +37B3 : CDCDCDCD; +37B4 : CDCDCDCD; +37B5 : CDCDCDCD; +37B6 : CDCDCDCD; +37B7 : CDCDCDCD; +37B8 : CDCDCDCD; +37B9 : CDCDCDCD; +37BA : CDCDCDCD; +37BB : CDCDCDCD; +37BC : CDCDCDCD; +37BD : CDCDCDCD; +37BE : CDCDCDCD; +37BF : CDCDCDCD; +37C0 : CDCDCDCD; +37C1 : CDCDCDCD; +37C2 : CDCDCDCD; +37C3 : CDCDCDCD; +37C4 : CDCDCDCD; +37C5 : CDCDCDCD; +37C6 : CDCDCDCD; +37C7 : CDCDCDCD; +37C8 : CDCDCDCD; +37C9 : CDCDCDCD; +37CA : CDCDCDCD; +37CB : CDCDCDCD; +37CC : CDCDCDCD; +37CD : CDCDCDCD; +37CE : CDCDCDCD; +37CF : CDCDCDCD; +37D0 : CDCDCDCD; +37D1 : CDCDCDCD; +37D2 : CDCDCDCD; +37D3 : CDCDCDCD; +37D4 : CDCDCDCD; +37D5 : CDCDCDCD; +37D6 : CDCDCDCD; +37D7 : CDCDCDCD; +37D8 : CDCDCDCD; +37D9 : CDCDCDCD; +37DA : CDCDCDCD; +37DB : CDCDCDCD; +37DC : CDCDCDCD; +37DD : CDCDCDCD; +37DE : CDCDCDCD; +37DF : CDCDCDCD; +37E0 : CDCDCDCD; +37E1 : CDCDCDCD; +37E2 : CDCDCDCD; +37E3 : CDCDCDCD; +37E4 : CDCDCDCD; +37E5 : CDCDCDCD; +37E6 : CDCDCDCD; +37E7 : CDCDCDCD; +37E8 : CDCDCDCD; +37E9 : CDCDCDCD; +37EA : CDCDCDCD; +37EB : CDCDCDCD; +37EC : CDCDCDCD; +37ED : CDCDCDCD; +37EE : CDCDCDCD; +37EF : CDCDCDCD; +37F0 : CDCDCDCD; +37F1 : CDCDCDCD; +37F2 : CDCDCDCD; +37F3 : CDCDCDCD; +37F4 : CDCDCDCD; +37F5 : CDCDCDCD; +37F6 : CDCDCDCD; +37F7 : CDCDCDCD; +37F8 : CDCDCDCD; +37F9 : CDCDCDCD; +37FA : CDCDCDCD; +37FB : CDCDCDCD; +37FC : CDCDCDCD; +37FD : CDCDCDCD; +37FE : CDCDCDCD; +37FF : CDCDCDCD; +3800 : CDCDCDCD; +3801 : CDCDCDCD; +3802 : CDCDCDCD; +3803 : CDCDCDCD; +3804 : CDCDCDCD; +3805 : CDCDCDCD; +3806 : CDCDCDCD; +3807 : CDCDCDCD; +3808 : CDCDCDCD; +3809 : CDCDCDCD; +380A : CDCDCDCD; +380B : CDCDCDCD; +380C : CDCDCDCD; +380D : CDCDCDCD; +380E : CDCDCDCD; +380F : CDCDCDCD; +3810 : CDCDCDCD; +3811 : CDCDCDCD; +3812 : CDCDCDCD; +3813 : CDCDCDCD; +3814 : CDCDCDCD; +3815 : CDCDCDCD; +3816 : CDCDCDCD; +3817 : CDCDCDCD; +3818 : CDCDCDCD; +3819 : CDCDCDCD; +381A : CDCDCDCD; +381B : CDCDCDCD; +381C : CDCDCDCD; +381D : CDCDCDCD; +381E : CDCDCDCD; +381F : CDCDCDCD; +3820 : CDCDCDCD; +3821 : CDCDCDCD; +3822 : CDCDCDCD; +3823 : CDCDCDCD; +3824 : CDCDCDCD; +3825 : CDCDCDCD; +3826 : CDCDCDCD; +3827 : CDCDCDCD; +3828 : CDCDCDCD; +3829 : CDCDCDCD; +382A : CDCDCDCD; +382B : CDCDCDCD; +382C : CDCDCDCD; +382D : CDCDCDCD; +382E : CDCDCDCD; +382F : CDCDCDCD; +3830 : CDCDCDCD; +3831 : CDCDCDCD; +3832 : CDCDCDCD; +3833 : CDCDCDCD; +3834 : CDCDCDCD; +3835 : CDCDCDCD; +3836 : CDCDCDCD; +3837 : CDCDCDCD; +3838 : CDCDCDCD; +3839 : CDCDCDCD; +383A : CDCDCDCD; +383B : CDCDCDCD; +383C : CDCDCDCD; +383D : CDCDCDCD; +383E : CDCDCDCD; +383F : CDCDCDCD; +3840 : CDCDCDCD; +3841 : CDCDCDCD; +3842 : CDCDCDCD; +3843 : CDCDCDCD; +3844 : CDCDCDCD; +3845 : CDCDCDCD; +3846 : CDCDCDCD; +3847 : CDCDCDCD; +3848 : CDCDCDCD; +3849 : CDCDCDCD; +384A : CDCDCDCD; +384B : CDCDCDCD; +384C : CDCDCDCD; +384D : CDCDCDCD; +384E : CDCDCDCD; +384F : CDCDCDCD; +3850 : CDCDCDCD; +3851 : CDCDCDCD; +3852 : CDCDCDCD; +3853 : CDCDCDCD; +3854 : CDCDCDCD; +3855 : CDCDCDCD; +3856 : CDCDCDCD; +3857 : CDCDCDCD; +3858 : CDCDCDCD; +3859 : CDCDCDCD; +385A : CDCDCDCD; +385B : CDCDCDCD; +385C : CDCDCDCD; +385D : CDCDCDCD; +385E : CDCDCDCD; +385F : CDCDCDCD; +3860 : CDCDCDCD; +3861 : CDCDCDCD; +3862 : CDCDCDCD; +3863 : CDCDCDCD; +3864 : CDCDCDCD; +3865 : CDCDCDCD; +3866 : CDCDCDCD; +3867 : CDCDCDCD; +3868 : CDCDCDCD; +3869 : CDCDCDCD; +386A : CDCDCDCD; +386B : CDCDCDCD; +386C : CDCDCDCD; +386D : CDCDCDCD; +386E : CDCDCDCD; +386F : CDCDCDCD; +3870 : CDCDCDCD; +3871 : CDCDCDCD; +3872 : CDCDCDCD; +3873 : CDCDCDCD; +3874 : CDCDCDCD; +3875 : CDCDCDCD; +3876 : CDCDCDCD; +3877 : CDCDCDCD; +3878 : CDCDCDCD; +3879 : CDCDCDCD; +387A : CDCDCDCD; +387B : CDCDCDCD; +387C : CDCDCDCD; +387D : CDCDCDCD; +387E : CDCDCDCD; +387F : CDCDCDCD; +3880 : CDCDCDCD; +3881 : CDCDCDCD; +3882 : CDCDCDCD; +3883 : CDCDCDCD; +3884 : CDCDCDCD; +3885 : CDCDCDCD; +3886 : CDCDCDCD; +3887 : CDCDCDCD; +3888 : CDCDCDCD; +3889 : CDCDCDCD; +388A : CDCDCDCD; +388B : CDCDCDCD; +388C : CDCDCDCD; +388D : CDCDCDCD; +388E : CDCDCDCD; +388F : CDCDCDCD; +3890 : CDCDCDCD; +3891 : CDCDCDCD; +3892 : CDCDCDCD; +3893 : CDCDCDCD; +3894 : CDCDCDCD; +3895 : CDCDCDCD; +3896 : CDCDCDCD; +3897 : CDCDCDCD; +3898 : CDCDCDCD; +3899 : CDCDCDCD; +389A : CDCDCDCD; +389B : CDCDCDCD; +389C : CDCDCDCD; +389D : CDCDCDCD; +389E : CDCDCDCD; +389F : CDCDCDCD; +38A0 : CDCDCDCD; +38A1 : CDCDCDCD; +38A2 : CDCDCDCD; +38A3 : CDCDCDCD; +38A4 : CDCDCDCD; +38A5 : CDCDCDCD; +38A6 : CDCDCDCD; +38A7 : CDCDCDCD; +38A8 : CDCDCDCD; +38A9 : CDCDCDCD; +38AA : CDCDCDCD; +38AB : CDCDCDCD; +38AC : CDCDCDCD; +38AD : CDCDCDCD; +38AE : CDCDCDCD; +38AF : CDCDCDCD; +38B0 : CDCDCDCD; +38B1 : CDCDCDCD; +38B2 : CDCDCDCD; +38B3 : CDCDCDCD; +38B4 : CDCDCDCD; +38B5 : CDCDCDCD; +38B6 : CDCDCDCD; +38B7 : CDCDCDCD; +38B8 : CDCDCDCD; +38B9 : CDCDCDCD; +38BA : CDCDCDCD; +38BB : CDCDCDCD; +38BC : CDCDCDCD; +38BD : CDCDCDCD; +38BE : CDCDCDCD; +38BF : CDCDCDCD; +38C0 : CDCDCDCD; +38C1 : CDCDCDCD; +38C2 : CDCDCDCD; +38C3 : CDCDCDCD; +38C4 : CDCDCDCD; +38C5 : CDCDCDCD; +38C6 : CDCDCDCD; +38C7 : CDCDCDCD; +38C8 : CDCDCDCD; +38C9 : CDCDCDCD; +38CA : CDCDCDCD; +38CB : CDCDCDCD; +38CC : CDCDCDCD; +38CD : CDCDCDCD; +38CE : CDCDCDCD; +38CF : CDCDCDCD; +38D0 : CDCDCDCD; +38D1 : CDCDCDCD; +38D2 : CDCDCDCD; +38D3 : CDCDCDCD; +38D4 : CDCDCDCD; +38D5 : CDCDCDCD; +38D6 : CDCDCDCD; +38D7 : CDCDCDCD; +38D8 : CDCDCDCD; +38D9 : CDCDCDCD; +38DA : CDCDCDCD; +38DB : CDCDCDCD; +38DC : CDCDCDCD; +38DD : CDCDCDCD; +38DE : CDCDCDCD; +38DF : CDCDCDCD; +38E0 : CDCDCDCD; +38E1 : CDCDCDCD; +38E2 : CDCDCDCD; +38E3 : CDCDCDCD; +38E4 : CDCDCDCD; +38E5 : CDCDCDCD; +38E6 : CDCDCDCD; +38E7 : CDCDCDCD; +38E8 : CDCDCDCD; +38E9 : CDCDCDCD; +38EA : CDCDCDCD; +38EB : CDCDCDCD; +38EC : CDCDCDCD; +38ED : CDCDCDCD; +38EE : CDCDCDCD; +38EF : CDCDCDCD; +38F0 : CDCDCDCD; +38F1 : CDCDCDCD; +38F2 : CDCDCDCD; +38F3 : CDCDCDCD; +38F4 : CDCDCDCD; +38F5 : CDCDCDCD; +38F6 : CDCDCDCD; +38F7 : CDCDCDCD; +38F8 : CDCDCDCD; +38F9 : CDCDCDCD; +38FA : CDCDCDCD; +38FB : CDCDCDCD; +38FC : CDCDCDCD; +38FD : CDCDCDCD; +38FE : CDCDCDCD; +38FF : CDCDCDCD; +3900 : CDCDCDCD; +3901 : CDCDCDCD; +3902 : CDCDCDCD; +3903 : CDCDCDCD; +3904 : CDCDCDCD; +3905 : CDCDCDCD; +3906 : CDCDCDCD; +3907 : CDCDCDCD; +3908 : CDCDCDCD; +3909 : CDCDCDCD; +390A : CDCDCDCD; +390B : CDCDCDCD; +390C : CDCDCDCD; +390D : CDCDCDCD; +390E : CDCDCDCD; +390F : CDCDCDCD; +3910 : CDCDCDCD; +3911 : CDCDCDCD; +3912 : CDCDCDCD; +3913 : CDCDCDCD; +3914 : CDCDCDCD; +3915 : CDCDCDCD; +3916 : CDCDCDCD; +3917 : CDCDCDCD; +3918 : CDCDCDCD; +3919 : CDCDCDCD; +391A : CDCDCDCD; +391B : CDCDCDCD; +391C : CDCDCDCD; +391D : CDCDCDCD; +391E : CDCDCDCD; +391F : CDCDCDCD; +3920 : CDCDCDCD; +3921 : CDCDCDCD; +3922 : CDCDCDCD; +3923 : CDCDCDCD; +3924 : CDCDCDCD; +3925 : CDCDCDCD; +3926 : CDCDCDCD; +3927 : CDCDCDCD; +3928 : CDCDCDCD; +3929 : CDCDCDCD; +392A : CDCDCDCD; +392B : CDCDCDCD; +392C : CDCDCDCD; +392D : CDCDCDCD; +392E : CDCDCDCD; +392F : CDCDCDCD; +3930 : CDCDCDCD; +3931 : CDCDCDCD; +3932 : CDCDCDCD; +3933 : CDCDCDCD; +3934 : CDCDCDCD; +3935 : CDCDCDCD; +3936 : CDCDCDCD; +3937 : CDCDCDCD; +3938 : CDCDCDCD; +3939 : CDCDCDCD; +393A : CDCDCDCD; +393B : CDCDCDCD; +393C : CDCDCDCD; +393D : CDCDCDCD; +393E : CDCDCDCD; +393F : CDCDCDCD; +3940 : CDCDCDCD; +3941 : CDCDCDCD; +3942 : CDCDCDCD; +3943 : CDCDCDCD; +3944 : CDCDCDCD; +3945 : CDCDCDCD; +3946 : CDCDCDCD; +3947 : CDCDCDCD; +3948 : CDCDCDCD; +3949 : CDCDCDCD; +394A : CDCDCDCD; +394B : CDCDCDCD; +394C : CDCDCDCD; +394D : CDCDCDCD; +394E : CDCDCDCD; +394F : CDCDCDCD; +3950 : CDCDCDCD; +3951 : CDCDCDCD; +3952 : CDCDCDCD; +3953 : CDCDCDCD; +3954 : CDCDCDCD; +3955 : CDCDCDCD; +3956 : CDCDCDCD; +3957 : CDCDCDCD; +3958 : CDCDCDCD; +3959 : CDCDCDCD; +395A : CDCDCDCD; +395B : CDCDCDCD; +395C : CDCDCDCD; +395D : CDCDCDCD; +395E : CDCDCDCD; +395F : CDCDCDCD; +3960 : CDCDCDCD; +3961 : CDCDCDCD; +3962 : CDCDCDCD; +3963 : CDCDCDCD; +3964 : CDCDCDCD; +3965 : CDCDCDCD; +3966 : CDCDCDCD; +3967 : CDCDCDCD; +3968 : CDCDCDCD; +3969 : CDCDCDCD; +396A : CDCDCDCD; +396B : CDCDCDCD; +396C : CDCDCDCD; +396D : CDCDCDCD; +396E : CDCDCDCD; +396F : CDCDCDCD; +3970 : CDCDCDCD; +3971 : CDCDCDCD; +3972 : CDCDCDCD; +3973 : CDCDCDCD; +3974 : CDCDCDCD; +3975 : CDCDCDCD; +3976 : CDCDCDCD; +3977 : CDCDCDCD; +3978 : CDCDCDCD; +3979 : CDCDCDCD; +397A : CDCDCDCD; +397B : CDCDCDCD; +397C : CDCDCDCD; +397D : CDCDCDCD; +397E : CDCDCDCD; +397F : CDCDCDCD; +3980 : CDCDCDCD; +3981 : CDCDCDCD; +3982 : CDCDCDCD; +3983 : CDCDCDCD; +3984 : CDCDCDCD; +3985 : CDCDCDCD; +3986 : CDCDCDCD; +3987 : CDCDCDCD; +3988 : CDCDCDCD; +3989 : CDCDCDCD; +398A : CDCDCDCD; +398B : CDCDCDCD; +398C : CDCDCDCD; +398D : CDCDCDCD; +398E : CDCDCDCD; +398F : CDCDCDCD; +3990 : CDCDCDCD; +3991 : CDCDCDCD; +3992 : CDCDCDCD; +3993 : CDCDCDCD; +3994 : CDCDCDCD; +3995 : CDCDCDCD; +3996 : CDCDCDCD; +3997 : CDCDCDCD; +3998 : CDCDCDCD; +3999 : CDCDCDCD; +399A : CDCDCDCD; +399B : CDCDCDCD; +399C : CDCDCDCD; +399D : CDCDCDCD; +399E : CDCDCDCD; +399F : CDCDCDCD; +39A0 : CDCDCDCD; +39A1 : CDCDCDCD; +39A2 : CDCDCDCD; +39A3 : CDCDCDCD; +39A4 : CDCDCDCD; +39A5 : CDCDCDCD; +39A6 : CDCDCDCD; +39A7 : CDCDCDCD; +39A8 : CDCDCDCD; +39A9 : CDCDCDCD; +39AA : CDCDCDCD; +39AB : CDCDCDCD; +39AC : CDCDCDCD; +39AD : CDCDCDCD; +39AE : CDCDCDCD; +39AF : CDCDCDCD; +39B0 : CDCDCDCD; +39B1 : CDCDCDCD; +39B2 : CDCDCDCD; +39B3 : CDCDCDCD; +39B4 : CDCDCDCD; +39B5 : CDCDCDCD; +39B6 : CDCDCDCD; +39B7 : CDCDCDCD; +39B8 : CDCDCDCD; +39B9 : CDCDCDCD; +39BA : CDCDCDCD; +39BB : CDCDCDCD; +39BC : CDCDCDCD; +39BD : CDCDCDCD; +39BE : CDCDCDCD; +39BF : CDCDCDCD; +39C0 : CDCDCDCD; +39C1 : CDCDCDCD; +39C2 : CDCDCDCD; +39C3 : CDCDCDCD; +39C4 : CDCDCDCD; +39C5 : CDCDCDCD; +39C6 : CDCDCDCD; +39C7 : CDCDCDCD; +39C8 : CDCDCDCD; +39C9 : CDCDCDCD; +39CA : CDCDCDCD; +39CB : CDCDCDCD; +39CC : CDCDCDCD; +39CD : CDCDCDCD; +39CE : CDCDCDCD; +39CF : CDCDCDCD; +39D0 : CDCDCDCD; +39D1 : CDCDCDCD; +39D2 : CDCDCDCD; +39D3 : CDCDCDCD; +39D4 : CDCDCDCD; +39D5 : CDCDCDCD; +39D6 : CDCDCDCD; +39D7 : CDCDCDCD; +39D8 : CDCDCDCD; +39D9 : CDCDCDCD; +39DA : CDCDCDCD; +39DB : CDCDCDCD; +39DC : CDCDCDCD; +39DD : CDCDCDCD; +39DE : CDCDCDCD; +39DF : CDCDCDCD; +39E0 : CDCDCDCD; +39E1 : CDCDCDCD; +39E2 : CDCDCDCD; +39E3 : CDCDCDCD; +39E4 : CDCDCDCD; +39E5 : CDCDCDCD; +39E6 : CDCDCDCD; +39E7 : CDCDCDCD; +39E8 : CDCDCDCD; +39E9 : CDCDCDCD; +39EA : CDCDCDCD; +39EB : CDCDCDCD; +39EC : CDCDCDCD; +39ED : CDCDCDCD; +39EE : CDCDCDCD; +39EF : CDCDCDCD; +39F0 : CDCDCDCD; +39F1 : CDCDCDCD; +39F2 : CDCDCDCD; +39F3 : CDCDCDCD; +39F4 : CDCDCDCD; +39F5 : CDCDCDCD; +39F6 : CDCDCDCD; +39F7 : CDCDCDCD; +39F8 : CDCDCDCD; +39F9 : CDCDCDCD; +39FA : CDCDCDCD; +39FB : CDCDCDCD; +39FC : CDCDCDCD; +39FD : CDCDCDCD; +39FE : CDCDCDCD; +39FF : CDCDCDCD; +3A00 : CDCDCDCD; +3A01 : CDCDCDCD; +3A02 : CDCDCDCD; +3A03 : CDCDCDCD; +3A04 : CDCDCDCD; +3A05 : CDCDCDCD; +3A06 : CDCDCDCD; +3A07 : CDCDCDCD; +3A08 : CDCDCDCD; +3A09 : CDCDCDCD; +3A0A : CDCDCDCD; +3A0B : CDCDCDCD; +3A0C : CDCDCDCD; +3A0D : CDCDCDCD; +3A0E : CDCDCDCD; +3A0F : CDCDCDCD; +3A10 : CDCDCDCD; +3A11 : CDCDCDCD; +3A12 : CDCDCDCD; +3A13 : CDCDCDCD; +3A14 : CDCDCDCD; +3A15 : CDCDCDCD; +3A16 : CDCDCDCD; +3A17 : CDCDCDCD; +3A18 : CDCDCDCD; +3A19 : CDCDCDCD; +3A1A : CDCDCDCD; +3A1B : CDCDCDCD; +3A1C : CDCDCDCD; +3A1D : CDCDCDCD; +3A1E : CDCDCDCD; +3A1F : CDCDCDCD; +3A20 : CDCDCDCD; +3A21 : CDCDCDCD; +3A22 : CDCDCDCD; +3A23 : CDCDCDCD; +3A24 : CDCDCDCD; +3A25 : CDCDCDCD; +3A26 : CDCDCDCD; +3A27 : CDCDCDCD; +3A28 : CDCDCDCD; +3A29 : CDCDCDCD; +3A2A : CDCDCDCD; +3A2B : CDCDCDCD; +3A2C : CDCDCDCD; +3A2D : CDCDCDCD; +3A2E : CDCDCDCD; +3A2F : CDCDCDCD; +3A30 : CDCDCDCD; +3A31 : CDCDCDCD; +3A32 : CDCDCDCD; +3A33 : CDCDCDCD; +3A34 : CDCDCDCD; +3A35 : CDCDCDCD; +3A36 : CDCDCDCD; +3A37 : CDCDCDCD; +3A38 : CDCDCDCD; +3A39 : CDCDCDCD; +3A3A : CDCDCDCD; +3A3B : CDCDCDCD; +3A3C : CDCDCDCD; +3A3D : CDCDCDCD; +3A3E : CDCDCDCD; +3A3F : CDCDCDCD; +3A40 : CDCDCDCD; +3A41 : CDCDCDCD; +3A42 : CDCDCDCD; +3A43 : CDCDCDCD; +3A44 : CDCDCDCD; +3A45 : CDCDCDCD; +3A46 : CDCDCDCD; +3A47 : CDCDCDCD; +3A48 : CDCDCDCD; +3A49 : CDCDCDCD; +3A4A : CDCDCDCD; +3A4B : CDCDCDCD; +3A4C : CDCDCDCD; +3A4D : CDCDCDCD; +3A4E : CDCDCDCD; +3A4F : CDCDCDCD; +3A50 : CDCDCDCD; +3A51 : CDCDCDCD; +3A52 : CDCDCDCD; +3A53 : CDCDCDCD; +3A54 : CDCDCDCD; +3A55 : CDCDCDCD; +3A56 : CDCDCDCD; +3A57 : CDCDCDCD; +3A58 : CDCDCDCD; +3A59 : CDCDCDCD; +3A5A : CDCDCDCD; +3A5B : CDCDCDCD; +3A5C : CDCDCDCD; +3A5D : CDCDCDCD; +3A5E : CDCDCDCD; +3A5F : CDCDCDCD; +3A60 : CDCDCDCD; +3A61 : CDCDCDCD; +3A62 : CDCDCDCD; +3A63 : CDCDCDCD; +3A64 : CDCDCDCD; +3A65 : CDCDCDCD; +3A66 : CDCDCDCD; +3A67 : CDCDCDCD; +3A68 : CDCDCDCD; +3A69 : CDCDCDCD; +3A6A : CDCDCDCD; +3A6B : CDCDCDCD; +3A6C : CDCDCDCD; +3A6D : CDCDCDCD; +3A6E : CDCDCDCD; +3A6F : CDCDCDCD; +3A70 : CDCDCDCD; +3A71 : CDCDCDCD; +3A72 : CDCDCDCD; +3A73 : CDCDCDCD; +3A74 : CDCDCDCD; +3A75 : CDCDCDCD; +3A76 : CDCDCDCD; +3A77 : CDCDCDCD; +3A78 : CDCDCDCD; +3A79 : CDCDCDCD; +3A7A : CDCDCDCD; +3A7B : CDCDCDCD; +3A7C : CDCDCDCD; +3A7D : CDCDCDCD; +3A7E : CDCDCDCD; +3A7F : CDCDCDCD; +3A80 : CDCDCDCD; +3A81 : CDCDCDCD; +3A82 : CDCDCDCD; +3A83 : CDCDCDCD; +3A84 : CDCDCDCD; +3A85 : CDCDCDCD; +3A86 : CDCDCDCD; +3A87 : CDCDCDCD; +3A88 : CDCDCDCD; +3A89 : CDCDCDCD; +3A8A : CDCDCDCD; +3A8B : CDCDCDCD; +3A8C : CDCDCDCD; +3A8D : CDCDCDCD; +3A8E : CDCDCDCD; +3A8F : CDCDCDCD; +3A90 : CDCDCDCD; +3A91 : CDCDCDCD; +3A92 : CDCDCDCD; +3A93 : CDCDCDCD; +3A94 : CDCDCDCD; +3A95 : CDCDCDCD; +3A96 : CDCDCDCD; +3A97 : CDCDCDCD; +3A98 : CDCDCDCD; +3A99 : CDCDCDCD; +3A9A : CDCDCDCD; +3A9B : CDCDCDCD; +3A9C : CDCDCDCD; +3A9D : CDCDCDCD; +3A9E : CDCDCDCD; +3A9F : CDCDCDCD; +3AA0 : CDCDCDCD; +3AA1 : CDCDCDCD; +3AA2 : CDCDCDCD; +3AA3 : CDCDCDCD; +3AA4 : CDCDCDCD; +3AA5 : CDCDCDCD; +3AA6 : CDCDCDCD; +3AA7 : CDCDCDCD; +3AA8 : CDCDCDCD; +3AA9 : CDCDCDCD; +3AAA : CDCDCDCD; +3AAB : CDCDCDCD; +3AAC : CDCDCDCD; +3AAD : CDCDCDCD; +3AAE : CDCDCDCD; +3AAF : CDCDCDCD; +3AB0 : CDCDCDCD; +3AB1 : CDCDCDCD; +3AB2 : CDCDCDCD; +3AB3 : CDCDCDCD; +3AB4 : CDCDCDCD; +3AB5 : CDCDCDCD; +3AB6 : CDCDCDCD; +3AB7 : CDCDCDCD; +3AB8 : CDCDCDCD; +3AB9 : CDCDCDCD; +3ABA : CDCDCDCD; +3ABB : CDCDCDCD; +3ABC : CDCDCDCD; +3ABD : CDCDCDCD; +3ABE : CDCDCDCD; +3ABF : CDCDCDCD; +3AC0 : CDCDCDCD; +3AC1 : CDCDCDCD; +3AC2 : CDCDCDCD; +3AC3 : CDCDCDCD; +3AC4 : CDCDCDCD; +3AC5 : CDCDCDCD; +3AC6 : CDCDCDCD; +3AC7 : CDCDCDCD; +3AC8 : CDCDCDCD; +3AC9 : CDCDCDCD; +3ACA : CDCDCDCD; +3ACB : CDCDCDCD; +3ACC : CDCDCDCD; +3ACD : CDCDCDCD; +3ACE : CDCDCDCD; +3ACF : CDCDCDCD; +3AD0 : CDCDCDCD; +3AD1 : CDCDCDCD; +3AD2 : CDCDCDCD; +3AD3 : CDCDCDCD; +3AD4 : CDCDCDCD; +3AD5 : CDCDCDCD; +3AD6 : CDCDCDCD; +3AD7 : CDCDCDCD; +3AD8 : CDCDCDCD; +3AD9 : CDCDCDCD; +3ADA : CDCDCDCD; +3ADB : CDCDCDCD; +3ADC : CDCDCDCD; +3ADD : CDCDCDCD; +3ADE : CDCDCDCD; +3ADF : CDCDCDCD; +3AE0 : CDCDCDCD; +3AE1 : CDCDCDCD; +3AE2 : CDCDCDCD; +3AE3 : CDCDCDCD; +3AE4 : CDCDCDCD; +3AE5 : CDCDCDCD; +3AE6 : CDCDCDCD; +3AE7 : CDCDCDCD; +3AE8 : CDCDCDCD; +3AE9 : CDCDCDCD; +3AEA : CDCDCDCD; +3AEB : CDCDCDCD; +3AEC : CDCDCDCD; +3AED : CDCDCDCD; +3AEE : CDCDCDCD; +3AEF : CDCDCDCD; +3AF0 : CDCDCDCD; +3AF1 : CDCDCDCD; +3AF2 : CDCDCDCD; +3AF3 : CDCDCDCD; +3AF4 : CDCDCDCD; +3AF5 : CDCDCDCD; +3AF6 : CDCDCDCD; +3AF7 : CDCDCDCD; +3AF8 : CDCDCDCD; +3AF9 : CDCDCDCD; +3AFA : CDCDCDCD; +3AFB : CDCDCDCD; +3AFC : CDCDCDCD; +3AFD : CDCDCDCD; +3AFE : CDCDCDCD; +3AFF : CDCDCDCD; +3B00 : CDCDCDCD; +3B01 : CDCDCDCD; +3B02 : CDCDCDCD; +3B03 : CDCDCDCD; +3B04 : CDCDCDCD; +3B05 : CDCDCDCD; +3B06 : CDCDCDCD; +3B07 : CDCDCDCD; +3B08 : CDCDCDCD; +3B09 : CDCDCDCD; +3B0A : CDCDCDCD; +3B0B : CDCDCDCD; +3B0C : CDCDCDCD; +3B0D : CDCDCDCD; +3B0E : CDCDCDCD; +3B0F : CDCDCDCD; +3B10 : CDCDCDCD; +3B11 : CDCDCDCD; +3B12 : CDCDCDCD; +3B13 : CDCDCDCD; +3B14 : CDCDCDCD; +3B15 : CDCDCDCD; +3B16 : CDCDCDCD; +3B17 : CDCDCDCD; +3B18 : CDCDCDCD; +3B19 : CDCDCDCD; +3B1A : CDCDCDCD; +3B1B : CDCDCDCD; +3B1C : CDCDCDCD; +3B1D : CDCDCDCD; +3B1E : CDCDCDCD; +3B1F : CDCDCDCD; +3B20 : CDCDCDCD; +3B21 : CDCDCDCD; +3B22 : CDCDCDCD; +3B23 : CDCDCDCD; +3B24 : CDCDCDCD; +3B25 : CDCDCDCD; +3B26 : CDCDCDCD; +3B27 : CDCDCDCD; +3B28 : CDCDCDCD; +3B29 : CDCDCDCD; +3B2A : CDCDCDCD; +3B2B : CDCDCDCD; +3B2C : CDCDCDCD; +3B2D : CDCDCDCD; +3B2E : CDCDCDCD; +3B2F : CDCDCDCD; +3B30 : CDCDCDCD; +3B31 : CDCDCDCD; +3B32 : CDCDCDCD; +3B33 : CDCDCDCD; +3B34 : CDCDCDCD; +3B35 : CDCDCDCD; +3B36 : CDCDCDCD; +3B37 : CDCDCDCD; +3B38 : CDCDCDCD; +3B39 : CDCDCDCD; +3B3A : CDCDCDCD; +3B3B : CDCDCDCD; +3B3C : CDCDCDCD; +3B3D : CDCDCDCD; +3B3E : CDCDCDCD; +3B3F : CDCDCDCD; +3B40 : CDCDCDCD; +3B41 : CDCDCDCD; +3B42 : CDCDCDCD; +3B43 : CDCDCDCD; +3B44 : CDCDCDCD; +3B45 : CDCDCDCD; +3B46 : CDCDCDCD; +3B47 : CDCDCDCD; +3B48 : CDCDCDCD; +3B49 : CDCDCDCD; +3B4A : CDCDCDCD; +3B4B : CDCDCDCD; +3B4C : CDCDCDCD; +3B4D : CDCDCDCD; +3B4E : CDCDCDCD; +3B4F : CDCDCDCD; +3B50 : CDCDCDCD; +3B51 : CDCDCDCD; +3B52 : CDCDCDCD; +3B53 : CDCDCDCD; +3B54 : CDCDCDCD; +3B55 : CDCDCDCD; +3B56 : CDCDCDCD; +3B57 : CDCDCDCD; +3B58 : CDCDCDCD; +3B59 : CDCDCDCD; +3B5A : CDCDCDCD; +3B5B : CDCDCDCD; +3B5C : CDCDCDCD; +3B5D : CDCDCDCD; +3B5E : CDCDCDCD; +3B5F : CDCDCDCD; +3B60 : CDCDCDCD; +3B61 : CDCDCDCD; +3B62 : CDCDCDCD; +3B63 : CDCDCDCD; +3B64 : CDCDCDCD; +3B65 : CDCDCDCD; +3B66 : CDCDCDCD; +3B67 : CDCDCDCD; +3B68 : CDCDCDCD; +3B69 : CDCDCDCD; +3B6A : CDCDCDCD; +3B6B : CDCDCDCD; +3B6C : CDCDCDCD; +3B6D : CDCDCDCD; +3B6E : CDCDCDCD; +3B6F : CDCDCDCD; +3B70 : CDCDCDCD; +3B71 : CDCDCDCD; +3B72 : CDCDCDCD; +3B73 : CDCDCDCD; +3B74 : CDCDCDCD; +3B75 : CDCDCDCD; +3B76 : CDCDCDCD; +3B77 : CDCDCDCD; +3B78 : CDCDCDCD; +3B79 : CDCDCDCD; +3B7A : CDCDCDCD; +3B7B : CDCDCDCD; +3B7C : CDCDCDCD; +3B7D : CDCDCDCD; +3B7E : CDCDCDCD; +3B7F : CDCDCDCD; +3B80 : CDCDCDCD; +3B81 : CDCDCDCD; +3B82 : CDCDCDCD; +3B83 : CDCDCDCD; +3B84 : CDCDCDCD; +3B85 : CDCDCDCD; +3B86 : CDCDCDCD; +3B87 : CDCDCDCD; +3B88 : CDCDCDCD; +3B89 : CDCDCDCD; +3B8A : CDCDCDCD; +3B8B : CDCDCDCD; +3B8C : CDCDCDCD; +3B8D : CDCDCDCD; +3B8E : CDCDCDCD; +3B8F : CDCDCDCD; +3B90 : CDCDCDCD; +3B91 : CDCDCDCD; +3B92 : CDCDCDCD; +3B93 : CDCDCDCD; +3B94 : CDCDCDCD; +3B95 : CDCDCDCD; +3B96 : CDCDCDCD; +3B97 : CDCDCDCD; +3B98 : CDCDCDCD; +3B99 : CDCDCDCD; +3B9A : CDCDCDCD; +3B9B : CDCDCDCD; +3B9C : CDCDCDCD; +3B9D : CDCDCDCD; +3B9E : CDCDCDCD; +3B9F : CDCDCDCD; +3BA0 : CDCDCDCD; +3BA1 : CDCDCDCD; +3BA2 : CDCDCDCD; +3BA3 : CDCDCDCD; +3BA4 : CDCDCDCD; +3BA5 : CDCDCDCD; +3BA6 : CDCDCDCD; +3BA7 : CDCDCDCD; +3BA8 : CDCDCDCD; +3BA9 : CDCDCDCD; +3BAA : CDCDCDCD; +3BAB : CDCDCDCD; +3BAC : CDCDCDCD; +3BAD : CDCDCDCD; +3BAE : CDCDCDCD; +3BAF : CDCDCDCD; +3BB0 : CDCDCDCD; +3BB1 : CDCDCDCD; +3BB2 : CDCDCDCD; +3BB3 : CDCDCDCD; +3BB4 : CDCDCDCD; +3BB5 : CDCDCDCD; +3BB6 : CDCDCDCD; +3BB7 : CDCDCDCD; +3BB8 : CDCDCDCD; +3BB9 : CDCDCDCD; +3BBA : CDCDCDCD; +3BBB : CDCDCDCD; +3BBC : CDCDCDCD; +3BBD : CDCDCDCD; +3BBE : CDCDCDCD; +3BBF : CDCDCDCD; +3BC0 : CDCDCDCD; +3BC1 : CDCDCDCD; +3BC2 : CDCDCDCD; +3BC3 : CDCDCDCD; +3BC4 : CDCDCDCD; +3BC5 : CDCDCDCD; +3BC6 : CDCDCDCD; +3BC7 : CDCDCDCD; +3BC8 : CDCDCDCD; +3BC9 : CDCDCDCD; +3BCA : CDCDCDCD; +3BCB : CDCDCDCD; +3BCC : CDCDCDCD; +3BCD : CDCDCDCD; +3BCE : CDCDCDCD; +3BCF : CDCDCDCD; +3BD0 : CDCDCDCD; +3BD1 : CDCDCDCD; +3BD2 : CDCDCDCD; +3BD3 : CDCDCDCD; +3BD4 : CDCDCDCD; +3BD5 : CDCDCDCD; +3BD6 : CDCDCDCD; +3BD7 : CDCDCDCD; +3BD8 : CDCDCDCD; +3BD9 : CDCDCDCD; +3BDA : CDCDCDCD; +3BDB : CDCDCDCD; +3BDC : CDCDCDCD; +3BDD : CDCDCDCD; +3BDE : CDCDCDCD; +3BDF : CDCDCDCD; +3BE0 : CDCDCDCD; +3BE1 : CDCDCDCD; +3BE2 : CDCDCDCD; +3BE3 : CDCDCDCD; +3BE4 : CDCDCDCD; +3BE5 : CDCDCDCD; +3BE6 : CDCDCDCD; +3BE7 : CDCDCDCD; +3BE8 : CDCDCDCD; +3BE9 : CDCDCDCD; +3BEA : CDCDCDCD; +3BEB : CDCDCDCD; +3BEC : CDCDCDCD; +3BED : CDCDCDCD; +3BEE : CDCDCDCD; +3BEF : CDCDCDCD; +3BF0 : CDCDCDCD; +3BF1 : CDCDCDCD; +3BF2 : CDCDCDCD; +3BF3 : CDCDCDCD; +3BF4 : CDCDCDCD; +3BF5 : CDCDCDCD; +3BF6 : CDCDCDCD; +3BF7 : CDCDCDCD; +3BF8 : CDCDCDCD; +3BF9 : CDCDCDCD; +3BFA : CDCDCDCD; +3BFB : CDCDCDCD; +3BFC : CDCDCDCD; +3BFD : CDCDCDCD; +3BFE : CDCDCDCD; +3BFF : CDCDCDCD; +3C00 : CDCDCDCD; +3C01 : CDCDCDCD; +3C02 : CDCDCDCD; +3C03 : CDCDCDCD; +3C04 : CDCDCDCD; +3C05 : CDCDCDCD; +3C06 : CDCDCDCD; +3C07 : CDCDCDCD; +3C08 : CDCDCDCD; +3C09 : CDCDCDCD; +3C0A : CDCDCDCD; +3C0B : CDCDCDCD; +3C0C : CDCDCDCD; +3C0D : CDCDCDCD; +3C0E : CDCDCDCD; +3C0F : CDCDCDCD; +3C10 : CDCDCDCD; +3C11 : CDCDCDCD; +3C12 : CDCDCDCD; +3C13 : CDCDCDCD; +3C14 : CDCDCDCD; +3C15 : CDCDCDCD; +3C16 : CDCDCDCD; +3C17 : CDCDCDCD; +3C18 : CDCDCDCD; +3C19 : CDCDCDCD; +3C1A : CDCDCDCD; +3C1B : CDCDCDCD; +3C1C : CDCDCDCD; +3C1D : CDCDCDCD; +3C1E : CDCDCDCD; +3C1F : CDCDCDCD; +3C20 : CDCDCDCD; +3C21 : CDCDCDCD; +3C22 : CDCDCDCD; +3C23 : CDCDCDCD; +3C24 : CDCDCDCD; +3C25 : CDCDCDCD; +3C26 : CDCDCDCD; +3C27 : CDCDCDCD; +3C28 : CDCDCDCD; +3C29 : CDCDCDCD; +3C2A : CDCDCDCD; +3C2B : CDCDCDCD; +3C2C : CDCDCDCD; +3C2D : CDCDCDCD; +3C2E : CDCDCDCD; +3C2F : CDCDCDCD; +3C30 : CDCDCDCD; +3C31 : CDCDCDCD; +3C32 : CDCDCDCD; +3C33 : CDCDCDCD; +3C34 : CDCDCDCD; +3C35 : CDCDCDCD; +3C36 : CDCDCDCD; +3C37 : CDCDCDCD; +3C38 : CDCDCDCD; +3C39 : CDCDCDCD; +3C3A : CDCDCDCD; +3C3B : CDCDCDCD; +3C3C : CDCDCDCD; +3C3D : CDCDCDCD; +3C3E : CDCDCDCD; +3C3F : CDCDCDCD; +3C40 : CDCDCDCD; +3C41 : CDCDCDCD; +3C42 : CDCDCDCD; +3C43 : CDCDCDCD; +3C44 : CDCDCDCD; +3C45 : CDCDCDCD; +3C46 : CDCDCDCD; +3C47 : CDCDCDCD; +3C48 : CDCDCDCD; +3C49 : CDCDCDCD; +3C4A : CDCDCDCD; +3C4B : CDCDCDCD; +3C4C : CDCDCDCD; +3C4D : CDCDCDCD; +3C4E : CDCDCDCD; +3C4F : CDCDCDCD; +3C50 : CDCDCDCD; +3C51 : CDCDCDCD; +3C52 : CDCDCDCD; +3C53 : CDCDCDCD; +3C54 : CDCDCDCD; +3C55 : CDCDCDCD; +3C56 : CDCDCDCD; +3C57 : CDCDCDCD; +3C58 : CDCDCDCD; +3C59 : CDCDCDCD; +3C5A : CDCDCDCD; +3C5B : CDCDCDCD; +3C5C : CDCDCDCD; +3C5D : CDCDCDCD; +3C5E : CDCDCDCD; +3C5F : CDCDCDCD; +3C60 : CDCDCDCD; +3C61 : CDCDCDCD; +3C62 : CDCDCDCD; +3C63 : CDCDCDCD; +3C64 : CDCDCDCD; +3C65 : CDCDCDCD; +3C66 : CDCDCDCD; +3C67 : CDCDCDCD; +3C68 : CDCDCDCD; +3C69 : CDCDCDCD; +3C6A : CDCDCDCD; +3C6B : CDCDCDCD; +3C6C : CDCDCDCD; +3C6D : CDCDCDCD; +3C6E : CDCDCDCD; +3C6F : CDCDCDCD; +3C70 : CDCDCDCD; +3C71 : CDCDCDCD; +3C72 : CDCDCDCD; +3C73 : CDCDCDCD; +3C74 : CDCDCDCD; +3C75 : CDCDCDCD; +3C76 : CDCDCDCD; +3C77 : CDCDCDCD; +3C78 : CDCDCDCD; +3C79 : CDCDCDCD; +3C7A : CDCDCDCD; +3C7B : CDCDCDCD; +3C7C : CDCDCDCD; +3C7D : CDCDCDCD; +3C7E : CDCDCDCD; +3C7F : CDCDCDCD; +3C80 : CDCDCDCD; +3C81 : CDCDCDCD; +3C82 : CDCDCDCD; +3C83 : CDCDCDCD; +3C84 : CDCDCDCD; +3C85 : CDCDCDCD; +3C86 : CDCDCDCD; +3C87 : CDCDCDCD; +3C88 : CDCDCDCD; +3C89 : CDCDCDCD; +3C8A : CDCDCDCD; +3C8B : CDCDCDCD; +3C8C : CDCDCDCD; +3C8D : CDCDCDCD; +3C8E : CDCDCDCD; +3C8F : CDCDCDCD; +3C90 : CDCDCDCD; +3C91 : CDCDCDCD; +3C92 : CDCDCDCD; +3C93 : CDCDCDCD; +3C94 : CDCDCDCD; +3C95 : CDCDCDCD; +3C96 : CDCDCDCD; +3C97 : CDCDCDCD; +3C98 : CDCDCDCD; +3C99 : CDCDCDCD; +3C9A : CDCDCDCD; +3C9B : CDCDCDCD; +3C9C : CDCDCDCD; +3C9D : CDCDCDCD; +3C9E : CDCDCDCD; +3C9F : CDCDCDCD; +3CA0 : CDCDCDCD; +3CA1 : CDCDCDCD; +3CA2 : CDCDCDCD; +3CA3 : CDCDCDCD; +3CA4 : CDCDCDCD; +3CA5 : CDCDCDCD; +3CA6 : CDCDCDCD; +3CA7 : CDCDCDCD; +3CA8 : CDCDCDCD; +3CA9 : CDCDCDCD; +3CAA : CDCDCDCD; +3CAB : CDCDCDCD; +3CAC : CDCDCDCD; +3CAD : CDCDCDCD; +3CAE : CDCDCDCD; +3CAF : CDCDCDCD; +3CB0 : CDCDCDCD; +3CB1 : CDCDCDCD; +3CB2 : CDCDCDCD; +3CB3 : CDCDCDCD; +3CB4 : CDCDCDCD; +3CB5 : CDCDCDCD; +3CB6 : CDCDCDCD; +3CB7 : CDCDCDCD; +3CB8 : CDCDCDCD; +3CB9 : CDCDCDCD; +3CBA : CDCDCDCD; +3CBB : CDCDCDCD; +3CBC : CDCDCDCD; +3CBD : CDCDCDCD; +3CBE : CDCDCDCD; +3CBF : CDCDCDCD; +3CC0 : CDCDCDCD; +3CC1 : CDCDCDCD; +3CC2 : CDCDCDCD; +3CC3 : CDCDCDCD; +3CC4 : CDCDCDCD; +3CC5 : CDCDCDCD; +3CC6 : CDCDCDCD; +3CC7 : CDCDCDCD; +3CC8 : CDCDCDCD; +3CC9 : CDCDCDCD; +3CCA : CDCDCDCD; +3CCB : CDCDCDCD; +3CCC : CDCDCDCD; +3CCD : CDCDCDCD; +3CCE : CDCDCDCD; +3CCF : CDCDCDCD; +3CD0 : CDCDCDCD; +3CD1 : CDCDCDCD; +3CD2 : CDCDCDCD; +3CD3 : CDCDCDCD; +3CD4 : CDCDCDCD; +3CD5 : CDCDCDCD; +3CD6 : CDCDCDCD; +3CD7 : CDCDCDCD; +3CD8 : CDCDCDCD; +3CD9 : CDCDCDCD; +3CDA : CDCDCDCD; +3CDB : CDCDCDCD; +3CDC : CDCDCDCD; +3CDD : CDCDCDCD; +3CDE : CDCDCDCD; +3CDF : CDCDCDCD; +3CE0 : CDCDCDCD; +3CE1 : CDCDCDCD; +3CE2 : CDCDCDCD; +3CE3 : CDCDCDCD; +3CE4 : CDCDCDCD; +3CE5 : CDCDCDCD; +3CE6 : CDCDCDCD; +3CE7 : CDCDCDCD; +3CE8 : CDCDCDCD; +3CE9 : CDCDCDCD; +3CEA : CDCDCDCD; +3CEB : CDCDCDCD; +3CEC : CDCDCDCD; +3CED : CDCDCDCD; +3CEE : CDCDCDCD; +3CEF : CDCDCDCD; +3CF0 : CDCDCDCD; +3CF1 : CDCDCDCD; +3CF2 : CDCDCDCD; +3CF3 : CDCDCDCD; +3CF4 : CDCDCDCD; +3CF5 : CDCDCDCD; +3CF6 : CDCDCDCD; +3CF7 : CDCDCDCD; +3CF8 : CDCDCDCD; +3CF9 : CDCDCDCD; +3CFA : CDCDCDCD; +3CFB : CDCDCDCD; +3CFC : CDCDCDCD; +3CFD : CDCDCDCD; +3CFE : CDCDCDCD; +3CFF : CDCDCDCD; +3D00 : CDCDCDCD; +3D01 : CDCDCDCD; +3D02 : CDCDCDCD; +3D03 : CDCDCDCD; +3D04 : CDCDCDCD; +3D05 : CDCDCDCD; +3D06 : CDCDCDCD; +3D07 : CDCDCDCD; +3D08 : CDCDCDCD; +3D09 : CDCDCDCD; +3D0A : CDCDCDCD; +3D0B : CDCDCDCD; +3D0C : CDCDCDCD; +3D0D : CDCDCDCD; +3D0E : CDCDCDCD; +3D0F : CDCDCDCD; +3D10 : CDCDCDCD; +3D11 : CDCDCDCD; +3D12 : CDCDCDCD; +3D13 : CDCDCDCD; +3D14 : CDCDCDCD; +3D15 : CDCDCDCD; +3D16 : CDCDCDCD; +3D17 : CDCDCDCD; +3D18 : CDCDCDCD; +3D19 : CDCDCDCD; +3D1A : CDCDCDCD; +3D1B : CDCDCDCD; +3D1C : CDCDCDCD; +3D1D : CDCDCDCD; +3D1E : CDCDCDCD; +3D1F : CDCDCDCD; +3D20 : CDCDCDCD; +3D21 : CDCDCDCD; +3D22 : CDCDCDCD; +3D23 : CDCDCDCD; +3D24 : CDCDCDCD; +3D25 : CDCDCDCD; +3D26 : CDCDCDCD; +3D27 : CDCDCDCD; +3D28 : CDCDCDCD; +3D29 : CDCDCDCD; +3D2A : CDCDCDCD; +3D2B : CDCDCDCD; +3D2C : CDCDCDCD; +3D2D : CDCDCDCD; +3D2E : CDCDCDCD; +3D2F : CDCDCDCD; +3D30 : CDCDCDCD; +3D31 : CDCDCDCD; +3D32 : CDCDCDCD; +3D33 : CDCDCDCD; +3D34 : CDCDCDCD; +3D35 : CDCDCDCD; +3D36 : CDCDCDCD; +3D37 : CDCDCDCD; +3D38 : CDCDCDCD; +3D39 : CDCDCDCD; +3D3A : CDCDCDCD; +3D3B : CDCDCDCD; +3D3C : CDCDCDCD; +3D3D : CDCDCDCD; +3D3E : CDCDCDCD; +3D3F : CDCDCDCD; +3D40 : CDCDCDCD; +3D41 : CDCDCDCD; +3D42 : CDCDCDCD; +3D43 : CDCDCDCD; +3D44 : CDCDCDCD; +3D45 : CDCDCDCD; +3D46 : CDCDCDCD; +3D47 : CDCDCDCD; +3D48 : CDCDCDCD; +3D49 : CDCDCDCD; +3D4A : CDCDCDCD; +3D4B : CDCDCDCD; +3D4C : CDCDCDCD; +3D4D : CDCDCDCD; +3D4E : CDCDCDCD; +3D4F : CDCDCDCD; +3D50 : CDCDCDCD; +3D51 : CDCDCDCD; +3D52 : CDCDCDCD; +3D53 : CDCDCDCD; +3D54 : CDCDCDCD; +3D55 : CDCDCDCD; +3D56 : CDCDCDCD; +3D57 : CDCDCDCD; +3D58 : CDCDCDCD; +3D59 : CDCDCDCD; +3D5A : CDCDCDCD; +3D5B : CDCDCDCD; +3D5C : CDCDCDCD; +3D5D : CDCDCDCD; +3D5E : CDCDCDCD; +3D5F : CDCDCDCD; +3D60 : CDCDCDCD; +3D61 : CDCDCDCD; +3D62 : CDCDCDCD; +3D63 : CDCDCDCD; +3D64 : CDCDCDCD; +3D65 : CDCDCDCD; +3D66 : CDCDCDCD; +3D67 : CDCDCDCD; +3D68 : CDCDCDCD; +3D69 : CDCDCDCD; +3D6A : CDCDCDCD; +3D6B : CDCDCDCD; +3D6C : CDCDCDCD; +3D6D : CDCDCDCD; +3D6E : CDCDCDCD; +3D6F : CDCDCDCD; +3D70 : CDCDCDCD; +3D71 : CDCDCDCD; +3D72 : CDCDCDCD; +3D73 : CDCDCDCD; +3D74 : CDCDCDCD; +3D75 : CDCDCDCD; +3D76 : CDCDCDCD; +3D77 : CDCDCDCD; +3D78 : CDCDCDCD; +3D79 : CDCDCDCD; +3D7A : CDCDCDCD; +3D7B : CDCDCDCD; +3D7C : CDCDCDCD; +3D7D : CDCDCDCD; +3D7E : CDCDCDCD; +3D7F : CDCDCDCD; +3D80 : CDCDCDCD; +3D81 : CDCDCDCD; +3D82 : CDCDCDCD; +3D83 : CDCDCDCD; +3D84 : CDCDCDCD; +3D85 : CDCDCDCD; +3D86 : CDCDCDCD; +3D87 : CDCDCDCD; +3D88 : CDCDCDCD; +3D89 : CDCDCDCD; +3D8A : CDCDCDCD; +3D8B : CDCDCDCD; +3D8C : CDCDCDCD; +3D8D : CDCDCDCD; +3D8E : CDCDCDCD; +3D8F : CDCDCDCD; +3D90 : CDCDCDCD; +3D91 : CDCDCDCD; +3D92 : CDCDCDCD; +3D93 : CDCDCDCD; +3D94 : CDCDCDCD; +3D95 : CDCDCDCD; +3D96 : CDCDCDCD; +3D97 : CDCDCDCD; +3D98 : CDCDCDCD; +3D99 : CDCDCDCD; +3D9A : CDCDCDCD; +3D9B : CDCDCDCD; +3D9C : CDCDCDCD; +3D9D : CDCDCDCD; +3D9E : CDCDCDCD; +3D9F : CDCDCDCD; +3DA0 : CDCDCDCD; +3DA1 : CDCDCDCD; +3DA2 : CDCDCDCD; +3DA3 : CDCDCDCD; +3DA4 : CDCDCDCD; +3DA5 : CDCDCDCD; +3DA6 : CDCDCDCD; +3DA7 : CDCDCDCD; +3DA8 : CDCDCDCD; +3DA9 : CDCDCDCD; +3DAA : CDCDCDCD; +3DAB : CDCDCDCD; +3DAC : CDCDCDCD; +3DAD : CDCDCDCD; +3DAE : CDCDCDCD; +3DAF : CDCDCDCD; +3DB0 : CDCDCDCD; +3DB1 : CDCDCDCD; +3DB2 : CDCDCDCD; +3DB3 : CDCDCDCD; +3DB4 : CDCDCDCD; +3DB5 : CDCDCDCD; +3DB6 : CDCDCDCD; +3DB7 : CDCDCDCD; +3DB8 : CDCDCDCD; +3DB9 : CDCDCDCD; +3DBA : CDCDCDCD; +3DBB : CDCDCDCD; +3DBC : CDCDCDCD; +3DBD : CDCDCDCD; +3DBE : CDCDCDCD; +3DBF : CDCDCDCD; +3DC0 : CDCDCDCD; +3DC1 : CDCDCDCD; +3DC2 : CDCDCDCD; +3DC3 : CDCDCDCD; +3DC4 : CDCDCDCD; +3DC5 : CDCDCDCD; +3DC6 : CDCDCDCD; +3DC7 : CDCDCDCD; +3DC8 : CDCDCDCD; +3DC9 : CDCDCDCD; +3DCA : CDCDCDCD; +3DCB : CDCDCDCD; +3DCC : CDCDCDCD; +3DCD : CDCDCDCD; +3DCE : CDCDCDCD; +3DCF : CDCDCDCD; +3DD0 : CDCDCDCD; +3DD1 : CDCDCDCD; +3DD2 : CDCDCDCD; +3DD3 : CDCDCDCD; +3DD4 : CDCDCDCD; +3DD5 : CDCDCDCD; +3DD6 : CDCDCDCD; +3DD7 : CDCDCDCD; +3DD8 : CDCDCDCD; +3DD9 : CDCDCDCD; +3DDA : CDCDCDCD; +3DDB : CDCDCDCD; +3DDC : CDCDCDCD; +3DDD : CDCDCDCD; +3DDE : CDCDCDCD; +3DDF : CDCDCDCD; +3DE0 : CDCDCDCD; +3DE1 : CDCDCDCD; +3DE2 : CDCDCDCD; +3DE3 : CDCDCDCD; +3DE4 : CDCDCDCD; +3DE5 : CDCDCDCD; +3DE6 : CDCDCDCD; +3DE7 : CDCDCDCD; +3DE8 : CDCDCDCD; +3DE9 : CDCDCDCD; +3DEA : CDCDCDCD; +3DEB : CDCDCDCD; +3DEC : CDCDCDCD; +3DED : CDCDCDCD; +3DEE : CDCDCDCD; +3DEF : CDCDCDCD; +3DF0 : CDCDCDCD; +3DF1 : CDCDCDCD; +3DF2 : CDCDCDCD; +3DF3 : CDCDCDCD; +3DF4 : CDCDCDCD; +3DF5 : CDCDCDCD; +3DF6 : CDCDCDCD; +3DF7 : CDCDCDCD; +3DF8 : CDCDCDCD; +3DF9 : CDCDCDCD; +3DFA : CDCDCDCD; +3DFB : CDCDCDCD; +3DFC : CDCDCDCD; +3DFD : CDCDCDCD; +3DFE : CDCDCDCD; +3DFF : CDCDCDCD; +3E00 : CDCDCDCD; +3E01 : CDCDCDCD; +3E02 : CDCDCDCD; +3E03 : CDCDCDCD; +3E04 : CDCDCDCD; +3E05 : CDCDCDCD; +3E06 : CDCDCDCD; +3E07 : CDCDCDCD; +3E08 : CDCDCDCD; +3E09 : CDCDCDCD; +3E0A : CDCDCDCD; +3E0B : CDCDCDCD; +3E0C : CDCDCDCD; +3E0D : CDCDCDCD; +3E0E : CDCDCDCD; +3E0F : CDCDCDCD; +3E10 : CDCDCDCD; +3E11 : CDCDCDCD; +3E12 : CDCDCDCD; +3E13 : CDCDCDCD; +3E14 : CDCDCDCD; +3E15 : CDCDCDCD; +3E16 : CDCDCDCD; +3E17 : CDCDCDCD; +3E18 : CDCDCDCD; +3E19 : CDCDCDCD; +3E1A : CDCDCDCD; +3E1B : CDCDCDCD; +3E1C : CDCDCDCD; +3E1D : CDCDCDCD; +3E1E : CDCDCDCD; +3E1F : CDCDCDCD; +3E20 : CDCDCDCD; +3E21 : CDCDCDCD; +3E22 : CDCDCDCD; +3E23 : CDCDCDCD; +3E24 : CDCDCDCD; +3E25 : CDCDCDCD; +3E26 : CDCDCDCD; +3E27 : CDCDCDCD; +3E28 : CDCDCDCD; +3E29 : CDCDCDCD; +3E2A : CDCDCDCD; +3E2B : CDCDCDCD; +3E2C : CDCDCDCD; +3E2D : CDCDCDCD; +3E2E : CDCDCDCD; +3E2F : CDCDCDCD; +3E30 : CDCDCDCD; +3E31 : CDCDCDCD; +3E32 : CDCDCDCD; +3E33 : CDCDCDCD; +3E34 : CDCDCDCD; +3E35 : CDCDCDCD; +3E36 : CDCDCDCD; +3E37 : CDCDCDCD; +3E38 : CDCDCDCD; +3E39 : CDCDCDCD; +3E3A : CDCDCDCD; +3E3B : CDCDCDCD; +3E3C : CDCDCDCD; +3E3D : CDCDCDCD; +3E3E : CDCDCDCD; +3E3F : CDCDCDCD; +3E40 : CDCDCDCD; +3E41 : CDCDCDCD; +3E42 : CDCDCDCD; +3E43 : CDCDCDCD; +3E44 : CDCDCDCD; +3E45 : CDCDCDCD; +3E46 : CDCDCDCD; +3E47 : CDCDCDCD; +3E48 : CDCDCDCD; +3E49 : CDCDCDCD; +3E4A : CDCDCDCD; +3E4B : CDCDCDCD; +3E4C : CDCDCDCD; +3E4D : CDCDCDCD; +3E4E : CDCDCDCD; +3E4F : CDCDCDCD; +3E50 : CDCDCDCD; +3E51 : CDCDCDCD; +3E52 : CDCDCDCD; +3E53 : CDCDCDCD; +3E54 : CDCDCDCD; +3E55 : CDCDCDCD; +3E56 : CDCDCDCD; +3E57 : CDCDCDCD; +3E58 : CDCDCDCD; +3E59 : CDCDCDCD; +3E5A : CDCDCDCD; +3E5B : CDCDCDCD; +3E5C : CDCDCDCD; +3E5D : CDCDCDCD; +3E5E : CDCDCDCD; +3E5F : CDCDCDCD; +3E60 : CDCDCDCD; +3E61 : CDCDCDCD; +3E62 : CDCDCDCD; +3E63 : CDCDCDCD; +3E64 : CDCDCDCD; +3E65 : CDCDCDCD; +3E66 : CDCDCDCD; +3E67 : CDCDCDCD; +3E68 : CDCDCDCD; +3E69 : CDCDCDCD; +3E6A : CDCDCDCD; +3E6B : CDCDCDCD; +3E6C : CDCDCDCD; +3E6D : CDCDCDCD; +3E6E : CDCDCDCD; +3E6F : CDCDCDCD; +3E70 : CDCDCDCD; +3E71 : CDCDCDCD; +3E72 : CDCDCDCD; +3E73 : CDCDCDCD; +3E74 : CDCDCDCD; +3E75 : CDCDCDCD; +3E76 : CDCDCDCD; +3E77 : CDCDCDCD; +3E78 : CDCDCDCD; +3E79 : CDCDCDCD; +3E7A : CDCDCDCD; +3E7B : CDCDCDCD; +3E7C : CDCDCDCD; +3E7D : CDCDCDCD; +3E7E : CDCDCDCD; +3E7F : CDCDCDCD; +3E80 : CDCDCDCD; +3E81 : CDCDCDCD; +3E82 : CDCDCDCD; +3E83 : CDCDCDCD; +3E84 : CDCDCDCD; +3E85 : CDCDCDCD; +3E86 : CDCDCDCD; +3E87 : CDCDCDCD; +3E88 : CDCDCDCD; +3E89 : CDCDCDCD; +3E8A : CDCDCDCD; +3E8B : CDCDCDCD; +3E8C : CDCDCDCD; +3E8D : CDCDCDCD; +3E8E : CDCDCDCD; +3E8F : CDCDCDCD; +3E90 : CDCDCDCD; +3E91 : CDCDCDCD; +3E92 : CDCDCDCD; +3E93 : CDCDCDCD; +3E94 : CDCDCDCD; +3E95 : CDCDCDCD; +3E96 : CDCDCDCD; +3E97 : CDCDCDCD; +3E98 : CDCDCDCD; +3E99 : CDCDCDCD; +3E9A : CDCDCDCD; +3E9B : CDCDCDCD; +3E9C : CDCDCDCD; +3E9D : CDCDCDCD; +3E9E : CDCDCDCD; +3E9F : CDCDCDCD; +3EA0 : CDCDCDCD; +3EA1 : CDCDCDCD; +3EA2 : CDCDCDCD; +3EA3 : CDCDCDCD; +3EA4 : CDCDCDCD; +3EA5 : CDCDCDCD; +3EA6 : CDCDCDCD; +3EA7 : CDCDCDCD; +3EA8 : CDCDCDCD; +3EA9 : CDCDCDCD; +3EAA : CDCDCDCD; +3EAB : CDCDCDCD; +3EAC : CDCDCDCD; +3EAD : CDCDCDCD; +3EAE : CDCDCDCD; +3EAF : CDCDCDCD; +3EB0 : CDCDCDCD; +3EB1 : CDCDCDCD; +3EB2 : CDCDCDCD; +3EB3 : CDCDCDCD; +3EB4 : CDCDCDCD; +3EB5 : CDCDCDCD; +3EB6 : CDCDCDCD; +3EB7 : CDCDCDCD; +3EB8 : CDCDCDCD; +3EB9 : CDCDCDCD; +3EBA : CDCDCDCD; +3EBB : CDCDCDCD; +3EBC : CDCDCDCD; +3EBD : CDCDCDCD; +3EBE : CDCDCDCD; +3EBF : CDCDCDCD; +3EC0 : CDCDCDCD; +3EC1 : CDCDCDCD; +3EC2 : CDCDCDCD; +3EC3 : CDCDCDCD; +3EC4 : CDCDCDCD; +3EC5 : CDCDCDCD; +3EC6 : CDCDCDCD; +3EC7 : CDCDCDCD; +3EC8 : CDCDCDCD; +3EC9 : CDCDCDCD; +3ECA : CDCDCDCD; +3ECB : CDCDCDCD; +3ECC : CDCDCDCD; +3ECD : CDCDCDCD; +3ECE : CDCDCDCD; +3ECF : CDCDCDCD; +3ED0 : CDCDCDCD; +3ED1 : CDCDCDCD; +3ED2 : CDCDCDCD; +3ED3 : CDCDCDCD; +3ED4 : CDCDCDCD; +3ED5 : CDCDCDCD; +3ED6 : CDCDCDCD; +3ED7 : CDCDCDCD; +3ED8 : CDCDCDCD; +3ED9 : CDCDCDCD; +3EDA : CDCDCDCD; +3EDB : CDCDCDCD; +3EDC : CDCDCDCD; +3EDD : CDCDCDCD; +3EDE : CDCDCDCD; +3EDF : CDCDCDCD; +3EE0 : CDCDCDCD; +3EE1 : CDCDCDCD; +3EE2 : CDCDCDCD; +3EE3 : CDCDCDCD; +3EE4 : CDCDCDCD; +3EE5 : CDCDCDCD; +3EE6 : CDCDCDCD; +3EE7 : CDCDCDCD; +3EE8 : CDCDCDCD; +3EE9 : CDCDCDCD; +3EEA : CDCDCDCD; +3EEB : CDCDCDCD; +3EEC : CDCDCDCD; +3EED : CDCDCDCD; +3EEE : CDCDCDCD; +3EEF : CDCDCDCD; +3EF0 : CDCDCDCD; +3EF1 : CDCDCDCD; +3EF2 : CDCDCDCD; +3EF3 : CDCDCDCD; +3EF4 : CDCDCDCD; +3EF5 : CDCDCDCD; +3EF6 : CDCDCDCD; +3EF7 : CDCDCDCD; +3EF8 : CDCDCDCD; +3EF9 : CDCDCDCD; +3EFA : CDCDCDCD; +3EFB : CDCDCDCD; +3EFC : CDCDCDCD; +3EFD : CDCDCDCD; +3EFE : CDCDCDCD; +3EFF : CDCDCDCD; +3F00 : CDCDCDCD; +3F01 : CDCDCDCD; +3F02 : CDCDCDCD; +3F03 : CDCDCDCD; +3F04 : CDCDCDCD; +3F05 : CDCDCDCD; +3F06 : CDCDCDCD; +3F07 : CDCDCDCD; +3F08 : CDCDCDCD; +3F09 : CDCDCDCD; +3F0A : CDCDCDCD; +3F0B : CDCDCDCD; +3F0C : CDCDCDCD; +3F0D : CDCDCDCD; +3F0E : CDCDCDCD; +3F0F : CDCDCDCD; +3F10 : CDCDCDCD; +3F11 : CDCDCDCD; +3F12 : CDCDCDCD; +3F13 : CDCDCDCD; +3F14 : CDCDCDCD; +3F15 : CDCDCDCD; +3F16 : CDCDCDCD; +3F17 : CDCDCDCD; +3F18 : CDCDCDCD; +3F19 : CDCDCDCD; +3F1A : CDCDCDCD; +3F1B : CDCDCDCD; +3F1C : CDCDCDCD; +3F1D : CDCDCDCD; +3F1E : CDCDCDCD; +3F1F : CDCDCDCD; +3F20 : CDCDCDCD; +3F21 : CDCDCDCD; +3F22 : CDCDCDCD; +3F23 : CDCDCDCD; +3F24 : CDCDCDCD; +3F25 : CDCDCDCD; +3F26 : CDCDCDCD; +3F27 : CDCDCDCD; +3F28 : CDCDCDCD; +3F29 : CDCDCDCD; +3F2A : CDCDCDCD; +3F2B : CDCDCDCD; +3F2C : CDCDCDCD; +3F2D : CDCDCDCD; +3F2E : CDCDCDCD; +3F2F : CDCDCDCD; +3F30 : CDCDCDCD; +3F31 : CDCDCDCD; +3F32 : CDCDCDCD; +3F33 : CDCDCDCD; +3F34 : CDCDCDCD; +3F35 : CDCDCDCD; +3F36 : CDCDCDCD; +3F37 : CDCDCDCD; +3F38 : CDCDCDCD; +3F39 : CDCDCDCD; +3F3A : CDCDCDCD; +3F3B : CDCDCDCD; +3F3C : CDCDCDCD; +3F3D : CDCDCDCD; +3F3E : CDCDCDCD; +3F3F : CDCDCDCD; +3F40 : CDCDCDCD; +3F41 : CDCDCDCD; +3F42 : CDCDCDCD; +3F43 : CDCDCDCD; +3F44 : CDCDCDCD; +3F45 : CDCDCDCD; +3F46 : CDCDCDCD; +3F47 : CDCDCDCD; +3F48 : CDCDCDCD; +3F49 : CDCDCDCD; +3F4A : CDCDCDCD; +3F4B : CDCDCDCD; +3F4C : CDCDCDCD; +3F4D : CDCDCDCD; +3F4E : CDCDCDCD; +3F4F : CDCDCDCD; +3F50 : CDCDCDCD; +3F51 : CDCDCDCD; +3F52 : CDCDCDCD; +3F53 : CDCDCDCD; +3F54 : CDCDCDCD; +3F55 : CDCDCDCD; +3F56 : CDCDCDCD; +3F57 : CDCDCDCD; +3F58 : CDCDCDCD; +3F59 : CDCDCDCD; +3F5A : CDCDCDCD; +3F5B : CDCDCDCD; +3F5C : CDCDCDCD; +3F5D : CDCDCDCD; +3F5E : CDCDCDCD; +3F5F : CDCDCDCD; +3F60 : CDCDCDCD; +3F61 : CDCDCDCD; +3F62 : CDCDCDCD; +3F63 : CDCDCDCD; +3F64 : CDCDCDCD; +3F65 : CDCDCDCD; +3F66 : CDCDCDCD; +3F67 : CDCDCDCD; +3F68 : CDCDCDCD; +3F69 : CDCDCDCD; +3F6A : CDCDCDCD; +3F6B : CDCDCDCD; +3F6C : CDCDCDCD; +3F6D : CDCDCDCD; +3F6E : CDCDCDCD; +3F6F : CDCDCDCD; +3F70 : CDCDCDCD; +3F71 : CDCDCDCD; +3F72 : CDCDCDCD; +3F73 : CDCDCDCD; +3F74 : CDCDCDCD; +3F75 : CDCDCDCD; +3F76 : CDCDCDCD; +3F77 : CDCDCDCD; +3F78 : CDCDCDCD; +3F79 : CDCDCDCD; +3F7A : CDCDCDCD; +3F7B : CDCDCDCD; +3F7C : CDCDCDCD; +3F7D : CDCDCDCD; +3F7E : CDCDCDCD; +3F7F : CDCDCDCD; +3F80 : CDCDCDCD; +3F81 : CDCDCDCD; +3F82 : CDCDCDCD; +3F83 : CDCDCDCD; +3F84 : CDCDCDCD; +3F85 : CDCDCDCD; +3F86 : CDCDCDCD; +3F87 : CDCDCDCD; +3F88 : CDCDCDCD; +3F89 : CDCDCDCD; +3F8A : CDCDCDCD; +3F8B : CDCDCDCD; +3F8C : CDCDCDCD; +3F8D : CDCDCDCD; +3F8E : CDCDCDCD; +3F8F : CDCDCDCD; +3F90 : CDCDCDCD; +3F91 : CDCDCDCD; +3F92 : CDCDCDCD; +3F93 : CDCDCDCD; +3F94 : CDCDCDCD; +3F95 : CDCDCDCD; +3F96 : CDCDCDCD; +3F97 : CDCDCDCD; +3F98 : CDCDCDCD; +3F99 : CDCDCDCD; +3F9A : CDCDCDCD; +3F9B : CDCDCDCD; +3F9C : CDCDCDCD; +3F9D : CDCDCDCD; +3F9E : CDCDCDCD; +3F9F : CDCDCDCD; +3FA0 : CDCDCDCD; +3FA1 : CDCDCDCD; +3FA2 : CDCDCDCD; +3FA3 : CDCDCDCD; +3FA4 : CDCDCDCD; +3FA5 : CDCDCDCD; +3FA6 : CDCDCDCD; +3FA7 : CDCDCDCD; +3FA8 : CDCDCDCD; +3FA9 : CDCDCDCD; +3FAA : CDCDCDCD; +3FAB : CDCDCDCD; +3FAC : CDCDCDCD; +3FAD : CDCDCDCD; +3FAE : CDCDCDCD; +3FAF : CDCDCDCD; +3FB0 : CDCDCDCD; +3FB1 : CDCDCDCD; +3FB2 : CDCDCDCD; +3FB3 : CDCDCDCD; +3FB4 : CDCDCDCD; +3FB5 : CDCDCDCD; +3FB6 : CDCDCDCD; +3FB7 : CDCDCDCD; +3FB8 : CDCDCDCD; +3FB9 : CDCDCDCD; +3FBA : CDCDCDCD; +3FBB : CDCDCDCD; +3FBC : CDCDCDCD; +3FBD : CDCDCDCD; +3FBE : CDCDCDCD; +3FBF : CDCDCDCD; +3FC0 : CDCDCDCD; +3FC1 : CDCDCDCD; +3FC2 : CDCDCDCD; +3FC3 : CDCDCDCD; +3FC4 : CDCDCDCD; +3FC5 : CDCDCDCD; +3FC6 : CDCDCDCD; +3FC7 : CDCDCDCD; +3FC8 : CDCDCDCD; +3FC9 : CDCDCDCD; +3FCA : CDCDCDCD; +3FCB : CDCDCDCD; +3FCC : CDCDCDCD; +3FCD : CDCDCDCD; +3FCE : CDCDCDCD; +3FCF : CDCDCDCD; +3FD0 : CDCDCDCD; +3FD1 : CDCDCDCD; +3FD2 : CDCDCDCD; +3FD3 : CDCDCDCD; +3FD4 : CDCDCDCD; +3FD5 : CDCDCDCD; +3FD6 : CDCDCDCD; +3FD7 : CDCDCDCD; +3FD8 : CDCDCDCD; +3FD9 : CDCDCDCD; +3FDA : CDCDCDCD; +3FDB : CDCDCDCD; +3FDC : CDCDCDCD; +3FDD : CDCDCDCD; +3FDE : CDCDCDCD; +3FDF : CDCDCDCD; +3FE0 : CDCDCDCD; +3FE1 : CDCDCDCD; +3FE2 : CDCDCDCD; +3FE3 : CDCDCDCD; +3FE4 : CDCDCDCD; +3FE5 : CDCDCDCD; +3FE6 : CDCDCDCD; +3FE7 : CDCDCDCD; +3FE8 : CDCDCDCD; +3FE9 : CDCDCDCD; +3FEA : CDCDCDCD; +3FEB : CDCDCDCD; +3FEC : CDCDCDCD; +3FED : CDCDCDCD; +3FEE : CDCDCDCD; +3FEF : CDCDCDCD; +3FF0 : CDCDCDCD; +3FF1 : CDCDCDCD; +3FF2 : CDCDCDCD; +3FF3 : CDCDCDCD; +3FF4 : CDCDCDCD; +3FF5 : CDCDCDCD; +3FF6 : CDCDCDCD; +3FF7 : CDCDCDCD; +3FF8 : CDCDCDCD; +3FF9 : CDCDCDCD; +3FFA : CDCDCDCD; +3FFB : CDCDCDCD; +3FFC : CDCDCDCD; +3FFD : CDCDCDCD; +3FFE : CDCDCDCD; +3FFF : CDCDCDCD; +4000 : CDCDCDCD; +4001 : CDCDCDCD; +4002 : CDCDCDCD; +4003 : CDCDCDCD; +4004 : CDCDCDCD; +4005 : CDCDCDCD; +4006 : CDCDCDCD; +4007 : CDCDCDCD; +4008 : CDCDCDCD; +4009 : CDCDCDCD; +400A : CDCDCDCD; +400B : CDCDCDCD; +400C : CDCDCDCD; +400D : CDCDCDCD; +400E : CDCDCDCD; +400F : CDCDCDCD; +4010 : CDCDCDCD; +4011 : CDCDCDCD; +4012 : CDCDCDCD; +4013 : CDCDCDCD; +4014 : CDCDCDCD; +4015 : CDCDCDCD; +4016 : CDCDCDCD; +4017 : CDCDCDCD; +4018 : CDCDCDCD; +4019 : CDCDCDCD; +401A : CDCDCDCD; +401B : CDCDCDCD; +401C : CDCDCDCD; +401D : CDCDCDCD; +401E : CDCDCDCD; +401F : CDCDCDCD; +4020 : CDCDCDCD; +4021 : CDCDCDCD; +4022 : CDCDCDCD; +4023 : CDCDCDCD; +4024 : CDCDCDCD; +4025 : CDCDCDCD; +4026 : CDCDCDCD; +4027 : CDCDCDCD; +4028 : CDCDCDCD; +4029 : CDCDCDCD; +402A : CDCDCDCD; +402B : CDCDCDCD; +402C : CDCDCDCD; +402D : CDCDCDCD; +402E : CDCDCDCD; +402F : CDCDCDCD; +4030 : CDCDCDCD; +4031 : CDCDCDCD; +4032 : CDCDCDCD; +4033 : CDCDCDCD; +4034 : CDCDCDCD; +4035 : CDCDCDCD; +4036 : CDCDCDCD; +4037 : CDCDCDCD; +4038 : CDCDCDCD; +4039 : CDCDCDCD; +403A : CDCDCDCD; +403B : CDCDCDCD; +403C : CDCDCDCD; +403D : CDCDCDCD; +403E : CDCDCDCD; +403F : CDCDCDCD; +4040 : CDCDCDCD; +4041 : CDCDCDCD; +4042 : CDCDCDCD; +4043 : CDCDCDCD; +4044 : CDCDCDCD; +4045 : CDCDCDCD; +4046 : CDCDCDCD; +4047 : CDCDCDCD; +4048 : CDCDCDCD; +4049 : CDCDCDCD; +404A : CDCDCDCD; +404B : CDCDCDCD; +404C : CDCDCDCD; +404D : CDCDCDCD; +404E : CDCDCDCD; +404F : CDCDCDCD; +4050 : CDCDCDCD; +4051 : CDCDCDCD; +4052 : CDCDCDCD; +4053 : CDCDCDCD; +4054 : CDCDCDCD; +4055 : CDCDCDCD; +4056 : CDCDCDCD; +4057 : CDCDCDCD; +4058 : CDCDCDCD; +4059 : CDCDCDCD; +405A : CDCDCDCD; +405B : CDCDCDCD; +405C : CDCDCDCD; +405D : CDCDCDCD; +405E : CDCDCDCD; +405F : CDCDCDCD; +4060 : CDCDCDCD; +4061 : CDCDCDCD; +4062 : CDCDCDCD; +4063 : CDCDCDCD; +4064 : CDCDCDCD; +4065 : CDCDCDCD; +4066 : CDCDCDCD; +4067 : CDCDCDCD; +4068 : CDCDCDCD; +4069 : CDCDCDCD; +406A : CDCDCDCD; +406B : CDCDCDCD; +406C : CDCDCDCD; +406D : CDCDCDCD; +406E : CDCDCDCD; +406F : CDCDCDCD; +4070 : CDCDCDCD; +4071 : CDCDCDCD; +4072 : CDCDCDCD; +4073 : CDCDCDCD; +4074 : CDCDCDCD; +4075 : CDCDCDCD; +4076 : CDCDCDCD; +4077 : CDCDCDCD; +4078 : CDCDCDCD; +4079 : CDCDCDCD; +407A : CDCDCDCD; +407B : CDCDCDCD; +407C : CDCDCDCD; +407D : CDCDCDCD; +407E : CDCDCDCD; +407F : CDCDCDCD; +4080 : CDCDCDCD; +4081 : CDCDCDCD; +4082 : CDCDCDCD; +4083 : CDCDCDCD; +4084 : CDCDCDCD; +4085 : CDCDCDCD; +4086 : CDCDCDCD; +4087 : CDCDCDCD; +4088 : CDCDCDCD; +4089 : CDCDCDCD; +408A : CDCDCDCD; +408B : CDCDCDCD; +408C : CDCDCDCD; +408D : CDCDCDCD; +408E : CDCDCDCD; +408F : CDCDCDCD; +4090 : CDCDCDCD; +4091 : CDCDCDCD; +4092 : CDCDCDCD; +4093 : CDCDCDCD; +4094 : CDCDCDCD; +4095 : CDCDCDCD; +4096 : CDCDCDCD; +4097 : CDCDCDCD; +4098 : CDCDCDCD; +4099 : CDCDCDCD; +409A : CDCDCDCD; +409B : CDCDCDCD; +409C : CDCDCDCD; +409D : CDCDCDCD; +409E : CDCDCDCD; +409F : CDCDCDCD; +40A0 : CDCDCDCD; +40A1 : CDCDCDCD; +40A2 : CDCDCDCD; +40A3 : CDCDCDCD; +40A4 : CDCDCDCD; +40A5 : CDCDCDCD; +40A6 : CDCDCDCD; +40A7 : CDCDCDCD; +40A8 : CDCDCDCD; +40A9 : CDCDCDCD; +40AA : CDCDCDCD; +40AB : CDCDCDCD; +40AC : CDCDCDCD; +40AD : CDCDCDCD; +40AE : CDCDCDCD; +40AF : CDCDCDCD; +40B0 : CDCDCDCD; +40B1 : CDCDCDCD; +40B2 : CDCDCDCD; +40B3 : CDCDCDCD; +40B4 : CDCDCDCD; +40B5 : CDCDCDCD; +40B6 : CDCDCDCD; +40B7 : CDCDCDCD; +40B8 : CDCDCDCD; +40B9 : CDCDCDCD; +40BA : CDCDCDCD; +40BB : CDCDCDCD; +40BC : CDCDCDCD; +40BD : CDCDCDCD; +40BE : CDCDCDCD; +40BF : CDCDCDCD; +40C0 : CDCDCDCD; +40C1 : CDCDCDCD; +40C2 : CDCDCDCD; +40C3 : CDCDCDCD; +40C4 : CDCDCDCD; +40C5 : CDCDCDCD; +40C6 : CDCDCDCD; +40C7 : CDCDCDCD; +40C8 : CDCDCDCD; +40C9 : CDCDCDCD; +40CA : CDCDCDCD; +40CB : CDCDCDCD; +40CC : CDCDCDCD; +40CD : CDCDCDCD; +40CE : CDCDCDCD; +40CF : CDCDCDCD; +40D0 : CDCDCDCD; +40D1 : CDCDCDCD; +40D2 : CDCDCDCD; +40D3 : CDCDCDCD; +40D4 : CDCDCDCD; +40D5 : CDCDCDCD; +40D6 : CDCDCDCD; +40D7 : CDCDCDCD; +40D8 : CDCDCDCD; +40D9 : CDCDCDCD; +40DA : CDCDCDCD; +40DB : CDCDCDCD; +40DC : CDCDCDCD; +40DD : CDCDCDCD; +40DE : CDCDCDCD; +40DF : CDCDCDCD; +40E0 : CDCDCDCD; +40E1 : CDCDCDCD; +40E2 : CDCDCDCD; +40E3 : CDCDCDCD; +40E4 : CDCDCDCD; +40E5 : CDCDCDCD; +40E6 : CDCDCDCD; +40E7 : CDCDCDCD; +40E8 : CDCDCDCD; +40E9 : CDCDCDCD; +40EA : CDCDCDCD; +40EB : CDCDCDCD; +40EC : CDCDCDCD; +40ED : CDCDCDCD; +40EE : CDCDCDCD; +40EF : CDCDCDCD; +40F0 : CDCDCDCD; +40F1 : CDCDCDCD; +40F2 : CDCDCDCD; +40F3 : CDCDCDCD; +40F4 : CDCDCDCD; +40F5 : CDCDCDCD; +40F6 : CDCDCDCD; +40F7 : CDCDCDCD; +40F8 : CDCDCDCD; +40F9 : CDCDCDCD; +40FA : CDCDCDCD; +40FB : CDCDCDCD; +40FC : CDCDCDCD; +40FD : CDCDCDCD; +40FE : CDCDCDCD; +40FF : CDCDCDCD; +4100 : CDCDCDCD; +4101 : CDCDCDCD; +4102 : CDCDCDCD; +4103 : CDCDCDCD; +4104 : CDCDCDCD; +4105 : CDCDCDCD; +4106 : CDCDCDCD; +4107 : CDCDCDCD; +4108 : CDCDCDCD; +4109 : CDCDCDCD; +410A : CDCDCDCD; +410B : CDCDCDCD; +410C : CDCDCDCD; +410D : CDCDCDCD; +410E : CDCDCDCD; +410F : CDCDCDCD; +4110 : CDCDCDCD; +4111 : CDCDCDCD; +4112 : CDCDCDCD; +4113 : CDCDCDCD; +4114 : CDCDCDCD; +4115 : CDCDCDCD; +4116 : CDCDCDCD; +4117 : CDCDCDCD; +4118 : CDCDCDCD; +4119 : CDCDCDCD; +411A : CDCDCDCD; +411B : CDCDCDCD; +411C : CDCDCDCD; +411D : CDCDCDCD; +411E : CDCDCDCD; +411F : CDCDCDCD; +4120 : CDCDCDCD; +4121 : CDCDCDCD; +4122 : CDCDCDCD; +4123 : CDCDCDCD; +4124 : CDCDCDCD; +4125 : CDCDCDCD; +4126 : CDCDCDCD; +4127 : CDCDCDCD; +4128 : CDCDCDCD; +4129 : CDCDCDCD; +412A : CDCDCDCD; +412B : CDCDCDCD; +412C : CDCDCDCD; +412D : CDCDCDCD; +412E : CDCDCDCD; +412F : CDCDCDCD; +4130 : CDCDCDCD; +4131 : CDCDCDCD; +4132 : CDCDCDCD; +4133 : CDCDCDCD; +4134 : CDCDCDCD; +4135 : CDCDCDCD; +4136 : CDCDCDCD; +4137 : CDCDCDCD; +4138 : CDCDCDCD; +4139 : CDCDCDCD; +413A : CDCDCDCD; +413B : CDCDCDCD; +413C : CDCDCDCD; +413D : CDCDCDCD; +413E : CDCDCDCD; +413F : CDCDCDCD; +4140 : CDCDCDCD; +4141 : CDCDCDCD; +4142 : CDCDCDCD; +4143 : CDCDCDCD; +4144 : CDCDCDCD; +4145 : CDCDCDCD; +4146 : CDCDCDCD; +4147 : CDCDCDCD; +4148 : CDCDCDCD; +4149 : CDCDCDCD; +414A : CDCDCDCD; +414B : CDCDCDCD; +414C : CDCDCDCD; +414D : CDCDCDCD; +414E : CDCDCDCD; +414F : CDCDCDCD; +4150 : CDCDCDCD; +4151 : CDCDCDCD; +4152 : CDCDCDCD; +4153 : CDCDCDCD; +4154 : CDCDCDCD; +4155 : CDCDCDCD; +4156 : CDCDCDCD; +4157 : CDCDCDCD; +4158 : CDCDCDCD; +4159 : CDCDCDCD; +415A : CDCDCDCD; +415B : CDCDCDCD; +415C : CDCDCDCD; +415D : CDCDCDCD; +415E : CDCDCDCD; +415F : CDCDCDCD; +4160 : CDCDCDCD; +4161 : CDCDCDCD; +4162 : CDCDCDCD; +4163 : CDCDCDCD; +4164 : CDCDCDCD; +4165 : CDCDCDCD; +4166 : CDCDCDCD; +4167 : CDCDCDCD; +4168 : CDCDCDCD; +4169 : CDCDCDCD; +416A : CDCDCDCD; +416B : CDCDCDCD; +416C : CDCDCDCD; +416D : CDCDCDCD; +416E : CDCDCDCD; +416F : CDCDCDCD; +4170 : CDCDCDCD; +4171 : CDCDCDCD; +4172 : CDCDCDCD; +4173 : CDCDCDCD; +4174 : CDCDCDCD; +4175 : CDCDCDCD; +4176 : CDCDCDCD; +4177 : CDCDCDCD; +4178 : CDCDCDCD; +4179 : CDCDCDCD; +417A : CDCDCDCD; +417B : CDCDCDCD; +417C : CDCDCDCD; +417D : CDCDCDCD; +417E : CDCDCDCD; +417F : CDCDCDCD; +4180 : CDCDCDCD; +4181 : CDCDCDCD; +4182 : CDCDCDCD; +4183 : CDCDCDCD; +4184 : CDCDCDCD; +4185 : CDCDCDCD; +4186 : CDCDCDCD; +4187 : CDCDCDCD; +4188 : CDCDCDCD; +4189 : CDCDCDCD; +418A : CDCDCDCD; +418B : CDCDCDCD; +418C : CDCDCDCD; +418D : CDCDCDCD; +418E : CDCDCDCD; +418F : CDCDCDCD; +4190 : CDCDCDCD; +4191 : CDCDCDCD; +4192 : CDCDCDCD; +4193 : CDCDCDCD; +4194 : CDCDCDCD; +4195 : CDCDCDCD; +4196 : CDCDCDCD; +4197 : CDCDCDCD; +4198 : CDCDCDCD; +4199 : CDCDCDCD; +419A : CDCDCDCD; +419B : CDCDCDCD; +419C : CDCDCDCD; +419D : CDCDCDCD; +419E : CDCDCDCD; +419F : CDCDCDCD; +41A0 : CDCDCDCD; +41A1 : CDCDCDCD; +41A2 : CDCDCDCD; +41A3 : CDCDCDCD; +41A4 : CDCDCDCD; +41A5 : CDCDCDCD; +41A6 : CDCDCDCD; +41A7 : CDCDCDCD; +41A8 : CDCDCDCD; +41A9 : CDCDCDCD; +41AA : CDCDCDCD; +41AB : CDCDCDCD; +41AC : CDCDCDCD; +41AD : CDCDCDCD; +41AE : CDCDCDCD; +41AF : CDCDCDCD; +41B0 : CDCDCDCD; +41B1 : CDCDCDCD; +41B2 : CDCDCDCD; +41B3 : CDCDCDCD; +41B4 : CDCDCDCD; +41B5 : CDCDCDCD; +41B6 : CDCDCDCD; +41B7 : CDCDCDCD; +41B8 : CDCDCDCD; +41B9 : CDCDCDCD; +41BA : CDCDCDCD; +41BB : CDCDCDCD; +41BC : CDCDCDCD; +41BD : CDCDCDCD; +41BE : CDCDCDCD; +41BF : CDCDCDCD; +41C0 : CDCDCDCD; +41C1 : CDCDCDCD; +41C2 : CDCDCDCD; +41C3 : CDCDCDCD; +41C4 : CDCDCDCD; +41C5 : CDCDCDCD; +41C6 : CDCDCDCD; +41C7 : CDCDCDCD; +41C8 : CDCDCDCD; +41C9 : CDCDCDCD; +41CA : CDCDCDCD; +41CB : CDCDCDCD; +41CC : CDCDCDCD; +41CD : CDCDCDCD; +41CE : CDCDCDCD; +41CF : CDCDCDCD; +41D0 : CDCDCDCD; +41D1 : CDCDCDCD; +41D2 : CDCDCDCD; +41D3 : CDCDCDCD; +41D4 : CDCDCDCD; +41D5 : CDCDCDCD; +41D6 : CDCDCDCD; +41D7 : CDCDCDCD; +41D8 : CDCDCDCD; +41D9 : CDCDCDCD; +41DA : CDCDCDCD; +41DB : CDCDCDCD; +41DC : CDCDCDCD; +41DD : CDCDCDCD; +41DE : CDCDCDCD; +41DF : CDCDCDCD; +41E0 : CDCDCDCD; +41E1 : CDCDCDCD; +41E2 : CDCDCDCD; +41E3 : CDCDCDCD; +41E4 : CDCDCDCD; +41E5 : CDCDCDCD; +41E6 : CDCDCDCD; +41E7 : CDCDCDCD; +41E8 : CDCDCDCD; +41E9 : CDCDCDCD; +41EA : CDCDCDCD; +41EB : CDCDCDCD; +41EC : CDCDCDCD; +41ED : CDCDCDCD; +41EE : CDCDCDCD; +41EF : CDCDCDCD; +41F0 : CDCDCDCD; +41F1 : CDCDCDCD; +41F2 : CDCDCDCD; +41F3 : CDCDCDCD; +41F4 : CDCDCDCD; +41F5 : CDCDCDCD; +41F6 : CDCDCDCD; +41F7 : CDCDCDCD; +41F8 : CDCDCDCD; +41F9 : CDCDCDCD; +41FA : CDCDCDCD; +41FB : CDCDCDCD; +41FC : CDCDCDCD; +41FD : CDCDCDCD; +41FE : CDCDCDCD; +41FF : CDCDCDCD; +4200 : CDCDCDCD; +4201 : CDCDCDCD; +4202 : CDCDCDCD; +4203 : CDCDCDCD; +4204 : CDCDCDCD; +4205 : CDCDCDCD; +4206 : CDCDCDCD; +4207 : CDCDCDCD; +4208 : CDCDCDCD; +4209 : CDCDCDCD; +420A : CDCDCDCD; +420B : CDCDCDCD; +420C : CDCDCDCD; +420D : CDCDCDCD; +420E : CDCDCDCD; +420F : CDCDCDCD; +4210 : CDCDCDCD; +4211 : CDCDCDCD; +4212 : CDCDCDCD; +4213 : CDCDCDCD; +4214 : CDCDCDCD; +4215 : CDCDCDCD; +4216 : CDCDCDCD; +4217 : CDCDCDCD; +4218 : CDCDCDCD; +4219 : CDCDCDCD; +421A : CDCDCDCD; +421B : CDCDCDCD; +421C : CDCDCDCD; +421D : CDCDCDCD; +421E : CDCDCDCD; +421F : CDCDCDCD; +4220 : CDCDCDCD; +4221 : CDCDCDCD; +4222 : CDCDCDCD; +4223 : CDCDCDCD; +4224 : CDCDCDCD; +4225 : CDCDCDCD; +4226 : CDCDCDCD; +4227 : CDCDCDCD; +4228 : CDCDCDCD; +4229 : CDCDCDCD; +422A : CDCDCDCD; +422B : CDCDCDCD; +422C : CDCDCDCD; +422D : CDCDCDCD; +422E : CDCDCDCD; +422F : CDCDCDCD; +4230 : CDCDCDCD; +4231 : CDCDCDCD; +4232 : CDCDCDCD; +4233 : CDCDCDCD; +4234 : CDCDCDCD; +4235 : CDCDCDCD; +4236 : CDCDCDCD; +4237 : CDCDCDCD; +4238 : CDCDCDCD; +4239 : CDCDCDCD; +423A : CDCDCDCD; +423B : CDCDCDCD; +423C : CDCDCDCD; +423D : CDCDCDCD; +423E : CDCDCDCD; +423F : CDCDCDCD; +4240 : CDCDCDCD; +4241 : CDCDCDCD; +4242 : CDCDCDCD; +4243 : CDCDCDCD; +4244 : CDCDCDCD; +4245 : CDCDCDCD; +4246 : CDCDCDCD; +4247 : CDCDCDCD; +4248 : CDCDCDCD; +4249 : CDCDCDCD; +424A : CDCDCDCD; +424B : CDCDCDCD; +424C : CDCDCDCD; +424D : CDCDCDCD; +424E : CDCDCDCD; +424F : CDCDCDCD; +4250 : CDCDCDCD; +4251 : CDCDCDCD; +4252 : CDCDCDCD; +4253 : CDCDCDCD; +4254 : CDCDCDCD; +4255 : CDCDCDCD; +4256 : CDCDCDCD; +4257 : CDCDCDCD; +4258 : CDCDCDCD; +4259 : CDCDCDCD; +425A : CDCDCDCD; +425B : CDCDCDCD; +425C : CDCDCDCD; +425D : CDCDCDCD; +425E : CDCDCDCD; +425F : CDCDCDCD; +4260 : CDCDCDCD; +4261 : CDCDCDCD; +4262 : CDCDCDCD; +4263 : CDCDCDCD; +4264 : CDCDCDCD; +4265 : CDCDCDCD; +4266 : CDCDCDCD; +4267 : CDCDCDCD; +4268 : CDCDCDCD; +4269 : CDCDCDCD; +426A : CDCDCDCD; +426B : CDCDCDCD; +426C : CDCDCDCD; +426D : CDCDCDCD; +426E : CDCDCDCD; +426F : CDCDCDCD; +4270 : CDCDCDCD; +4271 : CDCDCDCD; +4272 : CDCDCDCD; +4273 : CDCDCDCD; +4274 : CDCDCDCD; +4275 : CDCDCDCD; +4276 : CDCDCDCD; +4277 : CDCDCDCD; +4278 : CDCDCDCD; +4279 : CDCDCDCD; +427A : CDCDCDCD; +427B : CDCDCDCD; +427C : CDCDCDCD; +427D : CDCDCDCD; +427E : CDCDCDCD; +427F : CDCDCDCD; +4280 : CDCDCDCD; +4281 : CDCDCDCD; +4282 : CDCDCDCD; +4283 : CDCDCDCD; +4284 : CDCDCDCD; +4285 : CDCDCDCD; +4286 : CDCDCDCD; +4287 : CDCDCDCD; +4288 : CDCDCDCD; +4289 : CDCDCDCD; +428A : CDCDCDCD; +428B : CDCDCDCD; +428C : CDCDCDCD; +428D : CDCDCDCD; +428E : CDCDCDCD; +428F : CDCDCDCD; +4290 : CDCDCDCD; +4291 : CDCDCDCD; +4292 : CDCDCDCD; +4293 : CDCDCDCD; +4294 : CDCDCDCD; +4295 : CDCDCDCD; +4296 : CDCDCDCD; +4297 : CDCDCDCD; +4298 : CDCDCDCD; +4299 : CDCDCDCD; +429A : CDCDCDCD; +429B : CDCDCDCD; +429C : CDCDCDCD; +429D : CDCDCDCD; +429E : CDCDCDCD; +429F : CDCDCDCD; +42A0 : CDCDCDCD; +42A1 : CDCDCDCD; +42A2 : CDCDCDCD; +42A3 : CDCDCDCD; +42A4 : CDCDCDCD; +42A5 : CDCDCDCD; +42A6 : CDCDCDCD; +42A7 : CDCDCDCD; +42A8 : CDCDCDCD; +42A9 : CDCDCDCD; +42AA : CDCDCDCD; +42AB : CDCDCDCD; +42AC : CDCDCDCD; +42AD : CDCDCDCD; +42AE : CDCDCDCD; +42AF : CDCDCDCD; +42B0 : CDCDCDCD; +42B1 : CDCDCDCD; +42B2 : CDCDCDCD; +42B3 : CDCDCDCD; +42B4 : CDCDCDCD; +42B5 : CDCDCDCD; +42B6 : CDCDCDCD; +42B7 : CDCDCDCD; +42B8 : CDCDCDCD; +42B9 : CDCDCDCD; +42BA : CDCDCDCD; +42BB : CDCDCDCD; +42BC : CDCDCDCD; +42BD : CDCDCDCD; +42BE : CDCDCDCD; +42BF : CDCDCDCD; +42C0 : CDCDCDCD; +42C1 : CDCDCDCD; +42C2 : CDCDCDCD; +42C3 : CDCDCDCD; +42C4 : CDCDCDCD; +42C5 : CDCDCDCD; +42C6 : CDCDCDCD; +42C7 : CDCDCDCD; +42C8 : CDCDCDCD; +42C9 : CDCDCDCD; +42CA : CDCDCDCD; +42CB : CDCDCDCD; +42CC : CDCDCDCD; +42CD : CDCDCDCD; +42CE : CDCDCDCD; +42CF : CDCDCDCD; +42D0 : CDCDCDCD; +42D1 : CDCDCDCD; +42D2 : CDCDCDCD; +42D3 : CDCDCDCD; +42D4 : CDCDCDCD; +42D5 : CDCDCDCD; +42D6 : CDCDCDCD; +42D7 : CDCDCDCD; +42D8 : CDCDCDCD; +42D9 : CDCDCDCD; +42DA : CDCDCDCD; +42DB : CDCDCDCD; +42DC : CDCDCDCD; +42DD : CDCDCDCD; +42DE : CDCDCDCD; +42DF : CDCDCDCD; +42E0 : CDCDCDCD; +42E1 : CDCDCDCD; +42E2 : CDCDCDCD; +42E3 : CDCDCDCD; +42E4 : CDCDCDCD; +42E5 : CDCDCDCD; +42E6 : CDCDCDCD; +42E7 : CDCDCDCD; +42E8 : CDCDCDCD; +42E9 : CDCDCDCD; +42EA : CDCDCDCD; +42EB : CDCDCDCD; +42EC : CDCDCDCD; +42ED : CDCDCDCD; +42EE : CDCDCDCD; +42EF : CDCDCDCD; +42F0 : CDCDCDCD; +42F1 : CDCDCDCD; +42F2 : CDCDCDCD; +42F3 : CDCDCDCD; +42F4 : CDCDCDCD; +42F5 : CDCDCDCD; +42F6 : CDCDCDCD; +42F7 : CDCDCDCD; +42F8 : CDCDCDCD; +42F9 : CDCDCDCD; +42FA : CDCDCDCD; +42FB : CDCDCDCD; +42FC : CDCDCDCD; +42FD : CDCDCDCD; +42FE : CDCDCDCD; +42FF : CDCDCDCD; +4300 : CDCDCDCD; +4301 : CDCDCDCD; +4302 : CDCDCDCD; +4303 : CDCDCDCD; +4304 : CDCDCDCD; +4305 : CDCDCDCD; +4306 : CDCDCDCD; +4307 : CDCDCDCD; +4308 : CDCDCDCD; +4309 : CDCDCDCD; +430A : CDCDCDCD; +430B : CDCDCDCD; +430C : CDCDCDCD; +430D : CDCDCDCD; +430E : CDCDCDCD; +430F : CDCDCDCD; +4310 : CDCDCDCD; +4311 : CDCDCDCD; +4312 : CDCDCDCD; +4313 : CDCDCDCD; +4314 : CDCDCDCD; +4315 : CDCDCDCD; +4316 : CDCDCDCD; +4317 : CDCDCDCD; +4318 : CDCDCDCD; +4319 : CDCDCDCD; +431A : CDCDCDCD; +431B : CDCDCDCD; +431C : CDCDCDCD; +431D : CDCDCDCD; +431E : CDCDCDCD; +431F : CDCDCDCD; +4320 : CDCDCDCD; +4321 : CDCDCDCD; +4322 : CDCDCDCD; +4323 : CDCDCDCD; +4324 : CDCDCDCD; +4325 : CDCDCDCD; +4326 : CDCDCDCD; +4327 : CDCDCDCD; +4328 : CDCDCDCD; +4329 : CDCDCDCD; +432A : CDCDCDCD; +432B : CDCDCDCD; +432C : CDCDCDCD; +432D : CDCDCDCD; +432E : CDCDCDCD; +432F : CDCDCDCD; +4330 : CDCDCDCD; +4331 : CDCDCDCD; +4332 : CDCDCDCD; +4333 : CDCDCDCD; +4334 : CDCDCDCD; +4335 : CDCDCDCD; +4336 : CDCDCDCD; +4337 : CDCDCDCD; +4338 : CDCDCDCD; +4339 : CDCDCDCD; +433A : CDCDCDCD; +433B : CDCDCDCD; +433C : CDCDCDCD; +433D : CDCDCDCD; +433E : CDCDCDCD; +433F : CDCDCDCD; +4340 : CDCDCDCD; +4341 : CDCDCDCD; +4342 : CDCDCDCD; +4343 : CDCDCDCD; +4344 : CDCDCDCD; +4345 : CDCDCDCD; +4346 : CDCDCDCD; +4347 : CDCDCDCD; +4348 : CDCDCDCD; +4349 : CDCDCDCD; +434A : CDCDCDCD; +434B : CDCDCDCD; +434C : CDCDCDCD; +434D : CDCDCDCD; +434E : CDCDCDCD; +434F : CDCDCDCD; +4350 : CDCDCDCD; +4351 : CDCDCDCD; +4352 : CDCDCDCD; +4353 : CDCDCDCD; +4354 : CDCDCDCD; +4355 : CDCDCDCD; +4356 : CDCDCDCD; +4357 : CDCDCDCD; +4358 : CDCDCDCD; +4359 : CDCDCDCD; +435A : CDCDCDCD; +435B : CDCDCDCD; +435C : CDCDCDCD; +435D : CDCDCDCD; +435E : CDCDCDCD; +435F : CDCDCDCD; +4360 : CDCDCDCD; +4361 : CDCDCDCD; +4362 : CDCDCDCD; +4363 : CDCDCDCD; +4364 : CDCDCDCD; +4365 : CDCDCDCD; +4366 : CDCDCDCD; +4367 : CDCDCDCD; +4368 : CDCDCDCD; +4369 : CDCDCDCD; +436A : CDCDCDCD; +436B : CDCDCDCD; +436C : CDCDCDCD; +436D : CDCDCDCD; +436E : CDCDCDCD; +436F : CDCDCDCD; +4370 : CDCDCDCD; +4371 : CDCDCDCD; +4372 : CDCDCDCD; +4373 : CDCDCDCD; +4374 : CDCDCDCD; +4375 : CDCDCDCD; +4376 : CDCDCDCD; +4377 : CDCDCDCD; +4378 : CDCDCDCD; +4379 : CDCDCDCD; +437A : CDCDCDCD; +437B : CDCDCDCD; +437C : CDCDCDCD; +437D : CDCDCDCD; +437E : CDCDCDCD; +437F : CDCDCDCD; +4380 : CDCDCDCD; +4381 : CDCDCDCD; +4382 : CDCDCDCD; +4383 : CDCDCDCD; +4384 : CDCDCDCD; +4385 : CDCDCDCD; +4386 : CDCDCDCD; +4387 : CDCDCDCD; +4388 : CDCDCDCD; +4389 : CDCDCDCD; +438A : CDCDCDCD; +438B : CDCDCDCD; +438C : CDCDCDCD; +438D : CDCDCDCD; +438E : CDCDCDCD; +438F : CDCDCDCD; +4390 : CDCDCDCD; +4391 : CDCDCDCD; +4392 : CDCDCDCD; +4393 : CDCDCDCD; +4394 : CDCDCDCD; +4395 : CDCDCDCD; +4396 : CDCDCDCD; +4397 : CDCDCDCD; +4398 : CDCDCDCD; +4399 : CDCDCDCD; +439A : CDCDCDCD; +439B : CDCDCDCD; +439C : CDCDCDCD; +439D : CDCDCDCD; +439E : CDCDCDCD; +439F : CDCDCDCD; +43A0 : CDCDCDCD; +43A1 : CDCDCDCD; +43A2 : CDCDCDCD; +43A3 : CDCDCDCD; +43A4 : CDCDCDCD; +43A5 : CDCDCDCD; +43A6 : CDCDCDCD; +43A7 : CDCDCDCD; +43A8 : CDCDCDCD; +43A9 : CDCDCDCD; +43AA : CDCDCDCD; +43AB : CDCDCDCD; +43AC : CDCDCDCD; +43AD : CDCDCDCD; +43AE : CDCDCDCD; +43AF : CDCDCDCD; +43B0 : CDCDCDCD; +43B1 : CDCDCDCD; +43B2 : CDCDCDCD; +43B3 : CDCDCDCD; +43B4 : CDCDCDCD; +43B5 : CDCDCDCD; +43B6 : CDCDCDCD; +43B7 : CDCDCDCD; +43B8 : CDCDCDCD; +43B9 : CDCDCDCD; +43BA : CDCDCDCD; +43BB : CDCDCDCD; +43BC : CDCDCDCD; +43BD : CDCDCDCD; +43BE : CDCDCDCD; +43BF : CDCDCDCD; +43C0 : CDCDCDCD; +43C1 : CDCDCDCD; +43C2 : CDCDCDCD; +43C3 : CDCDCDCD; +43C4 : CDCDCDCD; +43C5 : CDCDCDCD; +43C6 : CDCDCDCD; +43C7 : CDCDCDCD; +43C8 : CDCDCDCD; +43C9 : CDCDCDCD; +43CA : CDCDCDCD; +43CB : CDCDCDCD; +43CC : CDCDCDCD; +43CD : CDCDCDCD; +43CE : CDCDCDCD; +43CF : CDCDCDCD; +43D0 : CDCDCDCD; +43D1 : CDCDCDCD; +43D2 : CDCDCDCD; +43D3 : CDCDCDCD; +43D4 : CDCDCDCD; +43D5 : CDCDCDCD; +43D6 : CDCDCDCD; +43D7 : CDCDCDCD; +43D8 : CDCDCDCD; +43D9 : CDCDCDCD; +43DA : CDCDCDCD; +43DB : CDCDCDCD; +43DC : CDCDCDCD; +43DD : CDCDCDCD; +43DE : CDCDCDCD; +43DF : CDCDCDCD; +43E0 : CDCDCDCD; +43E1 : CDCDCDCD; +43E2 : CDCDCDCD; +43E3 : CDCDCDCD; +43E4 : CDCDCDCD; +43E5 : CDCDCDCD; +43E6 : CDCDCDCD; +43E7 : CDCDCDCD; +43E8 : CDCDCDCD; +43E9 : CDCDCDCD; +43EA : CDCDCDCD; +43EB : CDCDCDCD; +43EC : CDCDCDCD; +43ED : CDCDCDCD; +43EE : CDCDCDCD; +43EF : CDCDCDCD; +43F0 : CDCDCDCD; +43F1 : CDCDCDCD; +43F2 : CDCDCDCD; +43F3 : CDCDCDCD; +43F4 : CDCDCDCD; +43F5 : CDCDCDCD; +43F6 : CDCDCDCD; +43F7 : CDCDCDCD; +43F8 : CDCDCDCD; +43F9 : CDCDCDCD; +43FA : CDCDCDCD; +43FB : CDCDCDCD; +43FC : CDCDCDCD; +43FD : CDCDCDCD; +43FE : CDCDCDCD; +43FF : CDCDCDCD; +4400 : CDCDCDCD; +4401 : CDCDCDCD; +4402 : CDCDCDCD; +4403 : CDCDCDCD; +4404 : CDCDCDCD; +4405 : CDCDCDCD; +4406 : CDCDCDCD; +4407 : CDCDCDCD; +4408 : CDCDCDCD; +4409 : CDCDCDCD; +440A : CDCDCDCD; +440B : CDCDCDCD; +440C : CDCDCDCD; +440D : CDCDCDCD; +440E : CDCDCDCD; +440F : CDCDCDCD; +4410 : CDCDCDCD; +4411 : CDCDCDCD; +4412 : CDCDCDCD; +4413 : CDCDCDCD; +4414 : CDCDCDCD; +4415 : CDCDCDCD; +4416 : CDCDCDCD; +4417 : CDCDCDCD; +4418 : CDCDCDCD; +4419 : CDCDCDCD; +441A : CDCDCDCD; +441B : CDCDCDCD; +441C : CDCDCDCD; +441D : CDCDCDCD; +441E : CDCDCDCD; +441F : CDCDCDCD; +4420 : CDCDCDCD; +4421 : CDCDCDCD; +4422 : CDCDCDCD; +4423 : CDCDCDCD; +4424 : CDCDCDCD; +4425 : CDCDCDCD; +4426 : CDCDCDCD; +4427 : CDCDCDCD; +4428 : CDCDCDCD; +4429 : CDCDCDCD; +442A : CDCDCDCD; +442B : CDCDCDCD; +442C : CDCDCDCD; +442D : CDCDCDCD; +442E : CDCDCDCD; +442F : CDCDCDCD; +4430 : CDCDCDCD; +4431 : CDCDCDCD; +4432 : CDCDCDCD; +4433 : CDCDCDCD; +4434 : CDCDCDCD; +4435 : CDCDCDCD; +4436 : CDCDCDCD; +4437 : CDCDCDCD; +4438 : CDCDCDCD; +4439 : CDCDCDCD; +443A : CDCDCDCD; +443B : CDCDCDCD; +443C : CDCDCDCD; +443D : CDCDCDCD; +443E : CDCDCDCD; +443F : CDCDCDCD; +4440 : CDCDCDCD; +4441 : CDCDCDCD; +4442 : CDCDCDCD; +4443 : CDCDCDCD; +4444 : CDCDCDCD; +4445 : CDCDCDCD; +4446 : CDCDCDCD; +4447 : CDCDCDCD; +4448 : CDCDCDCD; +4449 : CDCDCDCD; +444A : CDCDCDCD; +444B : CDCDCDCD; +444C : CDCDCDCD; +444D : CDCDCDCD; +444E : CDCDCDCD; +444F : CDCDCDCD; +4450 : CDCDCDCD; +4451 : CDCDCDCD; +4452 : CDCDCDCD; +4453 : CDCDCDCD; +4454 : CDCDCDCD; +4455 : CDCDCDCD; +4456 : CDCDCDCD; +4457 : CDCDCDCD; +4458 : CDCDCDCD; +4459 : CDCDCDCD; +445A : CDCDCDCD; +445B : CDCDCDCD; +445C : CDCDCDCD; +445D : CDCDCDCD; +445E : CDCDCDCD; +445F : CDCDCDCD; +4460 : CDCDCDCD; +4461 : CDCDCDCD; +4462 : CDCDCDCD; +4463 : CDCDCDCD; +4464 : CDCDCDCD; +4465 : CDCDCDCD; +4466 : CDCDCDCD; +4467 : CDCDCDCD; +4468 : CDCDCDCD; +4469 : CDCDCDCD; +446A : CDCDCDCD; +446B : CDCDCDCD; +446C : CDCDCDCD; +446D : CDCDCDCD; +446E : CDCDCDCD; +446F : CDCDCDCD; +4470 : CDCDCDCD; +4471 : CDCDCDCD; +4472 : CDCDCDCD; +4473 : CDCDCDCD; +4474 : CDCDCDCD; +4475 : CDCDCDCD; +4476 : CDCDCDCD; +4477 : CDCDCDCD; +4478 : CDCDCDCD; +4479 : CDCDCDCD; +447A : CDCDCDCD; +447B : CDCDCDCD; +447C : CDCDCDCD; +447D : CDCDCDCD; +447E : CDCDCDCD; +447F : CDCDCDCD; +4480 : CDCDCDCD; +4481 : CDCDCDCD; +4482 : CDCDCDCD; +4483 : CDCDCDCD; +4484 : CDCDCDCD; +4485 : CDCDCDCD; +4486 : CDCDCDCD; +4487 : CDCDCDCD; +4488 : CDCDCDCD; +4489 : CDCDCDCD; +448A : CDCDCDCD; +448B : CDCDCDCD; +448C : CDCDCDCD; +448D : CDCDCDCD; +448E : CDCDCDCD; +448F : CDCDCDCD; +4490 : CDCDCDCD; +4491 : CDCDCDCD; +4492 : CDCDCDCD; +4493 : CDCDCDCD; +4494 : CDCDCDCD; +4495 : CDCDCDCD; +4496 : CDCDCDCD; +4497 : CDCDCDCD; +4498 : CDCDCDCD; +4499 : CDCDCDCD; +449A : CDCDCDCD; +449B : CDCDCDCD; +449C : CDCDCDCD; +449D : CDCDCDCD; +449E : CDCDCDCD; +449F : CDCDCDCD; +44A0 : CDCDCDCD; +44A1 : CDCDCDCD; +44A2 : CDCDCDCD; +44A3 : CDCDCDCD; +44A4 : CDCDCDCD; +44A5 : CDCDCDCD; +44A6 : CDCDCDCD; +44A7 : CDCDCDCD; +44A8 : CDCDCDCD; +44A9 : CDCDCDCD; +44AA : CDCDCDCD; +44AB : CDCDCDCD; +44AC : CDCDCDCD; +44AD : CDCDCDCD; +44AE : CDCDCDCD; +44AF : CDCDCDCD; +44B0 : CDCDCDCD; +44B1 : CDCDCDCD; +44B2 : CDCDCDCD; +44B3 : CDCDCDCD; +44B4 : CDCDCDCD; +44B5 : CDCDCDCD; +44B6 : CDCDCDCD; +44B7 : CDCDCDCD; +44B8 : CDCDCDCD; +44B9 : CDCDCDCD; +44BA : CDCDCDCD; +44BB : CDCDCDCD; +44BC : CDCDCDCD; +44BD : CDCDCDCD; +44BE : CDCDCDCD; +44BF : CDCDCDCD; +44C0 : CDCDCDCD; +44C1 : CDCDCDCD; +44C2 : CDCDCDCD; +44C3 : CDCDCDCD; +44C4 : CDCDCDCD; +44C5 : CDCDCDCD; +44C6 : CDCDCDCD; +44C7 : CDCDCDCD; +44C8 : CDCDCDCD; +44C9 : CDCDCDCD; +44CA : CDCDCDCD; +44CB : CDCDCDCD; +44CC : CDCDCDCD; +44CD : CDCDCDCD; +44CE : CDCDCDCD; +44CF : CDCDCDCD; +44D0 : CDCDCDCD; +44D1 : CDCDCDCD; +44D2 : CDCDCDCD; +44D3 : CDCDCDCD; +44D4 : CDCDCDCD; +44D5 : CDCDCDCD; +44D6 : CDCDCDCD; +44D7 : CDCDCDCD; +44D8 : CDCDCDCD; +44D9 : CDCDCDCD; +44DA : CDCDCDCD; +44DB : CDCDCDCD; +44DC : CDCDCDCD; +44DD : CDCDCDCD; +44DE : CDCDCDCD; +44DF : CDCDCDCD; +44E0 : CDCDCDCD; +44E1 : CDCDCDCD; +44E2 : CDCDCDCD; +44E3 : CDCDCDCD; +44E4 : CDCDCDCD; +44E5 : CDCDCDCD; +44E6 : CDCDCDCD; +44E7 : CDCDCDCD; +44E8 : CDCDCDCD; +44E9 : CDCDCDCD; +44EA : CDCDCDCD; +44EB : CDCDCDCD; +44EC : CDCDCDCD; +44ED : CDCDCDCD; +44EE : CDCDCDCD; +44EF : CDCDCDCD; +44F0 : CDCDCDCD; +44F1 : CDCDCDCD; +44F2 : CDCDCDCD; +44F3 : CDCDCDCD; +44F4 : CDCDCDCD; +44F5 : CDCDCDCD; +44F6 : CDCDCDCD; +44F7 : CDCDCDCD; +44F8 : CDCDCDCD; +44F9 : CDCDCDCD; +44FA : CDCDCDCD; +44FB : CDCDCDCD; +44FC : CDCDCDCD; +44FD : CDCDCDCD; +44FE : CDCDCDCD; +44FF : CDCDCDCD; +4500 : CDCDCDCD; +4501 : CDCDCDCD; +4502 : CDCDCDCD; +4503 : CDCDCDCD; +4504 : CDCDCDCD; +4505 : CDCDCDCD; +4506 : CDCDCDCD; +4507 : CDCDCDCD; +4508 : CDCDCDCD; +4509 : CDCDCDCD; +450A : CDCDCDCD; +450B : CDCDCDCD; +450C : CDCDCDCD; +450D : CDCDCDCD; +450E : CDCDCDCD; +450F : CDCDCDCD; +4510 : CDCDCDCD; +4511 : CDCDCDCD; +4512 : CDCDCDCD; +4513 : CDCDCDCD; +4514 : CDCDCDCD; +4515 : CDCDCDCD; +4516 : CDCDCDCD; +4517 : CDCDCDCD; +4518 : CDCDCDCD; +4519 : CDCDCDCD; +451A : CDCDCDCD; +451B : CDCDCDCD; +451C : CDCDCDCD; +451D : CDCDCDCD; +451E : CDCDCDCD; +451F : CDCDCDCD; +4520 : CDCDCDCD; +4521 : CDCDCDCD; +4522 : CDCDCDCD; +4523 : CDCDCDCD; +4524 : CDCDCDCD; +4525 : CDCDCDCD; +4526 : CDCDCDCD; +4527 : CDCDCDCD; +4528 : CDCDCDCD; +4529 : CDCDCDCD; +452A : CDCDCDCD; +452B : CDCDCDCD; +452C : CDCDCDCD; +452D : CDCDCDCD; +452E : CDCDCDCD; +452F : CDCDCDCD; +4530 : CDCDCDCD; +4531 : CDCDCDCD; +4532 : CDCDCDCD; +4533 : CDCDCDCD; +4534 : CDCDCDCD; +4535 : CDCDCDCD; +4536 : CDCDCDCD; +4537 : CDCDCDCD; +4538 : CDCDCDCD; +4539 : CDCDCDCD; +453A : CDCDCDCD; +453B : CDCDCDCD; +453C : CDCDCDCD; +453D : CDCDCDCD; +453E : CDCDCDCD; +453F : CDCDCDCD; +4540 : CDCDCDCD; +4541 : CDCDCDCD; +4542 : CDCDCDCD; +4543 : CDCDCDCD; +4544 : CDCDCDCD; +4545 : CDCDCDCD; +4546 : CDCDCDCD; +4547 : CDCDCDCD; +4548 : CDCDCDCD; +4549 : CDCDCDCD; +454A : CDCDCDCD; +454B : CDCDCDCD; +454C : CDCDCDCD; +454D : CDCDCDCD; +454E : CDCDCDCD; +454F : CDCDCDCD; +4550 : CDCDCDCD; +4551 : CDCDCDCD; +4552 : CDCDCDCD; +4553 : CDCDCDCD; +4554 : CDCDCDCD; +4555 : CDCDCDCD; +4556 : CDCDCDCD; +4557 : CDCDCDCD; +4558 : CDCDCDCD; +4559 : CDCDCDCD; +455A : CDCDCDCD; +455B : CDCDCDCD; +455C : CDCDCDCD; +455D : CDCDCDCD; +455E : CDCDCDCD; +455F : CDCDCDCD; +4560 : CDCDCDCD; +4561 : CDCDCDCD; +4562 : CDCDCDCD; +4563 : CDCDCDCD; +4564 : CDCDCDCD; +4565 : CDCDCDCD; +4566 : CDCDCDCD; +4567 : CDCDCDCD; +4568 : CDCDCDCD; +4569 : CDCDCDCD; +456A : CDCDCDCD; +456B : CDCDCDCD; +456C : CDCDCDCD; +456D : CDCDCDCD; +456E : CDCDCDCD; +456F : CDCDCDCD; +4570 : CDCDCDCD; +4571 : CDCDCDCD; +4572 : CDCDCDCD; +4573 : CDCDCDCD; +4574 : CDCDCDCD; +4575 : CDCDCDCD; +4576 : CDCDCDCD; +4577 : CDCDCDCD; +4578 : CDCDCDCD; +4579 : CDCDCDCD; +457A : CDCDCDCD; +457B : CDCDCDCD; +457C : CDCDCDCD; +457D : CDCDCDCD; +457E : CDCDCDCD; +457F : CDCDCDCD; +4580 : CDCDCDCD; +4581 : CDCDCDCD; +4582 : CDCDCDCD; +4583 : CDCDCDCD; +4584 : CDCDCDCD; +4585 : CDCDCDCD; +4586 : CDCDCDCD; +4587 : CDCDCDCD; +4588 : CDCDCDCD; +4589 : CDCDCDCD; +458A : CDCDCDCD; +458B : CDCDCDCD; +458C : CDCDCDCD; +458D : CDCDCDCD; +458E : CDCDCDCD; +458F : CDCDCDCD; +4590 : CDCDCDCD; +4591 : CDCDCDCD; +4592 : CDCDCDCD; +4593 : CDCDCDCD; +4594 : CDCDCDCD; +4595 : CDCDCDCD; +4596 : CDCDCDCD; +4597 : CDCDCDCD; +4598 : CDCDCDCD; +4599 : CDCDCDCD; +459A : CDCDCDCD; +459B : CDCDCDCD; +459C : CDCDCDCD; +459D : CDCDCDCD; +459E : CDCDCDCD; +459F : CDCDCDCD; +45A0 : CDCDCDCD; +45A1 : CDCDCDCD; +45A2 : CDCDCDCD; +45A3 : CDCDCDCD; +45A4 : CDCDCDCD; +45A5 : CDCDCDCD; +45A6 : CDCDCDCD; +45A7 : CDCDCDCD; +45A8 : CDCDCDCD; +45A9 : CDCDCDCD; +45AA : CDCDCDCD; +45AB : CDCDCDCD; +45AC : CDCDCDCD; +45AD : CDCDCDCD; +45AE : CDCDCDCD; +45AF : CDCDCDCD; +45B0 : CDCDCDCD; +45B1 : CDCDCDCD; +45B2 : CDCDCDCD; +45B3 : CDCDCDCD; +45B4 : CDCDCDCD; +45B5 : CDCDCDCD; +45B6 : CDCDCDCD; +45B7 : CDCDCDCD; +45B8 : CDCDCDCD; +45B9 : CDCDCDCD; +45BA : CDCDCDCD; +45BB : CDCDCDCD; +45BC : CDCDCDCD; +45BD : CDCDCDCD; +45BE : CDCDCDCD; +45BF : CDCDCDCD; +45C0 : CDCDCDCD; +45C1 : CDCDCDCD; +45C2 : CDCDCDCD; +45C3 : CDCDCDCD; +45C4 : CDCDCDCD; +45C5 : CDCDCDCD; +45C6 : CDCDCDCD; +45C7 : CDCDCDCD; +45C8 : CDCDCDCD; +45C9 : CDCDCDCD; +45CA : CDCDCDCD; +45CB : CDCDCDCD; +45CC : CDCDCDCD; +45CD : CDCDCDCD; +45CE : CDCDCDCD; +45CF : CDCDCDCD; +45D0 : CDCDCDCD; +45D1 : CDCDCDCD; +45D2 : CDCDCDCD; +45D3 : CDCDCDCD; +45D4 : CDCDCDCD; +45D5 : CDCDCDCD; +45D6 : CDCDCDCD; +45D7 : CDCDCDCD; +45D8 : CDCDCDCD; +45D9 : CDCDCDCD; +45DA : CDCDCDCD; +45DB : CDCDCDCD; +45DC : CDCDCDCD; +45DD : CDCDCDCD; +45DE : CDCDCDCD; +45DF : CDCDCDCD; +45E0 : CDCDCDCD; +45E1 : CDCDCDCD; +45E2 : CDCDCDCD; +45E3 : CDCDCDCD; +45E4 : CDCDCDCD; +45E5 : CDCDCDCD; +45E6 : CDCDCDCD; +45E7 : CDCDCDCD; +45E8 : CDCDCDCD; +45E9 : CDCDCDCD; +45EA : CDCDCDCD; +45EB : CDCDCDCD; +45EC : CDCDCDCD; +45ED : CDCDCDCD; +45EE : CDCDCDCD; +45EF : CDCDCDCD; +45F0 : CDCDCDCD; +45F1 : CDCDCDCD; +45F2 : CDCDCDCD; +45F3 : CDCDCDCD; +45F4 : CDCDCDCD; +45F5 : CDCDCDCD; +45F6 : CDCDCDCD; +45F7 : CDCDCDCD; +45F8 : CDCDCDCD; +45F9 : CDCDCDCD; +45FA : CDCDCDCD; +45FB : CDCDCDCD; +45FC : CDCDCDCD; +45FD : CDCDCDCD; +45FE : CDCDCDCD; +45FF : CDCDCDCD; +4600 : CDCDCDCD; +4601 : CDCDCDCD; +4602 : CDCDCDCD; +4603 : CDCDCDCD; +4604 : CDCDCDCD; +4605 : CDCDCDCD; +4606 : CDCDCDCD; +4607 : CDCDCDCD; +4608 : CDCDCDCD; +4609 : CDCDCDCD; +460A : CDCDCDCD; +460B : CDCDCDCD; +460C : CDCDCDCD; +460D : CDCDCDCD; +460E : CDCDCDCD; +460F : CDCDCDCD; +4610 : CDCDCDCD; +4611 : CDCDCDCD; +4612 : CDCDCDCD; +4613 : CDCDCDCD; +4614 : CDCDCDCD; +4615 : CDCDCDCD; +4616 : CDCDCDCD; +4617 : CDCDCDCD; +4618 : CDCDCDCD; +4619 : CDCDCDCD; +461A : CDCDCDCD; +461B : CDCDCDCD; +461C : CDCDCDCD; +461D : CDCDCDCD; +461E : CDCDCDCD; +461F : CDCDCDCD; +4620 : CDCDCDCD; +4621 : CDCDCDCD; +4622 : CDCDCDCD; +4623 : CDCDCDCD; +4624 : CDCDCDCD; +4625 : CDCDCDCD; +4626 : CDCDCDCD; +4627 : CDCDCDCD; +4628 : CDCDCDCD; +4629 : CDCDCDCD; +462A : CDCDCDCD; +462B : CDCDCDCD; +462C : CDCDCDCD; +462D : CDCDCDCD; +462E : CDCDCDCD; +462F : CDCDCDCD; +4630 : CDCDCDCD; +4631 : CDCDCDCD; +4632 : CDCDCDCD; +4633 : CDCDCDCD; +4634 : CDCDCDCD; +4635 : CDCDCDCD; +4636 : CDCDCDCD; +4637 : CDCDCDCD; +4638 : CDCDCDCD; +4639 : CDCDCDCD; +463A : CDCDCDCD; +463B : CDCDCDCD; +463C : CDCDCDCD; +463D : CDCDCDCD; +463E : CDCDCDCD; +463F : CDCDCDCD; +4640 : CDCDCDCD; +4641 : CDCDCDCD; +4642 : CDCDCDCD; +4643 : CDCDCDCD; +4644 : CDCDCDCD; +4645 : CDCDCDCD; +4646 : CDCDCDCD; +4647 : CDCDCDCD; +4648 : CDCDCDCD; +4649 : CDCDCDCD; +464A : CDCDCDCD; +464B : CDCDCDCD; +464C : CDCDCDCD; +464D : CDCDCDCD; +464E : CDCDCDCD; +464F : CDCDCDCD; +4650 : CDCDCDCD; +4651 : CDCDCDCD; +4652 : CDCDCDCD; +4653 : CDCDCDCD; +4654 : CDCDCDCD; +4655 : CDCDCDCD; +4656 : CDCDCDCD; +4657 : CDCDCDCD; +4658 : CDCDCDCD; +4659 : CDCDCDCD; +465A : CDCDCDCD; +465B : CDCDCDCD; +465C : CDCDCDCD; +465D : CDCDCDCD; +465E : CDCDCDCD; +465F : CDCDCDCD; +4660 : CDCDCDCD; +4661 : CDCDCDCD; +4662 : CDCDCDCD; +4663 : CDCDCDCD; +4664 : CDCDCDCD; +4665 : CDCDCDCD; +4666 : CDCDCDCD; +4667 : CDCDCDCD; +4668 : CDCDCDCD; +4669 : CDCDCDCD; +466A : CDCDCDCD; +466B : CDCDCDCD; +466C : CDCDCDCD; +466D : CDCDCDCD; +466E : CDCDCDCD; +466F : CDCDCDCD; +4670 : CDCDCDCD; +4671 : CDCDCDCD; +4672 : CDCDCDCD; +4673 : CDCDCDCD; +4674 : CDCDCDCD; +4675 : CDCDCDCD; +4676 : CDCDCDCD; +4677 : CDCDCDCD; +4678 : CDCDCDCD; +4679 : CDCDCDCD; +467A : CDCDCDCD; +467B : CDCDCDCD; +467C : CDCDCDCD; +467D : CDCDCDCD; +467E : CDCDCDCD; +467F : CDCDCDCD; +4680 : CDCDCDCD; +4681 : CDCDCDCD; +4682 : CDCDCDCD; +4683 : CDCDCDCD; +4684 : CDCDCDCD; +4685 : CDCDCDCD; +4686 : CDCDCDCD; +4687 : CDCDCDCD; +4688 : CDCDCDCD; +4689 : CDCDCDCD; +468A : CDCDCDCD; +468B : CDCDCDCD; +468C : CDCDCDCD; +468D : CDCDCDCD; +468E : CDCDCDCD; +468F : CDCDCDCD; +4690 : CDCDCDCD; +4691 : CDCDCDCD; +4692 : CDCDCDCD; +4693 : CDCDCDCD; +4694 : CDCDCDCD; +4695 : CDCDCDCD; +4696 : CDCDCDCD; +4697 : CDCDCDCD; +4698 : CDCDCDCD; +4699 : CDCDCDCD; +469A : CDCDCDCD; +469B : CDCDCDCD; +469C : CDCDCDCD; +469D : CDCDCDCD; +469E : CDCDCDCD; +469F : CDCDCDCD; +46A0 : CDCDCDCD; +46A1 : CDCDCDCD; +46A2 : CDCDCDCD; +46A3 : CDCDCDCD; +46A4 : CDCDCDCD; +46A5 : CDCDCDCD; +46A6 : CDCDCDCD; +46A7 : CDCDCDCD; +46A8 : CDCDCDCD; +46A9 : CDCDCDCD; +46AA : CDCDCDCD; +46AB : CDCDCDCD; +46AC : CDCDCDCD; +46AD : CDCDCDCD; +46AE : CDCDCDCD; +46AF : CDCDCDCD; +46B0 : CDCDCDCD; +46B1 : CDCDCDCD; +46B2 : CDCDCDCD; +46B3 : CDCDCDCD; +46B4 : CDCDCDCD; +46B5 : CDCDCDCD; +46B6 : CDCDCDCD; +46B7 : CDCDCDCD; +46B8 : CDCDCDCD; +46B9 : CDCDCDCD; +46BA : CDCDCDCD; +46BB : CDCDCDCD; +46BC : CDCDCDCD; +46BD : CDCDCDCD; +46BE : CDCDCDCD; +46BF : CDCDCDCD; +46C0 : CDCDCDCD; +46C1 : CDCDCDCD; +46C2 : CDCDCDCD; +46C3 : CDCDCDCD; +46C4 : CDCDCDCD; +46C5 : CDCDCDCD; +46C6 : CDCDCDCD; +46C7 : CDCDCDCD; +46C8 : CDCDCDCD; +46C9 : CDCDCDCD; +46CA : CDCDCDCD; +46CB : CDCDCDCD; +46CC : CDCDCDCD; +46CD : CDCDCDCD; +46CE : CDCDCDCD; +46CF : CDCDCDCD; +46D0 : CDCDCDCD; +46D1 : CDCDCDCD; +46D2 : CDCDCDCD; +46D3 : CDCDCDCD; +46D4 : CDCDCDCD; +46D5 : CDCDCDCD; +46D6 : CDCDCDCD; +46D7 : CDCDCDCD; +46D8 : CDCDCDCD; +46D9 : CDCDCDCD; +46DA : CDCDCDCD; +46DB : CDCDCDCD; +46DC : CDCDCDCD; +46DD : CDCDCDCD; +46DE : CDCDCDCD; +46DF : CDCDCDCD; +46E0 : CDCDCDCD; +46E1 : CDCDCDCD; +46E2 : CDCDCDCD; +46E3 : CDCDCDCD; +46E4 : CDCDCDCD; +46E5 : CDCDCDCD; +46E6 : CDCDCDCD; +46E7 : CDCDCDCD; +46E8 : CDCDCDCD; +46E9 : CDCDCDCD; +46EA : CDCDCDCD; +46EB : CDCDCDCD; +46EC : CDCDCDCD; +46ED : CDCDCDCD; +46EE : CDCDCDCD; +46EF : CDCDCDCD; +46F0 : CDCDCDCD; +46F1 : CDCDCDCD; +46F2 : CDCDCDCD; +46F3 : CDCDCDCD; +46F4 : CDCDCDCD; +46F5 : CDCDCDCD; +46F6 : CDCDCDCD; +46F7 : CDCDCDCD; +46F8 : CDCDCDCD; +46F9 : CDCDCDCD; +46FA : CDCDCDCD; +46FB : CDCDCDCD; +46FC : CDCDCDCD; +46FD : CDCDCDCD; +46FE : CDCDCDCD; +46FF : CDCDCDCD; +4700 : CDCDCDCD; +4701 : CDCDCDCD; +4702 : CDCDCDCD; +4703 : CDCDCDCD; +4704 : CDCDCDCD; +4705 : CDCDCDCD; +4706 : CDCDCDCD; +4707 : CDCDCDCD; +4708 : CDCDCDCD; +4709 : CDCDCDCD; +470A : CDCDCDCD; +470B : CDCDCDCD; +470C : CDCDCDCD; +470D : CDCDCDCD; +470E : CDCDCDCD; +470F : CDCDCDCD; +4710 : CDCDCDCD; +4711 : CDCDCDCD; +4712 : CDCDCDCD; +4713 : CDCDCDCD; +4714 : CDCDCDCD; +4715 : CDCDCDCD; +4716 : CDCDCDCD; +4717 : CDCDCDCD; +4718 : CDCDCDCD; +4719 : CDCDCDCD; +471A : CDCDCDCD; +471B : CDCDCDCD; +471C : CDCDCDCD; +471D : CDCDCDCD; +471E : CDCDCDCD; +471F : CDCDCDCD; +4720 : CDCDCDCD; +4721 : CDCDCDCD; +4722 : CDCDCDCD; +4723 : CDCDCDCD; +4724 : CDCDCDCD; +4725 : CDCDCDCD; +4726 : CDCDCDCD; +4727 : CDCDCDCD; +4728 : CDCDCDCD; +4729 : CDCDCDCD; +472A : CDCDCDCD; +472B : CDCDCDCD; +472C : CDCDCDCD; +472D : CDCDCDCD; +472E : CDCDCDCD; +472F : CDCDCDCD; +4730 : CDCDCDCD; +4731 : CDCDCDCD; +4732 : CDCDCDCD; +4733 : CDCDCDCD; +4734 : CDCDCDCD; +4735 : CDCDCDCD; +4736 : CDCDCDCD; +4737 : CDCDCDCD; +4738 : CDCDCDCD; +4739 : CDCDCDCD; +473A : CDCDCDCD; +473B : CDCDCDCD; +473C : CDCDCDCD; +473D : CDCDCDCD; +473E : CDCDCDCD; +473F : CDCDCDCD; +4740 : CDCDCDCD; +4741 : CDCDCDCD; +4742 : CDCDCDCD; +4743 : CDCDCDCD; +4744 : CDCDCDCD; +4745 : CDCDCDCD; +4746 : CDCDCDCD; +4747 : CDCDCDCD; +4748 : CDCDCDCD; +4749 : CDCDCDCD; +474A : CDCDCDCD; +474B : CDCDCDCD; +474C : CDCDCDCD; +474D : CDCDCDCD; +474E : CDCDCDCD; +474F : CDCDCDCD; +4750 : CDCDCDCD; +4751 : CDCDCDCD; +4752 : CDCDCDCD; +4753 : CDCDCDCD; +4754 : CDCDCDCD; +4755 : CDCDCDCD; +4756 : CDCDCDCD; +4757 : CDCDCDCD; +4758 : CDCDCDCD; +4759 : CDCDCDCD; +475A : CDCDCDCD; +475B : CDCDCDCD; +475C : CDCDCDCD; +475D : CDCDCDCD; +475E : CDCDCDCD; +475F : CDCDCDCD; +4760 : CDCDCDCD; +4761 : CDCDCDCD; +4762 : CDCDCDCD; +4763 : CDCDCDCD; +4764 : CDCDCDCD; +4765 : CDCDCDCD; +4766 : CDCDCDCD; +4767 : CDCDCDCD; +4768 : CDCDCDCD; +4769 : CDCDCDCD; +476A : CDCDCDCD; +476B : CDCDCDCD; +476C : CDCDCDCD; +476D : CDCDCDCD; +476E : CDCDCDCD; +476F : CDCDCDCD; +4770 : CDCDCDCD; +4771 : CDCDCDCD; +4772 : CDCDCDCD; +4773 : CDCDCDCD; +4774 : CDCDCDCD; +4775 : CDCDCDCD; +4776 : CDCDCDCD; +4777 : CDCDCDCD; +4778 : CDCDCDCD; +4779 : CDCDCDCD; +477A : CDCDCDCD; +477B : CDCDCDCD; +477C : CDCDCDCD; +477D : CDCDCDCD; +477E : CDCDCDCD; +477F : CDCDCDCD; +4780 : CDCDCDCD; +4781 : CDCDCDCD; +4782 : CDCDCDCD; +4783 : CDCDCDCD; +4784 : CDCDCDCD; +4785 : CDCDCDCD; +4786 : CDCDCDCD; +4787 : CDCDCDCD; +4788 : CDCDCDCD; +4789 : CDCDCDCD; +478A : CDCDCDCD; +478B : CDCDCDCD; +478C : CDCDCDCD; +478D : CDCDCDCD; +478E : CDCDCDCD; +478F : CDCDCDCD; +4790 : CDCDCDCD; +4791 : CDCDCDCD; +4792 : CDCDCDCD; +4793 : CDCDCDCD; +4794 : CDCDCDCD; +4795 : CDCDCDCD; +4796 : CDCDCDCD; +4797 : CDCDCDCD; +4798 : CDCDCDCD; +4799 : CDCDCDCD; +479A : CDCDCDCD; +479B : CDCDCDCD; +479C : CDCDCDCD; +479D : CDCDCDCD; +479E : CDCDCDCD; +479F : CDCDCDCD; +47A0 : CDCDCDCD; +47A1 : CDCDCDCD; +47A2 : CDCDCDCD; +47A3 : CDCDCDCD; +47A4 : CDCDCDCD; +47A5 : CDCDCDCD; +47A6 : CDCDCDCD; +47A7 : CDCDCDCD; +47A8 : CDCDCDCD; +47A9 : CDCDCDCD; +47AA : CDCDCDCD; +47AB : CDCDCDCD; +47AC : CDCDCDCD; +47AD : CDCDCDCD; +47AE : CDCDCDCD; +47AF : CDCDCDCD; +47B0 : CDCDCDCD; +47B1 : CDCDCDCD; +47B2 : CDCDCDCD; +47B3 : CDCDCDCD; +47B4 : CDCDCDCD; +47B5 : CDCDCDCD; +47B6 : CDCDCDCD; +47B7 : CDCDCDCD; +47B8 : CDCDCDCD; +47B9 : CDCDCDCD; +47BA : CDCDCDCD; +47BB : CDCDCDCD; +47BC : CDCDCDCD; +47BD : CDCDCDCD; +47BE : CDCDCDCD; +47BF : CDCDCDCD; +47C0 : CDCDCDCD; +47C1 : CDCDCDCD; +47C2 : CDCDCDCD; +47C3 : CDCDCDCD; +47C4 : CDCDCDCD; +47C5 : CDCDCDCD; +47C6 : CDCDCDCD; +47C7 : CDCDCDCD; +47C8 : CDCDCDCD; +47C9 : CDCDCDCD; +47CA : CDCDCDCD; +47CB : CDCDCDCD; +47CC : CDCDCDCD; +47CD : CDCDCDCD; +47CE : CDCDCDCD; +47CF : CDCDCDCD; +47D0 : CDCDCDCD; +47D1 : CDCDCDCD; +47D2 : CDCDCDCD; +47D3 : CDCDCDCD; +47D4 : CDCDCDCD; +47D5 : CDCDCDCD; +47D6 : CDCDCDCD; +47D7 : CDCDCDCD; +47D8 : CDCDCDCD; +47D9 : CDCDCDCD; +47DA : CDCDCDCD; +47DB : CDCDCDCD; +47DC : CDCDCDCD; +47DD : CDCDCDCD; +47DE : CDCDCDCD; +47DF : CDCDCDCD; +47E0 : CDCDCDCD; +47E1 : CDCDCDCD; +47E2 : CDCDCDCD; +47E3 : CDCDCDCD; +47E4 : CDCDCDCD; +47E5 : CDCDCDCD; +47E6 : CDCDCDCD; +47E7 : CDCDCDCD; +47E8 : CDCDCDCD; +47E9 : CDCDCDCD; +47EA : CDCDCDCD; +47EB : CDCDCDCD; +47EC : CDCDCDCD; +47ED : CDCDCDCD; +47EE : CDCDCDCD; +47EF : CDCDCDCD; +47F0 : CDCDCDCD; +47F1 : CDCDCDCD; +47F2 : CDCDCDCD; +47F3 : CDCDCDCD; +47F4 : CDCDCDCD; +47F5 : CDCDCDCD; +47F6 : CDCDCDCD; +47F7 : CDCDCDCD; +47F8 : CDCDCDCD; +47F9 : CDCDCDCD; +47FA : CDCDCDCD; +47FB : CDCDCDCD; +47FC : CDCDCDCD; +47FD : CDCDCDCD; +47FE : CDCDCDCD; +47FF : CDCDCDCD; +4800 : CDCDCDCD; +4801 : CDCDCDCD; +4802 : CDCDCDCD; +4803 : CDCDCDCD; +4804 : CDCDCDCD; +4805 : CDCDCDCD; +4806 : CDCDCDCD; +4807 : CDCDCDCD; +4808 : CDCDCDCD; +4809 : CDCDCDCD; +480A : CDCDCDCD; +480B : CDCDCDCD; +480C : CDCDCDCD; +480D : CDCDCDCD; +480E : CDCDCDCD; +480F : CDCDCDCD; +4810 : CDCDCDCD; +4811 : CDCDCDCD; +4812 : CDCDCDCD; +4813 : CDCDCDCD; +4814 : CDCDCDCD; +4815 : CDCDCDCD; +4816 : CDCDCDCD; +4817 : CDCDCDCD; +4818 : CDCDCDCD; +4819 : CDCDCDCD; +481A : CDCDCDCD; +481B : CDCDCDCD; +481C : CDCDCDCD; +481D : CDCDCDCD; +481E : CDCDCDCD; +481F : CDCDCDCD; +4820 : CDCDCDCD; +4821 : CDCDCDCD; +4822 : CDCDCDCD; +4823 : CDCDCDCD; +4824 : CDCDCDCD; +4825 : CDCDCDCD; +4826 : CDCDCDCD; +4827 : CDCDCDCD; +4828 : CDCDCDCD; +4829 : CDCDCDCD; +482A : CDCDCDCD; +482B : CDCDCDCD; +482C : CDCDCDCD; +482D : CDCDCDCD; +482E : CDCDCDCD; +482F : CDCDCDCD; +4830 : CDCDCDCD; +4831 : CDCDCDCD; +4832 : CDCDCDCD; +4833 : CDCDCDCD; +4834 : CDCDCDCD; +4835 : CDCDCDCD; +4836 : CDCDCDCD; +4837 : CDCDCDCD; +4838 : CDCDCDCD; +4839 : CDCDCDCD; +483A : CDCDCDCD; +483B : CDCDCDCD; +483C : CDCDCDCD; +483D : CDCDCDCD; +483E : CDCDCDCD; +483F : CDCDCDCD; +4840 : CDCDCDCD; +4841 : CDCDCDCD; +4842 : CDCDCDCD; +4843 : CDCDCDCD; +4844 : CDCDCDCD; +4845 : CDCDCDCD; +4846 : CDCDCDCD; +4847 : CDCDCDCD; +4848 : CDCDCDCD; +4849 : CDCDCDCD; +484A : CDCDCDCD; +484B : CDCDCDCD; +484C : CDCDCDCD; +484D : CDCDCDCD; +484E : CDCDCDCD; +484F : CDCDCDCD; +4850 : CDCDCDCD; +4851 : CDCDCDCD; +4852 : CDCDCDCD; +4853 : CDCDCDCD; +4854 : CDCDCDCD; +4855 : CDCDCDCD; +4856 : CDCDCDCD; +4857 : CDCDCDCD; +4858 : CDCDCDCD; +4859 : CDCDCDCD; +485A : CDCDCDCD; +485B : CDCDCDCD; +485C : CDCDCDCD; +485D : CDCDCDCD; +485E : CDCDCDCD; +485F : CDCDCDCD; +4860 : CDCDCDCD; +4861 : CDCDCDCD; +4862 : CDCDCDCD; +4863 : CDCDCDCD; +4864 : CDCDCDCD; +4865 : CDCDCDCD; +4866 : CDCDCDCD; +4867 : CDCDCDCD; +4868 : CDCDCDCD; +4869 : CDCDCDCD; +486A : CDCDCDCD; +486B : CDCDCDCD; +486C : CDCDCDCD; +486D : CDCDCDCD; +486E : CDCDCDCD; +486F : CDCDCDCD; +4870 : CDCDCDCD; +4871 : CDCDCDCD; +4872 : CDCDCDCD; +4873 : CDCDCDCD; +4874 : CDCDCDCD; +4875 : CDCDCDCD; +4876 : CDCDCDCD; +4877 : CDCDCDCD; +4878 : CDCDCDCD; +4879 : CDCDCDCD; +487A : CDCDCDCD; +487B : CDCDCDCD; +487C : CDCDCDCD; +487D : CDCDCDCD; +487E : CDCDCDCD; +487F : CDCDCDCD; +4880 : CDCDCDCD; +4881 : CDCDCDCD; +4882 : CDCDCDCD; +4883 : CDCDCDCD; +4884 : CDCDCDCD; +4885 : CDCDCDCD; +4886 : CDCDCDCD; +4887 : CDCDCDCD; +4888 : CDCDCDCD; +4889 : CDCDCDCD; +488A : CDCDCDCD; +488B : CDCDCDCD; +488C : CDCDCDCD; +488D : CDCDCDCD; +488E : CDCDCDCD; +488F : CDCDCDCD; +4890 : CDCDCDCD; +4891 : CDCDCDCD; +4892 : CDCDCDCD; +4893 : CDCDCDCD; +4894 : CDCDCDCD; +4895 : CDCDCDCD; +4896 : CDCDCDCD; +4897 : CDCDCDCD; +4898 : CDCDCDCD; +4899 : CDCDCDCD; +489A : CDCDCDCD; +489B : CDCDCDCD; +489C : CDCDCDCD; +489D : CDCDCDCD; +489E : CDCDCDCD; +489F : CDCDCDCD; +48A0 : CDCDCDCD; +48A1 : CDCDCDCD; +48A2 : CDCDCDCD; +48A3 : CDCDCDCD; +48A4 : CDCDCDCD; +48A5 : CDCDCDCD; +48A6 : CDCDCDCD; +48A7 : CDCDCDCD; +48A8 : CDCDCDCD; +48A9 : CDCDCDCD; +48AA : CDCDCDCD; +48AB : CDCDCDCD; +48AC : CDCDCDCD; +48AD : CDCDCDCD; +48AE : CDCDCDCD; +48AF : CDCDCDCD; +48B0 : CDCDCDCD; +48B1 : CDCDCDCD; +48B2 : CDCDCDCD; +48B3 : CDCDCDCD; +48B4 : CDCDCDCD; +48B5 : CDCDCDCD; +48B6 : CDCDCDCD; +48B7 : CDCDCDCD; +48B8 : CDCDCDCD; +48B9 : CDCDCDCD; +48BA : CDCDCDCD; +48BB : CDCDCDCD; +48BC : CDCDCDCD; +48BD : CDCDCDCD; +48BE : CDCDCDCD; +48BF : CDCDCDCD; +48C0 : CDCDCDCD; +48C1 : CDCDCDCD; +48C2 : CDCDCDCD; +48C3 : CDCDCDCD; +48C4 : CDCDCDCD; +48C5 : CDCDCDCD; +48C6 : CDCDCDCD; +48C7 : CDCDCDCD; +48C8 : CDCDCDCD; +48C9 : CDCDCDCD; +48CA : CDCDCDCD; +48CB : CDCDCDCD; +48CC : CDCDCDCD; +48CD : CDCDCDCD; +48CE : CDCDCDCD; +48CF : CDCDCDCD; +48D0 : CDCDCDCD; +48D1 : CDCDCDCD; +48D2 : CDCDCDCD; +48D3 : CDCDCDCD; +48D4 : CDCDCDCD; +48D5 : CDCDCDCD; +48D6 : CDCDCDCD; +48D7 : CDCDCDCD; +48D8 : CDCDCDCD; +48D9 : CDCDCDCD; +48DA : CDCDCDCD; +48DB : CDCDCDCD; +48DC : CDCDCDCD; +48DD : CDCDCDCD; +48DE : CDCDCDCD; +48DF : CDCDCDCD; +48E0 : CDCDCDCD; +48E1 : CDCDCDCD; +48E2 : CDCDCDCD; +48E3 : CDCDCDCD; +48E4 : CDCDCDCD; +48E5 : CDCDCDCD; +48E6 : CDCDCDCD; +48E7 : CDCDCDCD; +48E8 : CDCDCDCD; +48E9 : CDCDCDCD; +48EA : CDCDCDCD; +48EB : CDCDCDCD; +48EC : CDCDCDCD; +48ED : CDCDCDCD; +48EE : CDCDCDCD; +48EF : CDCDCDCD; +48F0 : CDCDCDCD; +48F1 : CDCDCDCD; +48F2 : CDCDCDCD; +48F3 : CDCDCDCD; +48F4 : CDCDCDCD; +48F5 : CDCDCDCD; +48F6 : CDCDCDCD; +48F7 : CDCDCDCD; +48F8 : CDCDCDCD; +48F9 : CDCDCDCD; +48FA : CDCDCDCD; +48FB : CDCDCDCD; +48FC : CDCDCDCD; +48FD : CDCDCDCD; +48FE : CDCDCDCD; +48FF : CDCDCDCD; +4900 : CDCDCDCD; +4901 : CDCDCDCD; +4902 : CDCDCDCD; +4903 : CDCDCDCD; +4904 : CDCDCDCD; +4905 : CDCDCDCD; +4906 : CDCDCDCD; +4907 : CDCDCDCD; +4908 : CDCDCDCD; +4909 : CDCDCDCD; +490A : CDCDCDCD; +490B : CDCDCDCD; +490C : CDCDCDCD; +490D : CDCDCDCD; +490E : CDCDCDCD; +490F : CDCDCDCD; +4910 : CDCDCDCD; +4911 : CDCDCDCD; +4912 : CDCDCDCD; +4913 : CDCDCDCD; +4914 : CDCDCDCD; +4915 : CDCDCDCD; +4916 : CDCDCDCD; +4917 : CDCDCDCD; +4918 : CDCDCDCD; +4919 : CDCDCDCD; +491A : CDCDCDCD; +491B : CDCDCDCD; +491C : CDCDCDCD; +491D : CDCDCDCD; +491E : CDCDCDCD; +491F : CDCDCDCD; +4920 : CDCDCDCD; +4921 : CDCDCDCD; +4922 : CDCDCDCD; +4923 : CDCDCDCD; +4924 : CDCDCDCD; +4925 : CDCDCDCD; +4926 : CDCDCDCD; +4927 : CDCDCDCD; +4928 : CDCDCDCD; +4929 : CDCDCDCD; +492A : CDCDCDCD; +492B : CDCDCDCD; +492C : CDCDCDCD; +492D : CDCDCDCD; +492E : CDCDCDCD; +492F : CDCDCDCD; +4930 : CDCDCDCD; +4931 : CDCDCDCD; +4932 : CDCDCDCD; +4933 : CDCDCDCD; +4934 : CDCDCDCD; +4935 : CDCDCDCD; +4936 : CDCDCDCD; +4937 : CDCDCDCD; +4938 : CDCDCDCD; +4939 : CDCDCDCD; +493A : CDCDCDCD; +493B : CDCDCDCD; +493C : CDCDCDCD; +493D : CDCDCDCD; +493E : CDCDCDCD; +493F : CDCDCDCD; +4940 : CDCDCDCD; +4941 : CDCDCDCD; +4942 : CDCDCDCD; +4943 : CDCDCDCD; +4944 : CDCDCDCD; +4945 : CDCDCDCD; +4946 : CDCDCDCD; +4947 : CDCDCDCD; +4948 : CDCDCDCD; +4949 : CDCDCDCD; +494A : CDCDCDCD; +494B : CDCDCDCD; +494C : CDCDCDCD; +494D : CDCDCDCD; +494E : CDCDCDCD; +494F : CDCDCDCD; +4950 : CDCDCDCD; +4951 : CDCDCDCD; +4952 : CDCDCDCD; +4953 : CDCDCDCD; +4954 : CDCDCDCD; +4955 : CDCDCDCD; +4956 : CDCDCDCD; +4957 : CDCDCDCD; +4958 : CDCDCDCD; +4959 : CDCDCDCD; +495A : CDCDCDCD; +495B : CDCDCDCD; +495C : CDCDCDCD; +495D : CDCDCDCD; +495E : CDCDCDCD; +495F : CDCDCDCD; +4960 : CDCDCDCD; +4961 : CDCDCDCD; +4962 : CDCDCDCD; +4963 : CDCDCDCD; +4964 : CDCDCDCD; +4965 : CDCDCDCD; +4966 : CDCDCDCD; +4967 : CDCDCDCD; +4968 : CDCDCDCD; +4969 : CDCDCDCD; +496A : CDCDCDCD; +496B : CDCDCDCD; +496C : CDCDCDCD; +496D : CDCDCDCD; +496E : CDCDCDCD; +496F : CDCDCDCD; +4970 : CDCDCDCD; +4971 : CDCDCDCD; +4972 : CDCDCDCD; +4973 : CDCDCDCD; +4974 : CDCDCDCD; +4975 : CDCDCDCD; +4976 : CDCDCDCD; +4977 : CDCDCDCD; +4978 : CDCDCDCD; +4979 : CDCDCDCD; +497A : CDCDCDCD; +497B : CDCDCDCD; +497C : CDCDCDCD; +497D : CDCDCDCD; +497E : CDCDCDCD; +497F : CDCDCDCD; +4980 : CDCDCDCD; +4981 : CDCDCDCD; +4982 : CDCDCDCD; +4983 : CDCDCDCD; +4984 : CDCDCDCD; +4985 : CDCDCDCD; +4986 : CDCDCDCD; +4987 : CDCDCDCD; +4988 : CDCDCDCD; +4989 : CDCDCDCD; +498A : CDCDCDCD; +498B : CDCDCDCD; +498C : CDCDCDCD; +498D : CDCDCDCD; +498E : CDCDCDCD; +498F : CDCDCDCD; +4990 : CDCDCDCD; +4991 : CDCDCDCD; +4992 : CDCDCDCD; +4993 : CDCDCDCD; +4994 : CDCDCDCD; +4995 : CDCDCDCD; +4996 : CDCDCDCD; +4997 : CDCDCDCD; +4998 : CDCDCDCD; +4999 : CDCDCDCD; +499A : CDCDCDCD; +499B : CDCDCDCD; +499C : CDCDCDCD; +499D : CDCDCDCD; +499E : CDCDCDCD; +499F : CDCDCDCD; +49A0 : CDCDCDCD; +49A1 : CDCDCDCD; +49A2 : CDCDCDCD; +49A3 : CDCDCDCD; +49A4 : CDCDCDCD; +49A5 : CDCDCDCD; +49A6 : CDCDCDCD; +49A7 : CDCDCDCD; +49A8 : CDCDCDCD; +49A9 : CDCDCDCD; +49AA : CDCDCDCD; +49AB : CDCDCDCD; +49AC : CDCDCDCD; +49AD : CDCDCDCD; +49AE : CDCDCDCD; +49AF : CDCDCDCD; +49B0 : CDCDCDCD; +49B1 : CDCDCDCD; +49B2 : CDCDCDCD; +49B3 : CDCDCDCD; +49B4 : CDCDCDCD; +49B5 : CDCDCDCD; +49B6 : CDCDCDCD; +49B7 : CDCDCDCD; +49B8 : CDCDCDCD; +49B9 : CDCDCDCD; +49BA : CDCDCDCD; +49BB : CDCDCDCD; +49BC : CDCDCDCD; +49BD : CDCDCDCD; +49BE : CDCDCDCD; +49BF : CDCDCDCD; +49C0 : CDCDCDCD; +49C1 : CDCDCDCD; +49C2 : CDCDCDCD; +49C3 : CDCDCDCD; +49C4 : CDCDCDCD; +49C5 : CDCDCDCD; +49C6 : CDCDCDCD; +49C7 : CDCDCDCD; +49C8 : CDCDCDCD; +49C9 : CDCDCDCD; +49CA : CDCDCDCD; +49CB : CDCDCDCD; +49CC : CDCDCDCD; +49CD : CDCDCDCD; +49CE : CDCDCDCD; +49CF : CDCDCDCD; +49D0 : CDCDCDCD; +49D1 : CDCDCDCD; +49D2 : CDCDCDCD; +49D3 : CDCDCDCD; +49D4 : CDCDCDCD; +49D5 : CDCDCDCD; +49D6 : CDCDCDCD; +49D7 : CDCDCDCD; +49D8 : CDCDCDCD; +49D9 : CDCDCDCD; +49DA : CDCDCDCD; +49DB : CDCDCDCD; +49DC : CDCDCDCD; +49DD : CDCDCDCD; +49DE : CDCDCDCD; +49DF : CDCDCDCD; +49E0 : CDCDCDCD; +49E1 : CDCDCDCD; +49E2 : CDCDCDCD; +49E3 : CDCDCDCD; +49E4 : CDCDCDCD; +49E5 : CDCDCDCD; +49E6 : CDCDCDCD; +49E7 : CDCDCDCD; +49E8 : CDCDCDCD; +49E9 : CDCDCDCD; +49EA : CDCDCDCD; +49EB : CDCDCDCD; +49EC : CDCDCDCD; +49ED : CDCDCDCD; +49EE : CDCDCDCD; +49EF : CDCDCDCD; +49F0 : CDCDCDCD; +49F1 : CDCDCDCD; +49F2 : CDCDCDCD; +49F3 : CDCDCDCD; +49F4 : CDCDCDCD; +49F5 : CDCDCDCD; +49F6 : CDCDCDCD; +49F7 : CDCDCDCD; +49F8 : CDCDCDCD; +49F9 : CDCDCDCD; +49FA : CDCDCDCD; +49FB : CDCDCDCD; +49FC : CDCDCDCD; +49FD : CDCDCDCD; +49FE : CDCDCDCD; +49FF : CDCDCDCD; +4A00 : CDCDCDCD; +4A01 : CDCDCDCD; +4A02 : CDCDCDCD; +4A03 : CDCDCDCD; +4A04 : CDCDCDCD; +4A05 : CDCDCDCD; +4A06 : CDCDCDCD; +4A07 : CDCDCDCD; +4A08 : CDCDCDCD; +4A09 : CDCDCDCD; +4A0A : CDCDCDCD; +4A0B : CDCDCDCD; +4A0C : CDCDCDCD; +4A0D : CDCDCDCD; +4A0E : CDCDCDCD; +4A0F : CDCDCDCD; +4A10 : CDCDCDCD; +4A11 : CDCDCDCD; +4A12 : CDCDCDCD; +4A13 : CDCDCDCD; +4A14 : CDCDCDCD; +4A15 : CDCDCDCD; +4A16 : CDCDCDCD; +4A17 : CDCDCDCD; +4A18 : CDCDCDCD; +4A19 : CDCDCDCD; +4A1A : CDCDCDCD; +4A1B : CDCDCDCD; +4A1C : CDCDCDCD; +4A1D : CDCDCDCD; +4A1E : CDCDCDCD; +4A1F : CDCDCDCD; +4A20 : CDCDCDCD; +4A21 : CDCDCDCD; +4A22 : CDCDCDCD; +4A23 : CDCDCDCD; +4A24 : CDCDCDCD; +4A25 : CDCDCDCD; +4A26 : CDCDCDCD; +4A27 : CDCDCDCD; +4A28 : CDCDCDCD; +4A29 : CDCDCDCD; +4A2A : CDCDCDCD; +4A2B : CDCDCDCD; +4A2C : CDCDCDCD; +4A2D : CDCDCDCD; +4A2E : CDCDCDCD; +4A2F : CDCDCDCD; +4A30 : CDCDCDCD; +4A31 : CDCDCDCD; +4A32 : CDCDCDCD; +4A33 : CDCDCDCD; +4A34 : CDCDCDCD; +4A35 : CDCDCDCD; +4A36 : CDCDCDCD; +4A37 : CDCDCDCD; +4A38 : CDCDCDCD; +4A39 : CDCDCDCD; +4A3A : CDCDCDCD; +4A3B : CDCDCDCD; +4A3C : CDCDCDCD; +4A3D : CDCDCDCD; +4A3E : CDCDCDCD; +4A3F : CDCDCDCD; +4A40 : CDCDCDCD; +4A41 : CDCDCDCD; +4A42 : CDCDCDCD; +4A43 : CDCDCDCD; +4A44 : CDCDCDCD; +4A45 : CDCDCDCD; +4A46 : CDCDCDCD; +4A47 : CDCDCDCD; +4A48 : CDCDCDCD; +4A49 : CDCDCDCD; +4A4A : CDCDCDCD; +4A4B : CDCDCDCD; +4A4C : CDCDCDCD; +4A4D : CDCDCDCD; +4A4E : CDCDCDCD; +4A4F : CDCDCDCD; +4A50 : CDCDCDCD; +4A51 : CDCDCDCD; +4A52 : CDCDCDCD; +4A53 : CDCDCDCD; +4A54 : CDCDCDCD; +4A55 : CDCDCDCD; +4A56 : CDCDCDCD; +4A57 : CDCDCDCD; +4A58 : CDCDCDCD; +4A59 : CDCDCDCD; +4A5A : CDCDCDCD; +4A5B : CDCDCDCD; +4A5C : CDCDCDCD; +4A5D : CDCDCDCD; +4A5E : CDCDCDCD; +4A5F : CDCDCDCD; +4A60 : CDCDCDCD; +4A61 : CDCDCDCD; +4A62 : CDCDCDCD; +4A63 : CDCDCDCD; +4A64 : CDCDCDCD; +4A65 : CDCDCDCD; +4A66 : CDCDCDCD; +4A67 : CDCDCDCD; +4A68 : CDCDCDCD; +4A69 : CDCDCDCD; +4A6A : CDCDCDCD; +4A6B : CDCDCDCD; +4A6C : CDCDCDCD; +4A6D : CDCDCDCD; +4A6E : CDCDCDCD; +4A6F : CDCDCDCD; +4A70 : CDCDCDCD; +4A71 : CDCDCDCD; +4A72 : CDCDCDCD; +4A73 : CDCDCDCD; +4A74 : CDCDCDCD; +4A75 : CDCDCDCD; +4A76 : CDCDCDCD; +4A77 : CDCDCDCD; +4A78 : CDCDCDCD; +4A79 : CDCDCDCD; +4A7A : CDCDCDCD; +4A7B : CDCDCDCD; +4A7C : CDCDCDCD; +4A7D : CDCDCDCD; +4A7E : CDCDCDCD; +4A7F : CDCDCDCD; +4A80 : CDCDCDCD; +4A81 : CDCDCDCD; +4A82 : CDCDCDCD; +4A83 : CDCDCDCD; +4A84 : CDCDCDCD; +4A85 : CDCDCDCD; +4A86 : CDCDCDCD; +4A87 : CDCDCDCD; +4A88 : CDCDCDCD; +4A89 : CDCDCDCD; +4A8A : CDCDCDCD; +4A8B : CDCDCDCD; +4A8C : CDCDCDCD; +4A8D : CDCDCDCD; +4A8E : CDCDCDCD; +4A8F : CDCDCDCD; +4A90 : CDCDCDCD; +4A91 : CDCDCDCD; +4A92 : CDCDCDCD; +4A93 : CDCDCDCD; +4A94 : CDCDCDCD; +4A95 : CDCDCDCD; +4A96 : CDCDCDCD; +4A97 : CDCDCDCD; +4A98 : CDCDCDCD; +4A99 : CDCDCDCD; +4A9A : CDCDCDCD; +4A9B : CDCDCDCD; +4A9C : CDCDCDCD; +4A9D : CDCDCDCD; +4A9E : CDCDCDCD; +4A9F : CDCDCDCD; +4AA0 : CDCDCDCD; +4AA1 : CDCDCDCD; +4AA2 : CDCDCDCD; +4AA3 : CDCDCDCD; +4AA4 : CDCDCDCD; +4AA5 : CDCDCDCD; +4AA6 : CDCDCDCD; +4AA7 : CDCDCDCD; +4AA8 : CDCDCDCD; +4AA9 : CDCDCDCD; +4AAA : CDCDCDCD; +4AAB : CDCDCDCD; +4AAC : CDCDCDCD; +4AAD : CDCDCDCD; +4AAE : CDCDCDCD; +4AAF : CDCDCDCD; +4AB0 : CDCDCDCD; +4AB1 : CDCDCDCD; +4AB2 : CDCDCDCD; +4AB3 : CDCDCDCD; +4AB4 : CDCDCDCD; +4AB5 : CDCDCDCD; +4AB6 : CDCDCDCD; +4AB7 : CDCDCDCD; +4AB8 : CDCDCDCD; +4AB9 : CDCDCDCD; +4ABA : CDCDCDCD; +4ABB : CDCDCDCD; +4ABC : CDCDCDCD; +4ABD : CDCDCDCD; +4ABE : CDCDCDCD; +4ABF : CDCDCDCD; +4AC0 : CDCDCDCD; +4AC1 : CDCDCDCD; +4AC2 : CDCDCDCD; +4AC3 : CDCDCDCD; +4AC4 : CDCDCDCD; +4AC5 : CDCDCDCD; +4AC6 : CDCDCDCD; +4AC7 : CDCDCDCD; +4AC8 : CDCDCDCD; +4AC9 : CDCDCDCD; +4ACA : CDCDCDCD; +4ACB : CDCDCDCD; +4ACC : CDCDCDCD; +4ACD : CDCDCDCD; +4ACE : CDCDCDCD; +4ACF : CDCDCDCD; +4AD0 : CDCDCDCD; +4AD1 : CDCDCDCD; +4AD2 : CDCDCDCD; +4AD3 : CDCDCDCD; +4AD4 : CDCDCDCD; +4AD5 : CDCDCDCD; +4AD6 : CDCDCDCD; +4AD7 : CDCDCDCD; +4AD8 : CDCDCDCD; +4AD9 : CDCDCDCD; +4ADA : CDCDCDCD; +4ADB : CDCDCDCD; +4ADC : CDCDCDCD; +4ADD : CDCDCDCD; +4ADE : CDCDCDCD; +4ADF : CDCDCDCD; +4AE0 : CDCDCDCD; +4AE1 : CDCDCDCD; +4AE2 : CDCDCDCD; +4AE3 : CDCDCDCD; +4AE4 : CDCDCDCD; +4AE5 : CDCDCDCD; +4AE6 : CDCDCDCD; +4AE7 : CDCDCDCD; +4AE8 : CDCDCDCD; +4AE9 : CDCDCDCD; +4AEA : CDCDCDCD; +4AEB : CDCDCDCD; +4AEC : CDCDCDCD; +4AED : CDCDCDCD; +4AEE : CDCDCDCD; +4AEF : CDCDCDCD; +4AF0 : CDCDCDCD; +4AF1 : CDCDCDCD; +4AF2 : CDCDCDCD; +4AF3 : CDCDCDCD; +4AF4 : CDCDCDCD; +4AF5 : CDCDCDCD; +4AF6 : CDCDCDCD; +4AF7 : CDCDCDCD; +4AF8 : CDCDCDCD; +4AF9 : CDCDCDCD; +4AFA : CDCDCDCD; +4AFB : CDCDCDCD; +4AFC : CDCDCDCD; +4AFD : CDCDCDCD; +4AFE : CDCDCDCD; +4AFF : CDCDCDCD; +4B00 : CDCDCDCD; +4B01 : CDCDCDCD; +4B02 : CDCDCDCD; +4B03 : CDCDCDCD; +4B04 : CDCDCDCD; +4B05 : CDCDCDCD; +4B06 : CDCDCDCD; +4B07 : CDCDCDCD; +4B08 : CDCDCDCD; +4B09 : CDCDCDCD; +4B0A : CDCDCDCD; +4B0B : CDCDCDCD; +4B0C : CDCDCDCD; +4B0D : CDCDCDCD; +4B0E : CDCDCDCD; +4B0F : CDCDCDCD; +4B10 : CDCDCDCD; +4B11 : CDCDCDCD; +4B12 : CDCDCDCD; +4B13 : CDCDCDCD; +4B14 : CDCDCDCD; +4B15 : CDCDCDCD; +4B16 : CDCDCDCD; +4B17 : CDCDCDCD; +4B18 : CDCDCDCD; +4B19 : CDCDCDCD; +4B1A : CDCDCDCD; +4B1B : CDCDCDCD; +4B1C : CDCDCDCD; +4B1D : CDCDCDCD; +4B1E : CDCDCDCD; +4B1F : CDCDCDCD; +4B20 : CDCDCDCD; +4B21 : CDCDCDCD; +4B22 : CDCDCDCD; +4B23 : CDCDCDCD; +4B24 : CDCDCDCD; +4B25 : CDCDCDCD; +4B26 : CDCDCDCD; +4B27 : CDCDCDCD; +4B28 : CDCDCDCD; +4B29 : CDCDCDCD; +4B2A : CDCDCDCD; +4B2B : CDCDCDCD; +4B2C : CDCDCDCD; +4B2D : CDCDCDCD; +4B2E : CDCDCDCD; +4B2F : CDCDCDCD; +4B30 : CDCDCDCD; +4B31 : CDCDCDCD; +4B32 : CDCDCDCD; +4B33 : CDCDCDCD; +4B34 : CDCDCDCD; +4B35 : CDCDCDCD; +4B36 : CDCDCDCD; +4B37 : CDCDCDCD; +4B38 : CDCDCDCD; +4B39 : CDCDCDCD; +4B3A : CDCDCDCD; +4B3B : CDCDCDCD; +4B3C : CDCDCDCD; +4B3D : CDCDCDCD; +4B3E : CDCDCDCD; +4B3F : CDCDCDCD; +4B40 : CDCDCDCD; +4B41 : CDCDCDCD; +4B42 : CDCDCDCD; +4B43 : CDCDCDCD; +4B44 : CDCDCDCD; +4B45 : CDCDCDCD; +4B46 : CDCDCDCD; +4B47 : CDCDCDCD; +4B48 : CDCDCDCD; +4B49 : CDCDCDCD; +4B4A : CDCDCDCD; +4B4B : CDCDCDCD; +4B4C : CDCDCDCD; +4B4D : CDCDCDCD; +4B4E : CDCDCDCD; +4B4F : CDCDCDCD; +4B50 : CDCDCDCD; +4B51 : CDCDCDCD; +4B52 : CDCDCDCD; +4B53 : CDCDCDCD; +4B54 : CDCDCDCD; +4B55 : CDCDCDCD; +4B56 : CDCDCDCD; +4B57 : CDCDCDCD; +4B58 : CDCDCDCD; +4B59 : CDCDCDCD; +4B5A : CDCDCDCD; +4B5B : CDCDCDCD; +4B5C : CDCDCDCD; +4B5D : CDCDCDCD; +4B5E : CDCDCDCD; +4B5F : CDCDCDCD; +4B60 : CDCDCDCD; +4B61 : CDCDCDCD; +4B62 : CDCDCDCD; +4B63 : CDCDCDCD; +4B64 : CDCDCDCD; +4B65 : CDCDCDCD; +4B66 : CDCDCDCD; +4B67 : CDCDCDCD; +4B68 : CDCDCDCD; +4B69 : CDCDCDCD; +4B6A : CDCDCDCD; +4B6B : CDCDCDCD; +4B6C : CDCDCDCD; +4B6D : CDCDCDCD; +4B6E : CDCDCDCD; +4B6F : CDCDCDCD; +4B70 : CDCDCDCD; +4B71 : CDCDCDCD; +4B72 : CDCDCDCD; +4B73 : CDCDCDCD; +4B74 : CDCDCDCD; +4B75 : CDCDCDCD; +4B76 : CDCDCDCD; +4B77 : CDCDCDCD; +4B78 : CDCDCDCD; +4B79 : CDCDCDCD; +4B7A : CDCDCDCD; +4B7B : CDCDCDCD; +4B7C : CDCDCDCD; +4B7D : CDCDCDCD; +4B7E : CDCDCDCD; +4B7F : CDCDCDCD; +4B80 : CDCDCDCD; +4B81 : CDCDCDCD; +4B82 : CDCDCDCD; +4B83 : CDCDCDCD; +4B84 : CDCDCDCD; +4B85 : CDCDCDCD; +4B86 : CDCDCDCD; +4B87 : CDCDCDCD; +4B88 : CDCDCDCD; +4B89 : CDCDCDCD; +4B8A : CDCDCDCD; +4B8B : CDCDCDCD; +4B8C : CDCDCDCD; +4B8D : CDCDCDCD; +4B8E : CDCDCDCD; +4B8F : CDCDCDCD; +4B90 : CDCDCDCD; +4B91 : CDCDCDCD; +4B92 : CDCDCDCD; +4B93 : CDCDCDCD; +4B94 : CDCDCDCD; +4B95 : CDCDCDCD; +4B96 : CDCDCDCD; +4B97 : CDCDCDCD; +4B98 : CDCDCDCD; +4B99 : CDCDCDCD; +4B9A : CDCDCDCD; +4B9B : CDCDCDCD; +4B9C : CDCDCDCD; +4B9D : CDCDCDCD; +4B9E : CDCDCDCD; +4B9F : CDCDCDCD; +4BA0 : CDCDCDCD; +4BA1 : CDCDCDCD; +4BA2 : CDCDCDCD; +4BA3 : CDCDCDCD; +4BA4 : CDCDCDCD; +4BA5 : CDCDCDCD; +4BA6 : CDCDCDCD; +4BA7 : CDCDCDCD; +4BA8 : CDCDCDCD; +4BA9 : CDCDCDCD; +4BAA : CDCDCDCD; +4BAB : CDCDCDCD; +4BAC : CDCDCDCD; +4BAD : CDCDCDCD; +4BAE : CDCDCDCD; +4BAF : CDCDCDCD; +4BB0 : CDCDCDCD; +4BB1 : CDCDCDCD; +4BB2 : CDCDCDCD; +4BB3 : CDCDCDCD; +4BB4 : CDCDCDCD; +4BB5 : CDCDCDCD; +4BB6 : CDCDCDCD; +4BB7 : CDCDCDCD; +4BB8 : CDCDCDCD; +4BB9 : CDCDCDCD; +4BBA : CDCDCDCD; +4BBB : CDCDCDCD; +4BBC : CDCDCDCD; +4BBD : CDCDCDCD; +4BBE : CDCDCDCD; +4BBF : CDCDCDCD; +4BC0 : CDCDCDCD; +4BC1 : CDCDCDCD; +4BC2 : CDCDCDCD; +4BC3 : CDCDCDCD; +4BC4 : CDCDCDCD; +4BC5 : CDCDCDCD; +4BC6 : CDCDCDCD; +4BC7 : CDCDCDCD; +4BC8 : CDCDCDCD; +4BC9 : CDCDCDCD; +4BCA : CDCDCDCD; +4BCB : CDCDCDCD; +4BCC : CDCDCDCD; +4BCD : CDCDCDCD; +4BCE : CDCDCDCD; +4BCF : CDCDCDCD; +4BD0 : CDCDCDCD; +4BD1 : CDCDCDCD; +4BD2 : CDCDCDCD; +4BD3 : CDCDCDCD; +4BD4 : CDCDCDCD; +4BD5 : CDCDCDCD; +4BD6 : CDCDCDCD; +4BD7 : CDCDCDCD; +4BD8 : CDCDCDCD; +4BD9 : CDCDCDCD; +4BDA : CDCDCDCD; +4BDB : CDCDCDCD; +4BDC : CDCDCDCD; +4BDD : CDCDCDCD; +4BDE : CDCDCDCD; +4BDF : CDCDCDCD; +4BE0 : CDCDCDCD; +4BE1 : CDCDCDCD; +4BE2 : CDCDCDCD; +4BE3 : CDCDCDCD; +4BE4 : CDCDCDCD; +4BE5 : CDCDCDCD; +4BE6 : CDCDCDCD; +4BE7 : CDCDCDCD; +4BE8 : CDCDCDCD; +4BE9 : CDCDCDCD; +4BEA : CDCDCDCD; +4BEB : CDCDCDCD; +4BEC : CDCDCDCD; +4BED : CDCDCDCD; +4BEE : CDCDCDCD; +4BEF : CDCDCDCD; +4BF0 : CDCDCDCD; +4BF1 : CDCDCDCD; +4BF2 : CDCDCDCD; +4BF3 : CDCDCDCD; +4BF4 : CDCDCDCD; +4BF5 : CDCDCDCD; +4BF6 : CDCDCDCD; +4BF7 : CDCDCDCD; +4BF8 : CDCDCDCD; +4BF9 : CDCDCDCD; +4BFA : CDCDCDCD; +4BFB : CDCDCDCD; +4BFC : CDCDCDCD; +4BFD : CDCDCDCD; +4BFE : CDCDCDCD; +4BFF : CDCDCDCD; +4C00 : CDCDCDCD; +4C01 : CDCDCDCD; +4C02 : CDCDCDCD; +4C03 : CDCDCDCD; +4C04 : CDCDCDCD; +4C05 : CDCDCDCD; +4C06 : CDCDCDCD; +4C07 : CDCDCDCD; +4C08 : CDCDCDCD; +4C09 : CDCDCDCD; +4C0A : CDCDCDCD; +4C0B : CDCDCDCD; +4C0C : CDCDCDCD; +4C0D : CDCDCDCD; +4C0E : CDCDCDCD; +4C0F : CDCDCDCD; +4C10 : CDCDCDCD; +4C11 : CDCDCDCD; +4C12 : CDCDCDCD; +4C13 : CDCDCDCD; +4C14 : CDCDCDCD; +4C15 : CDCDCDCD; +4C16 : CDCDCDCD; +4C17 : CDCDCDCD; +4C18 : CDCDCDCD; +4C19 : CDCDCDCD; +4C1A : CDCDCDCD; +4C1B : CDCDCDCD; +4C1C : CDCDCDCD; +4C1D : CDCDCDCD; +4C1E : CDCDCDCD; +4C1F : CDCDCDCD; +4C20 : CDCDCDCD; +4C21 : CDCDCDCD; +4C22 : CDCDCDCD; +4C23 : CDCDCDCD; +4C24 : CDCDCDCD; +4C25 : CDCDCDCD; +4C26 : CDCDCDCD; +4C27 : CDCDCDCD; +4C28 : CDCDCDCD; +4C29 : CDCDCDCD; +4C2A : CDCDCDCD; +4C2B : CDCDCDCD; +4C2C : CDCDCDCD; +4C2D : CDCDCDCD; +4C2E : CDCDCDCD; +4C2F : CDCDCDCD; +4C30 : CDCDCDCD; +4C31 : CDCDCDCD; +4C32 : CDCDCDCD; +4C33 : CDCDCDCD; +4C34 : CDCDCDCD; +4C35 : CDCDCDCD; +4C36 : CDCDCDCD; +4C37 : CDCDCDCD; +4C38 : CDCDCDCD; +4C39 : CDCDCDCD; +4C3A : CDCDCDCD; +4C3B : CDCDCDCD; +4C3C : CDCDCDCD; +4C3D : CDCDCDCD; +4C3E : CDCDCDCD; +4C3F : CDCDCDCD; +4C40 : CDCDCDCD; +4C41 : CDCDCDCD; +4C42 : CDCDCDCD; +4C43 : CDCDCDCD; +4C44 : CDCDCDCD; +4C45 : CDCDCDCD; +4C46 : CDCDCDCD; +4C47 : CDCDCDCD; +4C48 : CDCDCDCD; +4C49 : CDCDCDCD; +4C4A : CDCDCDCD; +4C4B : CDCDCDCD; +4C4C : CDCDCDCD; +4C4D : CDCDCDCD; +4C4E : CDCDCDCD; +4C4F : CDCDCDCD; +4C50 : CDCDCDCD; +4C51 : CDCDCDCD; +4C52 : CDCDCDCD; +4C53 : CDCDCDCD; +4C54 : CDCDCDCD; +4C55 : CDCDCDCD; +4C56 : CDCDCDCD; +4C57 : CDCDCDCD; +4C58 : CDCDCDCD; +4C59 : CDCDCDCD; +4C5A : CDCDCDCD; +4C5B : CDCDCDCD; +4C5C : CDCDCDCD; +4C5D : CDCDCDCD; +4C5E : CDCDCDCD; +4C5F : CDCDCDCD; +4C60 : CDCDCDCD; +4C61 : CDCDCDCD; +4C62 : CDCDCDCD; +4C63 : CDCDCDCD; +4C64 : CDCDCDCD; +4C65 : CDCDCDCD; +4C66 : CDCDCDCD; +4C67 : CDCDCDCD; +4C68 : CDCDCDCD; +4C69 : CDCDCDCD; +4C6A : CDCDCDCD; +4C6B : CDCDCDCD; +4C6C : CDCDCDCD; +4C6D : CDCDCDCD; +4C6E : CDCDCDCD; +4C6F : CDCDCDCD; +4C70 : CDCDCDCD; +4C71 : CDCDCDCD; +4C72 : CDCDCDCD; +4C73 : CDCDCDCD; +4C74 : CDCDCDCD; +4C75 : CDCDCDCD; +4C76 : CDCDCDCD; +4C77 : CDCDCDCD; +4C78 : CDCDCDCD; +4C79 : CDCDCDCD; +4C7A : CDCDCDCD; +4C7B : CDCDCDCD; +4C7C : CDCDCDCD; +4C7D : CDCDCDCD; +4C7E : CDCDCDCD; +4C7F : CDCDCDCD; +4C80 : CDCDCDCD; +4C81 : CDCDCDCD; +4C82 : CDCDCDCD; +4C83 : CDCDCDCD; +4C84 : CDCDCDCD; +4C85 : CDCDCDCD; +4C86 : CDCDCDCD; +4C87 : CDCDCDCD; +4C88 : CDCDCDCD; +4C89 : CDCDCDCD; +4C8A : CDCDCDCD; +4C8B : CDCDCDCD; +4C8C : CDCDCDCD; +4C8D : CDCDCDCD; +4C8E : CDCDCDCD; +4C8F : CDCDCDCD; +4C90 : CDCDCDCD; +4C91 : CDCDCDCD; +4C92 : CDCDCDCD; +4C93 : CDCDCDCD; +4C94 : CDCDCDCD; +4C95 : CDCDCDCD; +4C96 : CDCDCDCD; +4C97 : CDCDCDCD; +4C98 : CDCDCDCD; +4C99 : CDCDCDCD; +4C9A : CDCDCDCD; +4C9B : CDCDCDCD; +4C9C : CDCDCDCD; +4C9D : CDCDCDCD; +4C9E : CDCDCDCD; +4C9F : CDCDCDCD; +4CA0 : CDCDCDCD; +4CA1 : CDCDCDCD; +4CA2 : CDCDCDCD; +4CA3 : CDCDCDCD; +4CA4 : CDCDCDCD; +4CA5 : CDCDCDCD; +4CA6 : CDCDCDCD; +4CA7 : CDCDCDCD; +4CA8 : CDCDCDCD; +4CA9 : CDCDCDCD; +4CAA : CDCDCDCD; +4CAB : CDCDCDCD; +4CAC : CDCDCDCD; +4CAD : CDCDCDCD; +4CAE : CDCDCDCD; +4CAF : CDCDCDCD; +4CB0 : CDCDCDCD; +4CB1 : CDCDCDCD; +4CB2 : CDCDCDCD; +4CB3 : CDCDCDCD; +4CB4 : CDCDCDCD; +4CB5 : CDCDCDCD; +4CB6 : CDCDCDCD; +4CB7 : CDCDCDCD; +4CB8 : CDCDCDCD; +4CB9 : CDCDCDCD; +4CBA : CDCDCDCD; +4CBB : CDCDCDCD; +4CBC : CDCDCDCD; +4CBD : CDCDCDCD; +4CBE : CDCDCDCD; +4CBF : CDCDCDCD; +4CC0 : CDCDCDCD; +4CC1 : CDCDCDCD; +4CC2 : CDCDCDCD; +4CC3 : CDCDCDCD; +4CC4 : CDCDCDCD; +4CC5 : CDCDCDCD; +4CC6 : CDCDCDCD; +4CC7 : CDCDCDCD; +4CC8 : CDCDCDCD; +4CC9 : CDCDCDCD; +4CCA : CDCDCDCD; +4CCB : CDCDCDCD; +4CCC : CDCDCDCD; +4CCD : CDCDCDCD; +4CCE : CDCDCDCD; +4CCF : CDCDCDCD; +4CD0 : CDCDCDCD; +4CD1 : CDCDCDCD; +4CD2 : CDCDCDCD; +4CD3 : CDCDCDCD; +4CD4 : CDCDCDCD; +4CD5 : CDCDCDCD; +4CD6 : CDCDCDCD; +4CD7 : CDCDCDCD; +4CD8 : CDCDCDCD; +4CD9 : CDCDCDCD; +4CDA : CDCDCDCD; +4CDB : CDCDCDCD; +4CDC : CDCDCDCD; +4CDD : CDCDCDCD; +4CDE : CDCDCDCD; +4CDF : CDCDCDCD; +4CE0 : CDCDCDCD; +4CE1 : CDCDCDCD; +4CE2 : CDCDCDCD; +4CE3 : CDCDCDCD; +4CE4 : CDCDCDCD; +4CE5 : CDCDCDCD; +4CE6 : CDCDCDCD; +4CE7 : CDCDCDCD; +4CE8 : CDCDCDCD; +4CE9 : CDCDCDCD; +4CEA : CDCDCDCD; +4CEB : CDCDCDCD; +4CEC : CDCDCDCD; +4CED : CDCDCDCD; +4CEE : CDCDCDCD; +4CEF : CDCDCDCD; +4CF0 : CDCDCDCD; +4CF1 : CDCDCDCD; +4CF2 : CDCDCDCD; +4CF3 : CDCDCDCD; +4CF4 : CDCDCDCD; +4CF5 : CDCDCDCD; +4CF6 : CDCDCDCD; +4CF7 : CDCDCDCD; +4CF8 : CDCDCDCD; +4CF9 : CDCDCDCD; +4CFA : CDCDCDCD; +4CFB : CDCDCDCD; +4CFC : CDCDCDCD; +4CFD : CDCDCDCD; +4CFE : CDCDCDCD; +4CFF : CDCDCDCD; +4D00 : CDCDCDCD; +4D01 : CDCDCDCD; +4D02 : CDCDCDCD; +4D03 : CDCDCDCD; +4D04 : CDCDCDCD; +4D05 : CDCDCDCD; +4D06 : CDCDCDCD; +4D07 : CDCDCDCD; +4D08 : CDCDCDCD; +4D09 : CDCDCDCD; +4D0A : CDCDCDCD; +4D0B : CDCDCDCD; +4D0C : CDCDCDCD; +4D0D : CDCDCDCD; +4D0E : CDCDCDCD; +4D0F : CDCDCDCD; +4D10 : CDCDCDCD; +4D11 : CDCDCDCD; +4D12 : CDCDCDCD; +4D13 : CDCDCDCD; +4D14 : CDCDCDCD; +4D15 : CDCDCDCD; +4D16 : CDCDCDCD; +4D17 : CDCDCDCD; +4D18 : CDCDCDCD; +4D19 : CDCDCDCD; +4D1A : CDCDCDCD; +4D1B : CDCDCDCD; +4D1C : CDCDCDCD; +4D1D : CDCDCDCD; +4D1E : CDCDCDCD; +4D1F : CDCDCDCD; +4D20 : CDCDCDCD; +4D21 : CDCDCDCD; +4D22 : CDCDCDCD; +4D23 : CDCDCDCD; +4D24 : CDCDCDCD; +4D25 : CDCDCDCD; +4D26 : CDCDCDCD; +4D27 : CDCDCDCD; +4D28 : CDCDCDCD; +4D29 : CDCDCDCD; +4D2A : CDCDCDCD; +4D2B : CDCDCDCD; +4D2C : CDCDCDCD; +4D2D : CDCDCDCD; +4D2E : CDCDCDCD; +4D2F : CDCDCDCD; +4D30 : CDCDCDCD; +4D31 : CDCDCDCD; +4D32 : CDCDCDCD; +4D33 : CDCDCDCD; +4D34 : CDCDCDCD; +4D35 : CDCDCDCD; +4D36 : CDCDCDCD; +4D37 : CDCDCDCD; +4D38 : CDCDCDCD; +4D39 : CDCDCDCD; +4D3A : CDCDCDCD; +4D3B : CDCDCDCD; +4D3C : CDCDCDCD; +4D3D : CDCDCDCD; +4D3E : CDCDCDCD; +4D3F : CDCDCDCD; +4D40 : CDCDCDCD; +4D41 : CDCDCDCD; +4D42 : CDCDCDCD; +4D43 : CDCDCDCD; +4D44 : CDCDCDCD; +4D45 : CDCDCDCD; +4D46 : CDCDCDCD; +4D47 : CDCDCDCD; +4D48 : CDCDCDCD; +4D49 : CDCDCDCD; +4D4A : CDCDCDCD; +4D4B : CDCDCDCD; +4D4C : CDCDCDCD; +4D4D : CDCDCDCD; +4D4E : CDCDCDCD; +4D4F : CDCDCDCD; +4D50 : CDCDCDCD; +4D51 : CDCDCDCD; +4D52 : CDCDCDCD; +4D53 : CDCDCDCD; +4D54 : CDCDCDCD; +4D55 : CDCDCDCD; +4D56 : CDCDCDCD; +4D57 : CDCDCDCD; +4D58 : CDCDCDCD; +4D59 : CDCDCDCD; +4D5A : CDCDCDCD; +4D5B : CDCDCDCD; +4D5C : CDCDCDCD; +4D5D : CDCDCDCD; +4D5E : CDCDCDCD; +4D5F : CDCDCDCD; +4D60 : CDCDCDCD; +4D61 : CDCDCDCD; +4D62 : CDCDCDCD; +4D63 : CDCDCDCD; +4D64 : CDCDCDCD; +4D65 : CDCDCDCD; +4D66 : CDCDCDCD; +4D67 : CDCDCDCD; +4D68 : CDCDCDCD; +4D69 : CDCDCDCD; +4D6A : CDCDCDCD; +4D6B : CDCDCDCD; +4D6C : CDCDCDCD; +4D6D : CDCDCDCD; +4D6E : CDCDCDCD; +4D6F : CDCDCDCD; +4D70 : CDCDCDCD; +4D71 : CDCDCDCD; +4D72 : CDCDCDCD; +4D73 : CDCDCDCD; +4D74 : CDCDCDCD; +4D75 : CDCDCDCD; +4D76 : CDCDCDCD; +4D77 : CDCDCDCD; +4D78 : CDCDCDCD; +4D79 : CDCDCDCD; +4D7A : CDCDCDCD; +4D7B : CDCDCDCD; +4D7C : CDCDCDCD; +4D7D : CDCDCDCD; +4D7E : CDCDCDCD; +4D7F : CDCDCDCD; +4D80 : CDCDCDCD; +4D81 : CDCDCDCD; +4D82 : CDCDCDCD; +4D83 : CDCDCDCD; +4D84 : CDCDCDCD; +4D85 : CDCDCDCD; +4D86 : CDCDCDCD; +4D87 : CDCDCDCD; +4D88 : CDCDCDCD; +4D89 : CDCDCDCD; +4D8A : CDCDCDCD; +4D8B : CDCDCDCD; +4D8C : CDCDCDCD; +4D8D : CDCDCDCD; +4D8E : CDCDCDCD; +4D8F : CDCDCDCD; +4D90 : CDCDCDCD; +4D91 : CDCDCDCD; +4D92 : CDCDCDCD; +4D93 : CDCDCDCD; +4D94 : CDCDCDCD; +4D95 : CDCDCDCD; +4D96 : CDCDCDCD; +4D97 : CDCDCDCD; +4D98 : CDCDCDCD; +4D99 : CDCDCDCD; +4D9A : CDCDCDCD; +4D9B : CDCDCDCD; +4D9C : CDCDCDCD; +4D9D : CDCDCDCD; +4D9E : CDCDCDCD; +4D9F : CDCDCDCD; +4DA0 : CDCDCDCD; +4DA1 : CDCDCDCD; +4DA2 : CDCDCDCD; +4DA3 : CDCDCDCD; +4DA4 : CDCDCDCD; +4DA5 : CDCDCDCD; +4DA6 : CDCDCDCD; +4DA7 : CDCDCDCD; +4DA8 : CDCDCDCD; +4DA9 : CDCDCDCD; +4DAA : CDCDCDCD; +4DAB : CDCDCDCD; +4DAC : CDCDCDCD; +4DAD : CDCDCDCD; +4DAE : CDCDCDCD; +4DAF : CDCDCDCD; +4DB0 : CDCDCDCD; +4DB1 : CDCDCDCD; +4DB2 : CDCDCDCD; +4DB3 : CDCDCDCD; +4DB4 : CDCDCDCD; +4DB5 : CDCDCDCD; +4DB6 : CDCDCDCD; +4DB7 : CDCDCDCD; +4DB8 : CDCDCDCD; +4DB9 : CDCDCDCD; +4DBA : CDCDCDCD; +4DBB : CDCDCDCD; +4DBC : CDCDCDCD; +4DBD : CDCDCDCD; +4DBE : CDCDCDCD; +4DBF : CDCDCDCD; +4DC0 : CDCDCDCD; +4DC1 : CDCDCDCD; +4DC2 : CDCDCDCD; +4DC3 : CDCDCDCD; +4DC4 : CDCDCDCD; +4DC5 : CDCDCDCD; +4DC6 : CDCDCDCD; +4DC7 : CDCDCDCD; +4DC8 : CDCDCDCD; +4DC9 : CDCDCDCD; +4DCA : CDCDCDCD; +4DCB : CDCDCDCD; +4DCC : CDCDCDCD; +4DCD : CDCDCDCD; +4DCE : CDCDCDCD; +4DCF : CDCDCDCD; +4DD0 : CDCDCDCD; +4DD1 : CDCDCDCD; +4DD2 : CDCDCDCD; +4DD3 : CDCDCDCD; +4DD4 : CDCDCDCD; +4DD5 : CDCDCDCD; +4DD6 : CDCDCDCD; +4DD7 : CDCDCDCD; +4DD8 : CDCDCDCD; +4DD9 : CDCDCDCD; +4DDA : CDCDCDCD; +4DDB : CDCDCDCD; +4DDC : CDCDCDCD; +4DDD : CDCDCDCD; +4DDE : CDCDCDCD; +4DDF : CDCDCDCD; +4DE0 : CDCDCDCD; +4DE1 : CDCDCDCD; +4DE2 : CDCDCDCD; +4DE3 : CDCDCDCD; +4DE4 : CDCDCDCD; +4DE5 : CDCDCDCD; +4DE6 : CDCDCDCD; +4DE7 : CDCDCDCD; +4DE8 : CDCDCDCD; +4DE9 : CDCDCDCD; +4DEA : CDCDCDCD; +4DEB : CDCDCDCD; +4DEC : CDCDCDCD; +4DED : CDCDCDCD; +4DEE : CDCDCDCD; +4DEF : CDCDCDCD; +4DF0 : CDCDCDCD; +4DF1 : CDCDCDCD; +4DF2 : CDCDCDCD; +4DF3 : CDCDCDCD; +4DF4 : CDCDCDCD; +4DF5 : CDCDCDCD; +4DF6 : CDCDCDCD; +4DF7 : CDCDCDCD; +4DF8 : CDCDCDCD; +4DF9 : CDCDCDCD; +4DFA : CDCDCDCD; +4DFB : CDCDCDCD; +4DFC : CDCDCDCD; +4DFD : CDCDCDCD; +4DFE : CDCDCDCD; +4DFF : CDCDCDCD; +4E00 : CDCDCDCD; +4E01 : CDCDCDCD; +4E02 : CDCDCDCD; +4E03 : CDCDCDCD; +4E04 : CDCDCDCD; +4E05 : CDCDCDCD; +4E06 : CDCDCDCD; +4E07 : CDCDCDCD; +4E08 : CDCDCDCD; +4E09 : CDCDCDCD; +4E0A : CDCDCDCD; +4E0B : CDCDCDCD; +4E0C : CDCDCDCD; +4E0D : CDCDCDCD; +4E0E : CDCDCDCD; +4E0F : CDCDCDCD; +4E10 : CDCDCDCD; +4E11 : CDCDCDCD; +4E12 : CDCDCDCD; +4E13 : CDCDCDCD; +4E14 : CDCDCDCD; +4E15 : CDCDCDCD; +4E16 : CDCDCDCD; +4E17 : CDCDCDCD; +4E18 : CDCDCDCD; +4E19 : CDCDCDCD; +4E1A : CDCDCDCD; +4E1B : CDCDCDCD; +4E1C : CDCDCDCD; +4E1D : CDCDCDCD; +4E1E : CDCDCDCD; +4E1F : CDCDCDCD; +4E20 : CDCDCDCD; +4E21 : CDCDCDCD; +4E22 : CDCDCDCD; +4E23 : CDCDCDCD; +4E24 : CDCDCDCD; +4E25 : CDCDCDCD; +4E26 : CDCDCDCD; +4E27 : CDCDCDCD; +4E28 : CDCDCDCD; +4E29 : CDCDCDCD; +4E2A : CDCDCDCD; +4E2B : CDCDCDCD; +4E2C : CDCDCDCD; +4E2D : CDCDCDCD; +4E2E : CDCDCDCD; +4E2F : CDCDCDCD; +4E30 : CDCDCDCD; +4E31 : CDCDCDCD; +4E32 : CDCDCDCD; +4E33 : CDCDCDCD; +4E34 : CDCDCDCD; +4E35 : CDCDCDCD; +4E36 : CDCDCDCD; +4E37 : CDCDCDCD; +4E38 : CDCDCDCD; +4E39 : CDCDCDCD; +4E3A : CDCDCDCD; +4E3B : CDCDCDCD; +4E3C : CDCDCDCD; +4E3D : CDCDCDCD; +4E3E : CDCDCDCD; +4E3F : CDCDCDCD; +4E40 : CDCDCDCD; +4E41 : CDCDCDCD; +4E42 : CDCDCDCD; +4E43 : CDCDCDCD; +4E44 : CDCDCDCD; +4E45 : CDCDCDCD; +4E46 : CDCDCDCD; +4E47 : CDCDCDCD; +4E48 : CDCDCDCD; +4E49 : CDCDCDCD; +4E4A : CDCDCDCD; +4E4B : CDCDCDCD; +4E4C : CDCDCDCD; +4E4D : CDCDCDCD; +4E4E : CDCDCDCD; +4E4F : CDCDCDCD; +4E50 : CDCDCDCD; +4E51 : CDCDCDCD; +4E52 : CDCDCDCD; +4E53 : CDCDCDCD; +4E54 : CDCDCDCD; +4E55 : CDCDCDCD; +4E56 : CDCDCDCD; +4E57 : CDCDCDCD; +4E58 : CDCDCDCD; +4E59 : CDCDCDCD; +4E5A : CDCDCDCD; +4E5B : CDCDCDCD; +4E5C : CDCDCDCD; +4E5D : CDCDCDCD; +4E5E : CDCDCDCD; +4E5F : CDCDCDCD; +4E60 : CDCDCDCD; +4E61 : CDCDCDCD; +4E62 : CDCDCDCD; +4E63 : CDCDCDCD; +4E64 : CDCDCDCD; +4E65 : CDCDCDCD; +4E66 : CDCDCDCD; +4E67 : CDCDCDCD; +4E68 : CDCDCDCD; +4E69 : CDCDCDCD; +4E6A : CDCDCDCD; +4E6B : CDCDCDCD; +4E6C : CDCDCDCD; +4E6D : CDCDCDCD; +4E6E : CDCDCDCD; +4E6F : CDCDCDCD; +4E70 : CDCDCDCD; +4E71 : CDCDCDCD; +4E72 : CDCDCDCD; +4E73 : CDCDCDCD; +4E74 : CDCDCDCD; +4E75 : CDCDCDCD; +4E76 : CDCDCDCD; +4E77 : CDCDCDCD; +4E78 : CDCDCDCD; +4E79 : CDCDCDCD; +4E7A : CDCDCDCD; +4E7B : CDCDCDCD; +4E7C : CDCDCDCD; +4E7D : CDCDCDCD; +4E7E : CDCDCDCD; +4E7F : CDCDCDCD; +4E80 : CDCDCDCD; +4E81 : CDCDCDCD; +4E82 : CDCDCDCD; +4E83 : CDCDCDCD; +4E84 : CDCDCDCD; +4E85 : CDCDCDCD; +4E86 : CDCDCDCD; +4E87 : CDCDCDCD; +4E88 : CDCDCDCD; +4E89 : CDCDCDCD; +4E8A : CDCDCDCD; +4E8B : CDCDCDCD; +4E8C : CDCDCDCD; +4E8D : CDCDCDCD; +4E8E : CDCDCDCD; +4E8F : CDCDCDCD; +4E90 : CDCDCDCD; +4E91 : CDCDCDCD; +4E92 : CDCDCDCD; +4E93 : CDCDCDCD; +4E94 : CDCDCDCD; +4E95 : CDCDCDCD; +4E96 : CDCDCDCD; +4E97 : CDCDCDCD; +4E98 : CDCDCDCD; +4E99 : CDCDCDCD; +4E9A : CDCDCDCD; +4E9B : CDCDCDCD; +4E9C : CDCDCDCD; +4E9D : CDCDCDCD; +4E9E : CDCDCDCD; +4E9F : CDCDCDCD; +4EA0 : CDCDCDCD; +4EA1 : CDCDCDCD; +4EA2 : CDCDCDCD; +4EA3 : CDCDCDCD; +4EA4 : CDCDCDCD; +4EA5 : CDCDCDCD; +4EA6 : CDCDCDCD; +4EA7 : CDCDCDCD; +4EA8 : CDCDCDCD; +4EA9 : CDCDCDCD; +4EAA : CDCDCDCD; +4EAB : CDCDCDCD; +4EAC : CDCDCDCD; +4EAD : CDCDCDCD; +4EAE : CDCDCDCD; +4EAF : CDCDCDCD; +4EB0 : CDCDCDCD; +4EB1 : CDCDCDCD; +4EB2 : CDCDCDCD; +4EB3 : CDCDCDCD; +4EB4 : CDCDCDCD; +4EB5 : CDCDCDCD; +4EB6 : CDCDCDCD; +4EB7 : CDCDCDCD; +4EB8 : CDCDCDCD; +4EB9 : CDCDCDCD; +4EBA : CDCDCDCD; +4EBB : CDCDCDCD; +4EBC : CDCDCDCD; +4EBD : CDCDCDCD; +4EBE : CDCDCDCD; +4EBF : CDCDCDCD; +4EC0 : CDCDCDCD; +4EC1 : CDCDCDCD; +4EC2 : CDCDCDCD; +4EC3 : CDCDCDCD; +4EC4 : CDCDCDCD; +4EC5 : CDCDCDCD; +4EC6 : CDCDCDCD; +4EC7 : CDCDCDCD; +4EC8 : CDCDCDCD; +4EC9 : CDCDCDCD; +4ECA : CDCDCDCD; +4ECB : CDCDCDCD; +4ECC : CDCDCDCD; +4ECD : CDCDCDCD; +4ECE : CDCDCDCD; +4ECF : CDCDCDCD; +4ED0 : CDCDCDCD; +4ED1 : CDCDCDCD; +4ED2 : CDCDCDCD; +4ED3 : CDCDCDCD; +4ED4 : CDCDCDCD; +4ED5 : CDCDCDCD; +4ED6 : CDCDCDCD; +4ED7 : CDCDCDCD; +4ED8 : CDCDCDCD; +4ED9 : CDCDCDCD; +4EDA : CDCDCDCD; +4EDB : CDCDCDCD; +4EDC : CDCDCDCD; +4EDD : CDCDCDCD; +4EDE : CDCDCDCD; +4EDF : CDCDCDCD; +4EE0 : CDCDCDCD; +4EE1 : CDCDCDCD; +4EE2 : CDCDCDCD; +4EE3 : CDCDCDCD; +4EE4 : CDCDCDCD; +4EE5 : CDCDCDCD; +4EE6 : CDCDCDCD; +4EE7 : CDCDCDCD; +4EE8 : CDCDCDCD; +4EE9 : CDCDCDCD; +4EEA : CDCDCDCD; +4EEB : CDCDCDCD; +4EEC : CDCDCDCD; +4EED : CDCDCDCD; +4EEE : CDCDCDCD; +4EEF : CDCDCDCD; +4EF0 : CDCDCDCD; +4EF1 : CDCDCDCD; +4EF2 : CDCDCDCD; +4EF3 : CDCDCDCD; +4EF4 : CDCDCDCD; +4EF5 : CDCDCDCD; +4EF6 : CDCDCDCD; +4EF7 : CDCDCDCD; +4EF8 : CDCDCDCD; +4EF9 : CDCDCDCD; +4EFA : CDCDCDCD; +4EFB : CDCDCDCD; +4EFC : CDCDCDCD; +4EFD : CDCDCDCD; +4EFE : CDCDCDCD; +4EFF : CDCDCDCD; +4F00 : CDCDCDCD; +4F01 : CDCDCDCD; +4F02 : CDCDCDCD; +4F03 : CDCDCDCD; +4F04 : CDCDCDCD; +4F05 : CDCDCDCD; +4F06 : CDCDCDCD; +4F07 : CDCDCDCD; +4F08 : CDCDCDCD; +4F09 : CDCDCDCD; +4F0A : CDCDCDCD; +4F0B : CDCDCDCD; +4F0C : CDCDCDCD; +4F0D : CDCDCDCD; +4F0E : CDCDCDCD; +4F0F : CDCDCDCD; +4F10 : CDCDCDCD; +4F11 : CDCDCDCD; +4F12 : CDCDCDCD; +4F13 : CDCDCDCD; +4F14 : CDCDCDCD; +4F15 : CDCDCDCD; +4F16 : CDCDCDCD; +4F17 : CDCDCDCD; +4F18 : CDCDCDCD; +4F19 : CDCDCDCD; +4F1A : CDCDCDCD; +4F1B : CDCDCDCD; +4F1C : CDCDCDCD; +4F1D : CDCDCDCD; +4F1E : CDCDCDCD; +4F1F : CDCDCDCD; +4F20 : CDCDCDCD; +4F21 : CDCDCDCD; +4F22 : CDCDCDCD; +4F23 : CDCDCDCD; +4F24 : CDCDCDCD; +4F25 : CDCDCDCD; +4F26 : CDCDCDCD; +4F27 : CDCDCDCD; +4F28 : CDCDCDCD; +4F29 : CDCDCDCD; +4F2A : CDCDCDCD; +4F2B : CDCDCDCD; +4F2C : CDCDCDCD; +4F2D : CDCDCDCD; +4F2E : CDCDCDCD; +4F2F : CDCDCDCD; +4F30 : CDCDCDCD; +4F31 : CDCDCDCD; +4F32 : CDCDCDCD; +4F33 : CDCDCDCD; +4F34 : CDCDCDCD; +4F35 : CDCDCDCD; +4F36 : CDCDCDCD; +4F37 : CDCDCDCD; +4F38 : CDCDCDCD; +4F39 : CDCDCDCD; +4F3A : CDCDCDCD; +4F3B : CDCDCDCD; +4F3C : CDCDCDCD; +4F3D : CDCDCDCD; +4F3E : CDCDCDCD; +4F3F : CDCDCDCD; +4F40 : CDCDCDCD; +4F41 : CDCDCDCD; +4F42 : CDCDCDCD; +4F43 : CDCDCDCD; +4F44 : CDCDCDCD; +4F45 : CDCDCDCD; +4F46 : CDCDCDCD; +4F47 : CDCDCDCD; +4F48 : CDCDCDCD; +4F49 : CDCDCDCD; +4F4A : CDCDCDCD; +4F4B : CDCDCDCD; +4F4C : CDCDCDCD; +4F4D : CDCDCDCD; +4F4E : CDCDCDCD; +4F4F : CDCDCDCD; +4F50 : CDCDCDCD; +4F51 : CDCDCDCD; +4F52 : CDCDCDCD; +4F53 : CDCDCDCD; +4F54 : CDCDCDCD; +4F55 : CDCDCDCD; +4F56 : CDCDCDCD; +4F57 : CDCDCDCD; +4F58 : CDCDCDCD; +4F59 : CDCDCDCD; +4F5A : CDCDCDCD; +4F5B : CDCDCDCD; +4F5C : CDCDCDCD; +4F5D : CDCDCDCD; +4F5E : CDCDCDCD; +4F5F : CDCDCDCD; +4F60 : CDCDCDCD; +4F61 : CDCDCDCD; +4F62 : CDCDCDCD; +4F63 : CDCDCDCD; +4F64 : CDCDCDCD; +4F65 : CDCDCDCD; +4F66 : CDCDCDCD; +4F67 : CDCDCDCD; +4F68 : CDCDCDCD; +4F69 : CDCDCDCD; +4F6A : CDCDCDCD; +4F6B : CDCDCDCD; +4F6C : CDCDCDCD; +4F6D : CDCDCDCD; +4F6E : CDCDCDCD; +4F6F : CDCDCDCD; +4F70 : CDCDCDCD; +4F71 : CDCDCDCD; +4F72 : CDCDCDCD; +4F73 : CDCDCDCD; +4F74 : CDCDCDCD; +4F75 : CDCDCDCD; +4F76 : CDCDCDCD; +4F77 : CDCDCDCD; +4F78 : CDCDCDCD; +4F79 : CDCDCDCD; +4F7A : CDCDCDCD; +4F7B : CDCDCDCD; +4F7C : CDCDCDCD; +4F7D : CDCDCDCD; +4F7E : CDCDCDCD; +4F7F : CDCDCDCD; +4F80 : CDCDCDCD; +4F81 : CDCDCDCD; +4F82 : CDCDCDCD; +4F83 : CDCDCDCD; +4F84 : CDCDCDCD; +4F85 : CDCDCDCD; +4F86 : CDCDCDCD; +4F87 : CDCDCDCD; +4F88 : CDCDCDCD; +4F89 : CDCDCDCD; +4F8A : CDCDCDCD; +4F8B : CDCDCDCD; +4F8C : CDCDCDCD; +4F8D : CDCDCDCD; +4F8E : CDCDCDCD; +4F8F : CDCDCDCD; +4F90 : CDCDCDCD; +4F91 : CDCDCDCD; +4F92 : CDCDCDCD; +4F93 : CDCDCDCD; +4F94 : CDCDCDCD; +4F95 : CDCDCDCD; +4F96 : CDCDCDCD; +4F97 : CDCDCDCD; +4F98 : CDCDCDCD; +4F99 : CDCDCDCD; +4F9A : CDCDCDCD; +4F9B : CDCDCDCD; +4F9C : CDCDCDCD; +4F9D : CDCDCDCD; +4F9E : CDCDCDCD; +4F9F : CDCDCDCD; +4FA0 : CDCDCDCD; +4FA1 : CDCDCDCD; +4FA2 : CDCDCDCD; +4FA3 : CDCDCDCD; +4FA4 : CDCDCDCD; +4FA5 : CDCDCDCD; +4FA6 : CDCDCDCD; +4FA7 : CDCDCDCD; +4FA8 : CDCDCDCD; +4FA9 : CDCDCDCD; +4FAA : CDCDCDCD; +4FAB : CDCDCDCD; +4FAC : CDCDCDCD; +4FAD : CDCDCDCD; +4FAE : CDCDCDCD; +4FAF : CDCDCDCD; +4FB0 : CDCDCDCD; +4FB1 : CDCDCDCD; +4FB2 : CDCDCDCD; +4FB3 : CDCDCDCD; +4FB4 : CDCDCDCD; +4FB5 : CDCDCDCD; +4FB6 : CDCDCDCD; +4FB7 : CDCDCDCD; +4FB8 : CDCDCDCD; +4FB9 : CDCDCDCD; +4FBA : CDCDCDCD; +4FBB : CDCDCDCD; +4FBC : CDCDCDCD; +4FBD : CDCDCDCD; +4FBE : CDCDCDCD; +4FBF : CDCDCDCD; +4FC0 : CDCDCDCD; +4FC1 : CDCDCDCD; +4FC2 : CDCDCDCD; +4FC3 : CDCDCDCD; +4FC4 : CDCDCDCD; +4FC5 : CDCDCDCD; +4FC6 : CDCDCDCD; +4FC7 : CDCDCDCD; +4FC8 : CDCDCDCD; +4FC9 : CDCDCDCD; +4FCA : CDCDCDCD; +4FCB : CDCDCDCD; +4FCC : CDCDCDCD; +4FCD : CDCDCDCD; +4FCE : CDCDCDCD; +4FCF : CDCDCDCD; +4FD0 : CDCDCDCD; +4FD1 : CDCDCDCD; +4FD2 : CDCDCDCD; +4FD3 : CDCDCDCD; +4FD4 : CDCDCDCD; +4FD5 : CDCDCDCD; +4FD6 : CDCDCDCD; +4FD7 : CDCDCDCD; +4FD8 : CDCDCDCD; +4FD9 : CDCDCDCD; +4FDA : CDCDCDCD; +4FDB : CDCDCDCD; +4FDC : CDCDCDCD; +4FDD : CDCDCDCD; +4FDE : CDCDCDCD; +4FDF : CDCDCDCD; +4FE0 : CDCDCDCD; +4FE1 : CDCDCDCD; +4FE2 : CDCDCDCD; +4FE3 : CDCDCDCD; +4FE4 : CDCDCDCD; +4FE5 : CDCDCDCD; +4FE6 : CDCDCDCD; +4FE7 : CDCDCDCD; +4FE8 : CDCDCDCD; +4FE9 : CDCDCDCD; +4FEA : CDCDCDCD; +4FEB : CDCDCDCD; +4FEC : CDCDCDCD; +4FED : CDCDCDCD; +4FEE : CDCDCDCD; +4FEF : CDCDCDCD; +4FF0 : CDCDCDCD; +4FF1 : CDCDCDCD; +4FF2 : CDCDCDCD; +4FF3 : CDCDCDCD; +4FF4 : CDCDCDCD; +4FF5 : CDCDCDCD; +4FF6 : CDCDCDCD; +4FF7 : CDCDCDCD; +4FF8 : CDCDCDCD; +4FF9 : CDCDCDCD; +4FFA : CDCDCDCD; +4FFB : CDCDCDCD; +4FFC : CDCDCDCD; +4FFD : CDCDCDCD; +4FFE : CDCDCDCD; +4FFF : CDCDCDCD; +5000 : CDCDCDCD; +5001 : CDCDCDCD; +5002 : CDCDCDCD; +5003 : CDCDCDCD; +5004 : CDCDCDCD; +5005 : CDCDCDCD; +5006 : CDCDCDCD; +5007 : CDCDCDCD; +5008 : CDCDCDCD; +5009 : CDCDCDCD; +500A : CDCDCDCD; +500B : CDCDCDCD; +500C : CDCDCDCD; +500D : CDCDCDCD; +500E : CDCDCDCD; +500F : CDCDCDCD; +5010 : CDCDCDCD; +5011 : CDCDCDCD; +5012 : CDCDCDCD; +5013 : CDCDCDCD; +5014 : CDCDCDCD; +5015 : CDCDCDCD; +5016 : CDCDCDCD; +5017 : CDCDCDCD; +5018 : CDCDCDCD; +5019 : CDCDCDCD; +501A : CDCDCDCD; +501B : CDCDCDCD; +501C : CDCDCDCD; +501D : CDCDCDCD; +501E : CDCDCDCD; +501F : CDCDCDCD; +5020 : CDCDCDCD; +5021 : CDCDCDCD; +5022 : CDCDCDCD; +5023 : CDCDCDCD; +5024 : CDCDCDCD; +5025 : CDCDCDCD; +5026 : CDCDCDCD; +5027 : CDCDCDCD; +5028 : CDCDCDCD; +5029 : CDCDCDCD; +502A : CDCDCDCD; +502B : CDCDCDCD; +502C : CDCDCDCD; +502D : CDCDCDCD; +502E : CDCDCDCD; +502F : CDCDCDCD; +5030 : CDCDCDCD; +5031 : CDCDCDCD; +5032 : CDCDCDCD; +5033 : CDCDCDCD; +5034 : CDCDCDCD; +5035 : CDCDCDCD; +5036 : CDCDCDCD; +5037 : CDCDCDCD; +5038 : CDCDCDCD; +5039 : CDCDCDCD; +503A : CDCDCDCD; +503B : CDCDCDCD; +503C : CDCDCDCD; +503D : CDCDCDCD; +503E : CDCDCDCD; +503F : CDCDCDCD; +5040 : CDCDCDCD; +5041 : CDCDCDCD; +5042 : CDCDCDCD; +5043 : CDCDCDCD; +5044 : CDCDCDCD; +5045 : CDCDCDCD; +5046 : CDCDCDCD; +5047 : CDCDCDCD; +5048 : CDCDCDCD; +5049 : CDCDCDCD; +504A : CDCDCDCD; +504B : CDCDCDCD; +504C : CDCDCDCD; +504D : CDCDCDCD; +504E : CDCDCDCD; +504F : CDCDCDCD; +5050 : CDCDCDCD; +5051 : CDCDCDCD; +5052 : CDCDCDCD; +5053 : CDCDCDCD; +5054 : CDCDCDCD; +5055 : CDCDCDCD; +5056 : CDCDCDCD; +5057 : CDCDCDCD; +5058 : CDCDCDCD; +5059 : CDCDCDCD; +505A : CDCDCDCD; +505B : CDCDCDCD; +505C : CDCDCDCD; +505D : CDCDCDCD; +505E : CDCDCDCD; +505F : CDCDCDCD; +5060 : CDCDCDCD; +5061 : CDCDCDCD; +5062 : CDCDCDCD; +5063 : CDCDCDCD; +5064 : CDCDCDCD; +5065 : CDCDCDCD; +5066 : CDCDCDCD; +5067 : CDCDCDCD; +5068 : CDCDCDCD; +5069 : CDCDCDCD; +506A : CDCDCDCD; +506B : CDCDCDCD; +506C : CDCDCDCD; +506D : CDCDCDCD; +506E : CDCDCDCD; +506F : CDCDCDCD; +5070 : CDCDCDCD; +5071 : CDCDCDCD; +5072 : CDCDCDCD; +5073 : CDCDCDCD; +5074 : CDCDCDCD; +5075 : CDCDCDCD; +5076 : CDCDCDCD; +5077 : CDCDCDCD; +5078 : CDCDCDCD; +5079 : CDCDCDCD; +507A : CDCDCDCD; +507B : CDCDCDCD; +507C : CDCDCDCD; +507D : CDCDCDCD; +507E : CDCDCDCD; +507F : CDCDCDCD; +5080 : CDCDCDCD; +5081 : CDCDCDCD; +5082 : CDCDCDCD; +5083 : CDCDCDCD; +5084 : CDCDCDCD; +5085 : CDCDCDCD; +5086 : CDCDCDCD; +5087 : CDCDCDCD; +5088 : CDCDCDCD; +5089 : CDCDCDCD; +508A : CDCDCDCD; +508B : CDCDCDCD; +508C : CDCDCDCD; +508D : CDCDCDCD; +508E : CDCDCDCD; +508F : CDCDCDCD; +5090 : CDCDCDCD; +5091 : CDCDCDCD; +5092 : CDCDCDCD; +5093 : CDCDCDCD; +5094 : CDCDCDCD; +5095 : CDCDCDCD; +5096 : CDCDCDCD; +5097 : CDCDCDCD; +5098 : CDCDCDCD; +5099 : CDCDCDCD; +509A : CDCDCDCD; +509B : CDCDCDCD; +509C : CDCDCDCD; +509D : CDCDCDCD; +509E : CDCDCDCD; +509F : CDCDCDCD; +50A0 : CDCDCDCD; +50A1 : CDCDCDCD; +50A2 : CDCDCDCD; +50A3 : CDCDCDCD; +50A4 : CDCDCDCD; +50A5 : CDCDCDCD; +50A6 : CDCDCDCD; +50A7 : CDCDCDCD; +50A8 : CDCDCDCD; +50A9 : CDCDCDCD; +50AA : CDCDCDCD; +50AB : CDCDCDCD; +50AC : CDCDCDCD; +50AD : CDCDCDCD; +50AE : CDCDCDCD; +50AF : CDCDCDCD; +50B0 : CDCDCDCD; +50B1 : CDCDCDCD; +50B2 : CDCDCDCD; +50B3 : CDCDCDCD; +50B4 : CDCDCDCD; +50B5 : CDCDCDCD; +50B6 : CDCDCDCD; +50B7 : CDCDCDCD; +50B8 : CDCDCDCD; +50B9 : CDCDCDCD; +50BA : CDCDCDCD; +50BB : CDCDCDCD; +50BC : CDCDCDCD; +50BD : CDCDCDCD; +50BE : CDCDCDCD; +50BF : CDCDCDCD; +50C0 : CDCDCDCD; +50C1 : CDCDCDCD; +50C2 : CDCDCDCD; +50C3 : CDCDCDCD; +50C4 : CDCDCDCD; +50C5 : CDCDCDCD; +50C6 : CDCDCDCD; +50C7 : CDCDCDCD; +50C8 : CDCDCDCD; +50C9 : CDCDCDCD; +50CA : CDCDCDCD; +50CB : CDCDCDCD; +50CC : CDCDCDCD; +50CD : CDCDCDCD; +50CE : CDCDCDCD; +50CF : CDCDCDCD; +50D0 : CDCDCDCD; +50D1 : CDCDCDCD; +50D2 : CDCDCDCD; +50D3 : CDCDCDCD; +50D4 : CDCDCDCD; +50D5 : CDCDCDCD; +50D6 : CDCDCDCD; +50D7 : CDCDCDCD; +50D8 : CDCDCDCD; +50D9 : CDCDCDCD; +50DA : CDCDCDCD; +50DB : CDCDCDCD; +50DC : CDCDCDCD; +50DD : CDCDCDCD; +50DE : CDCDCDCD; +50DF : CDCDCDCD; +50E0 : CDCDCDCD; +50E1 : CDCDCDCD; +50E2 : CDCDCDCD; +50E3 : CDCDCDCD; +50E4 : CDCDCDCD; +50E5 : CDCDCDCD; +50E6 : CDCDCDCD; +50E7 : CDCDCDCD; +50E8 : CDCDCDCD; +50E9 : CDCDCDCD; +50EA : CDCDCDCD; +50EB : CDCDCDCD; +50EC : CDCDCDCD; +50ED : CDCDCDCD; +50EE : CDCDCDCD; +50EF : CDCDCDCD; +50F0 : CDCDCDCD; +50F1 : CDCDCDCD; +50F2 : CDCDCDCD; +50F3 : CDCDCDCD; +50F4 : CDCDCDCD; +50F5 : CDCDCDCD; +50F6 : CDCDCDCD; +50F7 : CDCDCDCD; +50F8 : CDCDCDCD; +50F9 : CDCDCDCD; +50FA : CDCDCDCD; +50FB : CDCDCDCD; +50FC : CDCDCDCD; +50FD : CDCDCDCD; +50FE : CDCDCDCD; +50FF : CDCDCDCD; +5100 : CDCDCDCD; +5101 : CDCDCDCD; +5102 : CDCDCDCD; +5103 : CDCDCDCD; +5104 : CDCDCDCD; +5105 : CDCDCDCD; +5106 : CDCDCDCD; +5107 : CDCDCDCD; +5108 : CDCDCDCD; +5109 : CDCDCDCD; +510A : CDCDCDCD; +510B : CDCDCDCD; +510C : CDCDCDCD; +510D : CDCDCDCD; +510E : CDCDCDCD; +510F : CDCDCDCD; +5110 : CDCDCDCD; +5111 : CDCDCDCD; +5112 : CDCDCDCD; +5113 : CDCDCDCD; +5114 : CDCDCDCD; +5115 : CDCDCDCD; +5116 : CDCDCDCD; +5117 : CDCDCDCD; +5118 : CDCDCDCD; +5119 : CDCDCDCD; +511A : CDCDCDCD; +511B : CDCDCDCD; +511C : CDCDCDCD; +511D : CDCDCDCD; +511E : CDCDCDCD; +511F : CDCDCDCD; +5120 : CDCDCDCD; +5121 : CDCDCDCD; +5122 : CDCDCDCD; +5123 : CDCDCDCD; +5124 : CDCDCDCD; +5125 : CDCDCDCD; +5126 : CDCDCDCD; +5127 : CDCDCDCD; +5128 : CDCDCDCD; +5129 : CDCDCDCD; +512A : CDCDCDCD; +512B : CDCDCDCD; +512C : CDCDCDCD; +512D : CDCDCDCD; +512E : CDCDCDCD; +512F : CDCDCDCD; +5130 : CDCDCDCD; +5131 : CDCDCDCD; +5132 : CDCDCDCD; +5133 : CDCDCDCD; +5134 : CDCDCDCD; +5135 : CDCDCDCD; +5136 : CDCDCDCD; +5137 : CDCDCDCD; +5138 : CDCDCDCD; +5139 : CDCDCDCD; +513A : CDCDCDCD; +513B : CDCDCDCD; +513C : CDCDCDCD; +513D : CDCDCDCD; +513E : CDCDCDCD; +513F : CDCDCDCD; +5140 : CDCDCDCD; +5141 : CDCDCDCD; +5142 : CDCDCDCD; +5143 : CDCDCDCD; +5144 : CDCDCDCD; +5145 : CDCDCDCD; +5146 : CDCDCDCD; +5147 : CDCDCDCD; +5148 : CDCDCDCD; +5149 : CDCDCDCD; +514A : CDCDCDCD; +514B : CDCDCDCD; +514C : CDCDCDCD; +514D : CDCDCDCD; +514E : CDCDCDCD; +514F : CDCDCDCD; +5150 : CDCDCDCD; +5151 : CDCDCDCD; +5152 : CDCDCDCD; +5153 : CDCDCDCD; +5154 : CDCDCDCD; +5155 : CDCDCDCD; +5156 : CDCDCDCD; +5157 : CDCDCDCD; +5158 : CDCDCDCD; +5159 : CDCDCDCD; +515A : CDCDCDCD; +515B : CDCDCDCD; +515C : CDCDCDCD; +515D : CDCDCDCD; +515E : CDCDCDCD; +515F : CDCDCDCD; +5160 : CDCDCDCD; +5161 : CDCDCDCD; +5162 : CDCDCDCD; +5163 : CDCDCDCD; +5164 : CDCDCDCD; +5165 : CDCDCDCD; +5166 : CDCDCDCD; +5167 : CDCDCDCD; +5168 : CDCDCDCD; +5169 : CDCDCDCD; +516A : CDCDCDCD; +516B : CDCDCDCD; +516C : CDCDCDCD; +516D : CDCDCDCD; +516E : CDCDCDCD; +516F : CDCDCDCD; +5170 : CDCDCDCD; +5171 : CDCDCDCD; +5172 : CDCDCDCD; +5173 : CDCDCDCD; +5174 : CDCDCDCD; +5175 : CDCDCDCD; +5176 : CDCDCDCD; +5177 : CDCDCDCD; +5178 : CDCDCDCD; +5179 : CDCDCDCD; +517A : CDCDCDCD; +517B : CDCDCDCD; +517C : CDCDCDCD; +517D : CDCDCDCD; +517E : CDCDCDCD; +517F : CDCDCDCD; +5180 : CDCDCDCD; +5181 : CDCDCDCD; +5182 : CDCDCDCD; +5183 : CDCDCDCD; +5184 : CDCDCDCD; +5185 : CDCDCDCD; +5186 : CDCDCDCD; +5187 : CDCDCDCD; +5188 : CDCDCDCD; +5189 : CDCDCDCD; +518A : CDCDCDCD; +518B : CDCDCDCD; +518C : CDCDCDCD; +518D : CDCDCDCD; +518E : CDCDCDCD; +518F : CDCDCDCD; +5190 : CDCDCDCD; +5191 : CDCDCDCD; +5192 : CDCDCDCD; +5193 : CDCDCDCD; +5194 : CDCDCDCD; +5195 : CDCDCDCD; +5196 : CDCDCDCD; +5197 : CDCDCDCD; +5198 : CDCDCDCD; +5199 : CDCDCDCD; +519A : CDCDCDCD; +519B : CDCDCDCD; +519C : CDCDCDCD; +519D : CDCDCDCD; +519E : CDCDCDCD; +519F : CDCDCDCD; +51A0 : CDCDCDCD; +51A1 : CDCDCDCD; +51A2 : CDCDCDCD; +51A3 : CDCDCDCD; +51A4 : CDCDCDCD; +51A5 : CDCDCDCD; +51A6 : CDCDCDCD; +51A7 : CDCDCDCD; +51A8 : CDCDCDCD; +51A9 : CDCDCDCD; +51AA : CDCDCDCD; +51AB : CDCDCDCD; +51AC : CDCDCDCD; +51AD : CDCDCDCD; +51AE : CDCDCDCD; +51AF : CDCDCDCD; +51B0 : CDCDCDCD; +51B1 : CDCDCDCD; +51B2 : CDCDCDCD; +51B3 : CDCDCDCD; +51B4 : CDCDCDCD; +51B5 : CDCDCDCD; +51B6 : CDCDCDCD; +51B7 : CDCDCDCD; +51B8 : CDCDCDCD; +51B9 : CDCDCDCD; +51BA : CDCDCDCD; +51BB : CDCDCDCD; +51BC : CDCDCDCD; +51BD : CDCDCDCD; +51BE : CDCDCDCD; +51BF : CDCDCDCD; +51C0 : CDCDCDCD; +51C1 : CDCDCDCD; +51C2 : CDCDCDCD; +51C3 : CDCDCDCD; +51C4 : CDCDCDCD; +51C5 : CDCDCDCD; +51C6 : CDCDCDCD; +51C7 : CDCDCDCD; +51C8 : CDCDCDCD; +51C9 : CDCDCDCD; +51CA : CDCDCDCD; +51CB : CDCDCDCD; +51CC : CDCDCDCD; +51CD : CDCDCDCD; +51CE : CDCDCDCD; +51CF : CDCDCDCD; +51D0 : CDCDCDCD; +51D1 : CDCDCDCD; +51D2 : CDCDCDCD; +51D3 : CDCDCDCD; +51D4 : CDCDCDCD; +51D5 : CDCDCDCD; +51D6 : CDCDCDCD; +51D7 : CDCDCDCD; +51D8 : CDCDCDCD; +51D9 : CDCDCDCD; +51DA : CDCDCDCD; +51DB : CDCDCDCD; +51DC : CDCDCDCD; +51DD : CDCDCDCD; +51DE : CDCDCDCD; +51DF : CDCDCDCD; +51E0 : CDCDCDCD; +51E1 : CDCDCDCD; +51E2 : CDCDCDCD; +51E3 : CDCDCDCD; +51E4 : CDCDCDCD; +51E5 : CDCDCDCD; +51E6 : CDCDCDCD; +51E7 : CDCDCDCD; +51E8 : CDCDCDCD; +51E9 : CDCDCDCD; +51EA : CDCDCDCD; +51EB : CDCDCDCD; +51EC : CDCDCDCD; +51ED : CDCDCDCD; +51EE : CDCDCDCD; +51EF : CDCDCDCD; +51F0 : CDCDCDCD; +51F1 : CDCDCDCD; +51F2 : CDCDCDCD; +51F3 : CDCDCDCD; +51F4 : CDCDCDCD; +51F5 : CDCDCDCD; +51F6 : CDCDCDCD; +51F7 : CDCDCDCD; +51F8 : CDCDCDCD; +51F9 : CDCDCDCD; +51FA : CDCDCDCD; +51FB : CDCDCDCD; +51FC : CDCDCDCD; +51FD : CDCDCDCD; +51FE : CDCDCDCD; +51FF : CDCDCDCD; +5200 : CDCDCDCD; +5201 : CDCDCDCD; +5202 : CDCDCDCD; +5203 : CDCDCDCD; +5204 : CDCDCDCD; +5205 : CDCDCDCD; +5206 : CDCDCDCD; +5207 : CDCDCDCD; +5208 : CDCDCDCD; +5209 : CDCDCDCD; +520A : CDCDCDCD; +520B : CDCDCDCD; +520C : CDCDCDCD; +520D : CDCDCDCD; +520E : CDCDCDCD; +520F : CDCDCDCD; +5210 : CDCDCDCD; +5211 : CDCDCDCD; +5212 : CDCDCDCD; +5213 : CDCDCDCD; +5214 : CDCDCDCD; +5215 : CDCDCDCD; +5216 : CDCDCDCD; +5217 : CDCDCDCD; +5218 : CDCDCDCD; +5219 : CDCDCDCD; +521A : CDCDCDCD; +521B : CDCDCDCD; +521C : CDCDCDCD; +521D : CDCDCDCD; +521E : CDCDCDCD; +521F : CDCDCDCD; +5220 : CDCDCDCD; +5221 : CDCDCDCD; +5222 : CDCDCDCD; +5223 : CDCDCDCD; +5224 : CDCDCDCD; +5225 : CDCDCDCD; +5226 : CDCDCDCD; +5227 : CDCDCDCD; +5228 : CDCDCDCD; +5229 : CDCDCDCD; +522A : CDCDCDCD; +522B : CDCDCDCD; +522C : CDCDCDCD; +522D : CDCDCDCD; +522E : CDCDCDCD; +522F : CDCDCDCD; +5230 : CDCDCDCD; +5231 : CDCDCDCD; +5232 : CDCDCDCD; +5233 : CDCDCDCD; +5234 : CDCDCDCD; +5235 : CDCDCDCD; +5236 : CDCDCDCD; +5237 : CDCDCDCD; +5238 : CDCDCDCD; +5239 : CDCDCDCD; +523A : CDCDCDCD; +523B : CDCDCDCD; +523C : CDCDCDCD; +523D : CDCDCDCD; +523E : CDCDCDCD; +523F : CDCDCDCD; +5240 : CDCDCDCD; +5241 : CDCDCDCD; +5242 : CDCDCDCD; +5243 : CDCDCDCD; +5244 : CDCDCDCD; +5245 : CDCDCDCD; +5246 : CDCDCDCD; +5247 : CDCDCDCD; +5248 : CDCDCDCD; +5249 : CDCDCDCD; +524A : CDCDCDCD; +524B : CDCDCDCD; +524C : CDCDCDCD; +524D : CDCDCDCD; +524E : CDCDCDCD; +524F : CDCDCDCD; +5250 : CDCDCDCD; +5251 : CDCDCDCD; +5252 : CDCDCDCD; +5253 : CDCDCDCD; +5254 : CDCDCDCD; +5255 : CDCDCDCD; +5256 : CDCDCDCD; +5257 : CDCDCDCD; +5258 : CDCDCDCD; +5259 : CDCDCDCD; +525A : CDCDCDCD; +525B : CDCDCDCD; +525C : CDCDCDCD; +525D : CDCDCDCD; +525E : CDCDCDCD; +525F : CDCDCDCD; +5260 : CDCDCDCD; +5261 : CDCDCDCD; +5262 : CDCDCDCD; +5263 : CDCDCDCD; +5264 : CDCDCDCD; +5265 : CDCDCDCD; +5266 : CDCDCDCD; +5267 : CDCDCDCD; +5268 : CDCDCDCD; +5269 : CDCDCDCD; +526A : CDCDCDCD; +526B : CDCDCDCD; +526C : CDCDCDCD; +526D : CDCDCDCD; +526E : CDCDCDCD; +526F : CDCDCDCD; +5270 : CDCDCDCD; +5271 : CDCDCDCD; +5272 : CDCDCDCD; +5273 : CDCDCDCD; +5274 : CDCDCDCD; +5275 : CDCDCDCD; +5276 : CDCDCDCD; +5277 : CDCDCDCD; +5278 : CDCDCDCD; +5279 : CDCDCDCD; +527A : CDCDCDCD; +527B : CDCDCDCD; +527C : CDCDCDCD; +527D : CDCDCDCD; +527E : CDCDCDCD; +527F : CDCDCDCD; +5280 : CDCDCDCD; +5281 : CDCDCDCD; +5282 : CDCDCDCD; +5283 : CDCDCDCD; +5284 : CDCDCDCD; +5285 : CDCDCDCD; +5286 : CDCDCDCD; +5287 : CDCDCDCD; +5288 : CDCDCDCD; +5289 : CDCDCDCD; +528A : CDCDCDCD; +528B : CDCDCDCD; +528C : CDCDCDCD; +528D : CDCDCDCD; +528E : CDCDCDCD; +528F : CDCDCDCD; +5290 : CDCDCDCD; +5291 : CDCDCDCD; +5292 : CDCDCDCD; +5293 : CDCDCDCD; +5294 : CDCDCDCD; +5295 : CDCDCDCD; +5296 : CDCDCDCD; +5297 : CDCDCDCD; +5298 : CDCDCDCD; +5299 : CDCDCDCD; +529A : CDCDCDCD; +529B : CDCDCDCD; +529C : CDCDCDCD; +529D : CDCDCDCD; +529E : CDCDCDCD; +529F : CDCDCDCD; +52A0 : CDCDCDCD; +52A1 : CDCDCDCD; +52A2 : CDCDCDCD; +52A3 : CDCDCDCD; +52A4 : CDCDCDCD; +52A5 : CDCDCDCD; +52A6 : CDCDCDCD; +52A7 : CDCDCDCD; +52A8 : CDCDCDCD; +52A9 : CDCDCDCD; +52AA : CDCDCDCD; +52AB : CDCDCDCD; +52AC : CDCDCDCD; +52AD : CDCDCDCD; +52AE : CDCDCDCD; +52AF : CDCDCDCD; +52B0 : CDCDCDCD; +52B1 : CDCDCDCD; +52B2 : CDCDCDCD; +52B3 : CDCDCDCD; +52B4 : CDCDCDCD; +52B5 : CDCDCDCD; +52B6 : CDCDCDCD; +52B7 : CDCDCDCD; +52B8 : CDCDCDCD; +52B9 : CDCDCDCD; +52BA : CDCDCDCD; +52BB : CDCDCDCD; +52BC : CDCDCDCD; +52BD : CDCDCDCD; +52BE : CDCDCDCD; +52BF : CDCDCDCD; +52C0 : CDCDCDCD; +52C1 : CDCDCDCD; +52C2 : CDCDCDCD; +52C3 : CDCDCDCD; +52C4 : CDCDCDCD; +52C5 : CDCDCDCD; +52C6 : CDCDCDCD; +52C7 : CDCDCDCD; +52C8 : CDCDCDCD; +52C9 : CDCDCDCD; +52CA : CDCDCDCD; +52CB : CDCDCDCD; +52CC : CDCDCDCD; +52CD : CDCDCDCD; +52CE : CDCDCDCD; +52CF : CDCDCDCD; +52D0 : CDCDCDCD; +52D1 : CDCDCDCD; +52D2 : CDCDCDCD; +52D3 : CDCDCDCD; +52D4 : CDCDCDCD; +52D5 : CDCDCDCD; +52D6 : CDCDCDCD; +52D7 : CDCDCDCD; +52D8 : CDCDCDCD; +52D9 : CDCDCDCD; +52DA : CDCDCDCD; +52DB : CDCDCDCD; +52DC : CDCDCDCD; +52DD : CDCDCDCD; +52DE : CDCDCDCD; +52DF : CDCDCDCD; +52E0 : CDCDCDCD; +52E1 : CDCDCDCD; +52E2 : CDCDCDCD; +52E3 : CDCDCDCD; +52E4 : CDCDCDCD; +52E5 : CDCDCDCD; +52E6 : CDCDCDCD; +52E7 : CDCDCDCD; +52E8 : CDCDCDCD; +52E9 : CDCDCDCD; +52EA : CDCDCDCD; +52EB : CDCDCDCD; +52EC : CDCDCDCD; +52ED : CDCDCDCD; +52EE : CDCDCDCD; +52EF : CDCDCDCD; +52F0 : CDCDCDCD; +52F1 : CDCDCDCD; +52F2 : CDCDCDCD; +52F3 : CDCDCDCD; +52F4 : CDCDCDCD; +52F5 : CDCDCDCD; +52F6 : CDCDCDCD; +52F7 : CDCDCDCD; +52F8 : CDCDCDCD; +52F9 : CDCDCDCD; +52FA : CDCDCDCD; +52FB : CDCDCDCD; +52FC : CDCDCDCD; +52FD : CDCDCDCD; +52FE : CDCDCDCD; +52FF : CDCDCDCD; +5300 : CDCDCDCD; +5301 : CDCDCDCD; +5302 : CDCDCDCD; +5303 : CDCDCDCD; +5304 : CDCDCDCD; +5305 : CDCDCDCD; +5306 : CDCDCDCD; +5307 : CDCDCDCD; +5308 : CDCDCDCD; +5309 : CDCDCDCD; +530A : CDCDCDCD; +530B : CDCDCDCD; +530C : CDCDCDCD; +530D : CDCDCDCD; +530E : CDCDCDCD; +530F : CDCDCDCD; +5310 : CDCDCDCD; +5311 : CDCDCDCD; +5312 : CDCDCDCD; +5313 : CDCDCDCD; +5314 : CDCDCDCD; +5315 : CDCDCDCD; +5316 : CDCDCDCD; +5317 : CDCDCDCD; +5318 : CDCDCDCD; +5319 : CDCDCDCD; +531A : CDCDCDCD; +531B : CDCDCDCD; +531C : CDCDCDCD; +531D : CDCDCDCD; +531E : CDCDCDCD; +531F : CDCDCDCD; +5320 : CDCDCDCD; +5321 : CDCDCDCD; +5322 : CDCDCDCD; +5323 : CDCDCDCD; +5324 : CDCDCDCD; +5325 : CDCDCDCD; +5326 : CDCDCDCD; +5327 : CDCDCDCD; +5328 : CDCDCDCD; +5329 : CDCDCDCD; +532A : CDCDCDCD; +532B : CDCDCDCD; +532C : CDCDCDCD; +532D : CDCDCDCD; +532E : CDCDCDCD; +532F : CDCDCDCD; +5330 : CDCDCDCD; +5331 : CDCDCDCD; +5332 : CDCDCDCD; +5333 : CDCDCDCD; +5334 : CDCDCDCD; +5335 : CDCDCDCD; +5336 : CDCDCDCD; +5337 : CDCDCDCD; +5338 : CDCDCDCD; +5339 : CDCDCDCD; +533A : CDCDCDCD; +533B : CDCDCDCD; +533C : CDCDCDCD; +533D : CDCDCDCD; +533E : CDCDCDCD; +533F : CDCDCDCD; +5340 : CDCDCDCD; +5341 : CDCDCDCD; +5342 : CDCDCDCD; +5343 : CDCDCDCD; +5344 : CDCDCDCD; +5345 : CDCDCDCD; +5346 : CDCDCDCD; +5347 : CDCDCDCD; +5348 : CDCDCDCD; +5349 : CDCDCDCD; +534A : CDCDCDCD; +534B : CDCDCDCD; +534C : CDCDCDCD; +534D : CDCDCDCD; +534E : CDCDCDCD; +534F : CDCDCDCD; +5350 : CDCDCDCD; +5351 : CDCDCDCD; +5352 : CDCDCDCD; +5353 : CDCDCDCD; +5354 : CDCDCDCD; +5355 : CDCDCDCD; +5356 : CDCDCDCD; +5357 : CDCDCDCD; +5358 : CDCDCDCD; +5359 : CDCDCDCD; +535A : CDCDCDCD; +535B : CDCDCDCD; +535C : CDCDCDCD; +535D : CDCDCDCD; +535E : CDCDCDCD; +535F : CDCDCDCD; +5360 : CDCDCDCD; +5361 : CDCDCDCD; +5362 : CDCDCDCD; +5363 : CDCDCDCD; +5364 : CDCDCDCD; +5365 : CDCDCDCD; +5366 : CDCDCDCD; +5367 : CDCDCDCD; +5368 : CDCDCDCD; +5369 : CDCDCDCD; +536A : CDCDCDCD; +536B : CDCDCDCD; +536C : CDCDCDCD; +536D : CDCDCDCD; +536E : CDCDCDCD; +536F : CDCDCDCD; +5370 : CDCDCDCD; +5371 : CDCDCDCD; +5372 : CDCDCDCD; +5373 : CDCDCDCD; +5374 : CDCDCDCD; +5375 : CDCDCDCD; +5376 : CDCDCDCD; +5377 : CDCDCDCD; +5378 : CDCDCDCD; +5379 : CDCDCDCD; +537A : CDCDCDCD; +537B : CDCDCDCD; +537C : CDCDCDCD; +537D : CDCDCDCD; +537E : CDCDCDCD; +537F : CDCDCDCD; +5380 : CDCDCDCD; +5381 : CDCDCDCD; +5382 : CDCDCDCD; +5383 : CDCDCDCD; +5384 : CDCDCDCD; +5385 : CDCDCDCD; +5386 : CDCDCDCD; +5387 : CDCDCDCD; +5388 : CDCDCDCD; +5389 : CDCDCDCD; +538A : CDCDCDCD; +538B : CDCDCDCD; +538C : CDCDCDCD; +538D : CDCDCDCD; +538E : CDCDCDCD; +538F : CDCDCDCD; +5390 : CDCDCDCD; +5391 : CDCDCDCD; +5392 : CDCDCDCD; +5393 : CDCDCDCD; +5394 : CDCDCDCD; +5395 : CDCDCDCD; +5396 : CDCDCDCD; +5397 : CDCDCDCD; +5398 : CDCDCDCD; +5399 : CDCDCDCD; +539A : CDCDCDCD; +539B : CDCDCDCD; +539C : CDCDCDCD; +539D : CDCDCDCD; +539E : CDCDCDCD; +539F : CDCDCDCD; +53A0 : CDCDCDCD; +53A1 : CDCDCDCD; +53A2 : CDCDCDCD; +53A3 : CDCDCDCD; +53A4 : CDCDCDCD; +53A5 : CDCDCDCD; +53A6 : CDCDCDCD; +53A7 : CDCDCDCD; +53A8 : CDCDCDCD; +53A9 : CDCDCDCD; +53AA : CDCDCDCD; +53AB : CDCDCDCD; +53AC : CDCDCDCD; +53AD : CDCDCDCD; +53AE : CDCDCDCD; +53AF : CDCDCDCD; +53B0 : CDCDCDCD; +53B1 : CDCDCDCD; +53B2 : CDCDCDCD; +53B3 : CDCDCDCD; +53B4 : CDCDCDCD; +53B5 : CDCDCDCD; +53B6 : CDCDCDCD; +53B7 : CDCDCDCD; +53B8 : CDCDCDCD; +53B9 : CDCDCDCD; +53BA : CDCDCDCD; +53BB : CDCDCDCD; +53BC : CDCDCDCD; +53BD : CDCDCDCD; +53BE : CDCDCDCD; +53BF : CDCDCDCD; +53C0 : CDCDCDCD; +53C1 : CDCDCDCD; +53C2 : CDCDCDCD; +53C3 : CDCDCDCD; +53C4 : CDCDCDCD; +53C5 : CDCDCDCD; +53C6 : CDCDCDCD; +53C7 : CDCDCDCD; +53C8 : CDCDCDCD; +53C9 : CDCDCDCD; +53CA : CDCDCDCD; +53CB : CDCDCDCD; +53CC : CDCDCDCD; +53CD : CDCDCDCD; +53CE : CDCDCDCD; +53CF : CDCDCDCD; +53D0 : CDCDCDCD; +53D1 : CDCDCDCD; +53D2 : CDCDCDCD; +53D3 : CDCDCDCD; +53D4 : CDCDCDCD; +53D5 : CDCDCDCD; +53D6 : CDCDCDCD; +53D7 : CDCDCDCD; +53D8 : CDCDCDCD; +53D9 : CDCDCDCD; +53DA : CDCDCDCD; +53DB : CDCDCDCD; +53DC : CDCDCDCD; +53DD : CDCDCDCD; +53DE : CDCDCDCD; +53DF : CDCDCDCD; +53E0 : CDCDCDCD; +53E1 : CDCDCDCD; +53E2 : CDCDCDCD; +53E3 : CDCDCDCD; +53E4 : CDCDCDCD; +53E5 : CDCDCDCD; +53E6 : CDCDCDCD; +53E7 : CDCDCDCD; +53E8 : CDCDCDCD; +53E9 : CDCDCDCD; +53EA : CDCDCDCD; +53EB : CDCDCDCD; +53EC : CDCDCDCD; +53ED : CDCDCDCD; +53EE : CDCDCDCD; +53EF : CDCDCDCD; +53F0 : CDCDCDCD; +53F1 : CDCDCDCD; +53F2 : CDCDCDCD; +53F3 : CDCDCDCD; +53F4 : CDCDCDCD; +53F5 : CDCDCDCD; +53F6 : CDCDCDCD; +53F7 : CDCDCDCD; +53F8 : CDCDCDCD; +53F9 : CDCDCDCD; +53FA : CDCDCDCD; +53FB : CDCDCDCD; +53FC : CDCDCDCD; +53FD : CDCDCDCD; +53FE : CDCDCDCD; +53FF : CDCDCDCD; +5400 : CDCDCDCD; +5401 : CDCDCDCD; +5402 : CDCDCDCD; +5403 : CDCDCDCD; +5404 : CDCDCDCD; +5405 : CDCDCDCD; +5406 : CDCDCDCD; +5407 : CDCDCDCD; +5408 : CDCDCDCD; +5409 : CDCDCDCD; +540A : CDCDCDCD; +540B : CDCDCDCD; +540C : CDCDCDCD; +540D : CDCDCDCD; +540E : CDCDCDCD; +540F : CDCDCDCD; +5410 : CDCDCDCD; +5411 : CDCDCDCD; +5412 : CDCDCDCD; +5413 : CDCDCDCD; +5414 : CDCDCDCD; +5415 : CDCDCDCD; +5416 : CDCDCDCD; +5417 : CDCDCDCD; +5418 : CDCDCDCD; +5419 : CDCDCDCD; +541A : CDCDCDCD; +541B : CDCDCDCD; +541C : CDCDCDCD; +541D : CDCDCDCD; +541E : CDCDCDCD; +541F : CDCDCDCD; +5420 : CDCDCDCD; +5421 : CDCDCDCD; +5422 : CDCDCDCD; +5423 : CDCDCDCD; +5424 : CDCDCDCD; +5425 : CDCDCDCD; +5426 : CDCDCDCD; +5427 : CDCDCDCD; +5428 : CDCDCDCD; +5429 : CDCDCDCD; +542A : CDCDCDCD; +542B : CDCDCDCD; +542C : CDCDCDCD; +542D : CDCDCDCD; +542E : CDCDCDCD; +542F : CDCDCDCD; +5430 : CDCDCDCD; +5431 : CDCDCDCD; +5432 : CDCDCDCD; +5433 : CDCDCDCD; +5434 : CDCDCDCD; +5435 : CDCDCDCD; +5436 : CDCDCDCD; +5437 : CDCDCDCD; +5438 : CDCDCDCD; +5439 : CDCDCDCD; +543A : CDCDCDCD; +543B : CDCDCDCD; +543C : CDCDCDCD; +543D : CDCDCDCD; +543E : CDCDCDCD; +543F : CDCDCDCD; +5440 : CDCDCDCD; +5441 : CDCDCDCD; +5442 : CDCDCDCD; +5443 : CDCDCDCD; +5444 : CDCDCDCD; +5445 : CDCDCDCD; +5446 : CDCDCDCD; +5447 : CDCDCDCD; +5448 : CDCDCDCD; +5449 : CDCDCDCD; +544A : CDCDCDCD; +544B : CDCDCDCD; +544C : CDCDCDCD; +544D : CDCDCDCD; +544E : CDCDCDCD; +544F : CDCDCDCD; +5450 : CDCDCDCD; +5451 : CDCDCDCD; +5452 : CDCDCDCD; +5453 : CDCDCDCD; +5454 : CDCDCDCD; +5455 : CDCDCDCD; +5456 : CDCDCDCD; +5457 : CDCDCDCD; +5458 : CDCDCDCD; +5459 : CDCDCDCD; +545A : CDCDCDCD; +545B : CDCDCDCD; +545C : CDCDCDCD; +545D : CDCDCDCD; +545E : CDCDCDCD; +545F : CDCDCDCD; +5460 : CDCDCDCD; +5461 : CDCDCDCD; +5462 : CDCDCDCD; +5463 : CDCDCDCD; +5464 : CDCDCDCD; +5465 : CDCDCDCD; +5466 : CDCDCDCD; +5467 : CDCDCDCD; +5468 : CDCDCDCD; +5469 : CDCDCDCD; +546A : CDCDCDCD; +546B : CDCDCDCD; +546C : CDCDCDCD; +546D : CDCDCDCD; +546E : CDCDCDCD; +546F : CDCDCDCD; +5470 : CDCDCDCD; +5471 : CDCDCDCD; +5472 : CDCDCDCD; +5473 : CDCDCDCD; +5474 : CDCDCDCD; +5475 : CDCDCDCD; +5476 : CDCDCDCD; +5477 : CDCDCDCD; +5478 : CDCDCDCD; +5479 : CDCDCDCD; +547A : CDCDCDCD; +547B : CDCDCDCD; +547C : CDCDCDCD; +547D : CDCDCDCD; +547E : CDCDCDCD; +547F : CDCDCDCD; +5480 : CDCDCDCD; +5481 : CDCDCDCD; +5482 : CDCDCDCD; +5483 : CDCDCDCD; +5484 : CDCDCDCD; +5485 : CDCDCDCD; +5486 : CDCDCDCD; +5487 : CDCDCDCD; +5488 : CDCDCDCD; +5489 : CDCDCDCD; +548A : CDCDCDCD; +548B : CDCDCDCD; +548C : CDCDCDCD; +548D : CDCDCDCD; +548E : CDCDCDCD; +548F : CDCDCDCD; +5490 : CDCDCDCD; +5491 : CDCDCDCD; +5492 : CDCDCDCD; +5493 : CDCDCDCD; +5494 : CDCDCDCD; +5495 : CDCDCDCD; +5496 : CDCDCDCD; +5497 : CDCDCDCD; +5498 : CDCDCDCD; +5499 : CDCDCDCD; +549A : CDCDCDCD; +549B : CDCDCDCD; +549C : CDCDCDCD; +549D : CDCDCDCD; +549E : CDCDCDCD; +549F : CDCDCDCD; +54A0 : CDCDCDCD; +54A1 : CDCDCDCD; +54A2 : CDCDCDCD; +54A3 : CDCDCDCD; +54A4 : CDCDCDCD; +54A5 : CDCDCDCD; +54A6 : CDCDCDCD; +54A7 : CDCDCDCD; +54A8 : CDCDCDCD; +54A9 : CDCDCDCD; +54AA : CDCDCDCD; +54AB : CDCDCDCD; +54AC : CDCDCDCD; +54AD : CDCDCDCD; +54AE : CDCDCDCD; +54AF : CDCDCDCD; +54B0 : CDCDCDCD; +54B1 : CDCDCDCD; +54B2 : CDCDCDCD; +54B3 : CDCDCDCD; +54B4 : CDCDCDCD; +54B5 : CDCDCDCD; +54B6 : CDCDCDCD; +54B7 : CDCDCDCD; +54B8 : CDCDCDCD; +54B9 : CDCDCDCD; +54BA : CDCDCDCD; +54BB : CDCDCDCD; +54BC : CDCDCDCD; +54BD : CDCDCDCD; +54BE : CDCDCDCD; +54BF : CDCDCDCD; +54C0 : CDCDCDCD; +54C1 : CDCDCDCD; +54C2 : CDCDCDCD; +54C3 : CDCDCDCD; +54C4 : CDCDCDCD; +54C5 : CDCDCDCD; +54C6 : CDCDCDCD; +54C7 : CDCDCDCD; +54C8 : CDCDCDCD; +54C9 : CDCDCDCD; +54CA : CDCDCDCD; +54CB : CDCDCDCD; +54CC : CDCDCDCD; +54CD : CDCDCDCD; +54CE : CDCDCDCD; +54CF : CDCDCDCD; +54D0 : CDCDCDCD; +54D1 : CDCDCDCD; +54D2 : CDCDCDCD; +54D3 : CDCDCDCD; +54D4 : CDCDCDCD; +54D5 : CDCDCDCD; +54D6 : CDCDCDCD; +54D7 : CDCDCDCD; +54D8 : CDCDCDCD; +54D9 : CDCDCDCD; +54DA : CDCDCDCD; +54DB : CDCDCDCD; +54DC : CDCDCDCD; +54DD : CDCDCDCD; +54DE : CDCDCDCD; +54DF : CDCDCDCD; +54E0 : CDCDCDCD; +54E1 : CDCDCDCD; +54E2 : CDCDCDCD; +54E3 : CDCDCDCD; +54E4 : CDCDCDCD; +54E5 : CDCDCDCD; +54E6 : CDCDCDCD; +54E7 : CDCDCDCD; +54E8 : CDCDCDCD; +54E9 : CDCDCDCD; +54EA : CDCDCDCD; +54EB : CDCDCDCD; +54EC : CDCDCDCD; +54ED : CDCDCDCD; +54EE : CDCDCDCD; +54EF : CDCDCDCD; +54F0 : CDCDCDCD; +54F1 : CDCDCDCD; +54F2 : CDCDCDCD; +54F3 : CDCDCDCD; +54F4 : CDCDCDCD; +54F5 : CDCDCDCD; +54F6 : CDCDCDCD; +54F7 : CDCDCDCD; +54F8 : CDCDCDCD; +54F9 : CDCDCDCD; +54FA : CDCDCDCD; +54FB : CDCDCDCD; +54FC : CDCDCDCD; +54FD : CDCDCDCD; +54FE : CDCDCDCD; +54FF : CDCDCDCD; +5500 : CDCDCDCD; +5501 : CDCDCDCD; +5502 : CDCDCDCD; +5503 : CDCDCDCD; +5504 : CDCDCDCD; +5505 : CDCDCDCD; +5506 : CDCDCDCD; +5507 : CDCDCDCD; +5508 : CDCDCDCD; +5509 : CDCDCDCD; +550A : CDCDCDCD; +550B : CDCDCDCD; +550C : CDCDCDCD; +550D : CDCDCDCD; +550E : CDCDCDCD; +550F : CDCDCDCD; +5510 : CDCDCDCD; +5511 : CDCDCDCD; +5512 : CDCDCDCD; +5513 : CDCDCDCD; +5514 : CDCDCDCD; +5515 : CDCDCDCD; +5516 : CDCDCDCD; +5517 : CDCDCDCD; +5518 : CDCDCDCD; +5519 : CDCDCDCD; +551A : CDCDCDCD; +551B : CDCDCDCD; +551C : CDCDCDCD; +551D : CDCDCDCD; +551E : CDCDCDCD; +551F : CDCDCDCD; +5520 : CDCDCDCD; +5521 : CDCDCDCD; +5522 : CDCDCDCD; +5523 : CDCDCDCD; +5524 : CDCDCDCD; +5525 : CDCDCDCD; +5526 : CDCDCDCD; +5527 : CDCDCDCD; +5528 : CDCDCDCD; +5529 : CDCDCDCD; +552A : CDCDCDCD; +552B : CDCDCDCD; +552C : CDCDCDCD; +552D : CDCDCDCD; +552E : CDCDCDCD; +552F : CDCDCDCD; +5530 : CDCDCDCD; +5531 : CDCDCDCD; +5532 : CDCDCDCD; +5533 : CDCDCDCD; +5534 : CDCDCDCD; +5535 : CDCDCDCD; +5536 : CDCDCDCD; +5537 : CDCDCDCD; +5538 : CDCDCDCD; +5539 : CDCDCDCD; +553A : CDCDCDCD; +553B : CDCDCDCD; +553C : CDCDCDCD; +553D : CDCDCDCD; +553E : CDCDCDCD; +553F : CDCDCDCD; +5540 : CDCDCDCD; +5541 : CDCDCDCD; +5542 : CDCDCDCD; +5543 : CDCDCDCD; +5544 : CDCDCDCD; +5545 : CDCDCDCD; +5546 : CDCDCDCD; +5547 : CDCDCDCD; +5548 : CDCDCDCD; +5549 : CDCDCDCD; +554A : CDCDCDCD; +554B : CDCDCDCD; +554C : CDCDCDCD; +554D : CDCDCDCD; +554E : CDCDCDCD; +554F : CDCDCDCD; +5550 : CDCDCDCD; +5551 : CDCDCDCD; +5552 : CDCDCDCD; +5553 : CDCDCDCD; +5554 : CDCDCDCD; +5555 : CDCDCDCD; +5556 : CDCDCDCD; +5557 : CDCDCDCD; +5558 : CDCDCDCD; +5559 : CDCDCDCD; +555A : CDCDCDCD; +555B : CDCDCDCD; +555C : CDCDCDCD; +555D : CDCDCDCD; +555E : CDCDCDCD; +555F : CDCDCDCD; +5560 : CDCDCDCD; +5561 : CDCDCDCD; +5562 : CDCDCDCD; +5563 : CDCDCDCD; +5564 : CDCDCDCD; +5565 : CDCDCDCD; +5566 : CDCDCDCD; +5567 : CDCDCDCD; +5568 : CDCDCDCD; +5569 : CDCDCDCD; +556A : CDCDCDCD; +556B : CDCDCDCD; +556C : CDCDCDCD; +556D : CDCDCDCD; +556E : CDCDCDCD; +556F : CDCDCDCD; +5570 : CDCDCDCD; +5571 : CDCDCDCD; +5572 : CDCDCDCD; +5573 : CDCDCDCD; +5574 : CDCDCDCD; +5575 : CDCDCDCD; +5576 : CDCDCDCD; +5577 : CDCDCDCD; +5578 : CDCDCDCD; +5579 : CDCDCDCD; +557A : CDCDCDCD; +557B : CDCDCDCD; +557C : CDCDCDCD; +557D : CDCDCDCD; +557E : CDCDCDCD; +557F : CDCDCDCD; +5580 : CDCDCDCD; +5581 : CDCDCDCD; +5582 : CDCDCDCD; +5583 : CDCDCDCD; +5584 : CDCDCDCD; +5585 : CDCDCDCD; +5586 : CDCDCDCD; +5587 : CDCDCDCD; +5588 : CDCDCDCD; +5589 : CDCDCDCD; +558A : CDCDCDCD; +558B : CDCDCDCD; +558C : CDCDCDCD; +558D : CDCDCDCD; +558E : CDCDCDCD; +558F : CDCDCDCD; +5590 : CDCDCDCD; +5591 : CDCDCDCD; +5592 : CDCDCDCD; +5593 : CDCDCDCD; +5594 : CDCDCDCD; +5595 : CDCDCDCD; +5596 : CDCDCDCD; +5597 : CDCDCDCD; +5598 : CDCDCDCD; +5599 : CDCDCDCD; +559A : CDCDCDCD; +559B : CDCDCDCD; +559C : CDCDCDCD; +559D : CDCDCDCD; +559E : CDCDCDCD; +559F : CDCDCDCD; +55A0 : CDCDCDCD; +55A1 : CDCDCDCD; +55A2 : CDCDCDCD; +55A3 : CDCDCDCD; +55A4 : CDCDCDCD; +55A5 : CDCDCDCD; +55A6 : CDCDCDCD; +55A7 : CDCDCDCD; +55A8 : CDCDCDCD; +55A9 : CDCDCDCD; +55AA : CDCDCDCD; +55AB : CDCDCDCD; +55AC : CDCDCDCD; +55AD : CDCDCDCD; +55AE : CDCDCDCD; +55AF : CDCDCDCD; +55B0 : CDCDCDCD; +55B1 : CDCDCDCD; +55B2 : CDCDCDCD; +55B3 : CDCDCDCD; +55B4 : CDCDCDCD; +55B5 : CDCDCDCD; +55B6 : CDCDCDCD; +55B7 : CDCDCDCD; +55B8 : CDCDCDCD; +55B9 : CDCDCDCD; +55BA : CDCDCDCD; +55BB : CDCDCDCD; +55BC : CDCDCDCD; +55BD : CDCDCDCD; +55BE : CDCDCDCD; +55BF : CDCDCDCD; +55C0 : CDCDCDCD; +55C1 : CDCDCDCD; +55C2 : CDCDCDCD; +55C3 : CDCDCDCD; +55C4 : CDCDCDCD; +55C5 : CDCDCDCD; +55C6 : CDCDCDCD; +55C7 : CDCDCDCD; +55C8 : CDCDCDCD; +55C9 : CDCDCDCD; +55CA : CDCDCDCD; +55CB : CDCDCDCD; +55CC : CDCDCDCD; +55CD : CDCDCDCD; +55CE : CDCDCDCD; +55CF : CDCDCDCD; +55D0 : CDCDCDCD; +55D1 : CDCDCDCD; +55D2 : CDCDCDCD; +55D3 : CDCDCDCD; +55D4 : CDCDCDCD; +55D5 : CDCDCDCD; +55D6 : CDCDCDCD; +55D7 : CDCDCDCD; +55D8 : CDCDCDCD; +55D9 : CDCDCDCD; +55DA : CDCDCDCD; +55DB : CDCDCDCD; +55DC : CDCDCDCD; +55DD : CDCDCDCD; +55DE : CDCDCDCD; +55DF : CDCDCDCD; +55E0 : CDCDCDCD; +55E1 : CDCDCDCD; +55E2 : CDCDCDCD; +55E3 : CDCDCDCD; +55E4 : CDCDCDCD; +55E5 : CDCDCDCD; +55E6 : CDCDCDCD; +55E7 : CDCDCDCD; +55E8 : CDCDCDCD; +55E9 : CDCDCDCD; +55EA : CDCDCDCD; +55EB : CDCDCDCD; +55EC : CDCDCDCD; +55ED : CDCDCDCD; +55EE : CDCDCDCD; +55EF : CDCDCDCD; +55F0 : CDCDCDCD; +55F1 : CDCDCDCD; +55F2 : CDCDCDCD; +55F3 : CDCDCDCD; +55F4 : CDCDCDCD; +55F5 : CDCDCDCD; +55F6 : CDCDCDCD; +55F7 : CDCDCDCD; +55F8 : CDCDCDCD; +55F9 : CDCDCDCD; +55FA : CDCDCDCD; +55FB : CDCDCDCD; +55FC : CDCDCDCD; +55FD : CDCDCDCD; +55FE : CDCDCDCD; +55FF : CDCDCDCD; +5600 : CDCDCDCD; +5601 : CDCDCDCD; +5602 : CDCDCDCD; +5603 : CDCDCDCD; +5604 : CDCDCDCD; +5605 : CDCDCDCD; +5606 : CDCDCDCD; +5607 : CDCDCDCD; +5608 : CDCDCDCD; +5609 : CDCDCDCD; +560A : CDCDCDCD; +560B : CDCDCDCD; +560C : CDCDCDCD; +560D : CDCDCDCD; +560E : CDCDCDCD; +560F : CDCDCDCD; +5610 : CDCDCDCD; +5611 : CDCDCDCD; +5612 : CDCDCDCD; +5613 : CDCDCDCD; +5614 : CDCDCDCD; +5615 : CDCDCDCD; +5616 : CDCDCDCD; +5617 : CDCDCDCD; +5618 : CDCDCDCD; +5619 : CDCDCDCD; +561A : CDCDCDCD; +561B : CDCDCDCD; +561C : CDCDCDCD; +561D : CDCDCDCD; +561E : CDCDCDCD; +561F : CDCDCDCD; +5620 : CDCDCDCD; +5621 : CDCDCDCD; +5622 : CDCDCDCD; +5623 : CDCDCDCD; +5624 : CDCDCDCD; +5625 : CDCDCDCD; +5626 : CDCDCDCD; +5627 : CDCDCDCD; +5628 : CDCDCDCD; +5629 : CDCDCDCD; +562A : CDCDCDCD; +562B : CDCDCDCD; +562C : CDCDCDCD; +562D : CDCDCDCD; +562E : CDCDCDCD; +562F : CDCDCDCD; +5630 : CDCDCDCD; +5631 : CDCDCDCD; +5632 : CDCDCDCD; +5633 : CDCDCDCD; +5634 : CDCDCDCD; +5635 : CDCDCDCD; +5636 : CDCDCDCD; +5637 : CDCDCDCD; +5638 : CDCDCDCD; +5639 : CDCDCDCD; +563A : CDCDCDCD; +563B : CDCDCDCD; +563C : CDCDCDCD; +563D : CDCDCDCD; +563E : CDCDCDCD; +563F : CDCDCDCD; +5640 : CDCDCDCD; +5641 : CDCDCDCD; +5642 : CDCDCDCD; +5643 : CDCDCDCD; +5644 : CDCDCDCD; +5645 : CDCDCDCD; +5646 : CDCDCDCD; +5647 : CDCDCDCD; +5648 : CDCDCDCD; +5649 : CDCDCDCD; +564A : CDCDCDCD; +564B : CDCDCDCD; +564C : CDCDCDCD; +564D : CDCDCDCD; +564E : CDCDCDCD; +564F : CDCDCDCD; +5650 : CDCDCDCD; +5651 : CDCDCDCD; +5652 : CDCDCDCD; +5653 : CDCDCDCD; +5654 : CDCDCDCD; +5655 : CDCDCDCD; +5656 : CDCDCDCD; +5657 : CDCDCDCD; +5658 : CDCDCDCD; +5659 : CDCDCDCD; +565A : CDCDCDCD; +565B : CDCDCDCD; +565C : CDCDCDCD; +565D : CDCDCDCD; +565E : CDCDCDCD; +565F : CDCDCDCD; +5660 : CDCDCDCD; +5661 : CDCDCDCD; +5662 : CDCDCDCD; +5663 : CDCDCDCD; +5664 : CDCDCDCD; +5665 : CDCDCDCD; +5666 : CDCDCDCD; +5667 : CDCDCDCD; +5668 : CDCDCDCD; +5669 : CDCDCDCD; +566A : CDCDCDCD; +566B : CDCDCDCD; +566C : CDCDCDCD; +566D : CDCDCDCD; +566E : CDCDCDCD; +566F : CDCDCDCD; +5670 : CDCDCDCD; +5671 : CDCDCDCD; +5672 : CDCDCDCD; +5673 : CDCDCDCD; +5674 : CDCDCDCD; +5675 : CDCDCDCD; +5676 : CDCDCDCD; +5677 : CDCDCDCD; +5678 : CDCDCDCD; +5679 : CDCDCDCD; +567A : CDCDCDCD; +567B : CDCDCDCD; +567C : CDCDCDCD; +567D : CDCDCDCD; +567E : CDCDCDCD; +567F : CDCDCDCD; +5680 : CDCDCDCD; +5681 : CDCDCDCD; +5682 : CDCDCDCD; +5683 : CDCDCDCD; +5684 : CDCDCDCD; +5685 : CDCDCDCD; +5686 : CDCDCDCD; +5687 : CDCDCDCD; +5688 : CDCDCDCD; +5689 : CDCDCDCD; +568A : CDCDCDCD; +568B : CDCDCDCD; +568C : CDCDCDCD; +568D : CDCDCDCD; +568E : CDCDCDCD; +568F : CDCDCDCD; +5690 : CDCDCDCD; +5691 : CDCDCDCD; +5692 : CDCDCDCD; +5693 : CDCDCDCD; +5694 : CDCDCDCD; +5695 : CDCDCDCD; +5696 : CDCDCDCD; +5697 : CDCDCDCD; +5698 : CDCDCDCD; +5699 : CDCDCDCD; +569A : CDCDCDCD; +569B : CDCDCDCD; +569C : CDCDCDCD; +569D : CDCDCDCD; +569E : CDCDCDCD; +569F : CDCDCDCD; +56A0 : CDCDCDCD; +56A1 : CDCDCDCD; +56A2 : CDCDCDCD; +56A3 : CDCDCDCD; +56A4 : CDCDCDCD; +56A5 : CDCDCDCD; +56A6 : CDCDCDCD; +56A7 : CDCDCDCD; +56A8 : CDCDCDCD; +56A9 : CDCDCDCD; +56AA : CDCDCDCD; +56AB : CDCDCDCD; +56AC : CDCDCDCD; +56AD : CDCDCDCD; +56AE : CDCDCDCD; +56AF : CDCDCDCD; +56B0 : CDCDCDCD; +56B1 : CDCDCDCD; +56B2 : CDCDCDCD; +56B3 : CDCDCDCD; +56B4 : CDCDCDCD; +56B5 : CDCDCDCD; +56B6 : CDCDCDCD; +56B7 : CDCDCDCD; +56B8 : CDCDCDCD; +56B9 : CDCDCDCD; +56BA : CDCDCDCD; +56BB : CDCDCDCD; +56BC : CDCDCDCD; +56BD : CDCDCDCD; +56BE : CDCDCDCD; +56BF : CDCDCDCD; +56C0 : CDCDCDCD; +56C1 : CDCDCDCD; +56C2 : CDCDCDCD; +56C3 : CDCDCDCD; +56C4 : CDCDCDCD; +56C5 : CDCDCDCD; +56C6 : CDCDCDCD; +56C7 : CDCDCDCD; +56C8 : CDCDCDCD; +56C9 : CDCDCDCD; +56CA : CDCDCDCD; +56CB : CDCDCDCD; +56CC : CDCDCDCD; +56CD : CDCDCDCD; +56CE : CDCDCDCD; +56CF : CDCDCDCD; +56D0 : CDCDCDCD; +56D1 : CDCDCDCD; +56D2 : CDCDCDCD; +56D3 : CDCDCDCD; +56D4 : CDCDCDCD; +56D5 : CDCDCDCD; +56D6 : CDCDCDCD; +56D7 : CDCDCDCD; +56D8 : CDCDCDCD; +56D9 : CDCDCDCD; +56DA : CDCDCDCD; +56DB : CDCDCDCD; +56DC : CDCDCDCD; +56DD : CDCDCDCD; +56DE : CDCDCDCD; +56DF : CDCDCDCD; +56E0 : CDCDCDCD; +56E1 : CDCDCDCD; +56E2 : CDCDCDCD; +56E3 : CDCDCDCD; +56E4 : CDCDCDCD; +56E5 : CDCDCDCD; +56E6 : CDCDCDCD; +56E7 : CDCDCDCD; +56E8 : CDCDCDCD; +56E9 : CDCDCDCD; +56EA : CDCDCDCD; +56EB : CDCDCDCD; +56EC : CDCDCDCD; +56ED : CDCDCDCD; +56EE : CDCDCDCD; +56EF : CDCDCDCD; +56F0 : CDCDCDCD; +56F1 : CDCDCDCD; +56F2 : CDCDCDCD; +56F3 : CDCDCDCD; +56F4 : CDCDCDCD; +56F5 : CDCDCDCD; +56F6 : CDCDCDCD; +56F7 : CDCDCDCD; +56F8 : CDCDCDCD; +56F9 : CDCDCDCD; +56FA : CDCDCDCD; +56FB : CDCDCDCD; +56FC : CDCDCDCD; +56FD : CDCDCDCD; +56FE : CDCDCDCD; +56FF : CDCDCDCD; +5700 : CDCDCDCD; +5701 : CDCDCDCD; +5702 : CDCDCDCD; +5703 : CDCDCDCD; +5704 : CDCDCDCD; +5705 : CDCDCDCD; +5706 : CDCDCDCD; +5707 : CDCDCDCD; +5708 : CDCDCDCD; +5709 : CDCDCDCD; +570A : CDCDCDCD; +570B : CDCDCDCD; +570C : CDCDCDCD; +570D : CDCDCDCD; +570E : CDCDCDCD; +570F : CDCDCDCD; +5710 : CDCDCDCD; +5711 : CDCDCDCD; +5712 : CDCDCDCD; +5713 : CDCDCDCD; +5714 : CDCDCDCD; +5715 : CDCDCDCD; +5716 : CDCDCDCD; +5717 : CDCDCDCD; +5718 : CDCDCDCD; +5719 : CDCDCDCD; +571A : CDCDCDCD; +571B : CDCDCDCD; +571C : CDCDCDCD; +571D : CDCDCDCD; +571E : CDCDCDCD; +571F : CDCDCDCD; +5720 : CDCDCDCD; +5721 : CDCDCDCD; +5722 : CDCDCDCD; +5723 : CDCDCDCD; +5724 : CDCDCDCD; +5725 : CDCDCDCD; +5726 : CDCDCDCD; +5727 : CDCDCDCD; +5728 : CDCDCDCD; +5729 : CDCDCDCD; +572A : CDCDCDCD; +572B : CDCDCDCD; +572C : CDCDCDCD; +572D : CDCDCDCD; +572E : CDCDCDCD; +572F : CDCDCDCD; +5730 : CDCDCDCD; +5731 : CDCDCDCD; +5732 : CDCDCDCD; +5733 : CDCDCDCD; +5734 : CDCDCDCD; +5735 : CDCDCDCD; +5736 : CDCDCDCD; +5737 : CDCDCDCD; +5738 : CDCDCDCD; +5739 : CDCDCDCD; +573A : CDCDCDCD; +573B : CDCDCDCD; +573C : CDCDCDCD; +573D : CDCDCDCD; +573E : CDCDCDCD; +573F : CDCDCDCD; +5740 : CDCDCDCD; +5741 : CDCDCDCD; +5742 : CDCDCDCD; +5743 : CDCDCDCD; +5744 : CDCDCDCD; +5745 : CDCDCDCD; +5746 : CDCDCDCD; +5747 : CDCDCDCD; +5748 : CDCDCDCD; +5749 : CDCDCDCD; +574A : CDCDCDCD; +574B : CDCDCDCD; +574C : CDCDCDCD; +574D : CDCDCDCD; +574E : CDCDCDCD; +574F : CDCDCDCD; +5750 : CDCDCDCD; +5751 : CDCDCDCD; +5752 : CDCDCDCD; +5753 : CDCDCDCD; +5754 : CDCDCDCD; +5755 : CDCDCDCD; +5756 : CDCDCDCD; +5757 : CDCDCDCD; +5758 : CDCDCDCD; +5759 : CDCDCDCD; +575A : CDCDCDCD; +575B : CDCDCDCD; +575C : CDCDCDCD; +575D : CDCDCDCD; +575E : CDCDCDCD; +575F : CDCDCDCD; +5760 : CDCDCDCD; +5761 : CDCDCDCD; +5762 : CDCDCDCD; +5763 : CDCDCDCD; +5764 : CDCDCDCD; +5765 : CDCDCDCD; +5766 : CDCDCDCD; +5767 : CDCDCDCD; +5768 : CDCDCDCD; +5769 : CDCDCDCD; +576A : CDCDCDCD; +576B : CDCDCDCD; +576C : CDCDCDCD; +576D : CDCDCDCD; +576E : CDCDCDCD; +576F : CDCDCDCD; +5770 : CDCDCDCD; +5771 : CDCDCDCD; +5772 : CDCDCDCD; +5773 : CDCDCDCD; +5774 : CDCDCDCD; +5775 : CDCDCDCD; +5776 : CDCDCDCD; +5777 : CDCDCDCD; +5778 : CDCDCDCD; +5779 : CDCDCDCD; +577A : CDCDCDCD; +577B : CDCDCDCD; +577C : CDCDCDCD; +577D : CDCDCDCD; +577E : CDCDCDCD; +577F : CDCDCDCD; +5780 : CDCDCDCD; +5781 : CDCDCDCD; +5782 : CDCDCDCD; +5783 : CDCDCDCD; +5784 : CDCDCDCD; +5785 : CDCDCDCD; +5786 : CDCDCDCD; +5787 : CDCDCDCD; +5788 : CDCDCDCD; +5789 : CDCDCDCD; +578A : CDCDCDCD; +578B : CDCDCDCD; +578C : CDCDCDCD; +578D : CDCDCDCD; +578E : CDCDCDCD; +578F : CDCDCDCD; +5790 : CDCDCDCD; +5791 : CDCDCDCD; +5792 : CDCDCDCD; +5793 : CDCDCDCD; +5794 : CDCDCDCD; +5795 : CDCDCDCD; +5796 : CDCDCDCD; +5797 : CDCDCDCD; +5798 : CDCDCDCD; +5799 : CDCDCDCD; +579A : CDCDCDCD; +579B : CDCDCDCD; +579C : CDCDCDCD; +579D : CDCDCDCD; +579E : CDCDCDCD; +579F : CDCDCDCD; +57A0 : CDCDCDCD; +57A1 : CDCDCDCD; +57A2 : CDCDCDCD; +57A3 : CDCDCDCD; +57A4 : CDCDCDCD; +57A5 : CDCDCDCD; +57A6 : CDCDCDCD; +57A7 : CDCDCDCD; +57A8 : CDCDCDCD; +57A9 : CDCDCDCD; +57AA : CDCDCDCD; +57AB : CDCDCDCD; +57AC : CDCDCDCD; +57AD : CDCDCDCD; +57AE : CDCDCDCD; +57AF : CDCDCDCD; +57B0 : CDCDCDCD; +57B1 : CDCDCDCD; +57B2 : CDCDCDCD; +57B3 : CDCDCDCD; +57B4 : CDCDCDCD; +57B5 : CDCDCDCD; +57B6 : CDCDCDCD; +57B7 : CDCDCDCD; +57B8 : CDCDCDCD; +57B9 : CDCDCDCD; +57BA : CDCDCDCD; +57BB : CDCDCDCD; +57BC : CDCDCDCD; +57BD : CDCDCDCD; +57BE : CDCDCDCD; +57BF : CDCDCDCD; +57C0 : CDCDCDCD; +57C1 : CDCDCDCD; +57C2 : CDCDCDCD; +57C3 : CDCDCDCD; +57C4 : CDCDCDCD; +57C5 : CDCDCDCD; +57C6 : CDCDCDCD; +57C7 : CDCDCDCD; +57C8 : CDCDCDCD; +57C9 : CDCDCDCD; +57CA : CDCDCDCD; +57CB : CDCDCDCD; +57CC : CDCDCDCD; +57CD : CDCDCDCD; +57CE : CDCDCDCD; +57CF : CDCDCDCD; +57D0 : CDCDCDCD; +57D1 : CDCDCDCD; +57D2 : CDCDCDCD; +57D3 : CDCDCDCD; +57D4 : CDCDCDCD; +57D5 : CDCDCDCD; +57D6 : CDCDCDCD; +57D7 : CDCDCDCD; +57D8 : CDCDCDCD; +57D9 : CDCDCDCD; +57DA : CDCDCDCD; +57DB : CDCDCDCD; +57DC : CDCDCDCD; +57DD : CDCDCDCD; +57DE : CDCDCDCD; +57DF : CDCDCDCD; +57E0 : CDCDCDCD; +57E1 : CDCDCDCD; +57E2 : CDCDCDCD; +57E3 : CDCDCDCD; +57E4 : CDCDCDCD; +57E5 : CDCDCDCD; +57E6 : CDCDCDCD; +57E7 : CDCDCDCD; +57E8 : CDCDCDCD; +57E9 : CDCDCDCD; +57EA : CDCDCDCD; +57EB : CDCDCDCD; +57EC : CDCDCDCD; +57ED : CDCDCDCD; +57EE : CDCDCDCD; +57EF : CDCDCDCD; +57F0 : CDCDCDCD; +57F1 : CDCDCDCD; +57F2 : CDCDCDCD; +57F3 : CDCDCDCD; +57F4 : CDCDCDCD; +57F5 : CDCDCDCD; +57F6 : CDCDCDCD; +57F7 : CDCDCDCD; +57F8 : CDCDCDCD; +57F9 : CDCDCDCD; +57FA : CDCDCDCD; +57FB : CDCDCDCD; +57FC : CDCDCDCD; +57FD : CDCDCDCD; +57FE : CDCDCDCD; +57FF : CDCDCDCD; +5800 : CDCDCDCD; +5801 : CDCDCDCD; +5802 : CDCDCDCD; +5803 : CDCDCDCD; +5804 : CDCDCDCD; +5805 : CDCDCDCD; +5806 : CDCDCDCD; +5807 : CDCDCDCD; +5808 : CDCDCDCD; +5809 : CDCDCDCD; +580A : CDCDCDCD; +580B : CDCDCDCD; +580C : CDCDCDCD; +580D : CDCDCDCD; +580E : CDCDCDCD; +580F : CDCDCDCD; +5810 : CDCDCDCD; +5811 : CDCDCDCD; +5812 : CDCDCDCD; +5813 : CDCDCDCD; +5814 : CDCDCDCD; +5815 : CDCDCDCD; +5816 : CDCDCDCD; +5817 : CDCDCDCD; +5818 : CDCDCDCD; +5819 : CDCDCDCD; +581A : CDCDCDCD; +581B : CDCDCDCD; +581C : CDCDCDCD; +581D : CDCDCDCD; +581E : CDCDCDCD; +581F : CDCDCDCD; +5820 : CDCDCDCD; +5821 : CDCDCDCD; +5822 : CDCDCDCD; +5823 : CDCDCDCD; +5824 : CDCDCDCD; +5825 : CDCDCDCD; +5826 : CDCDCDCD; +5827 : CDCDCDCD; +5828 : CDCDCDCD; +5829 : CDCDCDCD; +582A : CDCDCDCD; +582B : CDCDCDCD; +582C : CDCDCDCD; +582D : CDCDCDCD; +582E : CDCDCDCD; +582F : CDCDCDCD; +5830 : CDCDCDCD; +5831 : CDCDCDCD; +5832 : CDCDCDCD; +5833 : CDCDCDCD; +5834 : CDCDCDCD; +5835 : CDCDCDCD; +5836 : CDCDCDCD; +5837 : CDCDCDCD; +5838 : CDCDCDCD; +5839 : CDCDCDCD; +583A : CDCDCDCD; +583B : CDCDCDCD; +583C : CDCDCDCD; +583D : CDCDCDCD; +583E : CDCDCDCD; +583F : CDCDCDCD; +5840 : CDCDCDCD; +5841 : CDCDCDCD; +5842 : CDCDCDCD; +5843 : CDCDCDCD; +5844 : CDCDCDCD; +5845 : CDCDCDCD; +5846 : CDCDCDCD; +5847 : CDCDCDCD; +5848 : CDCDCDCD; +5849 : CDCDCDCD; +584A : CDCDCDCD; +584B : CDCDCDCD; +584C : CDCDCDCD; +584D : CDCDCDCD; +584E : CDCDCDCD; +584F : CDCDCDCD; +5850 : CDCDCDCD; +5851 : CDCDCDCD; +5852 : CDCDCDCD; +5853 : CDCDCDCD; +5854 : CDCDCDCD; +5855 : CDCDCDCD; +5856 : CDCDCDCD; +5857 : CDCDCDCD; +5858 : CDCDCDCD; +5859 : CDCDCDCD; +585A : CDCDCDCD; +585B : CDCDCDCD; +585C : CDCDCDCD; +585D : CDCDCDCD; +585E : CDCDCDCD; +585F : CDCDCDCD; +5860 : CDCDCDCD; +5861 : CDCDCDCD; +5862 : CDCDCDCD; +5863 : CDCDCDCD; +5864 : CDCDCDCD; +5865 : CDCDCDCD; +5866 : CDCDCDCD; +5867 : CDCDCDCD; +5868 : CDCDCDCD; +5869 : CDCDCDCD; +586A : CDCDCDCD; +586B : CDCDCDCD; +586C : CDCDCDCD; +586D : CDCDCDCD; +586E : CDCDCDCD; +586F : CDCDCDCD; +5870 : CDCDCDCD; +5871 : CDCDCDCD; +5872 : CDCDCDCD; +5873 : CDCDCDCD; +5874 : CDCDCDCD; +5875 : CDCDCDCD; +5876 : CDCDCDCD; +5877 : CDCDCDCD; +5878 : CDCDCDCD; +5879 : CDCDCDCD; +587A : CDCDCDCD; +587B : CDCDCDCD; +587C : CDCDCDCD; +587D : CDCDCDCD; +587E : CDCDCDCD; +587F : CDCDCDCD; +5880 : CDCDCDCD; +5881 : CDCDCDCD; +5882 : CDCDCDCD; +5883 : CDCDCDCD; +5884 : CDCDCDCD; +5885 : CDCDCDCD; +5886 : CDCDCDCD; +5887 : CDCDCDCD; +5888 : CDCDCDCD; +5889 : CDCDCDCD; +588A : CDCDCDCD; +588B : CDCDCDCD; +588C : CDCDCDCD; +588D : CDCDCDCD; +588E : CDCDCDCD; +588F : CDCDCDCD; +5890 : CDCDCDCD; +5891 : CDCDCDCD; +5892 : CDCDCDCD; +5893 : CDCDCDCD; +5894 : CDCDCDCD; +5895 : CDCDCDCD; +5896 : CDCDCDCD; +5897 : CDCDCDCD; +5898 : CDCDCDCD; +5899 : CDCDCDCD; +589A : CDCDCDCD; +589B : CDCDCDCD; +589C : CDCDCDCD; +589D : CDCDCDCD; +589E : CDCDCDCD; +589F : CDCDCDCD; +58A0 : CDCDCDCD; +58A1 : CDCDCDCD; +58A2 : CDCDCDCD; +58A3 : CDCDCDCD; +58A4 : CDCDCDCD; +58A5 : CDCDCDCD; +58A6 : CDCDCDCD; +58A7 : CDCDCDCD; +58A8 : CDCDCDCD; +58A9 : CDCDCDCD; +58AA : CDCDCDCD; +58AB : CDCDCDCD; +58AC : CDCDCDCD; +58AD : CDCDCDCD; +58AE : CDCDCDCD; +58AF : CDCDCDCD; +58B0 : CDCDCDCD; +58B1 : CDCDCDCD; +58B2 : CDCDCDCD; +58B3 : CDCDCDCD; +58B4 : CDCDCDCD; +58B5 : CDCDCDCD; +58B6 : CDCDCDCD; +58B7 : CDCDCDCD; +58B8 : CDCDCDCD; +58B9 : CDCDCDCD; +58BA : CDCDCDCD; +58BB : CDCDCDCD; +58BC : CDCDCDCD; +58BD : CDCDCDCD; +58BE : CDCDCDCD; +58BF : CDCDCDCD; +58C0 : CDCDCDCD; +58C1 : CDCDCDCD; +58C2 : CDCDCDCD; +58C3 : CDCDCDCD; +58C4 : CDCDCDCD; +58C5 : CDCDCDCD; +58C6 : CDCDCDCD; +58C7 : CDCDCDCD; +58C8 : CDCDCDCD; +58C9 : CDCDCDCD; +58CA : CDCDCDCD; +58CB : CDCDCDCD; +58CC : CDCDCDCD; +58CD : CDCDCDCD; +58CE : CDCDCDCD; +58CF : CDCDCDCD; +58D0 : CDCDCDCD; +58D1 : CDCDCDCD; +58D2 : CDCDCDCD; +58D3 : CDCDCDCD; +58D4 : CDCDCDCD; +58D5 : CDCDCDCD; +58D6 : CDCDCDCD; +58D7 : CDCDCDCD; +58D8 : CDCDCDCD; +58D9 : CDCDCDCD; +58DA : CDCDCDCD; +58DB : CDCDCDCD; +58DC : CDCDCDCD; +58DD : CDCDCDCD; +58DE : CDCDCDCD; +58DF : CDCDCDCD; +58E0 : CDCDCDCD; +58E1 : CDCDCDCD; +58E2 : CDCDCDCD; +58E3 : CDCDCDCD; +58E4 : CDCDCDCD; +58E5 : CDCDCDCD; +58E6 : CDCDCDCD; +58E7 : CDCDCDCD; +58E8 : CDCDCDCD; +58E9 : CDCDCDCD; +58EA : CDCDCDCD; +58EB : CDCDCDCD; +58EC : CDCDCDCD; +58ED : CDCDCDCD; +58EE : CDCDCDCD; +58EF : CDCDCDCD; +58F0 : CDCDCDCD; +58F1 : CDCDCDCD; +58F2 : CDCDCDCD; +58F3 : CDCDCDCD; +58F4 : CDCDCDCD; +58F5 : CDCDCDCD; +58F6 : CDCDCDCD; +58F7 : CDCDCDCD; +58F8 : CDCDCDCD; +58F9 : CDCDCDCD; +58FA : CDCDCDCD; +58FB : CDCDCDCD; +58FC : CDCDCDCD; +58FD : CDCDCDCD; +58FE : CDCDCDCD; +58FF : CDCDCDCD; +5900 : CDCDCDCD; +5901 : CDCDCDCD; +5902 : CDCDCDCD; +5903 : CDCDCDCD; +5904 : CDCDCDCD; +5905 : CDCDCDCD; +5906 : CDCDCDCD; +5907 : CDCDCDCD; +5908 : CDCDCDCD; +5909 : CDCDCDCD; +590A : CDCDCDCD; +590B : CDCDCDCD; +590C : CDCDCDCD; +590D : CDCDCDCD; +590E : CDCDCDCD; +590F : CDCDCDCD; +5910 : CDCDCDCD; +5911 : CDCDCDCD; +5912 : CDCDCDCD; +5913 : CDCDCDCD; +5914 : CDCDCDCD; +5915 : CDCDCDCD; +5916 : CDCDCDCD; +5917 : CDCDCDCD; +5918 : CDCDCDCD; +5919 : CDCDCDCD; +591A : CDCDCDCD; +591B : CDCDCDCD; +591C : CDCDCDCD; +591D : CDCDCDCD; +591E : CDCDCDCD; +591F : CDCDCDCD; +5920 : CDCDCDCD; +5921 : CDCDCDCD; +5922 : CDCDCDCD; +5923 : CDCDCDCD; +5924 : CDCDCDCD; +5925 : CDCDCDCD; +5926 : CDCDCDCD; +5927 : CDCDCDCD; +5928 : CDCDCDCD; +5929 : CDCDCDCD; +592A : CDCDCDCD; +592B : CDCDCDCD; +592C : CDCDCDCD; +592D : CDCDCDCD; +592E : CDCDCDCD; +592F : CDCDCDCD; +5930 : CDCDCDCD; +5931 : CDCDCDCD; +5932 : CDCDCDCD; +5933 : CDCDCDCD; +5934 : CDCDCDCD; +5935 : CDCDCDCD; +5936 : CDCDCDCD; +5937 : CDCDCDCD; +5938 : CDCDCDCD; +5939 : CDCDCDCD; +593A : CDCDCDCD; +593B : CDCDCDCD; +593C : CDCDCDCD; +593D : CDCDCDCD; +593E : CDCDCDCD; +593F : CDCDCDCD; +5940 : CDCDCDCD; +5941 : CDCDCDCD; +5942 : CDCDCDCD; +5943 : CDCDCDCD; +5944 : CDCDCDCD; +5945 : CDCDCDCD; +5946 : CDCDCDCD; +5947 : CDCDCDCD; +5948 : CDCDCDCD; +5949 : CDCDCDCD; +594A : CDCDCDCD; +594B : CDCDCDCD; +594C : CDCDCDCD; +594D : CDCDCDCD; +594E : CDCDCDCD; +594F : CDCDCDCD; +5950 : CDCDCDCD; +5951 : CDCDCDCD; +5952 : CDCDCDCD; +5953 : CDCDCDCD; +5954 : CDCDCDCD; +5955 : CDCDCDCD; +5956 : CDCDCDCD; +5957 : CDCDCDCD; +5958 : CDCDCDCD; +5959 : CDCDCDCD; +595A : CDCDCDCD; +595B : CDCDCDCD; +595C : CDCDCDCD; +595D : CDCDCDCD; +595E : CDCDCDCD; +595F : CDCDCDCD; +5960 : CDCDCDCD; +5961 : CDCDCDCD; +5962 : CDCDCDCD; +5963 : CDCDCDCD; +5964 : CDCDCDCD; +5965 : CDCDCDCD; +5966 : CDCDCDCD; +5967 : CDCDCDCD; +5968 : CDCDCDCD; +5969 : CDCDCDCD; +596A : CDCDCDCD; +596B : CDCDCDCD; +596C : CDCDCDCD; +596D : CDCDCDCD; +596E : CDCDCDCD; +596F : CDCDCDCD; +5970 : CDCDCDCD; +5971 : CDCDCDCD; +5972 : CDCDCDCD; +5973 : CDCDCDCD; +5974 : CDCDCDCD; +5975 : CDCDCDCD; +5976 : CDCDCDCD; +5977 : CDCDCDCD; +5978 : CDCDCDCD; +5979 : CDCDCDCD; +597A : CDCDCDCD; +597B : CDCDCDCD; +597C : CDCDCDCD; +597D : CDCDCDCD; +597E : CDCDCDCD; +597F : CDCDCDCD; +5980 : CDCDCDCD; +5981 : CDCDCDCD; +5982 : CDCDCDCD; +5983 : CDCDCDCD; +5984 : CDCDCDCD; +5985 : CDCDCDCD; +5986 : CDCDCDCD; +5987 : CDCDCDCD; +5988 : CDCDCDCD; +5989 : CDCDCDCD; +598A : CDCDCDCD; +598B : CDCDCDCD; +598C : CDCDCDCD; +598D : CDCDCDCD; +598E : CDCDCDCD; +598F : CDCDCDCD; +5990 : CDCDCDCD; +5991 : CDCDCDCD; +5992 : CDCDCDCD; +5993 : CDCDCDCD; +5994 : CDCDCDCD; +5995 : CDCDCDCD; +5996 : CDCDCDCD; +5997 : CDCDCDCD; +5998 : CDCDCDCD; +5999 : CDCDCDCD; +599A : CDCDCDCD; +599B : CDCDCDCD; +599C : CDCDCDCD; +599D : CDCDCDCD; +599E : CDCDCDCD; +599F : CDCDCDCD; +59A0 : CDCDCDCD; +59A1 : CDCDCDCD; +59A2 : CDCDCDCD; +59A3 : CDCDCDCD; +59A4 : CDCDCDCD; +59A5 : CDCDCDCD; +59A6 : CDCDCDCD; +59A7 : CDCDCDCD; +59A8 : CDCDCDCD; +59A9 : CDCDCDCD; +59AA : CDCDCDCD; +59AB : CDCDCDCD; +59AC : CDCDCDCD; +59AD : CDCDCDCD; +59AE : CDCDCDCD; +59AF : CDCDCDCD; +59B0 : CDCDCDCD; +59B1 : CDCDCDCD; +59B2 : CDCDCDCD; +59B3 : CDCDCDCD; +59B4 : CDCDCDCD; +59B5 : CDCDCDCD; +59B6 : CDCDCDCD; +59B7 : CDCDCDCD; +59B8 : CDCDCDCD; +59B9 : CDCDCDCD; +59BA : CDCDCDCD; +59BB : CDCDCDCD; +59BC : CDCDCDCD; +59BD : CDCDCDCD; +59BE : CDCDCDCD; +59BF : CDCDCDCD; +59C0 : CDCDCDCD; +59C1 : CDCDCDCD; +59C2 : CDCDCDCD; +59C3 : CDCDCDCD; +59C4 : CDCDCDCD; +59C5 : CDCDCDCD; +59C6 : CDCDCDCD; +59C7 : CDCDCDCD; +59C8 : CDCDCDCD; +59C9 : CDCDCDCD; +59CA : CDCDCDCD; +59CB : CDCDCDCD; +59CC : CDCDCDCD; +59CD : CDCDCDCD; +59CE : CDCDCDCD; +59CF : CDCDCDCD; +59D0 : CDCDCDCD; +59D1 : CDCDCDCD; +59D2 : CDCDCDCD; +59D3 : CDCDCDCD; +59D4 : CDCDCDCD; +59D5 : CDCDCDCD; +59D6 : CDCDCDCD; +59D7 : CDCDCDCD; +59D8 : CDCDCDCD; +59D9 : CDCDCDCD; +59DA : CDCDCDCD; +59DB : CDCDCDCD; +59DC : CDCDCDCD; +59DD : CDCDCDCD; +59DE : CDCDCDCD; +59DF : CDCDCDCD; +59E0 : CDCDCDCD; +59E1 : CDCDCDCD; +59E2 : CDCDCDCD; +59E3 : CDCDCDCD; +59E4 : CDCDCDCD; +59E5 : CDCDCDCD; +59E6 : CDCDCDCD; +59E7 : CDCDCDCD; +59E8 : CDCDCDCD; +59E9 : CDCDCDCD; +59EA : CDCDCDCD; +59EB : CDCDCDCD; +59EC : CDCDCDCD; +59ED : CDCDCDCD; +59EE : CDCDCDCD; +59EF : CDCDCDCD; +59F0 : CDCDCDCD; +59F1 : CDCDCDCD; +59F2 : CDCDCDCD; +59F3 : CDCDCDCD; +59F4 : CDCDCDCD; +59F5 : CDCDCDCD; +59F6 : CDCDCDCD; +59F7 : CDCDCDCD; +59F8 : CDCDCDCD; +59F9 : CDCDCDCD; +59FA : CDCDCDCD; +59FB : CDCDCDCD; +59FC : CDCDCDCD; +59FD : CDCDCDCD; +59FE : CDCDCDCD; +59FF : CDCDCDCD; +5A00 : CDCDCDCD; +5A01 : CDCDCDCD; +5A02 : CDCDCDCD; +5A03 : CDCDCDCD; +5A04 : CDCDCDCD; +5A05 : CDCDCDCD; +5A06 : CDCDCDCD; +5A07 : CDCDCDCD; +5A08 : CDCDCDCD; +5A09 : CDCDCDCD; +5A0A : CDCDCDCD; +5A0B : CDCDCDCD; +5A0C : CDCDCDCD; +5A0D : CDCDCDCD; +5A0E : CDCDCDCD; +5A0F : CDCDCDCD; +5A10 : CDCDCDCD; +5A11 : CDCDCDCD; +5A12 : CDCDCDCD; +5A13 : CDCDCDCD; +5A14 : CDCDCDCD; +5A15 : CDCDCDCD; +5A16 : CDCDCDCD; +5A17 : CDCDCDCD; +5A18 : CDCDCDCD; +5A19 : CDCDCDCD; +5A1A : CDCDCDCD; +5A1B : CDCDCDCD; +5A1C : CDCDCDCD; +5A1D : CDCDCDCD; +5A1E : CDCDCDCD; +5A1F : CDCDCDCD; +5A20 : CDCDCDCD; +5A21 : CDCDCDCD; +5A22 : CDCDCDCD; +5A23 : CDCDCDCD; +5A24 : CDCDCDCD; +5A25 : CDCDCDCD; +5A26 : CDCDCDCD; +5A27 : CDCDCDCD; +5A28 : CDCDCDCD; +5A29 : CDCDCDCD; +5A2A : CDCDCDCD; +5A2B : CDCDCDCD; +5A2C : CDCDCDCD; +5A2D : CDCDCDCD; +5A2E : CDCDCDCD; +5A2F : CDCDCDCD; +5A30 : CDCDCDCD; +5A31 : CDCDCDCD; +5A32 : CDCDCDCD; +5A33 : CDCDCDCD; +5A34 : CDCDCDCD; +5A35 : CDCDCDCD; +5A36 : CDCDCDCD; +5A37 : CDCDCDCD; +5A38 : CDCDCDCD; +5A39 : CDCDCDCD; +5A3A : CDCDCDCD; +5A3B : CDCDCDCD; +5A3C : CDCDCDCD; +5A3D : CDCDCDCD; +5A3E : CDCDCDCD; +5A3F : CDCDCDCD; +5A40 : CDCDCDCD; +5A41 : CDCDCDCD; +5A42 : CDCDCDCD; +5A43 : CDCDCDCD; +5A44 : CDCDCDCD; +5A45 : CDCDCDCD; +5A46 : CDCDCDCD; +5A47 : CDCDCDCD; +5A48 : CDCDCDCD; +5A49 : CDCDCDCD; +5A4A : CDCDCDCD; +5A4B : CDCDCDCD; +5A4C : CDCDCDCD; +5A4D : CDCDCDCD; +5A4E : CDCDCDCD; +5A4F : CDCDCDCD; +5A50 : CDCDCDCD; +5A51 : CDCDCDCD; +5A52 : CDCDCDCD; +5A53 : CDCDCDCD; +5A54 : CDCDCDCD; +5A55 : CDCDCDCD; +5A56 : CDCDCDCD; +5A57 : CDCDCDCD; +5A58 : CDCDCDCD; +5A59 : CDCDCDCD; +5A5A : CDCDCDCD; +5A5B : CDCDCDCD; +5A5C : CDCDCDCD; +5A5D : CDCDCDCD; +5A5E : CDCDCDCD; +5A5F : CDCDCDCD; +5A60 : CDCDCDCD; +5A61 : CDCDCDCD; +5A62 : CDCDCDCD; +5A63 : CDCDCDCD; +5A64 : CDCDCDCD; +5A65 : CDCDCDCD; +5A66 : CDCDCDCD; +5A67 : CDCDCDCD; +5A68 : CDCDCDCD; +5A69 : CDCDCDCD; +5A6A : CDCDCDCD; +5A6B : CDCDCDCD; +5A6C : CDCDCDCD; +5A6D : CDCDCDCD; +5A6E : CDCDCDCD; +5A6F : CDCDCDCD; +5A70 : CDCDCDCD; +5A71 : CDCDCDCD; +5A72 : CDCDCDCD; +5A73 : CDCDCDCD; +5A74 : CDCDCDCD; +5A75 : CDCDCDCD; +5A76 : CDCDCDCD; +5A77 : CDCDCDCD; +5A78 : CDCDCDCD; +5A79 : CDCDCDCD; +5A7A : CDCDCDCD; +5A7B : CDCDCDCD; +5A7C : CDCDCDCD; +5A7D : CDCDCDCD; +5A7E : CDCDCDCD; +5A7F : CDCDCDCD; +5A80 : CDCDCDCD; +5A81 : CDCDCDCD; +5A82 : CDCDCDCD; +5A83 : CDCDCDCD; +5A84 : CDCDCDCD; +5A85 : CDCDCDCD; +5A86 : CDCDCDCD; +5A87 : CDCDCDCD; +5A88 : CDCDCDCD; +5A89 : CDCDCDCD; +5A8A : CDCDCDCD; +5A8B : CDCDCDCD; +5A8C : CDCDCDCD; +5A8D : CDCDCDCD; +5A8E : CDCDCDCD; +5A8F : CDCDCDCD; +5A90 : CDCDCDCD; +5A91 : CDCDCDCD; +5A92 : CDCDCDCD; +5A93 : CDCDCDCD; +5A94 : CDCDCDCD; +5A95 : CDCDCDCD; +5A96 : CDCDCDCD; +5A97 : CDCDCDCD; +5A98 : CDCDCDCD; +5A99 : CDCDCDCD; +5A9A : CDCDCDCD; +5A9B : CDCDCDCD; +5A9C : CDCDCDCD; +5A9D : CDCDCDCD; +5A9E : CDCDCDCD; +5A9F : CDCDCDCD; +5AA0 : CDCDCDCD; +5AA1 : CDCDCDCD; +5AA2 : CDCDCDCD; +5AA3 : CDCDCDCD; +5AA4 : CDCDCDCD; +5AA5 : CDCDCDCD; +5AA6 : CDCDCDCD; +5AA7 : CDCDCDCD; +5AA8 : CDCDCDCD; +5AA9 : CDCDCDCD; +5AAA : CDCDCDCD; +5AAB : CDCDCDCD; +5AAC : CDCDCDCD; +5AAD : CDCDCDCD; +5AAE : CDCDCDCD; +5AAF : CDCDCDCD; +5AB0 : CDCDCDCD; +5AB1 : CDCDCDCD; +5AB2 : CDCDCDCD; +5AB3 : CDCDCDCD; +5AB4 : CDCDCDCD; +5AB5 : CDCDCDCD; +5AB6 : CDCDCDCD; +5AB7 : CDCDCDCD; +5AB8 : CDCDCDCD; +5AB9 : CDCDCDCD; +5ABA : CDCDCDCD; +5ABB : CDCDCDCD; +5ABC : CDCDCDCD; +5ABD : CDCDCDCD; +5ABE : CDCDCDCD; +5ABF : CDCDCDCD; +5AC0 : CDCDCDCD; +5AC1 : CDCDCDCD; +5AC2 : CDCDCDCD; +5AC3 : CDCDCDCD; +5AC4 : CDCDCDCD; +5AC5 : CDCDCDCD; +5AC6 : CDCDCDCD; +5AC7 : CDCDCDCD; +5AC8 : CDCDCDCD; +5AC9 : CDCDCDCD; +5ACA : CDCDCDCD; +5ACB : CDCDCDCD; +5ACC : CDCDCDCD; +5ACD : CDCDCDCD; +5ACE : CDCDCDCD; +5ACF : CDCDCDCD; +5AD0 : CDCDCDCD; +5AD1 : CDCDCDCD; +5AD2 : CDCDCDCD; +5AD3 : CDCDCDCD; +5AD4 : CDCDCDCD; +5AD5 : CDCDCDCD; +5AD6 : CDCDCDCD; +5AD7 : CDCDCDCD; +5AD8 : CDCDCDCD; +5AD9 : CDCDCDCD; +5ADA : CDCDCDCD; +5ADB : CDCDCDCD; +5ADC : CDCDCDCD; +5ADD : CDCDCDCD; +5ADE : CDCDCDCD; +5ADF : CDCDCDCD; +5AE0 : CDCDCDCD; +5AE1 : CDCDCDCD; +5AE2 : CDCDCDCD; +5AE3 : CDCDCDCD; +5AE4 : CDCDCDCD; +5AE5 : CDCDCDCD; +5AE6 : CDCDCDCD; +5AE7 : CDCDCDCD; +5AE8 : CDCDCDCD; +5AE9 : CDCDCDCD; +5AEA : CDCDCDCD; +5AEB : CDCDCDCD; +5AEC : CDCDCDCD; +5AED : CDCDCDCD; +5AEE : CDCDCDCD; +5AEF : CDCDCDCD; +5AF0 : CDCDCDCD; +5AF1 : CDCDCDCD; +5AF2 : CDCDCDCD; +5AF3 : CDCDCDCD; +5AF4 : CDCDCDCD; +5AF5 : CDCDCDCD; +5AF6 : CDCDCDCD; +5AF7 : CDCDCDCD; +5AF8 : CDCDCDCD; +5AF9 : CDCDCDCD; +5AFA : CDCDCDCD; +5AFB : CDCDCDCD; +5AFC : CDCDCDCD; +5AFD : CDCDCDCD; +5AFE : CDCDCDCD; +5AFF : CDCDCDCD; +5B00 : CDCDCDCD; +5B01 : CDCDCDCD; +5B02 : CDCDCDCD; +5B03 : CDCDCDCD; +5B04 : CDCDCDCD; +5B05 : CDCDCDCD; +5B06 : CDCDCDCD; +5B07 : CDCDCDCD; +5B08 : CDCDCDCD; +5B09 : CDCDCDCD; +5B0A : CDCDCDCD; +5B0B : CDCDCDCD; +5B0C : CDCDCDCD; +5B0D : CDCDCDCD; +5B0E : CDCDCDCD; +5B0F : CDCDCDCD; +5B10 : CDCDCDCD; +5B11 : CDCDCDCD; +5B12 : CDCDCDCD; +5B13 : CDCDCDCD; +5B14 : CDCDCDCD; +5B15 : CDCDCDCD; +5B16 : CDCDCDCD; +5B17 : CDCDCDCD; +5B18 : CDCDCDCD; +5B19 : CDCDCDCD; +5B1A : CDCDCDCD; +5B1B : CDCDCDCD; +5B1C : CDCDCDCD; +5B1D : CDCDCDCD; +5B1E : CDCDCDCD; +5B1F : CDCDCDCD; +5B20 : CDCDCDCD; +5B21 : CDCDCDCD; +5B22 : CDCDCDCD; +5B23 : CDCDCDCD; +5B24 : CDCDCDCD; +5B25 : CDCDCDCD; +5B26 : CDCDCDCD; +5B27 : CDCDCDCD; +5B28 : CDCDCDCD; +5B29 : CDCDCDCD; +5B2A : CDCDCDCD; +5B2B : CDCDCDCD; +5B2C : CDCDCDCD; +5B2D : CDCDCDCD; +5B2E : CDCDCDCD; +5B2F : CDCDCDCD; +5B30 : CDCDCDCD; +5B31 : CDCDCDCD; +5B32 : CDCDCDCD; +5B33 : CDCDCDCD; +5B34 : CDCDCDCD; +5B35 : CDCDCDCD; +5B36 : CDCDCDCD; +5B37 : CDCDCDCD; +5B38 : CDCDCDCD; +5B39 : CDCDCDCD; +5B3A : CDCDCDCD; +5B3B : CDCDCDCD; +5B3C : CDCDCDCD; +5B3D : CDCDCDCD; +5B3E : CDCDCDCD; +5B3F : CDCDCDCD; +5B40 : CDCDCDCD; +5B41 : CDCDCDCD; +5B42 : CDCDCDCD; +5B43 : CDCDCDCD; +5B44 : CDCDCDCD; +5B45 : CDCDCDCD; +5B46 : CDCDCDCD; +5B47 : CDCDCDCD; +5B48 : CDCDCDCD; +5B49 : CDCDCDCD; +5B4A : CDCDCDCD; +5B4B : CDCDCDCD; +5B4C : CDCDCDCD; +5B4D : CDCDCDCD; +5B4E : CDCDCDCD; +5B4F : CDCDCDCD; +5B50 : CDCDCDCD; +5B51 : CDCDCDCD; +5B52 : CDCDCDCD; +5B53 : CDCDCDCD; +5B54 : CDCDCDCD; +5B55 : CDCDCDCD; +5B56 : CDCDCDCD; +5B57 : CDCDCDCD; +5B58 : CDCDCDCD; +5B59 : CDCDCDCD; +5B5A : CDCDCDCD; +5B5B : CDCDCDCD; +5B5C : CDCDCDCD; +5B5D : CDCDCDCD; +5B5E : CDCDCDCD; +5B5F : CDCDCDCD; +5B60 : CDCDCDCD; +5B61 : CDCDCDCD; +5B62 : CDCDCDCD; +5B63 : CDCDCDCD; +5B64 : CDCDCDCD; +5B65 : CDCDCDCD; +5B66 : CDCDCDCD; +5B67 : CDCDCDCD; +5B68 : CDCDCDCD; +5B69 : CDCDCDCD; +5B6A : CDCDCDCD; +5B6B : CDCDCDCD; +5B6C : CDCDCDCD; +5B6D : CDCDCDCD; +5B6E : CDCDCDCD; +5B6F : CDCDCDCD; +5B70 : CDCDCDCD; +5B71 : CDCDCDCD; +5B72 : CDCDCDCD; +5B73 : CDCDCDCD; +5B74 : CDCDCDCD; +5B75 : CDCDCDCD; +5B76 : CDCDCDCD; +5B77 : CDCDCDCD; +5B78 : CDCDCDCD; +5B79 : CDCDCDCD; +5B7A : CDCDCDCD; +5B7B : CDCDCDCD; +5B7C : CDCDCDCD; +5B7D : CDCDCDCD; +5B7E : CDCDCDCD; +5B7F : CDCDCDCD; +5B80 : CDCDCDCD; +5B81 : CDCDCDCD; +5B82 : CDCDCDCD; +5B83 : CDCDCDCD; +5B84 : CDCDCDCD; +5B85 : CDCDCDCD; +5B86 : CDCDCDCD; +5B87 : CDCDCDCD; +5B88 : CDCDCDCD; +5B89 : CDCDCDCD; +5B8A : CDCDCDCD; +5B8B : CDCDCDCD; +5B8C : CDCDCDCD; +5B8D : CDCDCDCD; +5B8E : CDCDCDCD; +5B8F : CDCDCDCD; +5B90 : CDCDCDCD; +5B91 : CDCDCDCD; +5B92 : CDCDCDCD; +5B93 : CDCDCDCD; +5B94 : CDCDCDCD; +5B95 : CDCDCDCD; +5B96 : CDCDCDCD; +5B97 : CDCDCDCD; +5B98 : CDCDCDCD; +5B99 : CDCDCDCD; +5B9A : CDCDCDCD; +5B9B : CDCDCDCD; +5B9C : CDCDCDCD; +5B9D : CDCDCDCD; +5B9E : CDCDCDCD; +5B9F : CDCDCDCD; +5BA0 : CDCDCDCD; +5BA1 : CDCDCDCD; +5BA2 : CDCDCDCD; +5BA3 : CDCDCDCD; +5BA4 : CDCDCDCD; +5BA5 : CDCDCDCD; +5BA6 : CDCDCDCD; +5BA7 : CDCDCDCD; +5BA8 : CDCDCDCD; +5BA9 : CDCDCDCD; +5BAA : CDCDCDCD; +5BAB : CDCDCDCD; +5BAC : CDCDCDCD; +5BAD : CDCDCDCD; +5BAE : CDCDCDCD; +5BAF : CDCDCDCD; +5BB0 : CDCDCDCD; +5BB1 : CDCDCDCD; +5BB2 : CDCDCDCD; +5BB3 : CDCDCDCD; +5BB4 : CDCDCDCD; +5BB5 : CDCDCDCD; +5BB6 : CDCDCDCD; +5BB7 : CDCDCDCD; +5BB8 : CDCDCDCD; +5BB9 : CDCDCDCD; +5BBA : CDCDCDCD; +5BBB : CDCDCDCD; +5BBC : CDCDCDCD; +5BBD : CDCDCDCD; +5BBE : CDCDCDCD; +5BBF : CDCDCDCD; +5BC0 : CDCDCDCD; +5BC1 : CDCDCDCD; +5BC2 : CDCDCDCD; +5BC3 : CDCDCDCD; +5BC4 : CDCDCDCD; +5BC5 : CDCDCDCD; +5BC6 : CDCDCDCD; +5BC7 : CDCDCDCD; +5BC8 : CDCDCDCD; +5BC9 : CDCDCDCD; +5BCA : CDCDCDCD; +5BCB : CDCDCDCD; +5BCC : CDCDCDCD; +5BCD : CDCDCDCD; +5BCE : CDCDCDCD; +5BCF : CDCDCDCD; +5BD0 : CDCDCDCD; +5BD1 : CDCDCDCD; +5BD2 : CDCDCDCD; +5BD3 : CDCDCDCD; +5BD4 : CDCDCDCD; +5BD5 : CDCDCDCD; +5BD6 : CDCDCDCD; +5BD7 : CDCDCDCD; +5BD8 : CDCDCDCD; +5BD9 : CDCDCDCD; +5BDA : CDCDCDCD; +5BDB : CDCDCDCD; +5BDC : CDCDCDCD; +5BDD : CDCDCDCD; +5BDE : CDCDCDCD; +5BDF : CDCDCDCD; +5BE0 : CDCDCDCD; +5BE1 : CDCDCDCD; +5BE2 : CDCDCDCD; +5BE3 : CDCDCDCD; +5BE4 : CDCDCDCD; +5BE5 : CDCDCDCD; +5BE6 : CDCDCDCD; +5BE7 : CDCDCDCD; +5BE8 : CDCDCDCD; +5BE9 : CDCDCDCD; +5BEA : CDCDCDCD; +5BEB : CDCDCDCD; +5BEC : CDCDCDCD; +5BED : CDCDCDCD; +5BEE : CDCDCDCD; +5BEF : CDCDCDCD; +5BF0 : CDCDCDCD; +5BF1 : CDCDCDCD; +5BF2 : CDCDCDCD; +5BF3 : CDCDCDCD; +5BF4 : CDCDCDCD; +5BF5 : CDCDCDCD; +5BF6 : CDCDCDCD; +5BF7 : CDCDCDCD; +5BF8 : CDCDCDCD; +5BF9 : CDCDCDCD; +5BFA : CDCDCDCD; +5BFB : CDCDCDCD; +5BFC : CDCDCDCD; +5BFD : CDCDCDCD; +5BFE : CDCDCDCD; +5BFF : CDCDCDCD; +5C00 : CDCDCDCD; +5C01 : CDCDCDCD; +5C02 : CDCDCDCD; +5C03 : CDCDCDCD; +5C04 : CDCDCDCD; +5C05 : CDCDCDCD; +5C06 : CDCDCDCD; +5C07 : CDCDCDCD; +5C08 : CDCDCDCD; +5C09 : CDCDCDCD; +5C0A : CDCDCDCD; +5C0B : CDCDCDCD; +5C0C : CDCDCDCD; +5C0D : CDCDCDCD; +5C0E : CDCDCDCD; +5C0F : CDCDCDCD; +5C10 : CDCDCDCD; +5C11 : CDCDCDCD; +5C12 : CDCDCDCD; +5C13 : CDCDCDCD; +5C14 : CDCDCDCD; +5C15 : CDCDCDCD; +5C16 : CDCDCDCD; +5C17 : CDCDCDCD; +5C18 : CDCDCDCD; +5C19 : CDCDCDCD; +5C1A : CDCDCDCD; +5C1B : CDCDCDCD; +5C1C : CDCDCDCD; +5C1D : CDCDCDCD; +5C1E : CDCDCDCD; +5C1F : CDCDCDCD; +5C20 : CDCDCDCD; +5C21 : CDCDCDCD; +5C22 : CDCDCDCD; +5C23 : CDCDCDCD; +5C24 : CDCDCDCD; +5C25 : CDCDCDCD; +5C26 : CDCDCDCD; +5C27 : CDCDCDCD; +5C28 : CDCDCDCD; +5C29 : CDCDCDCD; +5C2A : CDCDCDCD; +5C2B : CDCDCDCD; +5C2C : CDCDCDCD; +5C2D : CDCDCDCD; +5C2E : CDCDCDCD; +5C2F : CDCDCDCD; +5C30 : CDCDCDCD; +5C31 : CDCDCDCD; +5C32 : CDCDCDCD; +5C33 : CDCDCDCD; +5C34 : CDCDCDCD; +5C35 : CDCDCDCD; +5C36 : CDCDCDCD; +5C37 : CDCDCDCD; +5C38 : CDCDCDCD; +5C39 : CDCDCDCD; +5C3A : CDCDCDCD; +5C3B : CDCDCDCD; +5C3C : CDCDCDCD; +5C3D : CDCDCDCD; +5C3E : CDCDCDCD; +5C3F : CDCDCDCD; +5C40 : CDCDCDCD; +5C41 : CDCDCDCD; +5C42 : CDCDCDCD; +5C43 : CDCDCDCD; +5C44 : CDCDCDCD; +5C45 : CDCDCDCD; +5C46 : CDCDCDCD; +5C47 : CDCDCDCD; +5C48 : CDCDCDCD; +5C49 : CDCDCDCD; +5C4A : CDCDCDCD; +5C4B : CDCDCDCD; +5C4C : CDCDCDCD; +5C4D : CDCDCDCD; +5C4E : CDCDCDCD; +5C4F : CDCDCDCD; +5C50 : CDCDCDCD; +5C51 : CDCDCDCD; +5C52 : CDCDCDCD; +5C53 : CDCDCDCD; +5C54 : CDCDCDCD; +5C55 : CDCDCDCD; +5C56 : CDCDCDCD; +5C57 : CDCDCDCD; +5C58 : CDCDCDCD; +5C59 : CDCDCDCD; +5C5A : CDCDCDCD; +5C5B : CDCDCDCD; +5C5C : CDCDCDCD; +5C5D : CDCDCDCD; +5C5E : CDCDCDCD; +5C5F : CDCDCDCD; +5C60 : CDCDCDCD; +5C61 : CDCDCDCD; +5C62 : CDCDCDCD; +5C63 : CDCDCDCD; +5C64 : CDCDCDCD; +5C65 : CDCDCDCD; +5C66 : CDCDCDCD; +5C67 : CDCDCDCD; +5C68 : CDCDCDCD; +5C69 : CDCDCDCD; +5C6A : CDCDCDCD; +5C6B : CDCDCDCD; +5C6C : CDCDCDCD; +5C6D : CDCDCDCD; +5C6E : CDCDCDCD; +5C6F : CDCDCDCD; +5C70 : CDCDCDCD; +5C71 : CDCDCDCD; +5C72 : CDCDCDCD; +5C73 : CDCDCDCD; +5C74 : CDCDCDCD; +5C75 : CDCDCDCD; +5C76 : CDCDCDCD; +5C77 : CDCDCDCD; +5C78 : CDCDCDCD; +5C79 : CDCDCDCD; +5C7A : CDCDCDCD; +5C7B : CDCDCDCD; +5C7C : CDCDCDCD; +5C7D : CDCDCDCD; +5C7E : CDCDCDCD; +5C7F : CDCDCDCD; +5C80 : CDCDCDCD; +5C81 : CDCDCDCD; +5C82 : CDCDCDCD; +5C83 : CDCDCDCD; +5C84 : CDCDCDCD; +5C85 : CDCDCDCD; +5C86 : CDCDCDCD; +5C87 : CDCDCDCD; +5C88 : CDCDCDCD; +5C89 : CDCDCDCD; +5C8A : CDCDCDCD; +5C8B : CDCDCDCD; +5C8C : CDCDCDCD; +5C8D : CDCDCDCD; +5C8E : CDCDCDCD; +5C8F : CDCDCDCD; +5C90 : CDCDCDCD; +5C91 : CDCDCDCD; +5C92 : CDCDCDCD; +5C93 : CDCDCDCD; +5C94 : CDCDCDCD; +5C95 : CDCDCDCD; +5C96 : CDCDCDCD; +5C97 : CDCDCDCD; +5C98 : CDCDCDCD; +5C99 : CDCDCDCD; +5C9A : CDCDCDCD; +5C9B : CDCDCDCD; +5C9C : CDCDCDCD; +5C9D : CDCDCDCD; +5C9E : CDCDCDCD; +5C9F : CDCDCDCD; +5CA0 : CDCDCDCD; +5CA1 : CDCDCDCD; +5CA2 : CDCDCDCD; +5CA3 : CDCDCDCD; +5CA4 : CDCDCDCD; +5CA5 : CDCDCDCD; +5CA6 : CDCDCDCD; +5CA7 : CDCDCDCD; +5CA8 : CDCDCDCD; +5CA9 : CDCDCDCD; +5CAA : CDCDCDCD; +5CAB : CDCDCDCD; +5CAC : CDCDCDCD; +5CAD : CDCDCDCD; +5CAE : CDCDCDCD; +5CAF : CDCDCDCD; +5CB0 : CDCDCDCD; +5CB1 : CDCDCDCD; +5CB2 : CDCDCDCD; +5CB3 : CDCDCDCD; +5CB4 : CDCDCDCD; +5CB5 : CDCDCDCD; +5CB6 : CDCDCDCD; +5CB7 : CDCDCDCD; +5CB8 : CDCDCDCD; +5CB9 : CDCDCDCD; +5CBA : CDCDCDCD; +5CBB : CDCDCDCD; +5CBC : CDCDCDCD; +5CBD : CDCDCDCD; +5CBE : CDCDCDCD; +5CBF : CDCDCDCD; +5CC0 : CDCDCDCD; +5CC1 : CDCDCDCD; +5CC2 : CDCDCDCD; +5CC3 : CDCDCDCD; +5CC4 : CDCDCDCD; +5CC5 : CDCDCDCD; +5CC6 : CDCDCDCD; +5CC7 : CDCDCDCD; +5CC8 : CDCDCDCD; +5CC9 : CDCDCDCD; +5CCA : CDCDCDCD; +5CCB : CDCDCDCD; +5CCC : CDCDCDCD; +5CCD : CDCDCDCD; +5CCE : CDCDCDCD; +5CCF : CDCDCDCD; +5CD0 : CDCDCDCD; +5CD1 : CDCDCDCD; +5CD2 : CDCDCDCD; +5CD3 : CDCDCDCD; +5CD4 : CDCDCDCD; +5CD5 : CDCDCDCD; +5CD6 : CDCDCDCD; +5CD7 : CDCDCDCD; +5CD8 : CDCDCDCD; +5CD9 : CDCDCDCD; +5CDA : CDCDCDCD; +5CDB : CDCDCDCD; +5CDC : CDCDCDCD; +5CDD : CDCDCDCD; +5CDE : CDCDCDCD; +5CDF : CDCDCDCD; +5CE0 : CDCDCDCD; +5CE1 : CDCDCDCD; +5CE2 : CDCDCDCD; +5CE3 : CDCDCDCD; +5CE4 : CDCDCDCD; +5CE5 : CDCDCDCD; +5CE6 : CDCDCDCD; +5CE7 : CDCDCDCD; +5CE8 : CDCDCDCD; +5CE9 : CDCDCDCD; +5CEA : CDCDCDCD; +5CEB : CDCDCDCD; +5CEC : CDCDCDCD; +5CED : CDCDCDCD; +5CEE : CDCDCDCD; +5CEF : CDCDCDCD; +5CF0 : CDCDCDCD; +5CF1 : CDCDCDCD; +5CF2 : CDCDCDCD; +5CF3 : CDCDCDCD; +5CF4 : CDCDCDCD; +5CF5 : CDCDCDCD; +5CF6 : CDCDCDCD; +5CF7 : CDCDCDCD; +5CF8 : CDCDCDCD; +5CF9 : CDCDCDCD; +5CFA : CDCDCDCD; +5CFB : CDCDCDCD; +5CFC : CDCDCDCD; +5CFD : CDCDCDCD; +5CFE : CDCDCDCD; +5CFF : CDCDCDCD; +5D00 : CDCDCDCD; +5D01 : CDCDCDCD; +5D02 : CDCDCDCD; +5D03 : CDCDCDCD; +5D04 : CDCDCDCD; +5D05 : CDCDCDCD; +5D06 : CDCDCDCD; +5D07 : CDCDCDCD; +5D08 : CDCDCDCD; +5D09 : CDCDCDCD; +5D0A : CDCDCDCD; +5D0B : CDCDCDCD; +5D0C : CDCDCDCD; +5D0D : CDCDCDCD; +5D0E : CDCDCDCD; +5D0F : CDCDCDCD; +5D10 : CDCDCDCD; +5D11 : CDCDCDCD; +5D12 : CDCDCDCD; +5D13 : CDCDCDCD; +5D14 : CDCDCDCD; +5D15 : CDCDCDCD; +5D16 : CDCDCDCD; +5D17 : CDCDCDCD; +5D18 : CDCDCDCD; +5D19 : CDCDCDCD; +5D1A : CDCDCDCD; +5D1B : CDCDCDCD; +5D1C : CDCDCDCD; +5D1D : CDCDCDCD; +5D1E : CDCDCDCD; +5D1F : CDCDCDCD; +5D20 : CDCDCDCD; +5D21 : CDCDCDCD; +5D22 : CDCDCDCD; +5D23 : CDCDCDCD; +5D24 : CDCDCDCD; +5D25 : CDCDCDCD; +5D26 : CDCDCDCD; +5D27 : CDCDCDCD; +5D28 : CDCDCDCD; +5D29 : CDCDCDCD; +5D2A : CDCDCDCD; +5D2B : CDCDCDCD; +5D2C : CDCDCDCD; +5D2D : CDCDCDCD; +5D2E : CDCDCDCD; +5D2F : CDCDCDCD; +5D30 : CDCDCDCD; +5D31 : CDCDCDCD; +5D32 : CDCDCDCD; +5D33 : CDCDCDCD; +5D34 : CDCDCDCD; +5D35 : CDCDCDCD; +5D36 : CDCDCDCD; +5D37 : CDCDCDCD; +5D38 : CDCDCDCD; +5D39 : CDCDCDCD; +5D3A : CDCDCDCD; +5D3B : CDCDCDCD; +5D3C : CDCDCDCD; +5D3D : CDCDCDCD; +5D3E : CDCDCDCD; +5D3F : CDCDCDCD; +5D40 : CDCDCDCD; +5D41 : CDCDCDCD; +5D42 : CDCDCDCD; +5D43 : CDCDCDCD; +5D44 : CDCDCDCD; +5D45 : CDCDCDCD; +5D46 : CDCDCDCD; +5D47 : CDCDCDCD; +5D48 : CDCDCDCD; +5D49 : CDCDCDCD; +5D4A : CDCDCDCD; +5D4B : CDCDCDCD; +5D4C : CDCDCDCD; +5D4D : CDCDCDCD; +5D4E : CDCDCDCD; +5D4F : CDCDCDCD; +5D50 : CDCDCDCD; +5D51 : CDCDCDCD; +5D52 : CDCDCDCD; +5D53 : CDCDCDCD; +5D54 : CDCDCDCD; +5D55 : CDCDCDCD; +5D56 : CDCDCDCD; +5D57 : CDCDCDCD; +5D58 : CDCDCDCD; +5D59 : CDCDCDCD; +5D5A : CDCDCDCD; +5D5B : CDCDCDCD; +5D5C : CDCDCDCD; +5D5D : CDCDCDCD; +5D5E : CDCDCDCD; +5D5F : CDCDCDCD; +5D60 : CDCDCDCD; +5D61 : CDCDCDCD; +5D62 : CDCDCDCD; +5D63 : CDCDCDCD; +5D64 : CDCDCDCD; +5D65 : CDCDCDCD; +5D66 : CDCDCDCD; +5D67 : CDCDCDCD; +5D68 : CDCDCDCD; +5D69 : CDCDCDCD; +5D6A : CDCDCDCD; +5D6B : CDCDCDCD; +5D6C : CDCDCDCD; +5D6D : CDCDCDCD; +5D6E : CDCDCDCD; +5D6F : CDCDCDCD; +5D70 : CDCDCDCD; +5D71 : CDCDCDCD; +5D72 : CDCDCDCD; +5D73 : CDCDCDCD; +5D74 : CDCDCDCD; +5D75 : CDCDCDCD; +5D76 : CDCDCDCD; +5D77 : CDCDCDCD; +5D78 : CDCDCDCD; +5D79 : CDCDCDCD; +5D7A : CDCDCDCD; +5D7B : CDCDCDCD; +5D7C : CDCDCDCD; +5D7D : CDCDCDCD; +5D7E : CDCDCDCD; +5D7F : CDCDCDCD; +5D80 : CDCDCDCD; +5D81 : CDCDCDCD; +5D82 : CDCDCDCD; +5D83 : CDCDCDCD; +5D84 : CDCDCDCD; +5D85 : CDCDCDCD; +5D86 : CDCDCDCD; +5D87 : CDCDCDCD; +5D88 : CDCDCDCD; +5D89 : CDCDCDCD; +5D8A : CDCDCDCD; +5D8B : CDCDCDCD; +5D8C : CDCDCDCD; +5D8D : CDCDCDCD; +5D8E : CDCDCDCD; +5D8F : CDCDCDCD; +5D90 : CDCDCDCD; +5D91 : CDCDCDCD; +5D92 : CDCDCDCD; +5D93 : CDCDCDCD; +5D94 : CDCDCDCD; +5D95 : CDCDCDCD; +5D96 : CDCDCDCD; +5D97 : CDCDCDCD; +5D98 : CDCDCDCD; +5D99 : CDCDCDCD; +5D9A : CDCDCDCD; +5D9B : CDCDCDCD; +5D9C : CDCDCDCD; +5D9D : CDCDCDCD; +5D9E : CDCDCDCD; +5D9F : CDCDCDCD; +5DA0 : CDCDCDCD; +5DA1 : CDCDCDCD; +5DA2 : CDCDCDCD; +5DA3 : CDCDCDCD; +5DA4 : CDCDCDCD; +5DA5 : CDCDCDCD; +5DA6 : CDCDCDCD; +5DA7 : CDCDCDCD; +5DA8 : CDCDCDCD; +5DA9 : CDCDCDCD; +5DAA : CDCDCDCD; +5DAB : CDCDCDCD; +5DAC : CDCDCDCD; +5DAD : CDCDCDCD; +5DAE : CDCDCDCD; +5DAF : CDCDCDCD; +5DB0 : CDCDCDCD; +5DB1 : CDCDCDCD; +5DB2 : CDCDCDCD; +5DB3 : CDCDCDCD; +5DB4 : CDCDCDCD; +5DB5 : CDCDCDCD; +5DB6 : CDCDCDCD; +5DB7 : CDCDCDCD; +5DB8 : CDCDCDCD; +5DB9 : CDCDCDCD; +5DBA : CDCDCDCD; +5DBB : CDCDCDCD; +5DBC : CDCDCDCD; +5DBD : CDCDCDCD; +5DBE : CDCDCDCD; +5DBF : CDCDCDCD; +5DC0 : CDCDCDCD; +5DC1 : CDCDCDCD; +5DC2 : CDCDCDCD; +5DC3 : CDCDCDCD; +5DC4 : CDCDCDCD; +5DC5 : CDCDCDCD; +5DC6 : CDCDCDCD; +5DC7 : CDCDCDCD; +5DC8 : CDCDCDCD; +5DC9 : CDCDCDCD; +5DCA : CDCDCDCD; +5DCB : CDCDCDCD; +5DCC : CDCDCDCD; +5DCD : CDCDCDCD; +5DCE : CDCDCDCD; +5DCF : CDCDCDCD; +5DD0 : CDCDCDCD; +5DD1 : CDCDCDCD; +5DD2 : CDCDCDCD; +5DD3 : CDCDCDCD; +5DD4 : CDCDCDCD; +5DD5 : CDCDCDCD; +5DD6 : CDCDCDCD; +5DD7 : CDCDCDCD; +5DD8 : CDCDCDCD; +5DD9 : CDCDCDCD; +5DDA : CDCDCDCD; +5DDB : CDCDCDCD; +5DDC : CDCDCDCD; +5DDD : CDCDCDCD; +5DDE : CDCDCDCD; +5DDF : CDCDCDCD; +5DE0 : CDCDCDCD; +5DE1 : CDCDCDCD; +5DE2 : CDCDCDCD; +5DE3 : CDCDCDCD; +5DE4 : CDCDCDCD; +5DE5 : CDCDCDCD; +5DE6 : CDCDCDCD; +5DE7 : CDCDCDCD; +5DE8 : CDCDCDCD; +5DE9 : CDCDCDCD; +5DEA : CDCDCDCD; +5DEB : CDCDCDCD; +5DEC : CDCDCDCD; +5DED : CDCDCDCD; +5DEE : CDCDCDCD; +5DEF : CDCDCDCD; +5DF0 : CDCDCDCD; +5DF1 : CDCDCDCD; +5DF2 : CDCDCDCD; +5DF3 : CDCDCDCD; +5DF4 : CDCDCDCD; +5DF5 : CDCDCDCD; +5DF6 : CDCDCDCD; +5DF7 : CDCDCDCD; +5DF8 : CDCDCDCD; +5DF9 : CDCDCDCD; +5DFA : CDCDCDCD; +5DFB : CDCDCDCD; +5DFC : CDCDCDCD; +5DFD : CDCDCDCD; +5DFE : CDCDCDCD; +5DFF : CDCDCDCD; +5E00 : CDCDCDCD; +5E01 : CDCDCDCD; +5E02 : CDCDCDCD; +5E03 : CDCDCDCD; +5E04 : CDCDCDCD; +5E05 : CDCDCDCD; +5E06 : CDCDCDCD; +5E07 : CDCDCDCD; +5E08 : CDCDCDCD; +5E09 : CDCDCDCD; +5E0A : CDCDCDCD; +5E0B : CDCDCDCD; +5E0C : CDCDCDCD; +5E0D : CDCDCDCD; +5E0E : CDCDCDCD; +5E0F : CDCDCDCD; +5E10 : CDCDCDCD; +5E11 : CDCDCDCD; +5E12 : CDCDCDCD; +5E13 : CDCDCDCD; +5E14 : CDCDCDCD; +5E15 : CDCDCDCD; +5E16 : CDCDCDCD; +5E17 : CDCDCDCD; +5E18 : CDCDCDCD; +5E19 : CDCDCDCD; +5E1A : CDCDCDCD; +5E1B : CDCDCDCD; +5E1C : CDCDCDCD; +5E1D : CDCDCDCD; +5E1E : CDCDCDCD; +5E1F : CDCDCDCD; +5E20 : CDCDCDCD; +5E21 : CDCDCDCD; +5E22 : CDCDCDCD; +5E23 : CDCDCDCD; +5E24 : CDCDCDCD; +5E25 : CDCDCDCD; +5E26 : CDCDCDCD; +5E27 : CDCDCDCD; +5E28 : CDCDCDCD; +5E29 : CDCDCDCD; +5E2A : CDCDCDCD; +5E2B : CDCDCDCD; +5E2C : CDCDCDCD; +5E2D : CDCDCDCD; +5E2E : CDCDCDCD; +5E2F : CDCDCDCD; +5E30 : CDCDCDCD; +5E31 : CDCDCDCD; +5E32 : CDCDCDCD; +5E33 : CDCDCDCD; +5E34 : CDCDCDCD; +5E35 : CDCDCDCD; +5E36 : CDCDCDCD; +5E37 : CDCDCDCD; +5E38 : CDCDCDCD; +5E39 : CDCDCDCD; +5E3A : CDCDCDCD; +5E3B : CDCDCDCD; +5E3C : CDCDCDCD; +5E3D : CDCDCDCD; +5E3E : CDCDCDCD; +5E3F : CDCDCDCD; +5E40 : CDCDCDCD; +5E41 : CDCDCDCD; +5E42 : CDCDCDCD; +5E43 : CDCDCDCD; +5E44 : CDCDCDCD; +5E45 : CDCDCDCD; +5E46 : CDCDCDCD; +5E47 : CDCDCDCD; +5E48 : CDCDCDCD; +5E49 : CDCDCDCD; +5E4A : CDCDCDCD; +5E4B : CDCDCDCD; +5E4C : CDCDCDCD; +5E4D : CDCDCDCD; +5E4E : CDCDCDCD; +5E4F : CDCDCDCD; +5E50 : CDCDCDCD; +5E51 : CDCDCDCD; +5E52 : CDCDCDCD; +5E53 : CDCDCDCD; +5E54 : CDCDCDCD; +5E55 : CDCDCDCD; +5E56 : CDCDCDCD; +5E57 : CDCDCDCD; +5E58 : CDCDCDCD; +5E59 : CDCDCDCD; +5E5A : CDCDCDCD; +5E5B : CDCDCDCD; +5E5C : CDCDCDCD; +5E5D : CDCDCDCD; +5E5E : CDCDCDCD; +5E5F : CDCDCDCD; +5E60 : CDCDCDCD; +5E61 : CDCDCDCD; +5E62 : CDCDCDCD; +5E63 : CDCDCDCD; +5E64 : CDCDCDCD; +5E65 : CDCDCDCD; +5E66 : CDCDCDCD; +5E67 : CDCDCDCD; +5E68 : CDCDCDCD; +5E69 : CDCDCDCD; +5E6A : CDCDCDCD; +5E6B : CDCDCDCD; +5E6C : CDCDCDCD; +5E6D : CDCDCDCD; +5E6E : CDCDCDCD; +5E6F : CDCDCDCD; +5E70 : CDCDCDCD; +5E71 : CDCDCDCD; +5E72 : CDCDCDCD; +5E73 : CDCDCDCD; +5E74 : CDCDCDCD; +5E75 : CDCDCDCD; +5E76 : CDCDCDCD; +5E77 : CDCDCDCD; +5E78 : CDCDCDCD; +5E79 : CDCDCDCD; +5E7A : CDCDCDCD; +5E7B : CDCDCDCD; +5E7C : CDCDCDCD; +5E7D : CDCDCDCD; +5E7E : CDCDCDCD; +5E7F : CDCDCDCD; +5E80 : CDCDCDCD; +5E81 : CDCDCDCD; +5E82 : CDCDCDCD; +5E83 : CDCDCDCD; +5E84 : CDCDCDCD; +5E85 : CDCDCDCD; +5E86 : CDCDCDCD; +5E87 : CDCDCDCD; +5E88 : CDCDCDCD; +5E89 : CDCDCDCD; +5E8A : CDCDCDCD; +5E8B : CDCDCDCD; +5E8C : CDCDCDCD; +5E8D : CDCDCDCD; +5E8E : CDCDCDCD; +5E8F : CDCDCDCD; +5E90 : CDCDCDCD; +5E91 : CDCDCDCD; +5E92 : CDCDCDCD; +5E93 : CDCDCDCD; +5E94 : CDCDCDCD; +5E95 : CDCDCDCD; +5E96 : CDCDCDCD; +5E97 : CDCDCDCD; +5E98 : CDCDCDCD; +5E99 : CDCDCDCD; +5E9A : CDCDCDCD; +5E9B : CDCDCDCD; +5E9C : CDCDCDCD; +5E9D : CDCDCDCD; +5E9E : CDCDCDCD; +5E9F : CDCDCDCD; +5EA0 : CDCDCDCD; +5EA1 : CDCDCDCD; +5EA2 : CDCDCDCD; +5EA3 : CDCDCDCD; +5EA4 : CDCDCDCD; +5EA5 : CDCDCDCD; +5EA6 : CDCDCDCD; +5EA7 : CDCDCDCD; +5EA8 : CDCDCDCD; +5EA9 : CDCDCDCD; +5EAA : CDCDCDCD; +5EAB : CDCDCDCD; +5EAC : CDCDCDCD; +5EAD : CDCDCDCD; +5EAE : CDCDCDCD; +5EAF : CDCDCDCD; +5EB0 : CDCDCDCD; +5EB1 : CDCDCDCD; +5EB2 : CDCDCDCD; +5EB3 : CDCDCDCD; +5EB4 : CDCDCDCD; +5EB5 : CDCDCDCD; +5EB6 : CDCDCDCD; +5EB7 : CDCDCDCD; +5EB8 : CDCDCDCD; +5EB9 : CDCDCDCD; +5EBA : CDCDCDCD; +5EBB : CDCDCDCD; +5EBC : CDCDCDCD; +5EBD : CDCDCDCD; +5EBE : CDCDCDCD; +5EBF : CDCDCDCD; +5EC0 : CDCDCDCD; +5EC1 : CDCDCDCD; +5EC2 : CDCDCDCD; +5EC3 : CDCDCDCD; +5EC4 : CDCDCDCD; +5EC5 : CDCDCDCD; +5EC6 : CDCDCDCD; +5EC7 : CDCDCDCD; +5EC8 : CDCDCDCD; +5EC9 : CDCDCDCD; +5ECA : CDCDCDCD; +5ECB : CDCDCDCD; +5ECC : CDCDCDCD; +5ECD : CDCDCDCD; +5ECE : CDCDCDCD; +5ECF : CDCDCDCD; +5ED0 : CDCDCDCD; +5ED1 : CDCDCDCD; +5ED2 : CDCDCDCD; +5ED3 : CDCDCDCD; +5ED4 : CDCDCDCD; +5ED5 : CDCDCDCD; +5ED6 : CDCDCDCD; +5ED7 : CDCDCDCD; +5ED8 : CDCDCDCD; +5ED9 : CDCDCDCD; +5EDA : CDCDCDCD; +5EDB : CDCDCDCD; +5EDC : CDCDCDCD; +5EDD : CDCDCDCD; +5EDE : CDCDCDCD; +5EDF : CDCDCDCD; +5EE0 : CDCDCDCD; +5EE1 : CDCDCDCD; +5EE2 : CDCDCDCD; +5EE3 : CDCDCDCD; +5EE4 : CDCDCDCD; +5EE5 : CDCDCDCD; +5EE6 : CDCDCDCD; +5EE7 : CDCDCDCD; +5EE8 : CDCDCDCD; +5EE9 : CDCDCDCD; +5EEA : CDCDCDCD; +5EEB : CDCDCDCD; +5EEC : CDCDCDCD; +5EED : CDCDCDCD; +5EEE : CDCDCDCD; +5EEF : CDCDCDCD; +5EF0 : CDCDCDCD; +5EF1 : CDCDCDCD; +5EF2 : CDCDCDCD; +5EF3 : CDCDCDCD; +5EF4 : CDCDCDCD; +5EF5 : CDCDCDCD; +5EF6 : CDCDCDCD; +5EF7 : CDCDCDCD; +5EF8 : CDCDCDCD; +5EF9 : CDCDCDCD; +5EFA : CDCDCDCD; +5EFB : CDCDCDCD; +5EFC : CDCDCDCD; +5EFD : CDCDCDCD; +5EFE : CDCDCDCD; +5EFF : CDCDCDCD; +5F00 : CDCDCDCD; +5F01 : CDCDCDCD; +5F02 : CDCDCDCD; +5F03 : CDCDCDCD; +5F04 : CDCDCDCD; +5F05 : CDCDCDCD; +5F06 : CDCDCDCD; +5F07 : CDCDCDCD; +5F08 : CDCDCDCD; +5F09 : CDCDCDCD; +5F0A : CDCDCDCD; +5F0B : CDCDCDCD; +5F0C : CDCDCDCD; +5F0D : CDCDCDCD; +5F0E : CDCDCDCD; +5F0F : CDCDCDCD; +5F10 : CDCDCDCD; +5F11 : CDCDCDCD; +5F12 : CDCDCDCD; +5F13 : CDCDCDCD; +5F14 : CDCDCDCD; +5F15 : CDCDCDCD; +5F16 : CDCDCDCD; +5F17 : CDCDCDCD; +5F18 : CDCDCDCD; +5F19 : CDCDCDCD; +5F1A : CDCDCDCD; +5F1B : CDCDCDCD; +5F1C : CDCDCDCD; +5F1D : CDCDCDCD; +5F1E : CDCDCDCD; +5F1F : CDCDCDCD; +5F20 : CDCDCDCD; +5F21 : CDCDCDCD; +5F22 : CDCDCDCD; +5F23 : CDCDCDCD; +5F24 : CDCDCDCD; +5F25 : CDCDCDCD; +5F26 : CDCDCDCD; +5F27 : CDCDCDCD; +5F28 : CDCDCDCD; +5F29 : CDCDCDCD; +5F2A : CDCDCDCD; +5F2B : CDCDCDCD; +5F2C : CDCDCDCD; +5F2D : CDCDCDCD; +5F2E : CDCDCDCD; +5F2F : CDCDCDCD; +5F30 : CDCDCDCD; +5F31 : CDCDCDCD; +5F32 : CDCDCDCD; +5F33 : CDCDCDCD; +5F34 : CDCDCDCD; +5F35 : CDCDCDCD; +5F36 : CDCDCDCD; +5F37 : CDCDCDCD; +5F38 : CDCDCDCD; +5F39 : CDCDCDCD; +5F3A : CDCDCDCD; +5F3B : CDCDCDCD; +5F3C : CDCDCDCD; +5F3D : CDCDCDCD; +5F3E : CDCDCDCD; +5F3F : CDCDCDCD; +5F40 : CDCDCDCD; +5F41 : CDCDCDCD; +5F42 : CDCDCDCD; +5F43 : CDCDCDCD; +5F44 : CDCDCDCD; +5F45 : CDCDCDCD; +5F46 : CDCDCDCD; +5F47 : CDCDCDCD; +5F48 : CDCDCDCD; +5F49 : CDCDCDCD; +5F4A : CDCDCDCD; +5F4B : CDCDCDCD; +5F4C : CDCDCDCD; +5F4D : CDCDCDCD; +5F4E : CDCDCDCD; +5F4F : CDCDCDCD; +5F50 : CDCDCDCD; +5F51 : CDCDCDCD; +5F52 : CDCDCDCD; +5F53 : CDCDCDCD; +5F54 : CDCDCDCD; +5F55 : CDCDCDCD; +5F56 : CDCDCDCD; +5F57 : CDCDCDCD; +5F58 : CDCDCDCD; +5F59 : CDCDCDCD; +5F5A : CDCDCDCD; +5F5B : CDCDCDCD; +5F5C : CDCDCDCD; +5F5D : CDCDCDCD; +5F5E : CDCDCDCD; +5F5F : CDCDCDCD; +5F60 : CDCDCDCD; +5F61 : CDCDCDCD; +5F62 : CDCDCDCD; +5F63 : CDCDCDCD; +5F64 : CDCDCDCD; +5F65 : CDCDCDCD; +5F66 : CDCDCDCD; +5F67 : CDCDCDCD; +5F68 : CDCDCDCD; +5F69 : CDCDCDCD; +5F6A : CDCDCDCD; +5F6B : CDCDCDCD; +5F6C : CDCDCDCD; +5F6D : CDCDCDCD; +5F6E : CDCDCDCD; +5F6F : CDCDCDCD; +5F70 : CDCDCDCD; +5F71 : CDCDCDCD; +5F72 : CDCDCDCD; +5F73 : CDCDCDCD; +5F74 : CDCDCDCD; +5F75 : CDCDCDCD; +5F76 : CDCDCDCD; +5F77 : CDCDCDCD; +5F78 : CDCDCDCD; +5F79 : CDCDCDCD; +5F7A : CDCDCDCD; +5F7B : CDCDCDCD; +5F7C : CDCDCDCD; +5F7D : CDCDCDCD; +5F7E : CDCDCDCD; +5F7F : CDCDCDCD; +5F80 : CDCDCDCD; +5F81 : CDCDCDCD; +5F82 : CDCDCDCD; +5F83 : CDCDCDCD; +5F84 : CDCDCDCD; +5F85 : CDCDCDCD; +5F86 : CDCDCDCD; +5F87 : CDCDCDCD; +5F88 : CDCDCDCD; +5F89 : CDCDCDCD; +5F8A : CDCDCDCD; +5F8B : CDCDCDCD; +5F8C : CDCDCDCD; +5F8D : CDCDCDCD; +5F8E : CDCDCDCD; +5F8F : CDCDCDCD; +5F90 : CDCDCDCD; +5F91 : CDCDCDCD; +5F92 : CDCDCDCD; +5F93 : CDCDCDCD; +5F94 : CDCDCDCD; +5F95 : CDCDCDCD; +5F96 : CDCDCDCD; +5F97 : CDCDCDCD; +5F98 : CDCDCDCD; +5F99 : CDCDCDCD; +5F9A : CDCDCDCD; +5F9B : CDCDCDCD; +5F9C : CDCDCDCD; +5F9D : CDCDCDCD; +5F9E : CDCDCDCD; +5F9F : CDCDCDCD; +5FA0 : CDCDCDCD; +5FA1 : CDCDCDCD; +5FA2 : CDCDCDCD; +5FA3 : CDCDCDCD; +5FA4 : CDCDCDCD; +5FA5 : CDCDCDCD; +5FA6 : CDCDCDCD; +5FA7 : CDCDCDCD; +5FA8 : CDCDCDCD; +5FA9 : CDCDCDCD; +5FAA : CDCDCDCD; +5FAB : CDCDCDCD; +5FAC : CDCDCDCD; +5FAD : CDCDCDCD; +5FAE : CDCDCDCD; +5FAF : CDCDCDCD; +5FB0 : CDCDCDCD; +5FB1 : CDCDCDCD; +5FB2 : CDCDCDCD; +5FB3 : CDCDCDCD; +5FB4 : CDCDCDCD; +5FB5 : CDCDCDCD; +5FB6 : CDCDCDCD; +5FB7 : CDCDCDCD; +5FB8 : CDCDCDCD; +5FB9 : CDCDCDCD; +5FBA : CDCDCDCD; +5FBB : CDCDCDCD; +5FBC : CDCDCDCD; +5FBD : CDCDCDCD; +5FBE : CDCDCDCD; +5FBF : CDCDCDCD; +5FC0 : CDCDCDCD; +5FC1 : CDCDCDCD; +5FC2 : CDCDCDCD; +5FC3 : CDCDCDCD; +5FC4 : CDCDCDCD; +5FC5 : CDCDCDCD; +5FC6 : CDCDCDCD; +5FC7 : CDCDCDCD; +5FC8 : CDCDCDCD; +5FC9 : CDCDCDCD; +5FCA : CDCDCDCD; +5FCB : CDCDCDCD; +5FCC : CDCDCDCD; +5FCD : CDCDCDCD; +5FCE : CDCDCDCD; +5FCF : CDCDCDCD; +5FD0 : CDCDCDCD; +5FD1 : CDCDCDCD; +5FD2 : CDCDCDCD; +5FD3 : CDCDCDCD; +5FD4 : CDCDCDCD; +5FD5 : CDCDCDCD; +5FD6 : CDCDCDCD; +5FD7 : CDCDCDCD; +5FD8 : CDCDCDCD; +5FD9 : CDCDCDCD; +5FDA : CDCDCDCD; +5FDB : CDCDCDCD; +5FDC : CDCDCDCD; +5FDD : CDCDCDCD; +5FDE : CDCDCDCD; +5FDF : CDCDCDCD; +5FE0 : CDCDCDCD; +5FE1 : CDCDCDCD; +5FE2 : CDCDCDCD; +5FE3 : CDCDCDCD; +5FE4 : CDCDCDCD; +5FE5 : CDCDCDCD; +5FE6 : CDCDCDCD; +5FE7 : CDCDCDCD; +5FE8 : CDCDCDCD; +5FE9 : CDCDCDCD; +5FEA : CDCDCDCD; +5FEB : CDCDCDCD; +5FEC : CDCDCDCD; +5FED : CDCDCDCD; +5FEE : CDCDCDCD; +5FEF : CDCDCDCD; +5FF0 : CDCDCDCD; +5FF1 : CDCDCDCD; +5FF2 : CDCDCDCD; +5FF3 : CDCDCDCD; +5FF4 : CDCDCDCD; +5FF5 : CDCDCDCD; +5FF6 : CDCDCDCD; +5FF7 : CDCDCDCD; +5FF8 : CDCDCDCD; +5FF9 : CDCDCDCD; +5FFA : CDCDCDCD; +5FFB : CDCDCDCD; +5FFC : CDCDCDCD; +5FFD : CDCDCDCD; +5FFE : CDCDCDCD; +5FFF : CDCDCDCD; +6000 : CDCDCDCD; +6001 : CDCDCDCD; +6002 : CDCDCDCD; +6003 : CDCDCDCD; +6004 : CDCDCDCD; +6005 : CDCDCDCD; +6006 : CDCDCDCD; +6007 : CDCDCDCD; +6008 : CDCDCDCD; +6009 : CDCDCDCD; +600A : CDCDCDCD; +600B : CDCDCDCD; +600C : CDCDCDCD; +600D : CDCDCDCD; +600E : CDCDCDCD; +600F : CDCDCDCD; +6010 : CDCDCDCD; +6011 : CDCDCDCD; +6012 : CDCDCDCD; +6013 : CDCDCDCD; +6014 : CDCDCDCD; +6015 : CDCDCDCD; +6016 : CDCDCDCD; +6017 : CDCDCDCD; +6018 : CDCDCDCD; +6019 : CDCDCDCD; +601A : CDCDCDCD; +601B : CDCDCDCD; +601C : CDCDCDCD; +601D : CDCDCDCD; +601E : CDCDCDCD; +601F : CDCDCDCD; +6020 : CDCDCDCD; +6021 : CDCDCDCD; +6022 : CDCDCDCD; +6023 : CDCDCDCD; +6024 : CDCDCDCD; +6025 : CDCDCDCD; +6026 : CDCDCDCD; +6027 : CDCDCDCD; +6028 : CDCDCDCD; +6029 : CDCDCDCD; +602A : CDCDCDCD; +602B : CDCDCDCD; +602C : CDCDCDCD; +602D : CDCDCDCD; +602E : CDCDCDCD; +602F : CDCDCDCD; +6030 : CDCDCDCD; +6031 : CDCDCDCD; +6032 : CDCDCDCD; +6033 : CDCDCDCD; +6034 : CDCDCDCD; +6035 : CDCDCDCD; +6036 : CDCDCDCD; +6037 : CDCDCDCD; +6038 : CDCDCDCD; +6039 : CDCDCDCD; +603A : CDCDCDCD; +603B : CDCDCDCD; +603C : CDCDCDCD; +603D : CDCDCDCD; +603E : CDCDCDCD; +603F : CDCDCDCD; +6040 : CDCDCDCD; +6041 : CDCDCDCD; +6042 : CDCDCDCD; +6043 : CDCDCDCD; +6044 : CDCDCDCD; +6045 : CDCDCDCD; +6046 : CDCDCDCD; +6047 : CDCDCDCD; +6048 : CDCDCDCD; +6049 : CDCDCDCD; +604A : CDCDCDCD; +604B : CDCDCDCD; +604C : CDCDCDCD; +604D : CDCDCDCD; +604E : CDCDCDCD; +604F : CDCDCDCD; +6050 : CDCDCDCD; +6051 : CDCDCDCD; +6052 : CDCDCDCD; +6053 : CDCDCDCD; +6054 : CDCDCDCD; +6055 : CDCDCDCD; +6056 : CDCDCDCD; +6057 : CDCDCDCD; +6058 : CDCDCDCD; +6059 : CDCDCDCD; +605A : CDCDCDCD; +605B : CDCDCDCD; +605C : CDCDCDCD; +605D : CDCDCDCD; +605E : CDCDCDCD; +605F : CDCDCDCD; +6060 : CDCDCDCD; +6061 : CDCDCDCD; +6062 : CDCDCDCD; +6063 : CDCDCDCD; +6064 : CDCDCDCD; +6065 : CDCDCDCD; +6066 : CDCDCDCD; +6067 : CDCDCDCD; +6068 : CDCDCDCD; +6069 : CDCDCDCD; +606A : CDCDCDCD; +606B : CDCDCDCD; +606C : CDCDCDCD; +606D : CDCDCDCD; +606E : CDCDCDCD; +606F : CDCDCDCD; +6070 : CDCDCDCD; +6071 : CDCDCDCD; +6072 : CDCDCDCD; +6073 : CDCDCDCD; +6074 : CDCDCDCD; +6075 : CDCDCDCD; +6076 : CDCDCDCD; +6077 : CDCDCDCD; +6078 : CDCDCDCD; +6079 : CDCDCDCD; +607A : CDCDCDCD; +607B : CDCDCDCD; +607C : CDCDCDCD; +607D : CDCDCDCD; +607E : CDCDCDCD; +607F : CDCDCDCD; +6080 : CDCDCDCD; +6081 : CDCDCDCD; +6082 : CDCDCDCD; +6083 : CDCDCDCD; +6084 : CDCDCDCD; +6085 : CDCDCDCD; +6086 : CDCDCDCD; +6087 : CDCDCDCD; +6088 : CDCDCDCD; +6089 : CDCDCDCD; +608A : CDCDCDCD; +608B : CDCDCDCD; +608C : CDCDCDCD; +608D : CDCDCDCD; +608E : CDCDCDCD; +608F : CDCDCDCD; +6090 : CDCDCDCD; +6091 : CDCDCDCD; +6092 : CDCDCDCD; +6093 : CDCDCDCD; +6094 : CDCDCDCD; +6095 : CDCDCDCD; +6096 : CDCDCDCD; +6097 : CDCDCDCD; +6098 : CDCDCDCD; +6099 : CDCDCDCD; +609A : CDCDCDCD; +609B : CDCDCDCD; +609C : CDCDCDCD; +609D : CDCDCDCD; +609E : CDCDCDCD; +609F : CDCDCDCD; +60A0 : CDCDCDCD; +60A1 : CDCDCDCD; +60A2 : CDCDCDCD; +60A3 : CDCDCDCD; +60A4 : CDCDCDCD; +60A5 : CDCDCDCD; +60A6 : CDCDCDCD; +60A7 : CDCDCDCD; +60A8 : CDCDCDCD; +60A9 : CDCDCDCD; +60AA : CDCDCDCD; +60AB : CDCDCDCD; +60AC : CDCDCDCD; +60AD : CDCDCDCD; +60AE : CDCDCDCD; +60AF : CDCDCDCD; +60B0 : CDCDCDCD; +60B1 : CDCDCDCD; +60B2 : CDCDCDCD; +60B3 : CDCDCDCD; +60B4 : CDCDCDCD; +60B5 : CDCDCDCD; +60B6 : CDCDCDCD; +60B7 : CDCDCDCD; +60B8 : CDCDCDCD; +60B9 : CDCDCDCD; +60BA : CDCDCDCD; +60BB : CDCDCDCD; +60BC : CDCDCDCD; +60BD : CDCDCDCD; +60BE : CDCDCDCD; +60BF : CDCDCDCD; +60C0 : CDCDCDCD; +60C1 : CDCDCDCD; +60C2 : CDCDCDCD; +60C3 : CDCDCDCD; +60C4 : CDCDCDCD; +60C5 : CDCDCDCD; +60C6 : CDCDCDCD; +60C7 : CDCDCDCD; +60C8 : CDCDCDCD; +60C9 : CDCDCDCD; +60CA : CDCDCDCD; +60CB : CDCDCDCD; +60CC : CDCDCDCD; +60CD : CDCDCDCD; +60CE : CDCDCDCD; +60CF : CDCDCDCD; +60D0 : CDCDCDCD; +60D1 : CDCDCDCD; +60D2 : CDCDCDCD; +60D3 : CDCDCDCD; +60D4 : CDCDCDCD; +60D5 : CDCDCDCD; +60D6 : CDCDCDCD; +60D7 : CDCDCDCD; +60D8 : CDCDCDCD; +60D9 : CDCDCDCD; +60DA : CDCDCDCD; +60DB : CDCDCDCD; +60DC : CDCDCDCD; +60DD : CDCDCDCD; +60DE : CDCDCDCD; +60DF : CDCDCDCD; +60E0 : CDCDCDCD; +60E1 : CDCDCDCD; +60E2 : CDCDCDCD; +60E3 : CDCDCDCD; +60E4 : CDCDCDCD; +60E5 : CDCDCDCD; +60E6 : CDCDCDCD; +60E7 : CDCDCDCD; +60E8 : CDCDCDCD; +60E9 : CDCDCDCD; +60EA : CDCDCDCD; +60EB : CDCDCDCD; +60EC : CDCDCDCD; +60ED : CDCDCDCD; +60EE : CDCDCDCD; +60EF : CDCDCDCD; +60F0 : CDCDCDCD; +60F1 : CDCDCDCD; +60F2 : CDCDCDCD; +60F3 : CDCDCDCD; +60F4 : CDCDCDCD; +60F5 : CDCDCDCD; +60F6 : CDCDCDCD; +60F7 : CDCDCDCD; +60F8 : CDCDCDCD; +60F9 : CDCDCDCD; +60FA : CDCDCDCD; +60FB : CDCDCDCD; +60FC : CDCDCDCD; +60FD : CDCDCDCD; +60FE : CDCDCDCD; +60FF : CDCDCDCD; +6100 : CDCDCDCD; +6101 : CDCDCDCD; +6102 : CDCDCDCD; +6103 : CDCDCDCD; +6104 : CDCDCDCD; +6105 : CDCDCDCD; +6106 : CDCDCDCD; +6107 : CDCDCDCD; +6108 : CDCDCDCD; +6109 : CDCDCDCD; +610A : CDCDCDCD; +610B : CDCDCDCD; +610C : CDCDCDCD; +610D : CDCDCDCD; +610E : CDCDCDCD; +610F : CDCDCDCD; +6110 : CDCDCDCD; +6111 : CDCDCDCD; +6112 : CDCDCDCD; +6113 : CDCDCDCD; +6114 : CDCDCDCD; +6115 : CDCDCDCD; +6116 : CDCDCDCD; +6117 : CDCDCDCD; +6118 : CDCDCDCD; +6119 : CDCDCDCD; +611A : CDCDCDCD; +611B : CDCDCDCD; +611C : CDCDCDCD; +611D : CDCDCDCD; +611E : CDCDCDCD; +611F : CDCDCDCD; +6120 : CDCDCDCD; +6121 : CDCDCDCD; +6122 : CDCDCDCD; +6123 : CDCDCDCD; +6124 : CDCDCDCD; +6125 : CDCDCDCD; +6126 : CDCDCDCD; +6127 : CDCDCDCD; +6128 : CDCDCDCD; +6129 : CDCDCDCD; +612A : CDCDCDCD; +612B : CDCDCDCD; +612C : CDCDCDCD; +612D : CDCDCDCD; +612E : CDCDCDCD; +612F : CDCDCDCD; +6130 : CDCDCDCD; +6131 : CDCDCDCD; +6132 : CDCDCDCD; +6133 : CDCDCDCD; +6134 : CDCDCDCD; +6135 : CDCDCDCD; +6136 : CDCDCDCD; +6137 : CDCDCDCD; +6138 : CDCDCDCD; +6139 : CDCDCDCD; +613A : CDCDCDCD; +613B : CDCDCDCD; +613C : CDCDCDCD; +613D : CDCDCDCD; +613E : CDCDCDCD; +613F : CDCDCDCD; +6140 : CDCDCDCD; +6141 : CDCDCDCD; +6142 : CDCDCDCD; +6143 : CDCDCDCD; +6144 : CDCDCDCD; +6145 : CDCDCDCD; +6146 : CDCDCDCD; +6147 : CDCDCDCD; +6148 : CDCDCDCD; +6149 : CDCDCDCD; +614A : CDCDCDCD; +614B : CDCDCDCD; +614C : CDCDCDCD; +614D : CDCDCDCD; +614E : CDCDCDCD; +614F : CDCDCDCD; +6150 : CDCDCDCD; +6151 : CDCDCDCD; +6152 : CDCDCDCD; +6153 : CDCDCDCD; +6154 : CDCDCDCD; +6155 : CDCDCDCD; +6156 : CDCDCDCD; +6157 : CDCDCDCD; +6158 : CDCDCDCD; +6159 : CDCDCDCD; +615A : CDCDCDCD; +615B : CDCDCDCD; +615C : CDCDCDCD; +615D : CDCDCDCD; +615E : CDCDCDCD; +615F : CDCDCDCD; +6160 : CDCDCDCD; +6161 : CDCDCDCD; +6162 : CDCDCDCD; +6163 : CDCDCDCD; +6164 : CDCDCDCD; +6165 : CDCDCDCD; +6166 : CDCDCDCD; +6167 : CDCDCDCD; +6168 : CDCDCDCD; +6169 : CDCDCDCD; +616A : CDCDCDCD; +616B : CDCDCDCD; +616C : CDCDCDCD; +616D : CDCDCDCD; +616E : CDCDCDCD; +616F : CDCDCDCD; +6170 : CDCDCDCD; +6171 : CDCDCDCD; +6172 : CDCDCDCD; +6173 : CDCDCDCD; +6174 : CDCDCDCD; +6175 : CDCDCDCD; +6176 : CDCDCDCD; +6177 : CDCDCDCD; +6178 : CDCDCDCD; +6179 : CDCDCDCD; +617A : CDCDCDCD; +617B : CDCDCDCD; +617C : CDCDCDCD; +617D : CDCDCDCD; +617E : CDCDCDCD; +617F : CDCDCDCD; +6180 : CDCDCDCD; +6181 : CDCDCDCD; +6182 : CDCDCDCD; +6183 : CDCDCDCD; +6184 : CDCDCDCD; +6185 : CDCDCDCD; +6186 : CDCDCDCD; +6187 : CDCDCDCD; +6188 : CDCDCDCD; +6189 : CDCDCDCD; +618A : CDCDCDCD; +618B : CDCDCDCD; +618C : CDCDCDCD; +618D : CDCDCDCD; +618E : CDCDCDCD; +618F : CDCDCDCD; +6190 : CDCDCDCD; +6191 : CDCDCDCD; +6192 : CDCDCDCD; +6193 : CDCDCDCD; +6194 : CDCDCDCD; +6195 : CDCDCDCD; +6196 : CDCDCDCD; +6197 : CDCDCDCD; +6198 : CDCDCDCD; +6199 : CDCDCDCD; +619A : CDCDCDCD; +619B : CDCDCDCD; +619C : CDCDCDCD; +619D : CDCDCDCD; +619E : CDCDCDCD; +619F : CDCDCDCD; +61A0 : CDCDCDCD; +61A1 : CDCDCDCD; +61A2 : CDCDCDCD; +61A3 : CDCDCDCD; +61A4 : CDCDCDCD; +61A5 : CDCDCDCD; +61A6 : CDCDCDCD; +61A7 : CDCDCDCD; +61A8 : CDCDCDCD; +61A9 : CDCDCDCD; +61AA : CDCDCDCD; +61AB : CDCDCDCD; +61AC : CDCDCDCD; +61AD : CDCDCDCD; +61AE : CDCDCDCD; +61AF : CDCDCDCD; +61B0 : CDCDCDCD; +61B1 : CDCDCDCD; +61B2 : CDCDCDCD; +61B3 : CDCDCDCD; +61B4 : CDCDCDCD; +61B5 : CDCDCDCD; +61B6 : CDCDCDCD; +61B7 : CDCDCDCD; +61B8 : CDCDCDCD; +61B9 : CDCDCDCD; +61BA : CDCDCDCD; +61BB : CDCDCDCD; +61BC : CDCDCDCD; +61BD : CDCDCDCD; +61BE : CDCDCDCD; +61BF : CDCDCDCD; +61C0 : CDCDCDCD; +61C1 : CDCDCDCD; +61C2 : CDCDCDCD; +61C3 : CDCDCDCD; +61C4 : CDCDCDCD; +61C5 : CDCDCDCD; +61C6 : CDCDCDCD; +61C7 : CDCDCDCD; +61C8 : CDCDCDCD; +61C9 : CDCDCDCD; +61CA : CDCDCDCD; +61CB : CDCDCDCD; +61CC : CDCDCDCD; +61CD : CDCDCDCD; +61CE : CDCDCDCD; +61CF : CDCDCDCD; +61D0 : CDCDCDCD; +61D1 : CDCDCDCD; +61D2 : CDCDCDCD; +61D3 : CDCDCDCD; +61D4 : CDCDCDCD; +61D5 : CDCDCDCD; +61D6 : CDCDCDCD; +61D7 : CDCDCDCD; +61D8 : CDCDCDCD; +61D9 : CDCDCDCD; +61DA : CDCDCDCD; +61DB : CDCDCDCD; +61DC : CDCDCDCD; +61DD : CDCDCDCD; +61DE : CDCDCDCD; +61DF : CDCDCDCD; +61E0 : CDCDCDCD; +61E1 : CDCDCDCD; +61E2 : CDCDCDCD; +61E3 : CDCDCDCD; +61E4 : CDCDCDCD; +61E5 : CDCDCDCD; +61E6 : CDCDCDCD; +61E7 : CDCDCDCD; +61E8 : CDCDCDCD; +61E9 : CDCDCDCD; +61EA : CDCDCDCD; +61EB : CDCDCDCD; +61EC : CDCDCDCD; +61ED : CDCDCDCD; +61EE : CDCDCDCD; +61EF : CDCDCDCD; +61F0 : CDCDCDCD; +61F1 : CDCDCDCD; +61F2 : CDCDCDCD; +61F3 : CDCDCDCD; +61F4 : CDCDCDCD; +61F5 : CDCDCDCD; +61F6 : CDCDCDCD; +61F7 : CDCDCDCD; +61F8 : CDCDCDCD; +61F9 : CDCDCDCD; +61FA : CDCDCDCD; +61FB : CDCDCDCD; +61FC : CDCDCDCD; +61FD : CDCDCDCD; +61FE : CDCDCDCD; +61FF : CDCDCDCD; +6200 : CDCDCDCD; +6201 : CDCDCDCD; +6202 : CDCDCDCD; +6203 : CDCDCDCD; +6204 : CDCDCDCD; +6205 : CDCDCDCD; +6206 : CDCDCDCD; +6207 : CDCDCDCD; +6208 : CDCDCDCD; +6209 : CDCDCDCD; +620A : CDCDCDCD; +620B : CDCDCDCD; +620C : CDCDCDCD; +620D : CDCDCDCD; +620E : CDCDCDCD; +620F : CDCDCDCD; +6210 : CDCDCDCD; +6211 : CDCDCDCD; +6212 : CDCDCDCD; +6213 : CDCDCDCD; +6214 : CDCDCDCD; +6215 : CDCDCDCD; +6216 : CDCDCDCD; +6217 : CDCDCDCD; +6218 : CDCDCDCD; +6219 : CDCDCDCD; +621A : CDCDCDCD; +621B : CDCDCDCD; +621C : CDCDCDCD; +621D : CDCDCDCD; +621E : CDCDCDCD; +621F : CDCDCDCD; +6220 : CDCDCDCD; +6221 : CDCDCDCD; +6222 : CDCDCDCD; +6223 : CDCDCDCD; +6224 : CDCDCDCD; +6225 : CDCDCDCD; +6226 : CDCDCDCD; +6227 : CDCDCDCD; +6228 : CDCDCDCD; +6229 : CDCDCDCD; +622A : CDCDCDCD; +622B : CDCDCDCD; +622C : CDCDCDCD; +622D : CDCDCDCD; +622E : CDCDCDCD; +622F : CDCDCDCD; +6230 : CDCDCDCD; +6231 : CDCDCDCD; +6232 : CDCDCDCD; +6233 : CDCDCDCD; +6234 : CDCDCDCD; +6235 : CDCDCDCD; +6236 : CDCDCDCD; +6237 : CDCDCDCD; +6238 : CDCDCDCD; +6239 : CDCDCDCD; +623A : CDCDCDCD; +623B : CDCDCDCD; +623C : CDCDCDCD; +623D : CDCDCDCD; +623E : CDCDCDCD; +623F : CDCDCDCD; +6240 : CDCDCDCD; +6241 : CDCDCDCD; +6242 : CDCDCDCD; +6243 : CDCDCDCD; +6244 : CDCDCDCD; +6245 : CDCDCDCD; +6246 : CDCDCDCD; +6247 : CDCDCDCD; +6248 : CDCDCDCD; +6249 : CDCDCDCD; +624A : CDCDCDCD; +624B : CDCDCDCD; +624C : CDCDCDCD; +624D : CDCDCDCD; +624E : CDCDCDCD; +624F : CDCDCDCD; +6250 : CDCDCDCD; +6251 : CDCDCDCD; +6252 : CDCDCDCD; +6253 : CDCDCDCD; +6254 : CDCDCDCD; +6255 : CDCDCDCD; +6256 : CDCDCDCD; +6257 : CDCDCDCD; +6258 : CDCDCDCD; +6259 : CDCDCDCD; +625A : CDCDCDCD; +625B : CDCDCDCD; +625C : CDCDCDCD; +625D : CDCDCDCD; +625E : CDCDCDCD; +625F : CDCDCDCD; +6260 : CDCDCDCD; +6261 : CDCDCDCD; +6262 : CDCDCDCD; +6263 : CDCDCDCD; +6264 : CDCDCDCD; +6265 : CDCDCDCD; +6266 : CDCDCDCD; +6267 : CDCDCDCD; +6268 : CDCDCDCD; +6269 : CDCDCDCD; +626A : CDCDCDCD; +626B : CDCDCDCD; +626C : CDCDCDCD; +626D : CDCDCDCD; +626E : CDCDCDCD; +626F : CDCDCDCD; +6270 : CDCDCDCD; +6271 : CDCDCDCD; +6272 : CDCDCDCD; +6273 : CDCDCDCD; +6274 : CDCDCDCD; +6275 : CDCDCDCD; +6276 : CDCDCDCD; +6277 : CDCDCDCD; +6278 : CDCDCDCD; +6279 : CDCDCDCD; +627A : CDCDCDCD; +627B : CDCDCDCD; +627C : CDCDCDCD; +627D : CDCDCDCD; +627E : CDCDCDCD; +627F : CDCDCDCD; +6280 : CDCDCDCD; +6281 : CDCDCDCD; +6282 : CDCDCDCD; +6283 : CDCDCDCD; +6284 : CDCDCDCD; +6285 : CDCDCDCD; +6286 : CDCDCDCD; +6287 : CDCDCDCD; +6288 : CDCDCDCD; +6289 : CDCDCDCD; +628A : CDCDCDCD; +628B : CDCDCDCD; +628C : CDCDCDCD; +628D : CDCDCDCD; +628E : CDCDCDCD; +628F : CDCDCDCD; +6290 : CDCDCDCD; +6291 : CDCDCDCD; +6292 : CDCDCDCD; +6293 : CDCDCDCD; +6294 : CDCDCDCD; +6295 : CDCDCDCD; +6296 : CDCDCDCD; +6297 : CDCDCDCD; +6298 : CDCDCDCD; +6299 : CDCDCDCD; +629A : CDCDCDCD; +629B : CDCDCDCD; +629C : CDCDCDCD; +629D : CDCDCDCD; +629E : CDCDCDCD; +629F : CDCDCDCD; +62A0 : CDCDCDCD; +62A1 : CDCDCDCD; +62A2 : CDCDCDCD; +62A3 : CDCDCDCD; +62A4 : CDCDCDCD; +62A5 : CDCDCDCD; +62A6 : CDCDCDCD; +62A7 : CDCDCDCD; +62A8 : CDCDCDCD; +62A9 : CDCDCDCD; +62AA : CDCDCDCD; +62AB : CDCDCDCD; +62AC : CDCDCDCD; +62AD : CDCDCDCD; +62AE : CDCDCDCD; +62AF : CDCDCDCD; +62B0 : CDCDCDCD; +62B1 : CDCDCDCD; +62B2 : CDCDCDCD; +62B3 : CDCDCDCD; +62B4 : CDCDCDCD; +62B5 : CDCDCDCD; +62B6 : CDCDCDCD; +62B7 : CDCDCDCD; +62B8 : CDCDCDCD; +62B9 : CDCDCDCD; +62BA : CDCDCDCD; +62BB : CDCDCDCD; +62BC : CDCDCDCD; +62BD : CDCDCDCD; +62BE : CDCDCDCD; +62BF : CDCDCDCD; +62C0 : CDCDCDCD; +62C1 : CDCDCDCD; +62C2 : CDCDCDCD; +62C3 : CDCDCDCD; +62C4 : CDCDCDCD; +62C5 : CDCDCDCD; +62C6 : CDCDCDCD; +62C7 : CDCDCDCD; +62C8 : CDCDCDCD; +62C9 : CDCDCDCD; +62CA : CDCDCDCD; +62CB : CDCDCDCD; +62CC : CDCDCDCD; +62CD : CDCDCDCD; +62CE : CDCDCDCD; +62CF : CDCDCDCD; +62D0 : CDCDCDCD; +62D1 : CDCDCDCD; +62D2 : CDCDCDCD; +62D3 : CDCDCDCD; +62D4 : CDCDCDCD; +62D5 : CDCDCDCD; +62D6 : CDCDCDCD; +62D7 : CDCDCDCD; +62D8 : CDCDCDCD; +62D9 : CDCDCDCD; +62DA : CDCDCDCD; +62DB : CDCDCDCD; +62DC : CDCDCDCD; +62DD : CDCDCDCD; +62DE : CDCDCDCD; +62DF : CDCDCDCD; +62E0 : CDCDCDCD; +62E1 : CDCDCDCD; +62E2 : CDCDCDCD; +62E3 : CDCDCDCD; +62E4 : CDCDCDCD; +62E5 : CDCDCDCD; +62E6 : CDCDCDCD; +62E7 : CDCDCDCD; +62E8 : CDCDCDCD; +62E9 : CDCDCDCD; +62EA : CDCDCDCD; +62EB : CDCDCDCD; +62EC : CDCDCDCD; +62ED : CDCDCDCD; +62EE : CDCDCDCD; +62EF : CDCDCDCD; +62F0 : CDCDCDCD; +62F1 : CDCDCDCD; +62F2 : CDCDCDCD; +62F3 : CDCDCDCD; +62F4 : CDCDCDCD; +62F5 : CDCDCDCD; +62F6 : CDCDCDCD; +62F7 : CDCDCDCD; +62F8 : CDCDCDCD; +62F9 : CDCDCDCD; +62FA : CDCDCDCD; +62FB : CDCDCDCD; +62FC : CDCDCDCD; +62FD : CDCDCDCD; +62FE : CDCDCDCD; +62FF : CDCDCDCD; +6300 : CDCDCDCD; +6301 : CDCDCDCD; +6302 : CDCDCDCD; +6303 : CDCDCDCD; +6304 : CDCDCDCD; +6305 : CDCDCDCD; +6306 : CDCDCDCD; +6307 : CDCDCDCD; +6308 : CDCDCDCD; +6309 : CDCDCDCD; +630A : CDCDCDCD; +630B : CDCDCDCD; +630C : CDCDCDCD; +630D : CDCDCDCD; +630E : CDCDCDCD; +630F : CDCDCDCD; +6310 : CDCDCDCD; +6311 : CDCDCDCD; +6312 : CDCDCDCD; +6313 : CDCDCDCD; +6314 : CDCDCDCD; +6315 : CDCDCDCD; +6316 : CDCDCDCD; +6317 : CDCDCDCD; +6318 : CDCDCDCD; +6319 : CDCDCDCD; +631A : CDCDCDCD; +631B : CDCDCDCD; +631C : CDCDCDCD; +631D : CDCDCDCD; +631E : CDCDCDCD; +631F : CDCDCDCD; +6320 : CDCDCDCD; +6321 : CDCDCDCD; +6322 : CDCDCDCD; +6323 : CDCDCDCD; +6324 : CDCDCDCD; +6325 : CDCDCDCD; +6326 : CDCDCDCD; +6327 : CDCDCDCD; +6328 : CDCDCDCD; +6329 : CDCDCDCD; +632A : CDCDCDCD; +632B : CDCDCDCD; +632C : CDCDCDCD; +632D : CDCDCDCD; +632E : CDCDCDCD; +632F : CDCDCDCD; +6330 : CDCDCDCD; +6331 : CDCDCDCD; +6332 : CDCDCDCD; +6333 : CDCDCDCD; +6334 : CDCDCDCD; +6335 : CDCDCDCD; +6336 : CDCDCDCD; +6337 : CDCDCDCD; +6338 : CDCDCDCD; +6339 : CDCDCDCD; +633A : CDCDCDCD; +633B : CDCDCDCD; +633C : CDCDCDCD; +633D : CDCDCDCD; +633E : CDCDCDCD; +633F : CDCDCDCD; +6340 : CDCDCDCD; +6341 : CDCDCDCD; +6342 : CDCDCDCD; +6343 : CDCDCDCD; +6344 : CDCDCDCD; +6345 : CDCDCDCD; +6346 : CDCDCDCD; +6347 : CDCDCDCD; +6348 : CDCDCDCD; +6349 : CDCDCDCD; +634A : CDCDCDCD; +634B : CDCDCDCD; +634C : CDCDCDCD; +634D : CDCDCDCD; +634E : CDCDCDCD; +634F : CDCDCDCD; +6350 : CDCDCDCD; +6351 : CDCDCDCD; +6352 : CDCDCDCD; +6353 : CDCDCDCD; +6354 : CDCDCDCD; +6355 : CDCDCDCD; +6356 : CDCDCDCD; +6357 : CDCDCDCD; +6358 : CDCDCDCD; +6359 : CDCDCDCD; +635A : CDCDCDCD; +635B : CDCDCDCD; +635C : CDCDCDCD; +635D : CDCDCDCD; +635E : CDCDCDCD; +635F : CDCDCDCD; +6360 : CDCDCDCD; +6361 : CDCDCDCD; +6362 : CDCDCDCD; +6363 : CDCDCDCD; +6364 : CDCDCDCD; +6365 : CDCDCDCD; +6366 : CDCDCDCD; +6367 : CDCDCDCD; +6368 : CDCDCDCD; +6369 : CDCDCDCD; +636A : CDCDCDCD; +636B : CDCDCDCD; +636C : CDCDCDCD; +636D : CDCDCDCD; +636E : CDCDCDCD; +636F : CDCDCDCD; +6370 : CDCDCDCD; +6371 : CDCDCDCD; +6372 : CDCDCDCD; +6373 : CDCDCDCD; +6374 : CDCDCDCD; +6375 : CDCDCDCD; +6376 : CDCDCDCD; +6377 : CDCDCDCD; +6378 : CDCDCDCD; +6379 : CDCDCDCD; +637A : CDCDCDCD; +637B : CDCDCDCD; +637C : CDCDCDCD; +637D : CDCDCDCD; +637E : CDCDCDCD; +637F : CDCDCDCD; +6380 : CDCDCDCD; +6381 : CDCDCDCD; +6382 : CDCDCDCD; +6383 : CDCDCDCD; +6384 : CDCDCDCD; +6385 : CDCDCDCD; +6386 : CDCDCDCD; +6387 : CDCDCDCD; +6388 : CDCDCDCD; +6389 : CDCDCDCD; +638A : CDCDCDCD; +638B : CDCDCDCD; +638C : CDCDCDCD; +638D : CDCDCDCD; +638E : CDCDCDCD; +638F : CDCDCDCD; +6390 : CDCDCDCD; +6391 : CDCDCDCD; +6392 : CDCDCDCD; +6393 : CDCDCDCD; +6394 : CDCDCDCD; +6395 : CDCDCDCD; +6396 : CDCDCDCD; +6397 : CDCDCDCD; +6398 : CDCDCDCD; +6399 : CDCDCDCD; +639A : CDCDCDCD; +639B : CDCDCDCD; +639C : CDCDCDCD; +639D : CDCDCDCD; +639E : CDCDCDCD; +639F : CDCDCDCD; +63A0 : CDCDCDCD; +63A1 : CDCDCDCD; +63A2 : CDCDCDCD; +63A3 : CDCDCDCD; +63A4 : CDCDCDCD; +63A5 : CDCDCDCD; +63A6 : CDCDCDCD; +63A7 : CDCDCDCD; +63A8 : CDCDCDCD; +63A9 : CDCDCDCD; +63AA : CDCDCDCD; +63AB : CDCDCDCD; +63AC : CDCDCDCD; +63AD : CDCDCDCD; +63AE : CDCDCDCD; +63AF : CDCDCDCD; +63B0 : CDCDCDCD; +63B1 : CDCDCDCD; +63B2 : CDCDCDCD; +63B3 : CDCDCDCD; +63B4 : CDCDCDCD; +63B5 : CDCDCDCD; +63B6 : CDCDCDCD; +63B7 : CDCDCDCD; +63B8 : CDCDCDCD; +63B9 : CDCDCDCD; +63BA : CDCDCDCD; +63BB : CDCDCDCD; +63BC : CDCDCDCD; +63BD : CDCDCDCD; +63BE : CDCDCDCD; +63BF : CDCDCDCD; +63C0 : CDCDCDCD; +63C1 : CDCDCDCD; +63C2 : CDCDCDCD; +63C3 : CDCDCDCD; +63C4 : CDCDCDCD; +63C5 : CDCDCDCD; +63C6 : CDCDCDCD; +63C7 : CDCDCDCD; +63C8 : CDCDCDCD; +63C9 : CDCDCDCD; +63CA : CDCDCDCD; +63CB : CDCDCDCD; +63CC : CDCDCDCD; +63CD : CDCDCDCD; +63CE : CDCDCDCD; +63CF : CDCDCDCD; +63D0 : CDCDCDCD; +63D1 : CDCDCDCD; +63D2 : CDCDCDCD; +63D3 : CDCDCDCD; +63D4 : CDCDCDCD; +63D5 : CDCDCDCD; +63D6 : CDCDCDCD; +63D7 : CDCDCDCD; +63D8 : CDCDCDCD; +63D9 : CDCDCDCD; +63DA : CDCDCDCD; +63DB : CDCDCDCD; +63DC : CDCDCDCD; +63DD : CDCDCDCD; +63DE : CDCDCDCD; +63DF : CDCDCDCD; +63E0 : CDCDCDCD; +63E1 : CDCDCDCD; +63E2 : CDCDCDCD; +63E3 : CDCDCDCD; +63E4 : CDCDCDCD; +63E5 : CDCDCDCD; +63E6 : CDCDCDCD; +63E7 : CDCDCDCD; +63E8 : CDCDCDCD; +63E9 : CDCDCDCD; +63EA : CDCDCDCD; +63EB : CDCDCDCD; +63EC : CDCDCDCD; +63ED : CDCDCDCD; +63EE : CDCDCDCD; +63EF : CDCDCDCD; +63F0 : CDCDCDCD; +63F1 : CDCDCDCD; +63F2 : CDCDCDCD; +63F3 : CDCDCDCD; +63F4 : CDCDCDCD; +63F5 : CDCDCDCD; +63F6 : CDCDCDCD; +63F7 : CDCDCDCD; +63F8 : CDCDCDCD; +63F9 : CDCDCDCD; +63FA : CDCDCDCD; +63FB : CDCDCDCD; +63FC : CDCDCDCD; +63FD : CDCDCDCD; +63FE : CDCDCDCD; +63FF : CDCDCDCD; +6400 : CDCDCDCD; +6401 : CDCDCDCD; +6402 : CDCDCDCD; +6403 : CDCDCDCD; +6404 : CDCDCDCD; +6405 : CDCDCDCD; +6406 : CDCDCDCD; +6407 : CDCDCDCD; +6408 : CDCDCDCD; +6409 : CDCDCDCD; +640A : CDCDCDCD; +640B : CDCDCDCD; +640C : CDCDCDCD; +640D : CDCDCDCD; +640E : CDCDCDCD; +640F : CDCDCDCD; +6410 : CDCDCDCD; +6411 : CDCDCDCD; +6412 : CDCDCDCD; +6413 : CDCDCDCD; +6414 : CDCDCDCD; +6415 : CDCDCDCD; +6416 : CDCDCDCD; +6417 : CDCDCDCD; +6418 : CDCDCDCD; +6419 : CDCDCDCD; +641A : CDCDCDCD; +641B : CDCDCDCD; +641C : CDCDCDCD; +641D : CDCDCDCD; +641E : CDCDCDCD; +641F : CDCDCDCD; +6420 : CDCDCDCD; +6421 : CDCDCDCD; +6422 : CDCDCDCD; +6423 : CDCDCDCD; +6424 : CDCDCDCD; +6425 : CDCDCDCD; +6426 : CDCDCDCD; +6427 : CDCDCDCD; +6428 : CDCDCDCD; +6429 : CDCDCDCD; +642A : CDCDCDCD; +642B : CDCDCDCD; +642C : CDCDCDCD; +642D : CDCDCDCD; +642E : CDCDCDCD; +642F : CDCDCDCD; +6430 : CDCDCDCD; +6431 : CDCDCDCD; +6432 : CDCDCDCD; +6433 : CDCDCDCD; +6434 : CDCDCDCD; +6435 : CDCDCDCD; +6436 : CDCDCDCD; +6437 : CDCDCDCD; +6438 : CDCDCDCD; +6439 : CDCDCDCD; +643A : CDCDCDCD; +643B : CDCDCDCD; +643C : CDCDCDCD; +643D : CDCDCDCD; +643E : CDCDCDCD; +643F : CDCDCDCD; +6440 : CDCDCDCD; +6441 : CDCDCDCD; +6442 : CDCDCDCD; +6443 : CDCDCDCD; +6444 : CDCDCDCD; +6445 : CDCDCDCD; +6446 : CDCDCDCD; +6447 : CDCDCDCD; +6448 : CDCDCDCD; +6449 : CDCDCDCD; +644A : CDCDCDCD; +644B : CDCDCDCD; +644C : CDCDCDCD; +644D : CDCDCDCD; +644E : CDCDCDCD; +644F : CDCDCDCD; +6450 : CDCDCDCD; +6451 : CDCDCDCD; +6452 : CDCDCDCD; +6453 : CDCDCDCD; +6454 : CDCDCDCD; +6455 : CDCDCDCD; +6456 : CDCDCDCD; +6457 : CDCDCDCD; +6458 : CDCDCDCD; +6459 : CDCDCDCD; +645A : CDCDCDCD; +645B : CDCDCDCD; +645C : CDCDCDCD; +645D : CDCDCDCD; +645E : CDCDCDCD; +645F : CDCDCDCD; +6460 : CDCDCDCD; +6461 : CDCDCDCD; +6462 : CDCDCDCD; +6463 : CDCDCDCD; +6464 : CDCDCDCD; +6465 : CDCDCDCD; +6466 : CDCDCDCD; +6467 : CDCDCDCD; +6468 : CDCDCDCD; +6469 : CDCDCDCD; +646A : CDCDCDCD; +646B : CDCDCDCD; +646C : CDCDCDCD; +646D : CDCDCDCD; +646E : CDCDCDCD; +646F : CDCDCDCD; +6470 : CDCDCDCD; +6471 : CDCDCDCD; +6472 : CDCDCDCD; +6473 : CDCDCDCD; +6474 : CDCDCDCD; +6475 : CDCDCDCD; +6476 : CDCDCDCD; +6477 : CDCDCDCD; +6478 : CDCDCDCD; +6479 : CDCDCDCD; +647A : CDCDCDCD; +647B : CDCDCDCD; +647C : CDCDCDCD; +647D : CDCDCDCD; +647E : CDCDCDCD; +647F : CDCDCDCD; +6480 : CDCDCDCD; +6481 : CDCDCDCD; +6482 : CDCDCDCD; +6483 : CDCDCDCD; +6484 : CDCDCDCD; +6485 : CDCDCDCD; +6486 : CDCDCDCD; +6487 : CDCDCDCD; +6488 : CDCDCDCD; +6489 : CDCDCDCD; +648A : CDCDCDCD; +648B : CDCDCDCD; +648C : CDCDCDCD; +648D : CDCDCDCD; +648E : CDCDCDCD; +648F : CDCDCDCD; +6490 : CDCDCDCD; +6491 : CDCDCDCD; +6492 : CDCDCDCD; +6493 : CDCDCDCD; +6494 : CDCDCDCD; +6495 : CDCDCDCD; +6496 : CDCDCDCD; +6497 : CDCDCDCD; +6498 : CDCDCDCD; +6499 : CDCDCDCD; +649A : CDCDCDCD; +649B : CDCDCDCD; +649C : CDCDCDCD; +649D : CDCDCDCD; +649E : CDCDCDCD; +649F : CDCDCDCD; +64A0 : CDCDCDCD; +64A1 : CDCDCDCD; +64A2 : CDCDCDCD; +64A3 : CDCDCDCD; +64A4 : CDCDCDCD; +64A5 : CDCDCDCD; +64A6 : CDCDCDCD; +64A7 : CDCDCDCD; +64A8 : CDCDCDCD; +64A9 : CDCDCDCD; +64AA : CDCDCDCD; +64AB : CDCDCDCD; +64AC : CDCDCDCD; +64AD : CDCDCDCD; +64AE : CDCDCDCD; +64AF : CDCDCDCD; +64B0 : CDCDCDCD; +64B1 : CDCDCDCD; +64B2 : CDCDCDCD; +64B3 : CDCDCDCD; +64B4 : CDCDCDCD; +64B5 : CDCDCDCD; +64B6 : CDCDCDCD; +64B7 : CDCDCDCD; +64B8 : CDCDCDCD; +64B9 : CDCDCDCD; +64BA : CDCDCDCD; +64BB : CDCDCDCD; +64BC : CDCDCDCD; +64BD : CDCDCDCD; +64BE : CDCDCDCD; +64BF : CDCDCDCD; +64C0 : CDCDCDCD; +64C1 : CDCDCDCD; +64C2 : CDCDCDCD; +64C3 : CDCDCDCD; +64C4 : CDCDCDCD; +64C5 : CDCDCDCD; +64C6 : CDCDCDCD; +64C7 : CDCDCDCD; +64C8 : CDCDCDCD; +64C9 : CDCDCDCD; +64CA : CDCDCDCD; +64CB : CDCDCDCD; +64CC : CDCDCDCD; +64CD : CDCDCDCD; +64CE : CDCDCDCD; +64CF : CDCDCDCD; +64D0 : CDCDCDCD; +64D1 : CDCDCDCD; +64D2 : CDCDCDCD; +64D3 : CDCDCDCD; +64D4 : CDCDCDCD; +64D5 : CDCDCDCD; +64D6 : CDCDCDCD; +64D7 : CDCDCDCD; +64D8 : CDCDCDCD; +64D9 : CDCDCDCD; +64DA : CDCDCDCD; +64DB : CDCDCDCD; +64DC : CDCDCDCD; +64DD : CDCDCDCD; +64DE : CDCDCDCD; +64DF : CDCDCDCD; +64E0 : CDCDCDCD; +64E1 : CDCDCDCD; +64E2 : CDCDCDCD; +64E3 : CDCDCDCD; +64E4 : CDCDCDCD; +64E5 : CDCDCDCD; +64E6 : CDCDCDCD; +64E7 : CDCDCDCD; +64E8 : CDCDCDCD; +64E9 : CDCDCDCD; +64EA : CDCDCDCD; +64EB : CDCDCDCD; +64EC : CDCDCDCD; +64ED : CDCDCDCD; +64EE : CDCDCDCD; +64EF : CDCDCDCD; +64F0 : CDCDCDCD; +64F1 : CDCDCDCD; +64F2 : CDCDCDCD; +64F3 : CDCDCDCD; +64F4 : CDCDCDCD; +64F5 : CDCDCDCD; +64F6 : CDCDCDCD; +64F7 : CDCDCDCD; +64F8 : CDCDCDCD; +64F9 : CDCDCDCD; +64FA : CDCDCDCD; +64FB : CDCDCDCD; +64FC : CDCDCDCD; +64FD : CDCDCDCD; +64FE : CDCDCDCD; +64FF : CDCDCDCD; +6500 : CDCDCDCD; +6501 : CDCDCDCD; +6502 : CDCDCDCD; +6503 : CDCDCDCD; +6504 : CDCDCDCD; +6505 : CDCDCDCD; +6506 : CDCDCDCD; +6507 : CDCDCDCD; +6508 : CDCDCDCD; +6509 : CDCDCDCD; +650A : CDCDCDCD; +650B : CDCDCDCD; +650C : CDCDCDCD; +650D : CDCDCDCD; +650E : CDCDCDCD; +650F : CDCDCDCD; +6510 : CDCDCDCD; +6511 : CDCDCDCD; +6512 : CDCDCDCD; +6513 : CDCDCDCD; +6514 : CDCDCDCD; +6515 : CDCDCDCD; +6516 : CDCDCDCD; +6517 : CDCDCDCD; +6518 : CDCDCDCD; +6519 : CDCDCDCD; +651A : CDCDCDCD; +651B : CDCDCDCD; +651C : CDCDCDCD; +651D : CDCDCDCD; +651E : CDCDCDCD; +651F : CDCDCDCD; +6520 : CDCDCDCD; +6521 : CDCDCDCD; +6522 : CDCDCDCD; +6523 : CDCDCDCD; +6524 : CDCDCDCD; +6525 : CDCDCDCD; +6526 : CDCDCDCD; +6527 : CDCDCDCD; +6528 : CDCDCDCD; +6529 : CDCDCDCD; +652A : CDCDCDCD; +652B : CDCDCDCD; +652C : CDCDCDCD; +652D : CDCDCDCD; +652E : CDCDCDCD; +652F : CDCDCDCD; +6530 : CDCDCDCD; +6531 : CDCDCDCD; +6532 : CDCDCDCD; +6533 : CDCDCDCD; +6534 : CDCDCDCD; +6535 : CDCDCDCD; +6536 : CDCDCDCD; +6537 : CDCDCDCD; +6538 : CDCDCDCD; +6539 : CDCDCDCD; +653A : CDCDCDCD; +653B : CDCDCDCD; +653C : CDCDCDCD; +653D : CDCDCDCD; +653E : CDCDCDCD; +653F : CDCDCDCD; +6540 : CDCDCDCD; +6541 : CDCDCDCD; +6542 : CDCDCDCD; +6543 : CDCDCDCD; +6544 : CDCDCDCD; +6545 : CDCDCDCD; +6546 : CDCDCDCD; +6547 : CDCDCDCD; +6548 : CDCDCDCD; +6549 : CDCDCDCD; +654A : CDCDCDCD; +654B : CDCDCDCD; +654C : CDCDCDCD; +654D : CDCDCDCD; +654E : CDCDCDCD; +654F : CDCDCDCD; +6550 : CDCDCDCD; +6551 : CDCDCDCD; +6552 : CDCDCDCD; +6553 : CDCDCDCD; +6554 : CDCDCDCD; +6555 : CDCDCDCD; +6556 : CDCDCDCD; +6557 : CDCDCDCD; +6558 : CDCDCDCD; +6559 : CDCDCDCD; +655A : CDCDCDCD; +655B : CDCDCDCD; +655C : CDCDCDCD; +655D : CDCDCDCD; +655E : CDCDCDCD; +655F : CDCDCDCD; +6560 : CDCDCDCD; +6561 : CDCDCDCD; +6562 : CDCDCDCD; +6563 : CDCDCDCD; +6564 : CDCDCDCD; +6565 : CDCDCDCD; +6566 : CDCDCDCD; +6567 : CDCDCDCD; +6568 : CDCDCDCD; +6569 : CDCDCDCD; +656A : CDCDCDCD; +656B : CDCDCDCD; +656C : CDCDCDCD; +656D : CDCDCDCD; +656E : CDCDCDCD; +656F : CDCDCDCD; +6570 : CDCDCDCD; +6571 : CDCDCDCD; +6572 : CDCDCDCD; +6573 : CDCDCDCD; +6574 : CDCDCDCD; +6575 : CDCDCDCD; +6576 : CDCDCDCD; +6577 : CDCDCDCD; +6578 : CDCDCDCD; +6579 : CDCDCDCD; +657A : CDCDCDCD; +657B : CDCDCDCD; +657C : CDCDCDCD; +657D : CDCDCDCD; +657E : CDCDCDCD; +657F : CDCDCDCD; +6580 : CDCDCDCD; +6581 : CDCDCDCD; +6582 : CDCDCDCD; +6583 : CDCDCDCD; +6584 : CDCDCDCD; +6585 : CDCDCDCD; +6586 : CDCDCDCD; +6587 : CDCDCDCD; +6588 : CDCDCDCD; +6589 : CDCDCDCD; +658A : CDCDCDCD; +658B : CDCDCDCD; +658C : CDCDCDCD; +658D : CDCDCDCD; +658E : CDCDCDCD; +658F : CDCDCDCD; +6590 : CDCDCDCD; +6591 : CDCDCDCD; +6592 : CDCDCDCD; +6593 : CDCDCDCD; +6594 : CDCDCDCD; +6595 : CDCDCDCD; +6596 : CDCDCDCD; +6597 : CDCDCDCD; +6598 : CDCDCDCD; +6599 : CDCDCDCD; +659A : CDCDCDCD; +659B : CDCDCDCD; +659C : CDCDCDCD; +659D : CDCDCDCD; +659E : CDCDCDCD; +659F : CDCDCDCD; +65A0 : CDCDCDCD; +65A1 : CDCDCDCD; +65A2 : CDCDCDCD; +65A3 : CDCDCDCD; +65A4 : CDCDCDCD; +65A5 : CDCDCDCD; +65A6 : CDCDCDCD; +65A7 : CDCDCDCD; +65A8 : CDCDCDCD; +65A9 : CDCDCDCD; +65AA : CDCDCDCD; +65AB : CDCDCDCD; +65AC : CDCDCDCD; +65AD : CDCDCDCD; +65AE : CDCDCDCD; +65AF : CDCDCDCD; +65B0 : CDCDCDCD; +65B1 : CDCDCDCD; +65B2 : CDCDCDCD; +65B3 : CDCDCDCD; +65B4 : CDCDCDCD; +65B5 : CDCDCDCD; +65B6 : CDCDCDCD; +65B7 : CDCDCDCD; +65B8 : CDCDCDCD; +65B9 : CDCDCDCD; +65BA : CDCDCDCD; +65BB : CDCDCDCD; +65BC : CDCDCDCD; +65BD : CDCDCDCD; +65BE : CDCDCDCD; +65BF : CDCDCDCD; +65C0 : CDCDCDCD; +65C1 : CDCDCDCD; +65C2 : CDCDCDCD; +65C3 : CDCDCDCD; +65C4 : CDCDCDCD; +65C5 : CDCDCDCD; +65C6 : CDCDCDCD; +65C7 : CDCDCDCD; +65C8 : CDCDCDCD; +65C9 : CDCDCDCD; +65CA : CDCDCDCD; +65CB : CDCDCDCD; +65CC : CDCDCDCD; +65CD : CDCDCDCD; +65CE : CDCDCDCD; +65CF : CDCDCDCD; +65D0 : CDCDCDCD; +65D1 : CDCDCDCD; +65D2 : CDCDCDCD; +65D3 : CDCDCDCD; +65D4 : CDCDCDCD; +65D5 : CDCDCDCD; +65D6 : CDCDCDCD; +65D7 : CDCDCDCD; +65D8 : CDCDCDCD; +65D9 : CDCDCDCD; +65DA : CDCDCDCD; +65DB : CDCDCDCD; +65DC : CDCDCDCD; +65DD : CDCDCDCD; +65DE : CDCDCDCD; +65DF : CDCDCDCD; +65E0 : CDCDCDCD; +65E1 : CDCDCDCD; +65E2 : CDCDCDCD; +65E3 : CDCDCDCD; +65E4 : CDCDCDCD; +65E5 : CDCDCDCD; +65E6 : CDCDCDCD; +65E7 : CDCDCDCD; +65E8 : CDCDCDCD; +65E9 : CDCDCDCD; +65EA : CDCDCDCD; +65EB : CDCDCDCD; +65EC : CDCDCDCD; +65ED : CDCDCDCD; +65EE : CDCDCDCD; +65EF : CDCDCDCD; +65F0 : CDCDCDCD; +65F1 : CDCDCDCD; +65F2 : CDCDCDCD; +65F3 : CDCDCDCD; +65F4 : CDCDCDCD; +65F5 : CDCDCDCD; +65F6 : CDCDCDCD; +65F7 : CDCDCDCD; +65F8 : CDCDCDCD; +65F9 : CDCDCDCD; +65FA : CDCDCDCD; +65FB : CDCDCDCD; +65FC : CDCDCDCD; +65FD : CDCDCDCD; +65FE : CDCDCDCD; +65FF : CDCDCDCD; +6600 : CDCDCDCD; +6601 : CDCDCDCD; +6602 : CDCDCDCD; +6603 : CDCDCDCD; +6604 : CDCDCDCD; +6605 : CDCDCDCD; +6606 : CDCDCDCD; +6607 : CDCDCDCD; +6608 : CDCDCDCD; +6609 : CDCDCDCD; +660A : CDCDCDCD; +660B : CDCDCDCD; +660C : CDCDCDCD; +660D : CDCDCDCD; +660E : CDCDCDCD; +660F : CDCDCDCD; +6610 : CDCDCDCD; +6611 : CDCDCDCD; +6612 : CDCDCDCD; +6613 : CDCDCDCD; +6614 : CDCDCDCD; +6615 : CDCDCDCD; +6616 : CDCDCDCD; +6617 : CDCDCDCD; +6618 : CDCDCDCD; +6619 : CDCDCDCD; +661A : CDCDCDCD; +661B : CDCDCDCD; +661C : CDCDCDCD; +661D : CDCDCDCD; +661E : CDCDCDCD; +661F : CDCDCDCD; +6620 : CDCDCDCD; +6621 : CDCDCDCD; +6622 : CDCDCDCD; +6623 : CDCDCDCD; +6624 : CDCDCDCD; +6625 : CDCDCDCD; +6626 : CDCDCDCD; +6627 : CDCDCDCD; +6628 : CDCDCDCD; +6629 : CDCDCDCD; +662A : CDCDCDCD; +662B : CDCDCDCD; +662C : CDCDCDCD; +662D : CDCDCDCD; +662E : CDCDCDCD; +662F : CDCDCDCD; +6630 : CDCDCDCD; +6631 : CDCDCDCD; +6632 : CDCDCDCD; +6633 : CDCDCDCD; +6634 : CDCDCDCD; +6635 : CDCDCDCD; +6636 : CDCDCDCD; +6637 : CDCDCDCD; +6638 : CDCDCDCD; +6639 : CDCDCDCD; +663A : CDCDCDCD; +663B : CDCDCDCD; +663C : CDCDCDCD; +663D : CDCDCDCD; +663E : CDCDCDCD; +663F : CDCDCDCD; +6640 : CDCDCDCD; +6641 : CDCDCDCD; +6642 : CDCDCDCD; +6643 : CDCDCDCD; +6644 : CDCDCDCD; +6645 : CDCDCDCD; +6646 : CDCDCDCD; +6647 : CDCDCDCD; +6648 : CDCDCDCD; +6649 : CDCDCDCD; +664A : CDCDCDCD; +664B : CDCDCDCD; +664C : CDCDCDCD; +664D : CDCDCDCD; +664E : CDCDCDCD; +664F : CDCDCDCD; +6650 : CDCDCDCD; +6651 : CDCDCDCD; +6652 : CDCDCDCD; +6653 : CDCDCDCD; +6654 : CDCDCDCD; +6655 : CDCDCDCD; +6656 : CDCDCDCD; +6657 : CDCDCDCD; +6658 : CDCDCDCD; +6659 : CDCDCDCD; +665A : CDCDCDCD; +665B : CDCDCDCD; +665C : CDCDCDCD; +665D : CDCDCDCD; +665E : CDCDCDCD; +665F : CDCDCDCD; +6660 : CDCDCDCD; +6661 : CDCDCDCD; +6662 : CDCDCDCD; +6663 : CDCDCDCD; +6664 : CDCDCDCD; +6665 : CDCDCDCD; +6666 : CDCDCDCD; +6667 : CDCDCDCD; +6668 : CDCDCDCD; +6669 : CDCDCDCD; +666A : CDCDCDCD; +666B : CDCDCDCD; +666C : CDCDCDCD; +666D : CDCDCDCD; +666E : CDCDCDCD; +666F : CDCDCDCD; +6670 : CDCDCDCD; +6671 : CDCDCDCD; +6672 : CDCDCDCD; +6673 : CDCDCDCD; +6674 : CDCDCDCD; +6675 : CDCDCDCD; +6676 : CDCDCDCD; +6677 : CDCDCDCD; +6678 : CDCDCDCD; +6679 : CDCDCDCD; +667A : CDCDCDCD; +667B : CDCDCDCD; +667C : CDCDCDCD; +667D : CDCDCDCD; +667E : CDCDCDCD; +667F : CDCDCDCD; +6680 : CDCDCDCD; +6681 : CDCDCDCD; +6682 : CDCDCDCD; +6683 : CDCDCDCD; +6684 : CDCDCDCD; +6685 : CDCDCDCD; +6686 : CDCDCDCD; +6687 : CDCDCDCD; +6688 : CDCDCDCD; +6689 : CDCDCDCD; +668A : CDCDCDCD; +668B : CDCDCDCD; +668C : CDCDCDCD; +668D : CDCDCDCD; +668E : CDCDCDCD; +668F : CDCDCDCD; +6690 : CDCDCDCD; +6691 : CDCDCDCD; +6692 : CDCDCDCD; +6693 : CDCDCDCD; +6694 : CDCDCDCD; +6695 : CDCDCDCD; +6696 : CDCDCDCD; +6697 : CDCDCDCD; +6698 : CDCDCDCD; +6699 : CDCDCDCD; +669A : CDCDCDCD; +669B : CDCDCDCD; +669C : CDCDCDCD; +669D : CDCDCDCD; +669E : CDCDCDCD; +669F : CDCDCDCD; +66A0 : CDCDCDCD; +66A1 : CDCDCDCD; +66A2 : CDCDCDCD; +66A3 : CDCDCDCD; +66A4 : CDCDCDCD; +66A5 : CDCDCDCD; +66A6 : CDCDCDCD; +66A7 : CDCDCDCD; +66A8 : CDCDCDCD; +66A9 : CDCDCDCD; +66AA : CDCDCDCD; +66AB : CDCDCDCD; +66AC : CDCDCDCD; +66AD : CDCDCDCD; +66AE : CDCDCDCD; +66AF : CDCDCDCD; +66B0 : CDCDCDCD; +66B1 : CDCDCDCD; +66B2 : CDCDCDCD; +66B3 : CDCDCDCD; +66B4 : CDCDCDCD; +66B5 : CDCDCDCD; +66B6 : CDCDCDCD; +66B7 : CDCDCDCD; +66B8 : CDCDCDCD; +66B9 : CDCDCDCD; +66BA : CDCDCDCD; +66BB : CDCDCDCD; +66BC : CDCDCDCD; +66BD : CDCDCDCD; +66BE : CDCDCDCD; +66BF : CDCDCDCD; +66C0 : CDCDCDCD; +66C1 : CDCDCDCD; +66C2 : CDCDCDCD; +66C3 : CDCDCDCD; +66C4 : CDCDCDCD; +66C5 : CDCDCDCD; +66C6 : CDCDCDCD; +66C7 : CDCDCDCD; +66C8 : CDCDCDCD; +66C9 : CDCDCDCD; +66CA : CDCDCDCD; +66CB : CDCDCDCD; +66CC : CDCDCDCD; +66CD : CDCDCDCD; +66CE : CDCDCDCD; +66CF : CDCDCDCD; +66D0 : CDCDCDCD; +66D1 : CDCDCDCD; +66D2 : CDCDCDCD; +66D3 : CDCDCDCD; +66D4 : CDCDCDCD; +66D5 : CDCDCDCD; +66D6 : CDCDCDCD; +66D7 : CDCDCDCD; +66D8 : CDCDCDCD; +66D9 : CDCDCDCD; +66DA : CDCDCDCD; +66DB : CDCDCDCD; +66DC : CDCDCDCD; +66DD : CDCDCDCD; +66DE : CDCDCDCD; +66DF : CDCDCDCD; +66E0 : CDCDCDCD; +66E1 : CDCDCDCD; +66E2 : CDCDCDCD; +66E3 : CDCDCDCD; +66E4 : CDCDCDCD; +66E5 : CDCDCDCD; +66E6 : CDCDCDCD; +66E7 : CDCDCDCD; +66E8 : CDCDCDCD; +66E9 : CDCDCDCD; +66EA : CDCDCDCD; +66EB : CDCDCDCD; +66EC : CDCDCDCD; +66ED : CDCDCDCD; +66EE : CDCDCDCD; +66EF : CDCDCDCD; +66F0 : CDCDCDCD; +66F1 : CDCDCDCD; +66F2 : CDCDCDCD; +66F3 : CDCDCDCD; +66F4 : CDCDCDCD; +66F5 : CDCDCDCD; +66F6 : CDCDCDCD; +66F7 : CDCDCDCD; +66F8 : CDCDCDCD; +66F9 : CDCDCDCD; +66FA : CDCDCDCD; +66FB : CDCDCDCD; +66FC : CDCDCDCD; +66FD : CDCDCDCD; +66FE : CDCDCDCD; +66FF : CDCDCDCD; +6700 : CDCDCDCD; +6701 : CDCDCDCD; +6702 : CDCDCDCD; +6703 : CDCDCDCD; +6704 : CDCDCDCD; +6705 : CDCDCDCD; +6706 : CDCDCDCD; +6707 : CDCDCDCD; +6708 : CDCDCDCD; +6709 : CDCDCDCD; +670A : CDCDCDCD; +670B : CDCDCDCD; +670C : CDCDCDCD; +670D : CDCDCDCD; +670E : CDCDCDCD; +670F : CDCDCDCD; +6710 : CDCDCDCD; +6711 : CDCDCDCD; +6712 : CDCDCDCD; +6713 : CDCDCDCD; +6714 : CDCDCDCD; +6715 : CDCDCDCD; +6716 : CDCDCDCD; +6717 : CDCDCDCD; +6718 : CDCDCDCD; +6719 : CDCDCDCD; +671A : CDCDCDCD; +671B : CDCDCDCD; +671C : CDCDCDCD; +671D : CDCDCDCD; +671E : CDCDCDCD; +671F : CDCDCDCD; +6720 : CDCDCDCD; +6721 : CDCDCDCD; +6722 : CDCDCDCD; +6723 : CDCDCDCD; +6724 : CDCDCDCD; +6725 : CDCDCDCD; +6726 : CDCDCDCD; +6727 : CDCDCDCD; +6728 : CDCDCDCD; +6729 : CDCDCDCD; +672A : CDCDCDCD; +672B : CDCDCDCD; +672C : CDCDCDCD; +672D : CDCDCDCD; +672E : CDCDCDCD; +672F : CDCDCDCD; +6730 : CDCDCDCD; +6731 : CDCDCDCD; +6732 : CDCDCDCD; +6733 : CDCDCDCD; +6734 : CDCDCDCD; +6735 : CDCDCDCD; +6736 : CDCDCDCD; +6737 : CDCDCDCD; +6738 : CDCDCDCD; +6739 : CDCDCDCD; +673A : CDCDCDCD; +673B : CDCDCDCD; +673C : CDCDCDCD; +673D : CDCDCDCD; +673E : CDCDCDCD; +673F : CDCDCDCD; +6740 : CDCDCDCD; +6741 : CDCDCDCD; +6742 : CDCDCDCD; +6743 : CDCDCDCD; +6744 : CDCDCDCD; +6745 : CDCDCDCD; +6746 : CDCDCDCD; +6747 : CDCDCDCD; +6748 : CDCDCDCD; +6749 : CDCDCDCD; +674A : CDCDCDCD; +674B : CDCDCDCD; +674C : CDCDCDCD; +674D : CDCDCDCD; +674E : CDCDCDCD; +674F : CDCDCDCD; +6750 : CDCDCDCD; +6751 : CDCDCDCD; +6752 : CDCDCDCD; +6753 : CDCDCDCD; +6754 : CDCDCDCD; +6755 : CDCDCDCD; +6756 : CDCDCDCD; +6757 : CDCDCDCD; +6758 : CDCDCDCD; +6759 : CDCDCDCD; +675A : CDCDCDCD; +675B : CDCDCDCD; +675C : CDCDCDCD; +675D : CDCDCDCD; +675E : CDCDCDCD; +675F : CDCDCDCD; +6760 : CDCDCDCD; +6761 : CDCDCDCD; +6762 : CDCDCDCD; +6763 : CDCDCDCD; +6764 : CDCDCDCD; +6765 : CDCDCDCD; +6766 : CDCDCDCD; +6767 : CDCDCDCD; +6768 : CDCDCDCD; +6769 : CDCDCDCD; +676A : CDCDCDCD; +676B : CDCDCDCD; +676C : CDCDCDCD; +676D : CDCDCDCD; +676E : CDCDCDCD; +676F : CDCDCDCD; +6770 : CDCDCDCD; +6771 : CDCDCDCD; +6772 : CDCDCDCD; +6773 : CDCDCDCD; +6774 : CDCDCDCD; +6775 : CDCDCDCD; +6776 : CDCDCDCD; +6777 : CDCDCDCD; +6778 : CDCDCDCD; +6779 : CDCDCDCD; +677A : CDCDCDCD; +677B : CDCDCDCD; +677C : CDCDCDCD; +677D : CDCDCDCD; +677E : CDCDCDCD; +677F : CDCDCDCD; +6780 : CDCDCDCD; +6781 : CDCDCDCD; +6782 : CDCDCDCD; +6783 : CDCDCDCD; +6784 : CDCDCDCD; +6785 : CDCDCDCD; +6786 : CDCDCDCD; +6787 : CDCDCDCD; +6788 : CDCDCDCD; +6789 : CDCDCDCD; +678A : CDCDCDCD; +678B : CDCDCDCD; +678C : CDCDCDCD; +678D : CDCDCDCD; +678E : CDCDCDCD; +678F : CDCDCDCD; +6790 : CDCDCDCD; +6791 : CDCDCDCD; +6792 : CDCDCDCD; +6793 : CDCDCDCD; +6794 : CDCDCDCD; +6795 : CDCDCDCD; +6796 : CDCDCDCD; +6797 : CDCDCDCD; +6798 : CDCDCDCD; +6799 : CDCDCDCD; +679A : CDCDCDCD; +679B : CDCDCDCD; +679C : CDCDCDCD; +679D : CDCDCDCD; +679E : CDCDCDCD; +679F : CDCDCDCD; +67A0 : CDCDCDCD; +67A1 : CDCDCDCD; +67A2 : CDCDCDCD; +67A3 : CDCDCDCD; +67A4 : CDCDCDCD; +67A5 : CDCDCDCD; +67A6 : CDCDCDCD; +67A7 : CDCDCDCD; +67A8 : CDCDCDCD; +67A9 : CDCDCDCD; +67AA : CDCDCDCD; +67AB : CDCDCDCD; +67AC : CDCDCDCD; +67AD : CDCDCDCD; +67AE : CDCDCDCD; +67AF : CDCDCDCD; +67B0 : CDCDCDCD; +67B1 : CDCDCDCD; +67B2 : CDCDCDCD; +67B3 : CDCDCDCD; +67B4 : CDCDCDCD; +67B5 : CDCDCDCD; +67B6 : CDCDCDCD; +67B7 : CDCDCDCD; +67B8 : CDCDCDCD; +67B9 : CDCDCDCD; +67BA : CDCDCDCD; +67BB : CDCDCDCD; +67BC : CDCDCDCD; +67BD : CDCDCDCD; +67BE : CDCDCDCD; +67BF : CDCDCDCD; +67C0 : CDCDCDCD; +67C1 : CDCDCDCD; +67C2 : CDCDCDCD; +67C3 : CDCDCDCD; +67C4 : CDCDCDCD; +67C5 : CDCDCDCD; +67C6 : CDCDCDCD; +67C7 : CDCDCDCD; +67C8 : CDCDCDCD; +67C9 : CDCDCDCD; +67CA : CDCDCDCD; +67CB : CDCDCDCD; +67CC : CDCDCDCD; +67CD : CDCDCDCD; +67CE : CDCDCDCD; +67CF : CDCDCDCD; +67D0 : CDCDCDCD; +67D1 : CDCDCDCD; +67D2 : CDCDCDCD; +67D3 : CDCDCDCD; +67D4 : CDCDCDCD; +67D5 : CDCDCDCD; +67D6 : CDCDCDCD; +67D7 : CDCDCDCD; +67D8 : CDCDCDCD; +67D9 : CDCDCDCD; +67DA : CDCDCDCD; +67DB : CDCDCDCD; +67DC : CDCDCDCD; +67DD : CDCDCDCD; +67DE : CDCDCDCD; +67DF : CDCDCDCD; +67E0 : CDCDCDCD; +67E1 : CDCDCDCD; +67E2 : CDCDCDCD; +67E3 : CDCDCDCD; +67E4 : CDCDCDCD; +67E5 : CDCDCDCD; +67E6 : CDCDCDCD; +67E7 : CDCDCDCD; +67E8 : CDCDCDCD; +67E9 : CDCDCDCD; +67EA : CDCDCDCD; +67EB : CDCDCDCD; +67EC : CDCDCDCD; +67ED : CDCDCDCD; +67EE : CDCDCDCD; +67EF : CDCDCDCD; +67F0 : CDCDCDCD; +67F1 : CDCDCDCD; +67F2 : CDCDCDCD; +67F3 : CDCDCDCD; +67F4 : CDCDCDCD; +67F5 : CDCDCDCD; +67F6 : CDCDCDCD; +67F7 : CDCDCDCD; +67F8 : CDCDCDCD; +67F9 : CDCDCDCD; +67FA : CDCDCDCD; +67FB : CDCDCDCD; +67FC : CDCDCDCD; +67FD : CDCDCDCD; +67FE : CDCDCDCD; +67FF : CDCDCDCD; +6800 : CDCDCDCD; +6801 : CDCDCDCD; +6802 : CDCDCDCD; +6803 : CDCDCDCD; +6804 : CDCDCDCD; +6805 : CDCDCDCD; +6806 : CDCDCDCD; +6807 : CDCDCDCD; +6808 : CDCDCDCD; +6809 : CDCDCDCD; +680A : CDCDCDCD; +680B : CDCDCDCD; +680C : CDCDCDCD; +680D : CDCDCDCD; +680E : CDCDCDCD; +680F : CDCDCDCD; +6810 : CDCDCDCD; +6811 : CDCDCDCD; +6812 : CDCDCDCD; +6813 : CDCDCDCD; +6814 : CDCDCDCD; +6815 : CDCDCDCD; +6816 : CDCDCDCD; +6817 : CDCDCDCD; +6818 : CDCDCDCD; +6819 : CDCDCDCD; +681A : CDCDCDCD; +681B : CDCDCDCD; +681C : CDCDCDCD; +681D : CDCDCDCD; +681E : CDCDCDCD; +681F : CDCDCDCD; +6820 : CDCDCDCD; +6821 : CDCDCDCD; +6822 : CDCDCDCD; +6823 : CDCDCDCD; +6824 : CDCDCDCD; +6825 : CDCDCDCD; +6826 : CDCDCDCD; +6827 : CDCDCDCD; +6828 : CDCDCDCD; +6829 : CDCDCDCD; +682A : CDCDCDCD; +682B : CDCDCDCD; +682C : CDCDCDCD; +682D : CDCDCDCD; +682E : CDCDCDCD; +682F : CDCDCDCD; +6830 : CDCDCDCD; +6831 : CDCDCDCD; +6832 : CDCDCDCD; +6833 : CDCDCDCD; +6834 : CDCDCDCD; +6835 : CDCDCDCD; +6836 : CDCDCDCD; +6837 : CDCDCDCD; +6838 : CDCDCDCD; +6839 : CDCDCDCD; +683A : CDCDCDCD; +683B : CDCDCDCD; +683C : CDCDCDCD; +683D : CDCDCDCD; +683E : CDCDCDCD; +683F : CDCDCDCD; +6840 : CDCDCDCD; +6841 : CDCDCDCD; +6842 : CDCDCDCD; +6843 : CDCDCDCD; +6844 : CDCDCDCD; +6845 : CDCDCDCD; +6846 : CDCDCDCD; +6847 : CDCDCDCD; +6848 : CDCDCDCD; +6849 : CDCDCDCD; +684A : CDCDCDCD; +684B : CDCDCDCD; +684C : CDCDCDCD; +684D : CDCDCDCD; +684E : CDCDCDCD; +684F : CDCDCDCD; +6850 : CDCDCDCD; +6851 : CDCDCDCD; +6852 : CDCDCDCD; +6853 : CDCDCDCD; +6854 : CDCDCDCD; +6855 : CDCDCDCD; +6856 : CDCDCDCD; +6857 : CDCDCDCD; +6858 : CDCDCDCD; +6859 : CDCDCDCD; +685A : CDCDCDCD; +685B : CDCDCDCD; +685C : CDCDCDCD; +685D : CDCDCDCD; +685E : CDCDCDCD; +685F : CDCDCDCD; +6860 : CDCDCDCD; +6861 : CDCDCDCD; +6862 : CDCDCDCD; +6863 : CDCDCDCD; +6864 : CDCDCDCD; +6865 : CDCDCDCD; +6866 : CDCDCDCD; +6867 : CDCDCDCD; +6868 : CDCDCDCD; +6869 : CDCDCDCD; +686A : CDCDCDCD; +686B : CDCDCDCD; +686C : CDCDCDCD; +686D : CDCDCDCD; +686E : CDCDCDCD; +686F : CDCDCDCD; +6870 : CDCDCDCD; +6871 : CDCDCDCD; +6872 : CDCDCDCD; +6873 : CDCDCDCD; +6874 : CDCDCDCD; +6875 : CDCDCDCD; +6876 : CDCDCDCD; +6877 : CDCDCDCD; +6878 : CDCDCDCD; +6879 : CDCDCDCD; +687A : CDCDCDCD; +687B : CDCDCDCD; +687C : CDCDCDCD; +687D : CDCDCDCD; +687E : CDCDCDCD; +687F : CDCDCDCD; +6880 : CDCDCDCD; +6881 : CDCDCDCD; +6882 : CDCDCDCD; +6883 : CDCDCDCD; +6884 : CDCDCDCD; +6885 : CDCDCDCD; +6886 : CDCDCDCD; +6887 : CDCDCDCD; +6888 : CDCDCDCD; +6889 : CDCDCDCD; +688A : CDCDCDCD; +688B : CDCDCDCD; +688C : CDCDCDCD; +688D : CDCDCDCD; +688E : CDCDCDCD; +688F : CDCDCDCD; +6890 : CDCDCDCD; +6891 : CDCDCDCD; +6892 : CDCDCDCD; +6893 : CDCDCDCD; +6894 : CDCDCDCD; +6895 : CDCDCDCD; +6896 : CDCDCDCD; +6897 : CDCDCDCD; +6898 : CDCDCDCD; +6899 : CDCDCDCD; +689A : CDCDCDCD; +689B : CDCDCDCD; +689C : CDCDCDCD; +689D : CDCDCDCD; +689E : CDCDCDCD; +689F : CDCDCDCD; +68A0 : CDCDCDCD; +68A1 : CDCDCDCD; +68A2 : CDCDCDCD; +68A3 : CDCDCDCD; +68A4 : CDCDCDCD; +68A5 : CDCDCDCD; +68A6 : CDCDCDCD; +68A7 : CDCDCDCD; +68A8 : CDCDCDCD; +68A9 : CDCDCDCD; +68AA : CDCDCDCD; +68AB : CDCDCDCD; +68AC : CDCDCDCD; +68AD : CDCDCDCD; +68AE : CDCDCDCD; +68AF : CDCDCDCD; +68B0 : CDCDCDCD; +68B1 : CDCDCDCD; +68B2 : CDCDCDCD; +68B3 : CDCDCDCD; +68B4 : CDCDCDCD; +68B5 : CDCDCDCD; +68B6 : CDCDCDCD; +68B7 : CDCDCDCD; +68B8 : CDCDCDCD; +68B9 : CDCDCDCD; +68BA : CDCDCDCD; +68BB : CDCDCDCD; +68BC : CDCDCDCD; +68BD : CDCDCDCD; +68BE : CDCDCDCD; +68BF : CDCDCDCD; +68C0 : CDCDCDCD; +68C1 : CDCDCDCD; +68C2 : CDCDCDCD; +68C3 : CDCDCDCD; +68C4 : CDCDCDCD; +68C5 : CDCDCDCD; +68C6 : CDCDCDCD; +68C7 : CDCDCDCD; +68C8 : CDCDCDCD; +68C9 : CDCDCDCD; +68CA : CDCDCDCD; +68CB : CDCDCDCD; +68CC : CDCDCDCD; +68CD : CDCDCDCD; +68CE : CDCDCDCD; +68CF : CDCDCDCD; +68D0 : CDCDCDCD; +68D1 : CDCDCDCD; +68D2 : CDCDCDCD; +68D3 : CDCDCDCD; +68D4 : CDCDCDCD; +68D5 : CDCDCDCD; +68D6 : CDCDCDCD; +68D7 : CDCDCDCD; +68D8 : CDCDCDCD; +68D9 : CDCDCDCD; +68DA : CDCDCDCD; +68DB : CDCDCDCD; +68DC : CDCDCDCD; +68DD : CDCDCDCD; +68DE : CDCDCDCD; +68DF : CDCDCDCD; +68E0 : CDCDCDCD; +68E1 : CDCDCDCD; +68E2 : CDCDCDCD; +68E3 : CDCDCDCD; +68E4 : CDCDCDCD; +68E5 : CDCDCDCD; +68E6 : CDCDCDCD; +68E7 : CDCDCDCD; +68E8 : CDCDCDCD; +68E9 : CDCDCDCD; +68EA : CDCDCDCD; +68EB : CDCDCDCD; +68EC : CDCDCDCD; +68ED : CDCDCDCD; +68EE : CDCDCDCD; +68EF : CDCDCDCD; +68F0 : CDCDCDCD; +68F1 : CDCDCDCD; +68F2 : CDCDCDCD; +68F3 : CDCDCDCD; +68F4 : CDCDCDCD; +68F5 : CDCDCDCD; +68F6 : CDCDCDCD; +68F7 : CDCDCDCD; +68F8 : CDCDCDCD; +68F9 : CDCDCDCD; +68FA : CDCDCDCD; +68FB : CDCDCDCD; +68FC : CDCDCDCD; +68FD : CDCDCDCD; +68FE : CDCDCDCD; +68FF : CDCDCDCD; +6900 : CDCDCDCD; +6901 : CDCDCDCD; +6902 : CDCDCDCD; +6903 : CDCDCDCD; +6904 : CDCDCDCD; +6905 : CDCDCDCD; +6906 : CDCDCDCD; +6907 : CDCDCDCD; +6908 : CDCDCDCD; +6909 : CDCDCDCD; +690A : CDCDCDCD; +690B : CDCDCDCD; +690C : CDCDCDCD; +690D : CDCDCDCD; +690E : CDCDCDCD; +690F : CDCDCDCD; +6910 : CDCDCDCD; +6911 : CDCDCDCD; +6912 : CDCDCDCD; +6913 : CDCDCDCD; +6914 : CDCDCDCD; +6915 : CDCDCDCD; +6916 : CDCDCDCD; +6917 : CDCDCDCD; +6918 : CDCDCDCD; +6919 : CDCDCDCD; +691A : CDCDCDCD; +691B : CDCDCDCD; +691C : CDCDCDCD; +691D : CDCDCDCD; +691E : CDCDCDCD; +691F : CDCDCDCD; +6920 : CDCDCDCD; +6921 : CDCDCDCD; +6922 : CDCDCDCD; +6923 : CDCDCDCD; +6924 : CDCDCDCD; +6925 : CDCDCDCD; +6926 : CDCDCDCD; +6927 : CDCDCDCD; +6928 : CDCDCDCD; +6929 : CDCDCDCD; +692A : CDCDCDCD; +692B : CDCDCDCD; +692C : CDCDCDCD; +692D : CDCDCDCD; +692E : CDCDCDCD; +692F : CDCDCDCD; +6930 : CDCDCDCD; +6931 : CDCDCDCD; +6932 : CDCDCDCD; +6933 : CDCDCDCD; +6934 : CDCDCDCD; +6935 : CDCDCDCD; +6936 : CDCDCDCD; +6937 : CDCDCDCD; +6938 : CDCDCDCD; +6939 : CDCDCDCD; +693A : CDCDCDCD; +693B : CDCDCDCD; +693C : CDCDCDCD; +693D : CDCDCDCD; +693E : CDCDCDCD; +693F : CDCDCDCD; +6940 : CDCDCDCD; +6941 : CDCDCDCD; +6942 : CDCDCDCD; +6943 : CDCDCDCD; +6944 : CDCDCDCD; +6945 : CDCDCDCD; +6946 : CDCDCDCD; +6947 : CDCDCDCD; +6948 : CDCDCDCD; +6949 : CDCDCDCD; +694A : CDCDCDCD; +694B : CDCDCDCD; +694C : CDCDCDCD; +694D : CDCDCDCD; +694E : CDCDCDCD; +694F : CDCDCDCD; +6950 : CDCDCDCD; +6951 : CDCDCDCD; +6952 : CDCDCDCD; +6953 : CDCDCDCD; +6954 : CDCDCDCD; +6955 : CDCDCDCD; +6956 : CDCDCDCD; +6957 : CDCDCDCD; +6958 : CDCDCDCD; +6959 : CDCDCDCD; +695A : CDCDCDCD; +695B : CDCDCDCD; +695C : CDCDCDCD; +695D : CDCDCDCD; +695E : CDCDCDCD; +695F : CDCDCDCD; +6960 : CDCDCDCD; +6961 : CDCDCDCD; +6962 : CDCDCDCD; +6963 : CDCDCDCD; +6964 : CDCDCDCD; +6965 : CDCDCDCD; +6966 : CDCDCDCD; +6967 : CDCDCDCD; +6968 : CDCDCDCD; +6969 : CDCDCDCD; +696A : CDCDCDCD; +696B : CDCDCDCD; +696C : CDCDCDCD; +696D : CDCDCDCD; +696E : CDCDCDCD; +696F : CDCDCDCD; +6970 : CDCDCDCD; +6971 : CDCDCDCD; +6972 : CDCDCDCD; +6973 : CDCDCDCD; +6974 : CDCDCDCD; +6975 : CDCDCDCD; +6976 : CDCDCDCD; +6977 : CDCDCDCD; +6978 : CDCDCDCD; +6979 : CDCDCDCD; +697A : CDCDCDCD; +697B : CDCDCDCD; +697C : CDCDCDCD; +697D : CDCDCDCD; +697E : CDCDCDCD; +697F : CDCDCDCD; +6980 : CDCDCDCD; +6981 : CDCDCDCD; +6982 : CDCDCDCD; +6983 : CDCDCDCD; +6984 : CDCDCDCD; +6985 : CDCDCDCD; +6986 : CDCDCDCD; +6987 : CDCDCDCD; +6988 : CDCDCDCD; +6989 : CDCDCDCD; +698A : CDCDCDCD; +698B : CDCDCDCD; +698C : CDCDCDCD; +698D : CDCDCDCD; +698E : CDCDCDCD; +698F : CDCDCDCD; +6990 : CDCDCDCD; +6991 : CDCDCDCD; +6992 : CDCDCDCD; +6993 : CDCDCDCD; +6994 : CDCDCDCD; +6995 : CDCDCDCD; +6996 : CDCDCDCD; +6997 : CDCDCDCD; +6998 : CDCDCDCD; +6999 : CDCDCDCD; +699A : CDCDCDCD; +699B : CDCDCDCD; +699C : CDCDCDCD; +699D : CDCDCDCD; +699E : CDCDCDCD; +699F : CDCDCDCD; +69A0 : CDCDCDCD; +69A1 : CDCDCDCD; +69A2 : CDCDCDCD; +69A3 : CDCDCDCD; +69A4 : CDCDCDCD; +69A5 : CDCDCDCD; +69A6 : CDCDCDCD; +69A7 : CDCDCDCD; +69A8 : CDCDCDCD; +69A9 : CDCDCDCD; +69AA : CDCDCDCD; +69AB : CDCDCDCD; +69AC : CDCDCDCD; +69AD : CDCDCDCD; +69AE : CDCDCDCD; +69AF : CDCDCDCD; +69B0 : CDCDCDCD; +69B1 : CDCDCDCD; +69B2 : CDCDCDCD; +69B3 : CDCDCDCD; +69B4 : CDCDCDCD; +69B5 : CDCDCDCD; +69B6 : CDCDCDCD; +69B7 : CDCDCDCD; +69B8 : CDCDCDCD; +69B9 : CDCDCDCD; +69BA : CDCDCDCD; +69BB : CDCDCDCD; +69BC : CDCDCDCD; +69BD : CDCDCDCD; +69BE : CDCDCDCD; +69BF : CDCDCDCD; +69C0 : CDCDCDCD; +69C1 : CDCDCDCD; +69C2 : CDCDCDCD; +69C3 : CDCDCDCD; +69C4 : CDCDCDCD; +69C5 : CDCDCDCD; +69C6 : CDCDCDCD; +69C7 : CDCDCDCD; +69C8 : CDCDCDCD; +69C9 : CDCDCDCD; +69CA : CDCDCDCD; +69CB : CDCDCDCD; +69CC : CDCDCDCD; +69CD : CDCDCDCD; +69CE : CDCDCDCD; +69CF : CDCDCDCD; +69D0 : CDCDCDCD; +69D1 : CDCDCDCD; +69D2 : CDCDCDCD; +69D3 : CDCDCDCD; +69D4 : CDCDCDCD; +69D5 : CDCDCDCD; +69D6 : CDCDCDCD; +69D7 : CDCDCDCD; +69D8 : CDCDCDCD; +69D9 : CDCDCDCD; +69DA : CDCDCDCD; +69DB : CDCDCDCD; +69DC : CDCDCDCD; +69DD : CDCDCDCD; +69DE : CDCDCDCD; +69DF : CDCDCDCD; +69E0 : CDCDCDCD; +69E1 : CDCDCDCD; +69E2 : CDCDCDCD; +69E3 : CDCDCDCD; +69E4 : CDCDCDCD; +69E5 : CDCDCDCD; +69E6 : CDCDCDCD; +69E7 : CDCDCDCD; +69E8 : CDCDCDCD; +69E9 : CDCDCDCD; +69EA : CDCDCDCD; +69EB : CDCDCDCD; +69EC : CDCDCDCD; +69ED : CDCDCDCD; +69EE : CDCDCDCD; +69EF : CDCDCDCD; +69F0 : CDCDCDCD; +69F1 : CDCDCDCD; +69F2 : CDCDCDCD; +69F3 : CDCDCDCD; +69F4 : CDCDCDCD; +69F5 : CDCDCDCD; +69F6 : CDCDCDCD; +69F7 : CDCDCDCD; +69F8 : CDCDCDCD; +69F9 : CDCDCDCD; +69FA : CDCDCDCD; +69FB : CDCDCDCD; +69FC : CDCDCDCD; +69FD : CDCDCDCD; +69FE : CDCDCDCD; +69FF : CDCDCDCD; +6A00 : CDCDCDCD; +6A01 : CDCDCDCD; +6A02 : CDCDCDCD; +6A03 : CDCDCDCD; +6A04 : CDCDCDCD; +6A05 : CDCDCDCD; +6A06 : CDCDCDCD; +6A07 : CDCDCDCD; +6A08 : CDCDCDCD; +6A09 : CDCDCDCD; +6A0A : CDCDCDCD; +6A0B : CDCDCDCD; +6A0C : CDCDCDCD; +6A0D : CDCDCDCD; +6A0E : CDCDCDCD; +6A0F : CDCDCDCD; +6A10 : CDCDCDCD; +6A11 : CDCDCDCD; +6A12 : CDCDCDCD; +6A13 : CDCDCDCD; +6A14 : CDCDCDCD; +6A15 : CDCDCDCD; +6A16 : CDCDCDCD; +6A17 : CDCDCDCD; +6A18 : CDCDCDCD; +6A19 : CDCDCDCD; +6A1A : CDCDCDCD; +6A1B : CDCDCDCD; +6A1C : CDCDCDCD; +6A1D : CDCDCDCD; +6A1E : CDCDCDCD; +6A1F : CDCDCDCD; +6A20 : CDCDCDCD; +6A21 : CDCDCDCD; +6A22 : CDCDCDCD; +6A23 : CDCDCDCD; +6A24 : CDCDCDCD; +6A25 : CDCDCDCD; +6A26 : CDCDCDCD; +6A27 : CDCDCDCD; +6A28 : CDCDCDCD; +6A29 : CDCDCDCD; +6A2A : CDCDCDCD; +6A2B : CDCDCDCD; +6A2C : CDCDCDCD; +6A2D : CDCDCDCD; +6A2E : CDCDCDCD; +6A2F : CDCDCDCD; +6A30 : CDCDCDCD; +6A31 : CDCDCDCD; +6A32 : CDCDCDCD; +6A33 : CDCDCDCD; +6A34 : CDCDCDCD; +6A35 : CDCDCDCD; +6A36 : CDCDCDCD; +6A37 : CDCDCDCD; +6A38 : CDCDCDCD; +6A39 : CDCDCDCD; +6A3A : CDCDCDCD; +6A3B : CDCDCDCD; +6A3C : CDCDCDCD; +6A3D : CDCDCDCD; +6A3E : CDCDCDCD; +6A3F : CDCDCDCD; +6A40 : CDCDCDCD; +6A41 : CDCDCDCD; +6A42 : CDCDCDCD; +6A43 : CDCDCDCD; +6A44 : CDCDCDCD; +6A45 : CDCDCDCD; +6A46 : CDCDCDCD; +6A47 : CDCDCDCD; +6A48 : CDCDCDCD; +6A49 : CDCDCDCD; +6A4A : CDCDCDCD; +6A4B : CDCDCDCD; +6A4C : CDCDCDCD; +6A4D : CDCDCDCD; +6A4E : CDCDCDCD; +6A4F : CDCDCDCD; +6A50 : CDCDCDCD; +6A51 : CDCDCDCD; +6A52 : CDCDCDCD; +6A53 : CDCDCDCD; +6A54 : CDCDCDCD; +6A55 : CDCDCDCD; +6A56 : CDCDCDCD; +6A57 : CDCDCDCD; +6A58 : CDCDCDCD; +6A59 : CDCDCDCD; +6A5A : CDCDCDCD; +6A5B : CDCDCDCD; +6A5C : CDCDCDCD; +6A5D : CDCDCDCD; +6A5E : CDCDCDCD; +6A5F : CDCDCDCD; +6A60 : CDCDCDCD; +6A61 : CDCDCDCD; +6A62 : CDCDCDCD; +6A63 : CDCDCDCD; +6A64 : CDCDCDCD; +6A65 : CDCDCDCD; +6A66 : CDCDCDCD; +6A67 : CDCDCDCD; +6A68 : CDCDCDCD; +6A69 : CDCDCDCD; +6A6A : CDCDCDCD; +6A6B : CDCDCDCD; +6A6C : CDCDCDCD; +6A6D : CDCDCDCD; +6A6E : CDCDCDCD; +6A6F : CDCDCDCD; +6A70 : CDCDCDCD; +6A71 : CDCDCDCD; +6A72 : CDCDCDCD; +6A73 : CDCDCDCD; +6A74 : CDCDCDCD; +6A75 : CDCDCDCD; +6A76 : CDCDCDCD; +6A77 : CDCDCDCD; +6A78 : CDCDCDCD; +6A79 : CDCDCDCD; +6A7A : CDCDCDCD; +6A7B : CDCDCDCD; +6A7C : CDCDCDCD; +6A7D : CDCDCDCD; +6A7E : CDCDCDCD; +6A7F : CDCDCDCD; +6A80 : CDCDCDCD; +6A81 : CDCDCDCD; +6A82 : CDCDCDCD; +6A83 : CDCDCDCD; +6A84 : CDCDCDCD; +6A85 : CDCDCDCD; +6A86 : CDCDCDCD; +6A87 : CDCDCDCD; +6A88 : CDCDCDCD; +6A89 : CDCDCDCD; +6A8A : CDCDCDCD; +6A8B : CDCDCDCD; +6A8C : CDCDCDCD; +6A8D : CDCDCDCD; +6A8E : CDCDCDCD; +6A8F : CDCDCDCD; +6A90 : CDCDCDCD; +6A91 : CDCDCDCD; +6A92 : CDCDCDCD; +6A93 : CDCDCDCD; +6A94 : CDCDCDCD; +6A95 : CDCDCDCD; +6A96 : CDCDCDCD; +6A97 : CDCDCDCD; +6A98 : CDCDCDCD; +6A99 : CDCDCDCD; +6A9A : CDCDCDCD; +6A9B : CDCDCDCD; +6A9C : CDCDCDCD; +6A9D : CDCDCDCD; +6A9E : CDCDCDCD; +6A9F : CDCDCDCD; +6AA0 : CDCDCDCD; +6AA1 : CDCDCDCD; +6AA2 : CDCDCDCD; +6AA3 : CDCDCDCD; +6AA4 : CDCDCDCD; +6AA5 : CDCDCDCD; +6AA6 : CDCDCDCD; +6AA7 : CDCDCDCD; +6AA8 : CDCDCDCD; +6AA9 : CDCDCDCD; +6AAA : CDCDCDCD; +6AAB : CDCDCDCD; +6AAC : CDCDCDCD; +6AAD : CDCDCDCD; +6AAE : CDCDCDCD; +6AAF : CDCDCDCD; +6AB0 : CDCDCDCD; +6AB1 : CDCDCDCD; +6AB2 : CDCDCDCD; +6AB3 : CDCDCDCD; +6AB4 : CDCDCDCD; +6AB5 : CDCDCDCD; +6AB6 : CDCDCDCD; +6AB7 : CDCDCDCD; +6AB8 : CDCDCDCD; +6AB9 : CDCDCDCD; +6ABA : CDCDCDCD; +6ABB : CDCDCDCD; +6ABC : CDCDCDCD; +6ABD : CDCDCDCD; +6ABE : CDCDCDCD; +6ABF : CDCDCDCD; +6AC0 : CDCDCDCD; +6AC1 : CDCDCDCD; +6AC2 : CDCDCDCD; +6AC3 : CDCDCDCD; +6AC4 : CDCDCDCD; +6AC5 : CDCDCDCD; +6AC6 : CDCDCDCD; +6AC7 : CDCDCDCD; +6AC8 : CDCDCDCD; +6AC9 : CDCDCDCD; +6ACA : CDCDCDCD; +6ACB : CDCDCDCD; +6ACC : CDCDCDCD; +6ACD : CDCDCDCD; +6ACE : CDCDCDCD; +6ACF : CDCDCDCD; +6AD0 : CDCDCDCD; +6AD1 : CDCDCDCD; +6AD2 : CDCDCDCD; +6AD3 : CDCDCDCD; +6AD4 : CDCDCDCD; +6AD5 : CDCDCDCD; +6AD6 : CDCDCDCD; +6AD7 : CDCDCDCD; +6AD8 : CDCDCDCD; +6AD9 : CDCDCDCD; +6ADA : CDCDCDCD; +6ADB : CDCDCDCD; +6ADC : CDCDCDCD; +6ADD : CDCDCDCD; +6ADE : CDCDCDCD; +6ADF : CDCDCDCD; +6AE0 : CDCDCDCD; +6AE1 : CDCDCDCD; +6AE2 : CDCDCDCD; +6AE3 : CDCDCDCD; +6AE4 : CDCDCDCD; +6AE5 : CDCDCDCD; +6AE6 : CDCDCDCD; +6AE7 : CDCDCDCD; +6AE8 : CDCDCDCD; +6AE9 : CDCDCDCD; +6AEA : CDCDCDCD; +6AEB : CDCDCDCD; +6AEC : CDCDCDCD; +6AED : CDCDCDCD; +6AEE : CDCDCDCD; +6AEF : CDCDCDCD; +6AF0 : CDCDCDCD; +6AF1 : CDCDCDCD; +6AF2 : CDCDCDCD; +6AF3 : CDCDCDCD; +6AF4 : CDCDCDCD; +6AF5 : CDCDCDCD; +6AF6 : CDCDCDCD; +6AF7 : CDCDCDCD; +6AF8 : CDCDCDCD; +6AF9 : CDCDCDCD; +6AFA : CDCDCDCD; +6AFB : CDCDCDCD; +6AFC : CDCDCDCD; +6AFD : CDCDCDCD; +6AFE : CDCDCDCD; +6AFF : CDCDCDCD; +6B00 : CDCDCDCD; +6B01 : CDCDCDCD; +6B02 : CDCDCDCD; +6B03 : CDCDCDCD; +6B04 : CDCDCDCD; +6B05 : CDCDCDCD; +6B06 : CDCDCDCD; +6B07 : CDCDCDCD; +6B08 : CDCDCDCD; +6B09 : CDCDCDCD; +6B0A : CDCDCDCD; +6B0B : CDCDCDCD; +6B0C : CDCDCDCD; +6B0D : CDCDCDCD; +6B0E : CDCDCDCD; +6B0F : CDCDCDCD; +6B10 : CDCDCDCD; +6B11 : CDCDCDCD; +6B12 : CDCDCDCD; +6B13 : CDCDCDCD; +6B14 : CDCDCDCD; +6B15 : CDCDCDCD; +6B16 : CDCDCDCD; +6B17 : CDCDCDCD; +6B18 : CDCDCDCD; +6B19 : CDCDCDCD; +6B1A : CDCDCDCD; +6B1B : CDCDCDCD; +6B1C : CDCDCDCD; +6B1D : CDCDCDCD; +6B1E : CDCDCDCD; +6B1F : CDCDCDCD; +6B20 : CDCDCDCD; +6B21 : CDCDCDCD; +6B22 : CDCDCDCD; +6B23 : CDCDCDCD; +6B24 : CDCDCDCD; +6B25 : CDCDCDCD; +6B26 : CDCDCDCD; +6B27 : CDCDCDCD; +6B28 : CDCDCDCD; +6B29 : CDCDCDCD; +6B2A : CDCDCDCD; +6B2B : CDCDCDCD; +6B2C : CDCDCDCD; +6B2D : CDCDCDCD; +6B2E : CDCDCDCD; +6B2F : CDCDCDCD; +6B30 : CDCDCDCD; +6B31 : CDCDCDCD; +6B32 : CDCDCDCD; +6B33 : CDCDCDCD; +6B34 : CDCDCDCD; +6B35 : CDCDCDCD; +6B36 : CDCDCDCD; +6B37 : CDCDCDCD; +6B38 : CDCDCDCD; +6B39 : CDCDCDCD; +6B3A : CDCDCDCD; +6B3B : CDCDCDCD; +6B3C : CDCDCDCD; +6B3D : CDCDCDCD; +6B3E : CDCDCDCD; +6B3F : CDCDCDCD; +6B40 : CDCDCDCD; +6B41 : CDCDCDCD; +6B42 : CDCDCDCD; +6B43 : CDCDCDCD; +6B44 : CDCDCDCD; +6B45 : CDCDCDCD; +6B46 : CDCDCDCD; +6B47 : CDCDCDCD; +6B48 : CDCDCDCD; +6B49 : CDCDCDCD; +6B4A : CDCDCDCD; +6B4B : CDCDCDCD; +6B4C : CDCDCDCD; +6B4D : CDCDCDCD; +6B4E : CDCDCDCD; +6B4F : CDCDCDCD; +6B50 : CDCDCDCD; +6B51 : CDCDCDCD; +6B52 : CDCDCDCD; +6B53 : CDCDCDCD; +6B54 : CDCDCDCD; +6B55 : CDCDCDCD; +6B56 : CDCDCDCD; +6B57 : CDCDCDCD; +6B58 : CDCDCDCD; +6B59 : CDCDCDCD; +6B5A : CDCDCDCD; +6B5B : CDCDCDCD; +6B5C : CDCDCDCD; +6B5D : CDCDCDCD; +6B5E : CDCDCDCD; +6B5F : CDCDCDCD; +6B60 : CDCDCDCD; +6B61 : CDCDCDCD; +6B62 : CDCDCDCD; +6B63 : CDCDCDCD; +6B64 : CDCDCDCD; +6B65 : CDCDCDCD; +6B66 : CDCDCDCD; +6B67 : CDCDCDCD; +6B68 : CDCDCDCD; +6B69 : CDCDCDCD; +6B6A : CDCDCDCD; +6B6B : CDCDCDCD; +6B6C : CDCDCDCD; +6B6D : CDCDCDCD; +6B6E : CDCDCDCD; +6B6F : CDCDCDCD; +6B70 : CDCDCDCD; +6B71 : CDCDCDCD; +6B72 : CDCDCDCD; +6B73 : CDCDCDCD; +6B74 : CDCDCDCD; +6B75 : CDCDCDCD; +6B76 : CDCDCDCD; +6B77 : CDCDCDCD; +6B78 : CDCDCDCD; +6B79 : CDCDCDCD; +6B7A : CDCDCDCD; +6B7B : CDCDCDCD; +6B7C : CDCDCDCD; +6B7D : CDCDCDCD; +6B7E : CDCDCDCD; +6B7F : CDCDCDCD; +6B80 : CDCDCDCD; +6B81 : CDCDCDCD; +6B82 : CDCDCDCD; +6B83 : CDCDCDCD; +6B84 : CDCDCDCD; +6B85 : CDCDCDCD; +6B86 : CDCDCDCD; +6B87 : CDCDCDCD; +6B88 : CDCDCDCD; +6B89 : CDCDCDCD; +6B8A : CDCDCDCD; +6B8B : CDCDCDCD; +6B8C : CDCDCDCD; +6B8D : CDCDCDCD; +6B8E : CDCDCDCD; +6B8F : CDCDCDCD; +6B90 : CDCDCDCD; +6B91 : CDCDCDCD; +6B92 : CDCDCDCD; +6B93 : CDCDCDCD; +6B94 : CDCDCDCD; +6B95 : CDCDCDCD; +6B96 : CDCDCDCD; +6B97 : CDCDCDCD; +6B98 : CDCDCDCD; +6B99 : CDCDCDCD; +6B9A : CDCDCDCD; +6B9B : CDCDCDCD; +6B9C : CDCDCDCD; +6B9D : CDCDCDCD; +6B9E : CDCDCDCD; +6B9F : CDCDCDCD; +6BA0 : CDCDCDCD; +6BA1 : CDCDCDCD; +6BA2 : CDCDCDCD; +6BA3 : CDCDCDCD; +6BA4 : CDCDCDCD; +6BA5 : CDCDCDCD; +6BA6 : CDCDCDCD; +6BA7 : CDCDCDCD; +6BA8 : CDCDCDCD; +6BA9 : CDCDCDCD; +6BAA : CDCDCDCD; +6BAB : CDCDCDCD; +6BAC : CDCDCDCD; +6BAD : CDCDCDCD; +6BAE : CDCDCDCD; +6BAF : CDCDCDCD; +6BB0 : CDCDCDCD; +6BB1 : CDCDCDCD; +6BB2 : CDCDCDCD; +6BB3 : CDCDCDCD; +6BB4 : CDCDCDCD; +6BB5 : CDCDCDCD; +6BB6 : CDCDCDCD; +6BB7 : CDCDCDCD; +6BB8 : CDCDCDCD; +6BB9 : CDCDCDCD; +6BBA : CDCDCDCD; +6BBB : CDCDCDCD; +6BBC : CDCDCDCD; +6BBD : CDCDCDCD; +6BBE : CDCDCDCD; +6BBF : CDCDCDCD; +6BC0 : CDCDCDCD; +6BC1 : CDCDCDCD; +6BC2 : CDCDCDCD; +6BC3 : CDCDCDCD; +6BC4 : CDCDCDCD; +6BC5 : CDCDCDCD; +6BC6 : CDCDCDCD; +6BC7 : CDCDCDCD; +6BC8 : CDCDCDCD; +6BC9 : CDCDCDCD; +6BCA : CDCDCDCD; +6BCB : CDCDCDCD; +6BCC : CDCDCDCD; +6BCD : CDCDCDCD; +6BCE : CDCDCDCD; +6BCF : CDCDCDCD; +6BD0 : CDCDCDCD; +6BD1 : CDCDCDCD; +6BD2 : CDCDCDCD; +6BD3 : CDCDCDCD; +6BD4 : CDCDCDCD; +6BD5 : CDCDCDCD; +6BD6 : CDCDCDCD; +6BD7 : CDCDCDCD; +6BD8 : CDCDCDCD; +6BD9 : CDCDCDCD; +6BDA : CDCDCDCD; +6BDB : CDCDCDCD; +6BDC : CDCDCDCD; +6BDD : CDCDCDCD; +6BDE : CDCDCDCD; +6BDF : CDCDCDCD; +6BE0 : CDCDCDCD; +6BE1 : CDCDCDCD; +6BE2 : CDCDCDCD; +6BE3 : CDCDCDCD; +6BE4 : CDCDCDCD; +6BE5 : CDCDCDCD; +6BE6 : CDCDCDCD; +6BE7 : CDCDCDCD; +6BE8 : CDCDCDCD; +6BE9 : CDCDCDCD; +6BEA : CDCDCDCD; +6BEB : CDCDCDCD; +6BEC : CDCDCDCD; +6BED : CDCDCDCD; +6BEE : CDCDCDCD; +6BEF : CDCDCDCD; +6BF0 : CDCDCDCD; +6BF1 : CDCDCDCD; +6BF2 : CDCDCDCD; +6BF3 : CDCDCDCD; +6BF4 : CDCDCDCD; +6BF5 : CDCDCDCD; +6BF6 : CDCDCDCD; +6BF7 : CDCDCDCD; +6BF8 : CDCDCDCD; +6BF9 : CDCDCDCD; +6BFA : CDCDCDCD; +6BFB : CDCDCDCD; +6BFC : CDCDCDCD; +6BFD : CDCDCDCD; +6BFE : CDCDCDCD; +6BFF : CDCDCDCD; +6C00 : CDCDCDCD; +6C01 : CDCDCDCD; +6C02 : CDCDCDCD; +6C03 : CDCDCDCD; +6C04 : CDCDCDCD; +6C05 : CDCDCDCD; +6C06 : CDCDCDCD; +6C07 : CDCDCDCD; +6C08 : CDCDCDCD; +6C09 : CDCDCDCD; +6C0A : CDCDCDCD; +6C0B : CDCDCDCD; +6C0C : CDCDCDCD; +6C0D : CDCDCDCD; +6C0E : CDCDCDCD; +6C0F : CDCDCDCD; +6C10 : CDCDCDCD; +6C11 : CDCDCDCD; +6C12 : CDCDCDCD; +6C13 : CDCDCDCD; +6C14 : CDCDCDCD; +6C15 : CDCDCDCD; +6C16 : CDCDCDCD; +6C17 : CDCDCDCD; +6C18 : CDCDCDCD; +6C19 : CDCDCDCD; +6C1A : CDCDCDCD; +6C1B : CDCDCDCD; +6C1C : CDCDCDCD; +6C1D : CDCDCDCD; +6C1E : CDCDCDCD; +6C1F : CDCDCDCD; +6C20 : CDCDCDCD; +6C21 : CDCDCDCD; +6C22 : CDCDCDCD; +6C23 : CDCDCDCD; +6C24 : CDCDCDCD; +6C25 : CDCDCDCD; +6C26 : CDCDCDCD; +6C27 : CDCDCDCD; +6C28 : CDCDCDCD; +6C29 : CDCDCDCD; +6C2A : CDCDCDCD; +6C2B : CDCDCDCD; +6C2C : CDCDCDCD; +6C2D : CDCDCDCD; +6C2E : CDCDCDCD; +6C2F : CDCDCDCD; +6C30 : CDCDCDCD; +6C31 : CDCDCDCD; +6C32 : CDCDCDCD; +6C33 : CDCDCDCD; +6C34 : CDCDCDCD; +6C35 : CDCDCDCD; +6C36 : CDCDCDCD; +6C37 : CDCDCDCD; +6C38 : CDCDCDCD; +6C39 : CDCDCDCD; +6C3A : CDCDCDCD; +6C3B : CDCDCDCD; +6C3C : CDCDCDCD; +6C3D : CDCDCDCD; +6C3E : CDCDCDCD; +6C3F : CDCDCDCD; +6C40 : CDCDCDCD; +6C41 : CDCDCDCD; +6C42 : CDCDCDCD; +6C43 : CDCDCDCD; +6C44 : CDCDCDCD; +6C45 : CDCDCDCD; +6C46 : CDCDCDCD; +6C47 : CDCDCDCD; +6C48 : CDCDCDCD; +6C49 : CDCDCDCD; +6C4A : CDCDCDCD; +6C4B : CDCDCDCD; +6C4C : CDCDCDCD; +6C4D : CDCDCDCD; +6C4E : CDCDCDCD; +6C4F : CDCDCDCD; +6C50 : CDCDCDCD; +6C51 : CDCDCDCD; +6C52 : CDCDCDCD; +6C53 : CDCDCDCD; +6C54 : CDCDCDCD; +6C55 : CDCDCDCD; +6C56 : CDCDCDCD; +6C57 : CDCDCDCD; +6C58 : CDCDCDCD; +6C59 : CDCDCDCD; +6C5A : CDCDCDCD; +6C5B : CDCDCDCD; +6C5C : CDCDCDCD; +6C5D : CDCDCDCD; +6C5E : CDCDCDCD; +6C5F : CDCDCDCD; +6C60 : CDCDCDCD; +6C61 : CDCDCDCD; +6C62 : CDCDCDCD; +6C63 : CDCDCDCD; +6C64 : CDCDCDCD; +6C65 : CDCDCDCD; +6C66 : CDCDCDCD; +6C67 : CDCDCDCD; +6C68 : CDCDCDCD; +6C69 : CDCDCDCD; +6C6A : CDCDCDCD; +6C6B : CDCDCDCD; +6C6C : CDCDCDCD; +6C6D : CDCDCDCD; +6C6E : CDCDCDCD; +6C6F : CDCDCDCD; +6C70 : CDCDCDCD; +6C71 : CDCDCDCD; +6C72 : CDCDCDCD; +6C73 : CDCDCDCD; +6C74 : CDCDCDCD; +6C75 : CDCDCDCD; +6C76 : CDCDCDCD; +6C77 : CDCDCDCD; +6C78 : CDCDCDCD; +6C79 : CDCDCDCD; +6C7A : CDCDCDCD; +6C7B : CDCDCDCD; +6C7C : CDCDCDCD; +6C7D : CDCDCDCD; +6C7E : CDCDCDCD; +6C7F : CDCDCDCD; +6C80 : CDCDCDCD; +6C81 : CDCDCDCD; +6C82 : CDCDCDCD; +6C83 : CDCDCDCD; +6C84 : CDCDCDCD; +6C85 : CDCDCDCD; +6C86 : CDCDCDCD; +6C87 : CDCDCDCD; +6C88 : CDCDCDCD; +6C89 : CDCDCDCD; +6C8A : CDCDCDCD; +6C8B : CDCDCDCD; +6C8C : CDCDCDCD; +6C8D : CDCDCDCD; +6C8E : CDCDCDCD; +6C8F : CDCDCDCD; +6C90 : CDCDCDCD; +6C91 : CDCDCDCD; +6C92 : CDCDCDCD; +6C93 : CDCDCDCD; +6C94 : CDCDCDCD; +6C95 : CDCDCDCD; +6C96 : CDCDCDCD; +6C97 : CDCDCDCD; +6C98 : CDCDCDCD; +6C99 : CDCDCDCD; +6C9A : CDCDCDCD; +6C9B : CDCDCDCD; +6C9C : CDCDCDCD; +6C9D : CDCDCDCD; +6C9E : CDCDCDCD; +6C9F : CDCDCDCD; +6CA0 : CDCDCDCD; +6CA1 : CDCDCDCD; +6CA2 : CDCDCDCD; +6CA3 : CDCDCDCD; +6CA4 : CDCDCDCD; +6CA5 : CDCDCDCD; +6CA6 : CDCDCDCD; +6CA7 : CDCDCDCD; +6CA8 : CDCDCDCD; +6CA9 : CDCDCDCD; +6CAA : CDCDCDCD; +6CAB : CDCDCDCD; +6CAC : CDCDCDCD; +6CAD : CDCDCDCD; +6CAE : CDCDCDCD; +6CAF : CDCDCDCD; +6CB0 : CDCDCDCD; +6CB1 : CDCDCDCD; +6CB2 : CDCDCDCD; +6CB3 : CDCDCDCD; +6CB4 : CDCDCDCD; +6CB5 : CDCDCDCD; +6CB6 : CDCDCDCD; +6CB7 : CDCDCDCD; +6CB8 : CDCDCDCD; +6CB9 : CDCDCDCD; +6CBA : CDCDCDCD; +6CBB : CDCDCDCD; +6CBC : CDCDCDCD; +6CBD : CDCDCDCD; +6CBE : CDCDCDCD; +6CBF : CDCDCDCD; +6CC0 : CDCDCDCD; +6CC1 : CDCDCDCD; +6CC2 : CDCDCDCD; +6CC3 : CDCDCDCD; +6CC4 : CDCDCDCD; +6CC5 : CDCDCDCD; +6CC6 : CDCDCDCD; +6CC7 : CDCDCDCD; +6CC8 : CDCDCDCD; +6CC9 : CDCDCDCD; +6CCA : CDCDCDCD; +6CCB : CDCDCDCD; +6CCC : CDCDCDCD; +6CCD : CDCDCDCD; +6CCE : CDCDCDCD; +6CCF : CDCDCDCD; +6CD0 : CDCDCDCD; +6CD1 : CDCDCDCD; +6CD2 : CDCDCDCD; +6CD3 : CDCDCDCD; +6CD4 : CDCDCDCD; +6CD5 : CDCDCDCD; +6CD6 : CDCDCDCD; +6CD7 : CDCDCDCD; +6CD8 : CDCDCDCD; +6CD9 : CDCDCDCD; +6CDA : CDCDCDCD; +6CDB : CDCDCDCD; +6CDC : CDCDCDCD; +6CDD : CDCDCDCD; +6CDE : CDCDCDCD; +6CDF : CDCDCDCD; +6CE0 : CDCDCDCD; +6CE1 : CDCDCDCD; +6CE2 : CDCDCDCD; +6CE3 : CDCDCDCD; +6CE4 : CDCDCDCD; +6CE5 : CDCDCDCD; +6CE6 : CDCDCDCD; +6CE7 : CDCDCDCD; +6CE8 : CDCDCDCD; +6CE9 : CDCDCDCD; +6CEA : CDCDCDCD; +6CEB : CDCDCDCD; +6CEC : CDCDCDCD; +6CED : CDCDCDCD; +6CEE : CDCDCDCD; +6CEF : CDCDCDCD; +6CF0 : CDCDCDCD; +6CF1 : CDCDCDCD; +6CF2 : CDCDCDCD; +6CF3 : CDCDCDCD; +6CF4 : CDCDCDCD; +6CF5 : CDCDCDCD; +6CF6 : CDCDCDCD; +6CF7 : CDCDCDCD; +6CF8 : CDCDCDCD; +6CF9 : CDCDCDCD; +6CFA : CDCDCDCD; +6CFB : CDCDCDCD; +6CFC : CDCDCDCD; +6CFD : CDCDCDCD; +6CFE : CDCDCDCD; +6CFF : CDCDCDCD; +6D00 : CDCDCDCD; +6D01 : CDCDCDCD; +6D02 : CDCDCDCD; +6D03 : CDCDCDCD; +6D04 : CDCDCDCD; +6D05 : CDCDCDCD; +6D06 : CDCDCDCD; +6D07 : CDCDCDCD; +6D08 : CDCDCDCD; +6D09 : CDCDCDCD; +6D0A : CDCDCDCD; +6D0B : CDCDCDCD; +6D0C : CDCDCDCD; +6D0D : CDCDCDCD; +6D0E : CDCDCDCD; +6D0F : CDCDCDCD; +6D10 : CDCDCDCD; +6D11 : CDCDCDCD; +6D12 : CDCDCDCD; +6D13 : CDCDCDCD; +6D14 : CDCDCDCD; +6D15 : CDCDCDCD; +6D16 : CDCDCDCD; +6D17 : CDCDCDCD; +6D18 : CDCDCDCD; +6D19 : CDCDCDCD; +6D1A : CDCDCDCD; +6D1B : CDCDCDCD; +6D1C : CDCDCDCD; +6D1D : CDCDCDCD; +6D1E : CDCDCDCD; +6D1F : CDCDCDCD; +6D20 : CDCDCDCD; +6D21 : CDCDCDCD; +6D22 : CDCDCDCD; +6D23 : CDCDCDCD; +6D24 : CDCDCDCD; +6D25 : CDCDCDCD; +6D26 : CDCDCDCD; +6D27 : CDCDCDCD; +6D28 : CDCDCDCD; +6D29 : CDCDCDCD; +6D2A : CDCDCDCD; +6D2B : CDCDCDCD; +6D2C : CDCDCDCD; +6D2D : CDCDCDCD; +6D2E : CDCDCDCD; +6D2F : CDCDCDCD; +6D30 : CDCDCDCD; +6D31 : CDCDCDCD; +6D32 : CDCDCDCD; +6D33 : CDCDCDCD; +6D34 : CDCDCDCD; +6D35 : CDCDCDCD; +6D36 : CDCDCDCD; +6D37 : CDCDCDCD; +6D38 : CDCDCDCD; +6D39 : CDCDCDCD; +6D3A : CDCDCDCD; +6D3B : CDCDCDCD; +6D3C : CDCDCDCD; +6D3D : CDCDCDCD; +6D3E : CDCDCDCD; +6D3F : CDCDCDCD; +6D40 : CDCDCDCD; +6D41 : CDCDCDCD; +6D42 : CDCDCDCD; +6D43 : CDCDCDCD; +6D44 : CDCDCDCD; +6D45 : CDCDCDCD; +6D46 : CDCDCDCD; +6D47 : CDCDCDCD; +6D48 : CDCDCDCD; +6D49 : CDCDCDCD; +6D4A : CDCDCDCD; +6D4B : CDCDCDCD; +6D4C : CDCDCDCD; +6D4D : CDCDCDCD; +6D4E : CDCDCDCD; +6D4F : CDCDCDCD; +6D50 : CDCDCDCD; +6D51 : CDCDCDCD; +6D52 : CDCDCDCD; +6D53 : CDCDCDCD; +6D54 : CDCDCDCD; +6D55 : CDCDCDCD; +6D56 : CDCDCDCD; +6D57 : CDCDCDCD; +6D58 : CDCDCDCD; +6D59 : CDCDCDCD; +6D5A : CDCDCDCD; +6D5B : CDCDCDCD; +6D5C : CDCDCDCD; +6D5D : CDCDCDCD; +6D5E : CDCDCDCD; +6D5F : CDCDCDCD; +6D60 : CDCDCDCD; +6D61 : CDCDCDCD; +6D62 : CDCDCDCD; +6D63 : CDCDCDCD; +6D64 : CDCDCDCD; +6D65 : CDCDCDCD; +6D66 : CDCDCDCD; +6D67 : CDCDCDCD; +6D68 : CDCDCDCD; +6D69 : CDCDCDCD; +6D6A : CDCDCDCD; +6D6B : CDCDCDCD; +6D6C : CDCDCDCD; +6D6D : CDCDCDCD; +6D6E : CDCDCDCD; +6D6F : CDCDCDCD; +6D70 : CDCDCDCD; +6D71 : CDCDCDCD; +6D72 : CDCDCDCD; +6D73 : CDCDCDCD; +6D74 : CDCDCDCD; +6D75 : CDCDCDCD; +6D76 : CDCDCDCD; +6D77 : CDCDCDCD; +6D78 : CDCDCDCD; +6D79 : CDCDCDCD; +6D7A : CDCDCDCD; +6D7B : CDCDCDCD; +6D7C : CDCDCDCD; +6D7D : CDCDCDCD; +6D7E : CDCDCDCD; +6D7F : CDCDCDCD; +6D80 : CDCDCDCD; +6D81 : CDCDCDCD; +6D82 : CDCDCDCD; +6D83 : CDCDCDCD; +6D84 : CDCDCDCD; +6D85 : CDCDCDCD; +6D86 : CDCDCDCD; +6D87 : CDCDCDCD; +6D88 : CDCDCDCD; +6D89 : CDCDCDCD; +6D8A : CDCDCDCD; +6D8B : CDCDCDCD; +6D8C : CDCDCDCD; +6D8D : CDCDCDCD; +6D8E : CDCDCDCD; +6D8F : CDCDCDCD; +6D90 : CDCDCDCD; +6D91 : CDCDCDCD; +6D92 : CDCDCDCD; +6D93 : CDCDCDCD; +6D94 : CDCDCDCD; +6D95 : CDCDCDCD; +6D96 : CDCDCDCD; +6D97 : CDCDCDCD; +6D98 : CDCDCDCD; +6D99 : CDCDCDCD; +6D9A : CDCDCDCD; +6D9B : CDCDCDCD; +6D9C : CDCDCDCD; +6D9D : CDCDCDCD; +6D9E : CDCDCDCD; +6D9F : CDCDCDCD; +6DA0 : CDCDCDCD; +6DA1 : CDCDCDCD; +6DA2 : CDCDCDCD; +6DA3 : CDCDCDCD; +6DA4 : CDCDCDCD; +6DA5 : CDCDCDCD; +6DA6 : CDCDCDCD; +6DA7 : CDCDCDCD; +6DA8 : CDCDCDCD; +6DA9 : CDCDCDCD; +6DAA : CDCDCDCD; +6DAB : CDCDCDCD; +6DAC : CDCDCDCD; +6DAD : CDCDCDCD; +6DAE : CDCDCDCD; +6DAF : CDCDCDCD; +6DB0 : CDCDCDCD; +6DB1 : CDCDCDCD; +6DB2 : CDCDCDCD; +6DB3 : CDCDCDCD; +6DB4 : CDCDCDCD; +6DB5 : CDCDCDCD; +6DB6 : CDCDCDCD; +6DB7 : CDCDCDCD; +6DB8 : CDCDCDCD; +6DB9 : CDCDCDCD; +6DBA : CDCDCDCD; +6DBB : CDCDCDCD; +6DBC : CDCDCDCD; +6DBD : CDCDCDCD; +6DBE : CDCDCDCD; +6DBF : CDCDCDCD; +6DC0 : CDCDCDCD; +6DC1 : CDCDCDCD; +6DC2 : CDCDCDCD; +6DC3 : CDCDCDCD; +6DC4 : CDCDCDCD; +6DC5 : CDCDCDCD; +6DC6 : CDCDCDCD; +6DC7 : CDCDCDCD; +6DC8 : CDCDCDCD; +6DC9 : CDCDCDCD; +6DCA : CDCDCDCD; +6DCB : CDCDCDCD; +6DCC : CDCDCDCD; +6DCD : CDCDCDCD; +6DCE : CDCDCDCD; +6DCF : CDCDCDCD; +6DD0 : CDCDCDCD; +6DD1 : CDCDCDCD; +6DD2 : CDCDCDCD; +6DD3 : CDCDCDCD; +6DD4 : CDCDCDCD; +6DD5 : CDCDCDCD; +6DD6 : CDCDCDCD; +6DD7 : CDCDCDCD; +6DD8 : CDCDCDCD; +6DD9 : CDCDCDCD; +6DDA : CDCDCDCD; +6DDB : CDCDCDCD; +6DDC : CDCDCDCD; +6DDD : CDCDCDCD; +6DDE : CDCDCDCD; +6DDF : CDCDCDCD; +6DE0 : CDCDCDCD; +6DE1 : CDCDCDCD; +6DE2 : CDCDCDCD; +6DE3 : CDCDCDCD; +6DE4 : CDCDCDCD; +6DE5 : CDCDCDCD; +6DE6 : CDCDCDCD; +6DE7 : CDCDCDCD; +6DE8 : CDCDCDCD; +6DE9 : CDCDCDCD; +6DEA : CDCDCDCD; +6DEB : CDCDCDCD; +6DEC : CDCDCDCD; +6DED : CDCDCDCD; +6DEE : CDCDCDCD; +6DEF : CDCDCDCD; +6DF0 : CDCDCDCD; +6DF1 : CDCDCDCD; +6DF2 : CDCDCDCD; +6DF3 : CDCDCDCD; +6DF4 : CDCDCDCD; +6DF5 : CDCDCDCD; +6DF6 : CDCDCDCD; +6DF7 : CDCDCDCD; +6DF8 : CDCDCDCD; +6DF9 : CDCDCDCD; +6DFA : CDCDCDCD; +6DFB : CDCDCDCD; +6DFC : CDCDCDCD; +6DFD : CDCDCDCD; +6DFE : CDCDCDCD; +6DFF : CDCDCDCD; +6E00 : CDCDCDCD; +6E01 : CDCDCDCD; +6E02 : CDCDCDCD; +6E03 : CDCDCDCD; +6E04 : CDCDCDCD; +6E05 : CDCDCDCD; +6E06 : CDCDCDCD; +6E07 : CDCDCDCD; +6E08 : CDCDCDCD; +6E09 : CDCDCDCD; +6E0A : CDCDCDCD; +6E0B : CDCDCDCD; +6E0C : CDCDCDCD; +6E0D : CDCDCDCD; +6E0E : CDCDCDCD; +6E0F : CDCDCDCD; +6E10 : CDCDCDCD; +6E11 : CDCDCDCD; +6E12 : CDCDCDCD; +6E13 : CDCDCDCD; +6E14 : CDCDCDCD; +6E15 : CDCDCDCD; +6E16 : CDCDCDCD; +6E17 : CDCDCDCD; +6E18 : CDCDCDCD; +6E19 : CDCDCDCD; +6E1A : CDCDCDCD; +6E1B : CDCDCDCD; +6E1C : CDCDCDCD; +6E1D : CDCDCDCD; +6E1E : CDCDCDCD; +6E1F : CDCDCDCD; +6E20 : CDCDCDCD; +6E21 : CDCDCDCD; +6E22 : CDCDCDCD; +6E23 : CDCDCDCD; +6E24 : CDCDCDCD; +6E25 : CDCDCDCD; +6E26 : CDCDCDCD; +6E27 : CDCDCDCD; +6E28 : CDCDCDCD; +6E29 : CDCDCDCD; +6E2A : CDCDCDCD; +6E2B : CDCDCDCD; +6E2C : CDCDCDCD; +6E2D : CDCDCDCD; +6E2E : CDCDCDCD; +6E2F : CDCDCDCD; +6E30 : CDCDCDCD; +6E31 : CDCDCDCD; +6E32 : CDCDCDCD; +6E33 : CDCDCDCD; +6E34 : CDCDCDCD; +6E35 : CDCDCDCD; +6E36 : CDCDCDCD; +6E37 : CDCDCDCD; +6E38 : CDCDCDCD; +6E39 : CDCDCDCD; +6E3A : CDCDCDCD; +6E3B : CDCDCDCD; +6E3C : CDCDCDCD; +6E3D : CDCDCDCD; +6E3E : CDCDCDCD; +6E3F : CDCDCDCD; +6E40 : CDCDCDCD; +6E41 : CDCDCDCD; +6E42 : CDCDCDCD; +6E43 : CDCDCDCD; +6E44 : CDCDCDCD; +6E45 : CDCDCDCD; +6E46 : CDCDCDCD; +6E47 : CDCDCDCD; +6E48 : CDCDCDCD; +6E49 : CDCDCDCD; +6E4A : CDCDCDCD; +6E4B : CDCDCDCD; +6E4C : CDCDCDCD; +6E4D : CDCDCDCD; +6E4E : CDCDCDCD; +6E4F : CDCDCDCD; +6E50 : CDCDCDCD; +6E51 : CDCDCDCD; +6E52 : CDCDCDCD; +6E53 : CDCDCDCD; +6E54 : CDCDCDCD; +6E55 : CDCDCDCD; +6E56 : CDCDCDCD; +6E57 : CDCDCDCD; +6E58 : CDCDCDCD; +6E59 : CDCDCDCD; +6E5A : CDCDCDCD; +6E5B : CDCDCDCD; +6E5C : CDCDCDCD; +6E5D : CDCDCDCD; +6E5E : CDCDCDCD; +6E5F : CDCDCDCD; +6E60 : CDCDCDCD; +6E61 : CDCDCDCD; +6E62 : CDCDCDCD; +6E63 : CDCDCDCD; +6E64 : CDCDCDCD; +6E65 : CDCDCDCD; +6E66 : CDCDCDCD; +6E67 : CDCDCDCD; +6E68 : CDCDCDCD; +6E69 : CDCDCDCD; +6E6A : CDCDCDCD; +6E6B : CDCDCDCD; +6E6C : CDCDCDCD; +6E6D : CDCDCDCD; +6E6E : CDCDCDCD; +6E6F : CDCDCDCD; +6E70 : CDCDCDCD; +6E71 : CDCDCDCD; +6E72 : CDCDCDCD; +6E73 : CDCDCDCD; +6E74 : CDCDCDCD; +6E75 : CDCDCDCD; +6E76 : CDCDCDCD; +6E77 : CDCDCDCD; +6E78 : CDCDCDCD; +6E79 : CDCDCDCD; +6E7A : CDCDCDCD; +6E7B : CDCDCDCD; +6E7C : CDCDCDCD; +6E7D : CDCDCDCD; +6E7E : CDCDCDCD; +6E7F : CDCDCDCD; +6E80 : CDCDCDCD; +6E81 : CDCDCDCD; +6E82 : CDCDCDCD; +6E83 : CDCDCDCD; +6E84 : CDCDCDCD; +6E85 : CDCDCDCD; +6E86 : CDCDCDCD; +6E87 : CDCDCDCD; +6E88 : CDCDCDCD; +6E89 : CDCDCDCD; +6E8A : CDCDCDCD; +6E8B : CDCDCDCD; +6E8C : CDCDCDCD; +6E8D : CDCDCDCD; +6E8E : CDCDCDCD; +6E8F : CDCDCDCD; +6E90 : CDCDCDCD; +6E91 : CDCDCDCD; +6E92 : CDCDCDCD; +6E93 : CDCDCDCD; +6E94 : CDCDCDCD; +6E95 : CDCDCDCD; +6E96 : CDCDCDCD; +6E97 : CDCDCDCD; +6E98 : CDCDCDCD; +6E99 : CDCDCDCD; +6E9A : CDCDCDCD; +6E9B : CDCDCDCD; +6E9C : CDCDCDCD; +6E9D : CDCDCDCD; +6E9E : CDCDCDCD; +6E9F : CDCDCDCD; +6EA0 : CDCDCDCD; +6EA1 : CDCDCDCD; +6EA2 : CDCDCDCD; +6EA3 : CDCDCDCD; +6EA4 : CDCDCDCD; +6EA5 : CDCDCDCD; +6EA6 : CDCDCDCD; +6EA7 : CDCDCDCD; +6EA8 : CDCDCDCD; +6EA9 : CDCDCDCD; +6EAA : CDCDCDCD; +6EAB : CDCDCDCD; +6EAC : CDCDCDCD; +6EAD : CDCDCDCD; +6EAE : CDCDCDCD; +6EAF : CDCDCDCD; +6EB0 : CDCDCDCD; +6EB1 : CDCDCDCD; +6EB2 : CDCDCDCD; +6EB3 : CDCDCDCD; +6EB4 : CDCDCDCD; +6EB5 : CDCDCDCD; +6EB6 : CDCDCDCD; +6EB7 : CDCDCDCD; +6EB8 : CDCDCDCD; +6EB9 : CDCDCDCD; +6EBA : CDCDCDCD; +6EBB : CDCDCDCD; +6EBC : CDCDCDCD; +6EBD : CDCDCDCD; +6EBE : CDCDCDCD; +6EBF : CDCDCDCD; +6EC0 : CDCDCDCD; +6EC1 : CDCDCDCD; +6EC2 : CDCDCDCD; +6EC3 : CDCDCDCD; +6EC4 : CDCDCDCD; +6EC5 : CDCDCDCD; +6EC6 : CDCDCDCD; +6EC7 : CDCDCDCD; +6EC8 : CDCDCDCD; +6EC9 : CDCDCDCD; +6ECA : CDCDCDCD; +6ECB : CDCDCDCD; +6ECC : CDCDCDCD; +6ECD : CDCDCDCD; +6ECE : CDCDCDCD; +6ECF : CDCDCDCD; +6ED0 : CDCDCDCD; +6ED1 : CDCDCDCD; +6ED2 : CDCDCDCD; +6ED3 : CDCDCDCD; +6ED4 : CDCDCDCD; +6ED5 : CDCDCDCD; +6ED6 : CDCDCDCD; +6ED7 : CDCDCDCD; +6ED8 : CDCDCDCD; +6ED9 : CDCDCDCD; +6EDA : CDCDCDCD; +6EDB : CDCDCDCD; +6EDC : CDCDCDCD; +6EDD : CDCDCDCD; +6EDE : CDCDCDCD; +6EDF : CDCDCDCD; +6EE0 : CDCDCDCD; +6EE1 : CDCDCDCD; +6EE2 : CDCDCDCD; +6EE3 : CDCDCDCD; +6EE4 : CDCDCDCD; +6EE5 : CDCDCDCD; +6EE6 : CDCDCDCD; +6EE7 : CDCDCDCD; +6EE8 : CDCDCDCD; +6EE9 : CDCDCDCD; +6EEA : CDCDCDCD; +6EEB : CDCDCDCD; +6EEC : CDCDCDCD; +6EED : CDCDCDCD; +6EEE : CDCDCDCD; +6EEF : CDCDCDCD; +6EF0 : CDCDCDCD; +6EF1 : CDCDCDCD; +6EF2 : CDCDCDCD; +6EF3 : CDCDCDCD; +6EF4 : CDCDCDCD; +6EF5 : CDCDCDCD; +6EF6 : CDCDCDCD; +6EF7 : CDCDCDCD; +6EF8 : CDCDCDCD; +6EF9 : CDCDCDCD; +6EFA : CDCDCDCD; +6EFB : CDCDCDCD; +6EFC : CDCDCDCD; +6EFD : CDCDCDCD; +6EFE : CDCDCDCD; +6EFF : CDCDCDCD; +6F00 : CDCDCDCD; +6F01 : CDCDCDCD; +6F02 : CDCDCDCD; +6F03 : CDCDCDCD; +6F04 : CDCDCDCD; +6F05 : CDCDCDCD; +6F06 : CDCDCDCD; +6F07 : CDCDCDCD; +6F08 : CDCDCDCD; +6F09 : CDCDCDCD; +6F0A : CDCDCDCD; +6F0B : CDCDCDCD; +6F0C : CDCDCDCD; +6F0D : CDCDCDCD; +6F0E : CDCDCDCD; +6F0F : CDCDCDCD; +6F10 : CDCDCDCD; +6F11 : CDCDCDCD; +6F12 : CDCDCDCD; +6F13 : CDCDCDCD; +6F14 : CDCDCDCD; +6F15 : CDCDCDCD; +6F16 : CDCDCDCD; +6F17 : CDCDCDCD; +6F18 : CDCDCDCD; +6F19 : CDCDCDCD; +6F1A : CDCDCDCD; +6F1B : CDCDCDCD; +6F1C : CDCDCDCD; +6F1D : CDCDCDCD; +6F1E : CDCDCDCD; +6F1F : CDCDCDCD; +6F20 : CDCDCDCD; +6F21 : CDCDCDCD; +6F22 : CDCDCDCD; +6F23 : CDCDCDCD; +6F24 : CDCDCDCD; +6F25 : CDCDCDCD; +6F26 : CDCDCDCD; +6F27 : CDCDCDCD; +6F28 : CDCDCDCD; +6F29 : CDCDCDCD; +6F2A : CDCDCDCD; +6F2B : CDCDCDCD; +6F2C : CDCDCDCD; +6F2D : CDCDCDCD; +6F2E : CDCDCDCD; +6F2F : CDCDCDCD; +6F30 : CDCDCDCD; +6F31 : CDCDCDCD; +6F32 : CDCDCDCD; +6F33 : CDCDCDCD; +6F34 : CDCDCDCD; +6F35 : CDCDCDCD; +6F36 : CDCDCDCD; +6F37 : CDCDCDCD; +6F38 : CDCDCDCD; +6F39 : CDCDCDCD; +6F3A : CDCDCDCD; +6F3B : CDCDCDCD; +6F3C : CDCDCDCD; +6F3D : CDCDCDCD; +6F3E : CDCDCDCD; +6F3F : CDCDCDCD; +6F40 : CDCDCDCD; +6F41 : CDCDCDCD; +6F42 : CDCDCDCD; +6F43 : CDCDCDCD; +6F44 : CDCDCDCD; +6F45 : CDCDCDCD; +6F46 : CDCDCDCD; +6F47 : CDCDCDCD; +6F48 : CDCDCDCD; +6F49 : CDCDCDCD; +6F4A : CDCDCDCD; +6F4B : CDCDCDCD; +6F4C : CDCDCDCD; +6F4D : CDCDCDCD; +6F4E : CDCDCDCD; +6F4F : CDCDCDCD; +6F50 : CDCDCDCD; +6F51 : CDCDCDCD; +6F52 : CDCDCDCD; +6F53 : CDCDCDCD; +6F54 : CDCDCDCD; +6F55 : CDCDCDCD; +6F56 : CDCDCDCD; +6F57 : CDCDCDCD; +6F58 : CDCDCDCD; +6F59 : CDCDCDCD; +6F5A : CDCDCDCD; +6F5B : CDCDCDCD; +6F5C : CDCDCDCD; +6F5D : CDCDCDCD; +6F5E : CDCDCDCD; +6F5F : CDCDCDCD; +6F60 : CDCDCDCD; +6F61 : CDCDCDCD; +6F62 : CDCDCDCD; +6F63 : CDCDCDCD; +6F64 : CDCDCDCD; +6F65 : CDCDCDCD; +6F66 : CDCDCDCD; +6F67 : CDCDCDCD; +6F68 : CDCDCDCD; +6F69 : CDCDCDCD; +6F6A : CDCDCDCD; +6F6B : CDCDCDCD; +6F6C : CDCDCDCD; +6F6D : CDCDCDCD; +6F6E : CDCDCDCD; +6F6F : CDCDCDCD; +6F70 : CDCDCDCD; +6F71 : CDCDCDCD; +6F72 : CDCDCDCD; +6F73 : CDCDCDCD; +6F74 : CDCDCDCD; +6F75 : CDCDCDCD; +6F76 : CDCDCDCD; +6F77 : CDCDCDCD; +6F78 : CDCDCDCD; +6F79 : CDCDCDCD; +6F7A : CDCDCDCD; +6F7B : CDCDCDCD; +6F7C : CDCDCDCD; +6F7D : CDCDCDCD; +6F7E : CDCDCDCD; +6F7F : CDCDCDCD; +6F80 : CDCDCDCD; +6F81 : CDCDCDCD; +6F82 : CDCDCDCD; +6F83 : CDCDCDCD; +6F84 : CDCDCDCD; +6F85 : CDCDCDCD; +6F86 : CDCDCDCD; +6F87 : CDCDCDCD; +6F88 : CDCDCDCD; +6F89 : CDCDCDCD; +6F8A : CDCDCDCD; +6F8B : CDCDCDCD; +6F8C : CDCDCDCD; +6F8D : CDCDCDCD; +6F8E : CDCDCDCD; +6F8F : CDCDCDCD; +6F90 : CDCDCDCD; +6F91 : CDCDCDCD; +6F92 : CDCDCDCD; +6F93 : CDCDCDCD; +6F94 : CDCDCDCD; +6F95 : CDCDCDCD; +6F96 : CDCDCDCD; +6F97 : CDCDCDCD; +6F98 : CDCDCDCD; +6F99 : CDCDCDCD; +6F9A : CDCDCDCD; +6F9B : CDCDCDCD; +6F9C : CDCDCDCD; +6F9D : CDCDCDCD; +6F9E : CDCDCDCD; +6F9F : CDCDCDCD; +6FA0 : CDCDCDCD; +6FA1 : CDCDCDCD; +6FA2 : CDCDCDCD; +6FA3 : CDCDCDCD; +6FA4 : CDCDCDCD; +6FA5 : CDCDCDCD; +6FA6 : CDCDCDCD; +6FA7 : CDCDCDCD; +6FA8 : CDCDCDCD; +6FA9 : CDCDCDCD; +6FAA : CDCDCDCD; +6FAB : CDCDCDCD; +6FAC : CDCDCDCD; +6FAD : CDCDCDCD; +6FAE : CDCDCDCD; +6FAF : CDCDCDCD; +6FB0 : CDCDCDCD; +6FB1 : CDCDCDCD; +6FB2 : CDCDCDCD; +6FB3 : CDCDCDCD; +6FB4 : CDCDCDCD; +6FB5 : CDCDCDCD; +6FB6 : CDCDCDCD; +6FB7 : CDCDCDCD; +6FB8 : CDCDCDCD; +6FB9 : CDCDCDCD; +6FBA : CDCDCDCD; +6FBB : CDCDCDCD; +6FBC : CDCDCDCD; +6FBD : CDCDCDCD; +6FBE : CDCDCDCD; +6FBF : CDCDCDCD; +6FC0 : CDCDCDCD; +6FC1 : CDCDCDCD; +6FC2 : CDCDCDCD; +6FC3 : CDCDCDCD; +6FC4 : CDCDCDCD; +6FC5 : CDCDCDCD; +6FC6 : CDCDCDCD; +6FC7 : CDCDCDCD; +6FC8 : CDCDCDCD; +6FC9 : CDCDCDCD; +6FCA : CDCDCDCD; +6FCB : CDCDCDCD; +6FCC : CDCDCDCD; +6FCD : CDCDCDCD; +6FCE : CDCDCDCD; +6FCF : CDCDCDCD; +6FD0 : CDCDCDCD; +6FD1 : CDCDCDCD; +6FD2 : CDCDCDCD; +6FD3 : CDCDCDCD; +6FD4 : CDCDCDCD; +6FD5 : CDCDCDCD; +6FD6 : CDCDCDCD; +6FD7 : CDCDCDCD; +6FD8 : CDCDCDCD; +6FD9 : CDCDCDCD; +6FDA : CDCDCDCD; +6FDB : CDCDCDCD; +6FDC : CDCDCDCD; +6FDD : CDCDCDCD; +6FDE : CDCDCDCD; +6FDF : CDCDCDCD; +6FE0 : CDCDCDCD; +6FE1 : CDCDCDCD; +6FE2 : CDCDCDCD; +6FE3 : CDCDCDCD; +6FE4 : CDCDCDCD; +6FE5 : CDCDCDCD; +6FE6 : CDCDCDCD; +6FE7 : CDCDCDCD; +6FE8 : CDCDCDCD; +6FE9 : CDCDCDCD; +6FEA : CDCDCDCD; +6FEB : CDCDCDCD; +6FEC : CDCDCDCD; +6FED : CDCDCDCD; +6FEE : CDCDCDCD; +6FEF : CDCDCDCD; +6FF0 : CDCDCDCD; +6FF1 : CDCDCDCD; +6FF2 : CDCDCDCD; +6FF3 : CDCDCDCD; +6FF4 : CDCDCDCD; +6FF5 : CDCDCDCD; +6FF6 : CDCDCDCD; +6FF7 : CDCDCDCD; +6FF8 : CDCDCDCD; +6FF9 : CDCDCDCD; +6FFA : CDCDCDCD; +6FFB : CDCDCDCD; +6FFC : CDCDCDCD; +6FFD : CDCDCDCD; +6FFE : CDCDCDCD; +6FFF : CDCDCDCD; +7000 : CDCDCDCD; +7001 : CDCDCDCD; +7002 : CDCDCDCD; +7003 : CDCDCDCD; +7004 : CDCDCDCD; +7005 : CDCDCDCD; +7006 : CDCDCDCD; +7007 : CDCDCDCD; +7008 : CDCDCDCD; +7009 : CDCDCDCD; +700A : CDCDCDCD; +700B : CDCDCDCD; +700C : CDCDCDCD; +700D : CDCDCDCD; +700E : CDCDCDCD; +700F : CDCDCDCD; +7010 : CDCDCDCD; +7011 : CDCDCDCD; +7012 : CDCDCDCD; +7013 : CDCDCDCD; +7014 : CDCDCDCD; +7015 : CDCDCDCD; +7016 : CDCDCDCD; +7017 : CDCDCDCD; +7018 : CDCDCDCD; +7019 : CDCDCDCD; +701A : CDCDCDCD; +701B : CDCDCDCD; +701C : CDCDCDCD; +701D : CDCDCDCD; +701E : CDCDCDCD; +701F : CDCDCDCD; +7020 : CDCDCDCD; +7021 : CDCDCDCD; +7022 : CDCDCDCD; +7023 : CDCDCDCD; +7024 : CDCDCDCD; +7025 : CDCDCDCD; +7026 : CDCDCDCD; +7027 : CDCDCDCD; +7028 : CDCDCDCD; +7029 : CDCDCDCD; +702A : CDCDCDCD; +702B : CDCDCDCD; +702C : CDCDCDCD; +702D : CDCDCDCD; +702E : CDCDCDCD; +702F : CDCDCDCD; +7030 : CDCDCDCD; +7031 : CDCDCDCD; +7032 : CDCDCDCD; +7033 : CDCDCDCD; +7034 : CDCDCDCD; +7035 : CDCDCDCD; +7036 : CDCDCDCD; +7037 : CDCDCDCD; +7038 : CDCDCDCD; +7039 : CDCDCDCD; +703A : CDCDCDCD; +703B : CDCDCDCD; +703C : CDCDCDCD; +703D : CDCDCDCD; +703E : CDCDCDCD; +703F : CDCDCDCD; +7040 : CDCDCDCD; +7041 : CDCDCDCD; +7042 : CDCDCDCD; +7043 : CDCDCDCD; +7044 : CDCDCDCD; +7045 : CDCDCDCD; +7046 : CDCDCDCD; +7047 : CDCDCDCD; +7048 : CDCDCDCD; +7049 : CDCDCDCD; +704A : CDCDCDCD; +704B : CDCDCDCD; +704C : CDCDCDCD; +704D : CDCDCDCD; +704E : CDCDCDCD; +704F : CDCDCDCD; +7050 : CDCDCDCD; +7051 : CDCDCDCD; +7052 : CDCDCDCD; +7053 : CDCDCDCD; +7054 : CDCDCDCD; +7055 : CDCDCDCD; +7056 : CDCDCDCD; +7057 : CDCDCDCD; +7058 : CDCDCDCD; +7059 : CDCDCDCD; +705A : CDCDCDCD; +705B : CDCDCDCD; +705C : CDCDCDCD; +705D : CDCDCDCD; +705E : CDCDCDCD; +705F : CDCDCDCD; +7060 : CDCDCDCD; +7061 : CDCDCDCD; +7062 : CDCDCDCD; +7063 : CDCDCDCD; +7064 : CDCDCDCD; +7065 : CDCDCDCD; +7066 : CDCDCDCD; +7067 : CDCDCDCD; +7068 : CDCDCDCD; +7069 : CDCDCDCD; +706A : CDCDCDCD; +706B : CDCDCDCD; +706C : CDCDCDCD; +706D : CDCDCDCD; +706E : CDCDCDCD; +706F : CDCDCDCD; +7070 : CDCDCDCD; +7071 : CDCDCDCD; +7072 : CDCDCDCD; +7073 : CDCDCDCD; +7074 : CDCDCDCD; +7075 : CDCDCDCD; +7076 : CDCDCDCD; +7077 : CDCDCDCD; +7078 : CDCDCDCD; +7079 : CDCDCDCD; +707A : CDCDCDCD; +707B : CDCDCDCD; +707C : CDCDCDCD; +707D : CDCDCDCD; +707E : CDCDCDCD; +707F : CDCDCDCD; +7080 : CDCDCDCD; +7081 : CDCDCDCD; +7082 : CDCDCDCD; +7083 : CDCDCDCD; +7084 : CDCDCDCD; +7085 : CDCDCDCD; +7086 : CDCDCDCD; +7087 : CDCDCDCD; +7088 : CDCDCDCD; +7089 : CDCDCDCD; +708A : CDCDCDCD; +708B : CDCDCDCD; +708C : CDCDCDCD; +708D : CDCDCDCD; +708E : CDCDCDCD; +708F : CDCDCDCD; +7090 : CDCDCDCD; +7091 : CDCDCDCD; +7092 : CDCDCDCD; +7093 : CDCDCDCD; +7094 : CDCDCDCD; +7095 : CDCDCDCD; +7096 : CDCDCDCD; +7097 : CDCDCDCD; +7098 : CDCDCDCD; +7099 : CDCDCDCD; +709A : CDCDCDCD; +709B : CDCDCDCD; +709C : CDCDCDCD; +709D : CDCDCDCD; +709E : CDCDCDCD; +709F : CDCDCDCD; +70A0 : CDCDCDCD; +70A1 : CDCDCDCD; +70A2 : CDCDCDCD; +70A3 : CDCDCDCD; +70A4 : CDCDCDCD; +70A5 : CDCDCDCD; +70A6 : CDCDCDCD; +70A7 : CDCDCDCD; +70A8 : CDCDCDCD; +70A9 : CDCDCDCD; +70AA : CDCDCDCD; +70AB : CDCDCDCD; +70AC : CDCDCDCD; +70AD : CDCDCDCD; +70AE : CDCDCDCD; +70AF : CDCDCDCD; +70B0 : CDCDCDCD; +70B1 : CDCDCDCD; +70B2 : CDCDCDCD; +70B3 : CDCDCDCD; +70B4 : CDCDCDCD; +70B5 : CDCDCDCD; +70B6 : CDCDCDCD; +70B7 : CDCDCDCD; +70B8 : CDCDCDCD; +70B9 : CDCDCDCD; +70BA : CDCDCDCD; +70BB : CDCDCDCD; +70BC : CDCDCDCD; +70BD : CDCDCDCD; +70BE : CDCDCDCD; +70BF : CDCDCDCD; +70C0 : CDCDCDCD; +70C1 : CDCDCDCD; +70C2 : CDCDCDCD; +70C3 : CDCDCDCD; +70C4 : CDCDCDCD; +70C5 : CDCDCDCD; +70C6 : CDCDCDCD; +70C7 : CDCDCDCD; +70C8 : CDCDCDCD; +70C9 : CDCDCDCD; +70CA : CDCDCDCD; +70CB : CDCDCDCD; +70CC : CDCDCDCD; +70CD : CDCDCDCD; +70CE : CDCDCDCD; +70CF : CDCDCDCD; +70D0 : CDCDCDCD; +70D1 : CDCDCDCD; +70D2 : CDCDCDCD; +70D3 : CDCDCDCD; +70D4 : CDCDCDCD; +70D5 : CDCDCDCD; +70D6 : CDCDCDCD; +70D7 : CDCDCDCD; +70D8 : CDCDCDCD; +70D9 : CDCDCDCD; +70DA : CDCDCDCD; +70DB : CDCDCDCD; +70DC : CDCDCDCD; +70DD : CDCDCDCD; +70DE : CDCDCDCD; +70DF : CDCDCDCD; +70E0 : CDCDCDCD; +70E1 : CDCDCDCD; +70E2 : CDCDCDCD; +70E3 : CDCDCDCD; +70E4 : CDCDCDCD; +70E5 : CDCDCDCD; +70E6 : CDCDCDCD; +70E7 : CDCDCDCD; +70E8 : CDCDCDCD; +70E9 : CDCDCDCD; +70EA : CDCDCDCD; +70EB : CDCDCDCD; +70EC : CDCDCDCD; +70ED : CDCDCDCD; +70EE : CDCDCDCD; +70EF : CDCDCDCD; +70F0 : CDCDCDCD; +70F1 : CDCDCDCD; +70F2 : CDCDCDCD; +70F3 : CDCDCDCD; +70F4 : CDCDCDCD; +70F5 : CDCDCDCD; +70F6 : CDCDCDCD; +70F7 : CDCDCDCD; +70F8 : CDCDCDCD; +70F9 : CDCDCDCD; +70FA : CDCDCDCD; +70FB : CDCDCDCD; +70FC : CDCDCDCD; +70FD : CDCDCDCD; +70FE : CDCDCDCD; +70FF : CDCDCDCD; +7100 : CDCDCDCD; +7101 : CDCDCDCD; +7102 : CDCDCDCD; +7103 : CDCDCDCD; +7104 : CDCDCDCD; +7105 : CDCDCDCD; +7106 : CDCDCDCD; +7107 : CDCDCDCD; +7108 : CDCDCDCD; +7109 : CDCDCDCD; +710A : CDCDCDCD; +710B : CDCDCDCD; +710C : CDCDCDCD; +710D : CDCDCDCD; +710E : CDCDCDCD; +710F : CDCDCDCD; +7110 : CDCDCDCD; +7111 : CDCDCDCD; +7112 : CDCDCDCD; +7113 : CDCDCDCD; +7114 : CDCDCDCD; +7115 : CDCDCDCD; +7116 : CDCDCDCD; +7117 : CDCDCDCD; +7118 : CDCDCDCD; +7119 : CDCDCDCD; +711A : CDCDCDCD; +711B : CDCDCDCD; +711C : CDCDCDCD; +711D : CDCDCDCD; +711E : CDCDCDCD; +711F : CDCDCDCD; +7120 : CDCDCDCD; +7121 : CDCDCDCD; +7122 : CDCDCDCD; +7123 : CDCDCDCD; +7124 : CDCDCDCD; +7125 : CDCDCDCD; +7126 : CDCDCDCD; +7127 : CDCDCDCD; +7128 : CDCDCDCD; +7129 : CDCDCDCD; +712A : CDCDCDCD; +712B : CDCDCDCD; +712C : CDCDCDCD; +712D : CDCDCDCD; +712E : CDCDCDCD; +712F : CDCDCDCD; +7130 : CDCDCDCD; +7131 : CDCDCDCD; +7132 : CDCDCDCD; +7133 : CDCDCDCD; +7134 : CDCDCDCD; +7135 : CDCDCDCD; +7136 : CDCDCDCD; +7137 : CDCDCDCD; +7138 : CDCDCDCD; +7139 : CDCDCDCD; +713A : CDCDCDCD; +713B : CDCDCDCD; +713C : CDCDCDCD; +713D : CDCDCDCD; +713E : CDCDCDCD; +713F : CDCDCDCD; +7140 : CDCDCDCD; +7141 : CDCDCDCD; +7142 : CDCDCDCD; +7143 : CDCDCDCD; +7144 : CDCDCDCD; +7145 : CDCDCDCD; +7146 : CDCDCDCD; +7147 : CDCDCDCD; +7148 : CDCDCDCD; +7149 : CDCDCDCD; +714A : CDCDCDCD; +714B : CDCDCDCD; +714C : CDCDCDCD; +714D : CDCDCDCD; +714E : CDCDCDCD; +714F : CDCDCDCD; +7150 : CDCDCDCD; +7151 : CDCDCDCD; +7152 : CDCDCDCD; +7153 : CDCDCDCD; +7154 : CDCDCDCD; +7155 : CDCDCDCD; +7156 : CDCDCDCD; +7157 : CDCDCDCD; +7158 : CDCDCDCD; +7159 : CDCDCDCD; +715A : CDCDCDCD; +715B : CDCDCDCD; +715C : CDCDCDCD; +715D : CDCDCDCD; +715E : CDCDCDCD; +715F : CDCDCDCD; +7160 : CDCDCDCD; +7161 : CDCDCDCD; +7162 : CDCDCDCD; +7163 : CDCDCDCD; +7164 : CDCDCDCD; +7165 : CDCDCDCD; +7166 : CDCDCDCD; +7167 : CDCDCDCD; +7168 : CDCDCDCD; +7169 : CDCDCDCD; +716A : CDCDCDCD; +716B : CDCDCDCD; +716C : CDCDCDCD; +716D : CDCDCDCD; +716E : CDCDCDCD; +716F : CDCDCDCD; +7170 : CDCDCDCD; +7171 : CDCDCDCD; +7172 : CDCDCDCD; +7173 : CDCDCDCD; +7174 : CDCDCDCD; +7175 : CDCDCDCD; +7176 : CDCDCDCD; +7177 : CDCDCDCD; +7178 : CDCDCDCD; +7179 : CDCDCDCD; +717A : CDCDCDCD; +717B : CDCDCDCD; +717C : CDCDCDCD; +717D : CDCDCDCD; +717E : CDCDCDCD; +717F : CDCDCDCD; +7180 : CDCDCDCD; +7181 : CDCDCDCD; +7182 : CDCDCDCD; +7183 : CDCDCDCD; +7184 : CDCDCDCD; +7185 : CDCDCDCD; +7186 : CDCDCDCD; +7187 : CDCDCDCD; +7188 : CDCDCDCD; +7189 : CDCDCDCD; +718A : CDCDCDCD; +718B : CDCDCDCD; +718C : CDCDCDCD; +718D : CDCDCDCD; +718E : CDCDCDCD; +718F : CDCDCDCD; +7190 : CDCDCDCD; +7191 : CDCDCDCD; +7192 : CDCDCDCD; +7193 : CDCDCDCD; +7194 : CDCDCDCD; +7195 : CDCDCDCD; +7196 : CDCDCDCD; +7197 : CDCDCDCD; +7198 : CDCDCDCD; +7199 : CDCDCDCD; +719A : CDCDCDCD; +719B : CDCDCDCD; +719C : CDCDCDCD; +719D : CDCDCDCD; +719E : CDCDCDCD; +719F : CDCDCDCD; +71A0 : CDCDCDCD; +71A1 : CDCDCDCD; +71A2 : CDCDCDCD; +71A3 : CDCDCDCD; +71A4 : CDCDCDCD; +71A5 : CDCDCDCD; +71A6 : CDCDCDCD; +71A7 : CDCDCDCD; +71A8 : CDCDCDCD; +71A9 : CDCDCDCD; +71AA : CDCDCDCD; +71AB : CDCDCDCD; +71AC : CDCDCDCD; +71AD : CDCDCDCD; +71AE : CDCDCDCD; +71AF : CDCDCDCD; +71B0 : CDCDCDCD; +71B1 : CDCDCDCD; +71B2 : CDCDCDCD; +71B3 : CDCDCDCD; +71B4 : CDCDCDCD; +71B5 : CDCDCDCD; +71B6 : CDCDCDCD; +71B7 : CDCDCDCD; +71B8 : CDCDCDCD; +71B9 : CDCDCDCD; +71BA : CDCDCDCD; +71BB : CDCDCDCD; +71BC : CDCDCDCD; +71BD : CDCDCDCD; +71BE : CDCDCDCD; +71BF : CDCDCDCD; +71C0 : CDCDCDCD; +71C1 : CDCDCDCD; +71C2 : CDCDCDCD; +71C3 : CDCDCDCD; +71C4 : CDCDCDCD; +71C5 : CDCDCDCD; +71C6 : CDCDCDCD; +71C7 : CDCDCDCD; +71C8 : CDCDCDCD; +71C9 : CDCDCDCD; +71CA : CDCDCDCD; +71CB : CDCDCDCD; +71CC : CDCDCDCD; +71CD : CDCDCDCD; +71CE : CDCDCDCD; +71CF : CDCDCDCD; +71D0 : CDCDCDCD; +71D1 : CDCDCDCD; +71D2 : CDCDCDCD; +71D3 : CDCDCDCD; +71D4 : CDCDCDCD; +71D5 : CDCDCDCD; +71D6 : CDCDCDCD; +71D7 : CDCDCDCD; +71D8 : CDCDCDCD; +71D9 : CDCDCDCD; +71DA : CDCDCDCD; +71DB : CDCDCDCD; +71DC : CDCDCDCD; +71DD : CDCDCDCD; +71DE : CDCDCDCD; +71DF : CDCDCDCD; +71E0 : CDCDCDCD; +71E1 : CDCDCDCD; +71E2 : CDCDCDCD; +71E3 : CDCDCDCD; +71E4 : CDCDCDCD; +71E5 : CDCDCDCD; +71E6 : CDCDCDCD; +71E7 : CDCDCDCD; +71E8 : CDCDCDCD; +71E9 : CDCDCDCD; +71EA : CDCDCDCD; +71EB : CDCDCDCD; +71EC : CDCDCDCD; +71ED : CDCDCDCD; +71EE : CDCDCDCD; +71EF : CDCDCDCD; +71F0 : CDCDCDCD; +71F1 : CDCDCDCD; +71F2 : CDCDCDCD; +71F3 : CDCDCDCD; +71F4 : CDCDCDCD; +71F5 : CDCDCDCD; +71F6 : CDCDCDCD; +71F7 : CDCDCDCD; +71F8 : CDCDCDCD; +71F9 : CDCDCDCD; +71FA : CDCDCDCD; +71FB : CDCDCDCD; +71FC : CDCDCDCD; +71FD : CDCDCDCD; +71FE : CDCDCDCD; +71FF : CDCDCDCD; +7200 : CDCDCDCD; +7201 : CDCDCDCD; +7202 : CDCDCDCD; +7203 : CDCDCDCD; +7204 : CDCDCDCD; +7205 : CDCDCDCD; +7206 : CDCDCDCD; +7207 : CDCDCDCD; +7208 : CDCDCDCD; +7209 : CDCDCDCD; +720A : CDCDCDCD; +720B : CDCDCDCD; +720C : CDCDCDCD; +720D : CDCDCDCD; +720E : CDCDCDCD; +720F : CDCDCDCD; +7210 : CDCDCDCD; +7211 : CDCDCDCD; +7212 : CDCDCDCD; +7213 : CDCDCDCD; +7214 : CDCDCDCD; +7215 : CDCDCDCD; +7216 : CDCDCDCD; +7217 : CDCDCDCD; +7218 : CDCDCDCD; +7219 : CDCDCDCD; +721A : CDCDCDCD; +721B : CDCDCDCD; +721C : CDCDCDCD; +721D : CDCDCDCD; +721E : CDCDCDCD; +721F : CDCDCDCD; +7220 : CDCDCDCD; +7221 : CDCDCDCD; +7222 : CDCDCDCD; +7223 : CDCDCDCD; +7224 : CDCDCDCD; +7225 : CDCDCDCD; +7226 : CDCDCDCD; +7227 : CDCDCDCD; +7228 : CDCDCDCD; +7229 : CDCDCDCD; +722A : CDCDCDCD; +722B : CDCDCDCD; +722C : CDCDCDCD; +722D : CDCDCDCD; +722E : CDCDCDCD; +722F : CDCDCDCD; +7230 : CDCDCDCD; +7231 : CDCDCDCD; +7232 : CDCDCDCD; +7233 : CDCDCDCD; +7234 : CDCDCDCD; +7235 : CDCDCDCD; +7236 : CDCDCDCD; +7237 : CDCDCDCD; +7238 : CDCDCDCD; +7239 : CDCDCDCD; +723A : CDCDCDCD; +723B : CDCDCDCD; +723C : CDCDCDCD; +723D : CDCDCDCD; +723E : CDCDCDCD; +723F : CDCDCDCD; +7240 : CDCDCDCD; +7241 : CDCDCDCD; +7242 : CDCDCDCD; +7243 : CDCDCDCD; +7244 : CDCDCDCD; +7245 : CDCDCDCD; +7246 : CDCDCDCD; +7247 : CDCDCDCD; +7248 : CDCDCDCD; +7249 : CDCDCDCD; +724A : CDCDCDCD; +724B : CDCDCDCD; +724C : CDCDCDCD; +724D : CDCDCDCD; +724E : CDCDCDCD; +724F : CDCDCDCD; +7250 : CDCDCDCD; +7251 : CDCDCDCD; +7252 : CDCDCDCD; +7253 : CDCDCDCD; +7254 : CDCDCDCD; +7255 : CDCDCDCD; +7256 : CDCDCDCD; +7257 : CDCDCDCD; +7258 : CDCDCDCD; +7259 : CDCDCDCD; +725A : CDCDCDCD; +725B : CDCDCDCD; +725C : CDCDCDCD; +725D : CDCDCDCD; +725E : CDCDCDCD; +725F : CDCDCDCD; +7260 : CDCDCDCD; +7261 : CDCDCDCD; +7262 : CDCDCDCD; +7263 : CDCDCDCD; +7264 : CDCDCDCD; +7265 : CDCDCDCD; +7266 : CDCDCDCD; +7267 : CDCDCDCD; +7268 : CDCDCDCD; +7269 : CDCDCDCD; +726A : CDCDCDCD; +726B : CDCDCDCD; +726C : CDCDCDCD; +726D : CDCDCDCD; +726E : CDCDCDCD; +726F : CDCDCDCD; +7270 : CDCDCDCD; +7271 : CDCDCDCD; +7272 : CDCDCDCD; +7273 : CDCDCDCD; +7274 : CDCDCDCD; +7275 : CDCDCDCD; +7276 : CDCDCDCD; +7277 : CDCDCDCD; +7278 : CDCDCDCD; +7279 : CDCDCDCD; +727A : CDCDCDCD; +727B : CDCDCDCD; +727C : CDCDCDCD; +727D : CDCDCDCD; +727E : CDCDCDCD; +727F : CDCDCDCD; +7280 : CDCDCDCD; +7281 : CDCDCDCD; +7282 : CDCDCDCD; +7283 : CDCDCDCD; +7284 : CDCDCDCD; +7285 : CDCDCDCD; +7286 : CDCDCDCD; +7287 : CDCDCDCD; +7288 : CDCDCDCD; +7289 : CDCDCDCD; +728A : CDCDCDCD; +728B : CDCDCDCD; +728C : CDCDCDCD; +728D : CDCDCDCD; +728E : CDCDCDCD; +728F : CDCDCDCD; +7290 : CDCDCDCD; +7291 : CDCDCDCD; +7292 : CDCDCDCD; +7293 : CDCDCDCD; +7294 : CDCDCDCD; +7295 : CDCDCDCD; +7296 : CDCDCDCD; +7297 : CDCDCDCD; +7298 : CDCDCDCD; +7299 : CDCDCDCD; +729A : CDCDCDCD; +729B : CDCDCDCD; +729C : CDCDCDCD; +729D : CDCDCDCD; +729E : CDCDCDCD; +729F : CDCDCDCD; +72A0 : CDCDCDCD; +72A1 : CDCDCDCD; +72A2 : CDCDCDCD; +72A3 : CDCDCDCD; +72A4 : CDCDCDCD; +72A5 : CDCDCDCD; +72A6 : CDCDCDCD; +72A7 : CDCDCDCD; +72A8 : CDCDCDCD; +72A9 : CDCDCDCD; +72AA : CDCDCDCD; +72AB : CDCDCDCD; +72AC : CDCDCDCD; +72AD : CDCDCDCD; +72AE : CDCDCDCD; +72AF : CDCDCDCD; +72B0 : CDCDCDCD; +72B1 : CDCDCDCD; +72B2 : CDCDCDCD; +72B3 : CDCDCDCD; +72B4 : CDCDCDCD; +72B5 : CDCDCDCD; +72B6 : CDCDCDCD; +72B7 : CDCDCDCD; +72B8 : CDCDCDCD; +72B9 : CDCDCDCD; +72BA : CDCDCDCD; +72BB : CDCDCDCD; +72BC : CDCDCDCD; +72BD : CDCDCDCD; +72BE : CDCDCDCD; +72BF : CDCDCDCD; +72C0 : CDCDCDCD; +72C1 : CDCDCDCD; +72C2 : CDCDCDCD; +72C3 : CDCDCDCD; +72C4 : CDCDCDCD; +72C5 : CDCDCDCD; +72C6 : CDCDCDCD; +72C7 : CDCDCDCD; +72C8 : CDCDCDCD; +72C9 : CDCDCDCD; +72CA : CDCDCDCD; +72CB : CDCDCDCD; +72CC : CDCDCDCD; +72CD : CDCDCDCD; +72CE : CDCDCDCD; +72CF : CDCDCDCD; +72D0 : CDCDCDCD; +72D1 : CDCDCDCD; +72D2 : CDCDCDCD; +72D3 : CDCDCDCD; +72D4 : CDCDCDCD; +72D5 : CDCDCDCD; +72D6 : CDCDCDCD; +72D7 : CDCDCDCD; +72D8 : CDCDCDCD; +72D9 : CDCDCDCD; +72DA : CDCDCDCD; +72DB : CDCDCDCD; +72DC : CDCDCDCD; +72DD : CDCDCDCD; +72DE : CDCDCDCD; +72DF : CDCDCDCD; +72E0 : CDCDCDCD; +72E1 : CDCDCDCD; +72E2 : CDCDCDCD; +72E3 : CDCDCDCD; +72E4 : CDCDCDCD; +72E5 : CDCDCDCD; +72E6 : CDCDCDCD; +72E7 : CDCDCDCD; +72E8 : CDCDCDCD; +72E9 : CDCDCDCD; +72EA : CDCDCDCD; +72EB : CDCDCDCD; +72EC : CDCDCDCD; +72ED : CDCDCDCD; +72EE : CDCDCDCD; +72EF : CDCDCDCD; +72F0 : CDCDCDCD; +72F1 : CDCDCDCD; +72F2 : CDCDCDCD; +72F3 : CDCDCDCD; +72F4 : CDCDCDCD; +72F5 : CDCDCDCD; +72F6 : CDCDCDCD; +72F7 : CDCDCDCD; +72F8 : CDCDCDCD; +72F9 : CDCDCDCD; +72FA : CDCDCDCD; +72FB : CDCDCDCD; +72FC : CDCDCDCD; +72FD : CDCDCDCD; +72FE : CDCDCDCD; +72FF : CDCDCDCD; +7300 : CDCDCDCD; +7301 : CDCDCDCD; +7302 : CDCDCDCD; +7303 : CDCDCDCD; +7304 : CDCDCDCD; +7305 : CDCDCDCD; +7306 : CDCDCDCD; +7307 : CDCDCDCD; +7308 : CDCDCDCD; +7309 : CDCDCDCD; +730A : CDCDCDCD; +730B : CDCDCDCD; +730C : CDCDCDCD; +730D : CDCDCDCD; +730E : CDCDCDCD; +730F : CDCDCDCD; +7310 : CDCDCDCD; +7311 : CDCDCDCD; +7312 : CDCDCDCD; +7313 : CDCDCDCD; +7314 : CDCDCDCD; +7315 : CDCDCDCD; +7316 : CDCDCDCD; +7317 : CDCDCDCD; +7318 : CDCDCDCD; +7319 : CDCDCDCD; +731A : CDCDCDCD; +731B : CDCDCDCD; +731C : CDCDCDCD; +731D : CDCDCDCD; +731E : CDCDCDCD; +731F : CDCDCDCD; +7320 : CDCDCDCD; +7321 : CDCDCDCD; +7322 : CDCDCDCD; +7323 : CDCDCDCD; +7324 : CDCDCDCD; +7325 : CDCDCDCD; +7326 : CDCDCDCD; +7327 : CDCDCDCD; +7328 : CDCDCDCD; +7329 : CDCDCDCD; +732A : CDCDCDCD; +732B : CDCDCDCD; +732C : CDCDCDCD; +732D : CDCDCDCD; +732E : CDCDCDCD; +732F : CDCDCDCD; +7330 : CDCDCDCD; +7331 : CDCDCDCD; +7332 : CDCDCDCD; +7333 : CDCDCDCD; +7334 : CDCDCDCD; +7335 : CDCDCDCD; +7336 : CDCDCDCD; +7337 : CDCDCDCD; +7338 : CDCDCDCD; +7339 : CDCDCDCD; +733A : CDCDCDCD; +733B : CDCDCDCD; +733C : CDCDCDCD; +733D : CDCDCDCD; +733E : CDCDCDCD; +733F : CDCDCDCD; +7340 : CDCDCDCD; +7341 : CDCDCDCD; +7342 : CDCDCDCD; +7343 : CDCDCDCD; +7344 : CDCDCDCD; +7345 : CDCDCDCD; +7346 : CDCDCDCD; +7347 : CDCDCDCD; +7348 : CDCDCDCD; +7349 : CDCDCDCD; +734A : CDCDCDCD; +734B : CDCDCDCD; +734C : CDCDCDCD; +734D : CDCDCDCD; +734E : CDCDCDCD; +734F : CDCDCDCD; +7350 : CDCDCDCD; +7351 : CDCDCDCD; +7352 : CDCDCDCD; +7353 : CDCDCDCD; +7354 : CDCDCDCD; +7355 : CDCDCDCD; +7356 : CDCDCDCD; +7357 : CDCDCDCD; +7358 : CDCDCDCD; +7359 : CDCDCDCD; +735A : CDCDCDCD; +735B : CDCDCDCD; +735C : CDCDCDCD; +735D : CDCDCDCD; +735E : CDCDCDCD; +735F : CDCDCDCD; +7360 : CDCDCDCD; +7361 : CDCDCDCD; +7362 : CDCDCDCD; +7363 : CDCDCDCD; +7364 : CDCDCDCD; +7365 : CDCDCDCD; +7366 : CDCDCDCD; +7367 : CDCDCDCD; +7368 : CDCDCDCD; +7369 : CDCDCDCD; +736A : CDCDCDCD; +736B : CDCDCDCD; +736C : CDCDCDCD; +736D : CDCDCDCD; +736E : CDCDCDCD; +736F : CDCDCDCD; +7370 : CDCDCDCD; +7371 : CDCDCDCD; +7372 : CDCDCDCD; +7373 : CDCDCDCD; +7374 : CDCDCDCD; +7375 : CDCDCDCD; +7376 : CDCDCDCD; +7377 : CDCDCDCD; +7378 : CDCDCDCD; +7379 : CDCDCDCD; +737A : CDCDCDCD; +737B : CDCDCDCD; +737C : CDCDCDCD; +737D : CDCDCDCD; +737E : CDCDCDCD; +737F : CDCDCDCD; +7380 : CDCDCDCD; +7381 : CDCDCDCD; +7382 : CDCDCDCD; +7383 : CDCDCDCD; +7384 : CDCDCDCD; +7385 : CDCDCDCD; +7386 : CDCDCDCD; +7387 : CDCDCDCD; +7388 : CDCDCDCD; +7389 : CDCDCDCD; +738A : CDCDCDCD; +738B : CDCDCDCD; +738C : CDCDCDCD; +738D : CDCDCDCD; +738E : CDCDCDCD; +738F : CDCDCDCD; +7390 : CDCDCDCD; +7391 : CDCDCDCD; +7392 : CDCDCDCD; +7393 : CDCDCDCD; +7394 : CDCDCDCD; +7395 : CDCDCDCD; +7396 : CDCDCDCD; +7397 : CDCDCDCD; +7398 : CDCDCDCD; +7399 : CDCDCDCD; +739A : CDCDCDCD; +739B : CDCDCDCD; +739C : CDCDCDCD; +739D : CDCDCDCD; +739E : CDCDCDCD; +739F : CDCDCDCD; +73A0 : CDCDCDCD; +73A1 : CDCDCDCD; +73A2 : CDCDCDCD; +73A3 : CDCDCDCD; +73A4 : CDCDCDCD; +73A5 : CDCDCDCD; +73A6 : CDCDCDCD; +73A7 : CDCDCDCD; +73A8 : CDCDCDCD; +73A9 : CDCDCDCD; +73AA : CDCDCDCD; +73AB : CDCDCDCD; +73AC : CDCDCDCD; +73AD : CDCDCDCD; +73AE : CDCDCDCD; +73AF : CDCDCDCD; +73B0 : CDCDCDCD; +73B1 : CDCDCDCD; +73B2 : CDCDCDCD; +73B3 : CDCDCDCD; +73B4 : CDCDCDCD; +73B5 : CDCDCDCD; +73B6 : CDCDCDCD; +73B7 : CDCDCDCD; +73B8 : CDCDCDCD; +73B9 : CDCDCDCD; +73BA : CDCDCDCD; +73BB : CDCDCDCD; +73BC : CDCDCDCD; +73BD : CDCDCDCD; +73BE : CDCDCDCD; +73BF : CDCDCDCD; +73C0 : CDCDCDCD; +73C1 : CDCDCDCD; +73C2 : CDCDCDCD; +73C3 : CDCDCDCD; +73C4 : CDCDCDCD; +73C5 : CDCDCDCD; +73C6 : CDCDCDCD; +73C7 : CDCDCDCD; +73C8 : CDCDCDCD; +73C9 : CDCDCDCD; +73CA : CDCDCDCD; +73CB : CDCDCDCD; +73CC : CDCDCDCD; +73CD : CDCDCDCD; +73CE : CDCDCDCD; +73CF : CDCDCDCD; +73D0 : CDCDCDCD; +73D1 : CDCDCDCD; +73D2 : CDCDCDCD; +73D3 : CDCDCDCD; +73D4 : CDCDCDCD; +73D5 : CDCDCDCD; +73D6 : CDCDCDCD; +73D7 : CDCDCDCD; +73D8 : CDCDCDCD; +73D9 : CDCDCDCD; +73DA : CDCDCDCD; +73DB : CDCDCDCD; +73DC : CDCDCDCD; +73DD : CDCDCDCD; +73DE : CDCDCDCD; +73DF : CDCDCDCD; +73E0 : CDCDCDCD; +73E1 : CDCDCDCD; +73E2 : CDCDCDCD; +73E3 : CDCDCDCD; +73E4 : CDCDCDCD; +73E5 : CDCDCDCD; +73E6 : CDCDCDCD; +73E7 : CDCDCDCD; +73E8 : CDCDCDCD; +73E9 : CDCDCDCD; +73EA : CDCDCDCD; +73EB : CDCDCDCD; +73EC : CDCDCDCD; +73ED : CDCDCDCD; +73EE : CDCDCDCD; +73EF : CDCDCDCD; +73F0 : CDCDCDCD; +73F1 : CDCDCDCD; +73F2 : CDCDCDCD; +73F3 : CDCDCDCD; +73F4 : CDCDCDCD; +73F5 : CDCDCDCD; +73F6 : CDCDCDCD; +73F7 : CDCDCDCD; +73F8 : CDCDCDCD; +73F9 : CDCDCDCD; +73FA : CDCDCDCD; +73FB : CDCDCDCD; +73FC : CDCDCDCD; +73FD : CDCDCDCD; +73FE : CDCDCDCD; +73FF : CDCDCDCD; +7400 : CDCDCDCD; +7401 : CDCDCDCD; +7402 : CDCDCDCD; +7403 : CDCDCDCD; +7404 : CDCDCDCD; +7405 : CDCDCDCD; +7406 : CDCDCDCD; +7407 : CDCDCDCD; +7408 : CDCDCDCD; +7409 : CDCDCDCD; +740A : CDCDCDCD; +740B : CDCDCDCD; +740C : CDCDCDCD; +740D : CDCDCDCD; +740E : CDCDCDCD; +740F : CDCDCDCD; +7410 : CDCDCDCD; +7411 : CDCDCDCD; +7412 : CDCDCDCD; +7413 : CDCDCDCD; +7414 : CDCDCDCD; +7415 : CDCDCDCD; +7416 : CDCDCDCD; +7417 : CDCDCDCD; +7418 : CDCDCDCD; +7419 : CDCDCDCD; +741A : CDCDCDCD; +741B : CDCDCDCD; +741C : CDCDCDCD; +741D : CDCDCDCD; +741E : CDCDCDCD; +741F : CDCDCDCD; +7420 : CDCDCDCD; +7421 : CDCDCDCD; +7422 : CDCDCDCD; +7423 : CDCDCDCD; +7424 : CDCDCDCD; +7425 : CDCDCDCD; +7426 : CDCDCDCD; +7427 : CDCDCDCD; +7428 : CDCDCDCD; +7429 : CDCDCDCD; +742A : CDCDCDCD; +742B : CDCDCDCD; +742C : CDCDCDCD; +742D : CDCDCDCD; +742E : CDCDCDCD; +742F : CDCDCDCD; +7430 : CDCDCDCD; +7431 : CDCDCDCD; +7432 : CDCDCDCD; +7433 : CDCDCDCD; +7434 : CDCDCDCD; +7435 : CDCDCDCD; +7436 : CDCDCDCD; +7437 : CDCDCDCD; +7438 : CDCDCDCD; +7439 : CDCDCDCD; +743A : CDCDCDCD; +743B : CDCDCDCD; +743C : CDCDCDCD; +743D : CDCDCDCD; +743E : CDCDCDCD; +743F : CDCDCDCD; +7440 : CDCDCDCD; +7441 : CDCDCDCD; +7442 : CDCDCDCD; +7443 : CDCDCDCD; +7444 : CDCDCDCD; +7445 : CDCDCDCD; +7446 : CDCDCDCD; +7447 : CDCDCDCD; +7448 : CDCDCDCD; +7449 : CDCDCDCD; +744A : CDCDCDCD; +744B : CDCDCDCD; +744C : CDCDCDCD; +744D : CDCDCDCD; +744E : CDCDCDCD; +744F : CDCDCDCD; +7450 : CDCDCDCD; +7451 : CDCDCDCD; +7452 : CDCDCDCD; +7453 : CDCDCDCD; +7454 : CDCDCDCD; +7455 : CDCDCDCD; +7456 : CDCDCDCD; +7457 : CDCDCDCD; +7458 : CDCDCDCD; +7459 : CDCDCDCD; +745A : CDCDCDCD; +745B : CDCDCDCD; +745C : CDCDCDCD; +745D : CDCDCDCD; +745E : CDCDCDCD; +745F : CDCDCDCD; +7460 : CDCDCDCD; +7461 : CDCDCDCD; +7462 : CDCDCDCD; +7463 : CDCDCDCD; +7464 : CDCDCDCD; +7465 : CDCDCDCD; +7466 : CDCDCDCD; +7467 : CDCDCDCD; +7468 : CDCDCDCD; +7469 : CDCDCDCD; +746A : CDCDCDCD; +746B : CDCDCDCD; +746C : CDCDCDCD; +746D : CDCDCDCD; +746E : CDCDCDCD; +746F : CDCDCDCD; +7470 : CDCDCDCD; +7471 : CDCDCDCD; +7472 : CDCDCDCD; +7473 : CDCDCDCD; +7474 : CDCDCDCD; +7475 : CDCDCDCD; +7476 : CDCDCDCD; +7477 : CDCDCDCD; +7478 : CDCDCDCD; +7479 : CDCDCDCD; +747A : CDCDCDCD; +747B : CDCDCDCD; +747C : CDCDCDCD; +747D : CDCDCDCD; +747E : CDCDCDCD; +747F : CDCDCDCD; +7480 : CDCDCDCD; +7481 : CDCDCDCD; +7482 : CDCDCDCD; +7483 : CDCDCDCD; +7484 : CDCDCDCD; +7485 : CDCDCDCD; +7486 : CDCDCDCD; +7487 : CDCDCDCD; +7488 : CDCDCDCD; +7489 : CDCDCDCD; +748A : CDCDCDCD; +748B : CDCDCDCD; +748C : CDCDCDCD; +748D : CDCDCDCD; +748E : CDCDCDCD; +748F : CDCDCDCD; +7490 : CDCDCDCD; +7491 : CDCDCDCD; +7492 : CDCDCDCD; +7493 : CDCDCDCD; +7494 : CDCDCDCD; +7495 : CDCDCDCD; +7496 : CDCDCDCD; +7497 : CDCDCDCD; +7498 : CDCDCDCD; +7499 : CDCDCDCD; +749A : CDCDCDCD; +749B : CDCDCDCD; +749C : CDCDCDCD; +749D : CDCDCDCD; +749E : CDCDCDCD; +749F : CDCDCDCD; +74A0 : CDCDCDCD; +74A1 : CDCDCDCD; +74A2 : CDCDCDCD; +74A3 : CDCDCDCD; +74A4 : CDCDCDCD; +74A5 : CDCDCDCD; +74A6 : CDCDCDCD; +74A7 : CDCDCDCD; +74A8 : CDCDCDCD; +74A9 : CDCDCDCD; +74AA : CDCDCDCD; +74AB : CDCDCDCD; +74AC : CDCDCDCD; +74AD : CDCDCDCD; +74AE : CDCDCDCD; +74AF : CDCDCDCD; +74B0 : CDCDCDCD; +74B1 : CDCDCDCD; +74B2 : CDCDCDCD; +74B3 : CDCDCDCD; +74B4 : CDCDCDCD; +74B5 : CDCDCDCD; +74B6 : CDCDCDCD; +74B7 : CDCDCDCD; +74B8 : CDCDCDCD; +74B9 : CDCDCDCD; +74BA : CDCDCDCD; +74BB : CDCDCDCD; +74BC : CDCDCDCD; +74BD : CDCDCDCD; +74BE : CDCDCDCD; +74BF : CDCDCDCD; +74C0 : CDCDCDCD; +74C1 : CDCDCDCD; +74C2 : CDCDCDCD; +74C3 : CDCDCDCD; +74C4 : CDCDCDCD; +74C5 : CDCDCDCD; +74C6 : CDCDCDCD; +74C7 : CDCDCDCD; +74C8 : CDCDCDCD; +74C9 : CDCDCDCD; +74CA : CDCDCDCD; +74CB : CDCDCDCD; +74CC : CDCDCDCD; +74CD : CDCDCDCD; +74CE : CDCDCDCD; +74CF : CDCDCDCD; +74D0 : CDCDCDCD; +74D1 : CDCDCDCD; +74D2 : CDCDCDCD; +74D3 : CDCDCDCD; +74D4 : CDCDCDCD; +74D5 : CDCDCDCD; +74D6 : CDCDCDCD; +74D7 : CDCDCDCD; +74D8 : CDCDCDCD; +74D9 : CDCDCDCD; +74DA : CDCDCDCD; +74DB : CDCDCDCD; +74DC : CDCDCDCD; +74DD : CDCDCDCD; +74DE : CDCDCDCD; +74DF : CDCDCDCD; +74E0 : CDCDCDCD; +74E1 : CDCDCDCD; +74E2 : CDCDCDCD; +74E3 : CDCDCDCD; +74E4 : CDCDCDCD; +74E5 : CDCDCDCD; +74E6 : CDCDCDCD; +74E7 : CDCDCDCD; +74E8 : CDCDCDCD; +74E9 : CDCDCDCD; +74EA : CDCDCDCD; +74EB : CDCDCDCD; +74EC : CDCDCDCD; +74ED : CDCDCDCD; +74EE : CDCDCDCD; +74EF : CDCDCDCD; +74F0 : CDCDCDCD; +74F1 : CDCDCDCD; +74F2 : CDCDCDCD; +74F3 : CDCDCDCD; +74F4 : CDCDCDCD; +74F5 : CDCDCDCD; +74F6 : CDCDCDCD; +74F7 : CDCDCDCD; +74F8 : CDCDCDCD; +74F9 : CDCDCDCD; +74FA : CDCDCDCD; +74FB : CDCDCDCD; +74FC : CDCDCDCD; +74FD : CDCDCDCD; +74FE : CDCDCDCD; +74FF : CDCDCDCD; +7500 : CDCDCDCD; +7501 : CDCDCDCD; +7502 : CDCDCDCD; +7503 : CDCDCDCD; +7504 : CDCDCDCD; +7505 : CDCDCDCD; +7506 : CDCDCDCD; +7507 : CDCDCDCD; +7508 : CDCDCDCD; +7509 : CDCDCDCD; +750A : CDCDCDCD; +750B : CDCDCDCD; +750C : CDCDCDCD; +750D : CDCDCDCD; +750E : CDCDCDCD; +750F : CDCDCDCD; +7510 : CDCDCDCD; +7511 : CDCDCDCD; +7512 : CDCDCDCD; +7513 : CDCDCDCD; +7514 : CDCDCDCD; +7515 : CDCDCDCD; +7516 : CDCDCDCD; +7517 : CDCDCDCD; +7518 : CDCDCDCD; +7519 : CDCDCDCD; +751A : CDCDCDCD; +751B : CDCDCDCD; +751C : CDCDCDCD; +751D : CDCDCDCD; +751E : CDCDCDCD; +751F : CDCDCDCD; +7520 : CDCDCDCD; +7521 : CDCDCDCD; +7522 : CDCDCDCD; +7523 : CDCDCDCD; +7524 : CDCDCDCD; +7525 : CDCDCDCD; +7526 : CDCDCDCD; +7527 : CDCDCDCD; +7528 : CDCDCDCD; +7529 : CDCDCDCD; +752A : CDCDCDCD; +752B : CDCDCDCD; +752C : CDCDCDCD; +752D : CDCDCDCD; +752E : CDCDCDCD; +752F : CDCDCDCD; +7530 : CDCDCDCD; +7531 : CDCDCDCD; +7532 : CDCDCDCD; +7533 : CDCDCDCD; +7534 : CDCDCDCD; +7535 : CDCDCDCD; +7536 : CDCDCDCD; +7537 : CDCDCDCD; +7538 : CDCDCDCD; +7539 : CDCDCDCD; +753A : CDCDCDCD; +753B : CDCDCDCD; +753C : CDCDCDCD; +753D : CDCDCDCD; +753E : CDCDCDCD; +753F : CDCDCDCD; +7540 : CDCDCDCD; +7541 : CDCDCDCD; +7542 : CDCDCDCD; +7543 : CDCDCDCD; +7544 : CDCDCDCD; +7545 : CDCDCDCD; +7546 : CDCDCDCD; +7547 : CDCDCDCD; +7548 : CDCDCDCD; +7549 : CDCDCDCD; +754A : CDCDCDCD; +754B : CDCDCDCD; +754C : CDCDCDCD; +754D : CDCDCDCD; +754E : CDCDCDCD; +754F : CDCDCDCD; +7550 : CDCDCDCD; +7551 : CDCDCDCD; +7552 : CDCDCDCD; +7553 : CDCDCDCD; +7554 : CDCDCDCD; +7555 : CDCDCDCD; +7556 : CDCDCDCD; +7557 : CDCDCDCD; +7558 : CDCDCDCD; +7559 : CDCDCDCD; +755A : CDCDCDCD; +755B : CDCDCDCD; +755C : CDCDCDCD; +755D : CDCDCDCD; +755E : CDCDCDCD; +755F : CDCDCDCD; +7560 : CDCDCDCD; +7561 : CDCDCDCD; +7562 : CDCDCDCD; +7563 : CDCDCDCD; +7564 : CDCDCDCD; +7565 : CDCDCDCD; +7566 : CDCDCDCD; +7567 : CDCDCDCD; +7568 : CDCDCDCD; +7569 : CDCDCDCD; +756A : CDCDCDCD; +756B : CDCDCDCD; +756C : CDCDCDCD; +756D : CDCDCDCD; +756E : CDCDCDCD; +756F : CDCDCDCD; +7570 : CDCDCDCD; +7571 : CDCDCDCD; +7572 : CDCDCDCD; +7573 : CDCDCDCD; +7574 : CDCDCDCD; +7575 : CDCDCDCD; +7576 : CDCDCDCD; +7577 : CDCDCDCD; +7578 : CDCDCDCD; +7579 : CDCDCDCD; +757A : CDCDCDCD; +757B : CDCDCDCD; +757C : CDCDCDCD; +757D : CDCDCDCD; +757E : CDCDCDCD; +757F : CDCDCDCD; +7580 : CDCDCDCD; +7581 : CDCDCDCD; +7582 : CDCDCDCD; +7583 : CDCDCDCD; +7584 : CDCDCDCD; +7585 : CDCDCDCD; +7586 : CDCDCDCD; +7587 : CDCDCDCD; +7588 : CDCDCDCD; +7589 : CDCDCDCD; +758A : CDCDCDCD; +758B : CDCDCDCD; +758C : CDCDCDCD; +758D : CDCDCDCD; +758E : CDCDCDCD; +758F : CDCDCDCD; +7590 : CDCDCDCD; +7591 : CDCDCDCD; +7592 : CDCDCDCD; +7593 : CDCDCDCD; +7594 : CDCDCDCD; +7595 : CDCDCDCD; +7596 : CDCDCDCD; +7597 : CDCDCDCD; +7598 : CDCDCDCD; +7599 : CDCDCDCD; +759A : CDCDCDCD; +759B : CDCDCDCD; +759C : CDCDCDCD; +759D : CDCDCDCD; +759E : CDCDCDCD; +759F : CDCDCDCD; +75A0 : CDCDCDCD; +75A1 : CDCDCDCD; +75A2 : CDCDCDCD; +75A3 : CDCDCDCD; +75A4 : CDCDCDCD; +75A5 : CDCDCDCD; +75A6 : CDCDCDCD; +75A7 : CDCDCDCD; +75A8 : CDCDCDCD; +75A9 : CDCDCDCD; +75AA : CDCDCDCD; +75AB : CDCDCDCD; +75AC : CDCDCDCD; +75AD : CDCDCDCD; +75AE : CDCDCDCD; +75AF : CDCDCDCD; +75B0 : CDCDCDCD; +75B1 : CDCDCDCD; +75B2 : CDCDCDCD; +75B3 : CDCDCDCD; +75B4 : CDCDCDCD; +75B5 : CDCDCDCD; +75B6 : CDCDCDCD; +75B7 : CDCDCDCD; +75B8 : CDCDCDCD; +75B9 : CDCDCDCD; +75BA : CDCDCDCD; +75BB : CDCDCDCD; +75BC : CDCDCDCD; +75BD : CDCDCDCD; +75BE : CDCDCDCD; +75BF : CDCDCDCD; +75C0 : CDCDCDCD; +75C1 : CDCDCDCD; +75C2 : CDCDCDCD; +75C3 : CDCDCDCD; +75C4 : CDCDCDCD; +75C5 : CDCDCDCD; +75C6 : CDCDCDCD; +75C7 : CDCDCDCD; +75C8 : CDCDCDCD; +75C9 : CDCDCDCD; +75CA : CDCDCDCD; +75CB : CDCDCDCD; +75CC : CDCDCDCD; +75CD : CDCDCDCD; +75CE : CDCDCDCD; +75CF : CDCDCDCD; +75D0 : CDCDCDCD; +75D1 : CDCDCDCD; +75D2 : CDCDCDCD; +75D3 : CDCDCDCD; +75D4 : CDCDCDCD; +75D5 : CDCDCDCD; +75D6 : CDCDCDCD; +75D7 : CDCDCDCD; +75D8 : CDCDCDCD; +75D9 : CDCDCDCD; +75DA : CDCDCDCD; +75DB : CDCDCDCD; +75DC : CDCDCDCD; +75DD : CDCDCDCD; +75DE : CDCDCDCD; +75DF : CDCDCDCD; +75E0 : CDCDCDCD; +75E1 : CDCDCDCD; +75E2 : CDCDCDCD; +75E3 : CDCDCDCD; +75E4 : CDCDCDCD; +75E5 : CDCDCDCD; +75E6 : CDCDCDCD; +75E7 : CDCDCDCD; +75E8 : CDCDCDCD; +75E9 : CDCDCDCD; +75EA : CDCDCDCD; +75EB : CDCDCDCD; +75EC : CDCDCDCD; +75ED : CDCDCDCD; +75EE : CDCDCDCD; +75EF : CDCDCDCD; +75F0 : CDCDCDCD; +75F1 : CDCDCDCD; +75F2 : CDCDCDCD; +75F3 : CDCDCDCD; +75F4 : CDCDCDCD; +75F5 : CDCDCDCD; +75F6 : CDCDCDCD; +75F7 : CDCDCDCD; +75F8 : CDCDCDCD; +75F9 : CDCDCDCD; +75FA : CDCDCDCD; +75FB : CDCDCDCD; +75FC : CDCDCDCD; +75FD : CDCDCDCD; +75FE : CDCDCDCD; +75FF : CDCDCDCD; +7600 : CDCDCDCD; +7601 : CDCDCDCD; +7602 : CDCDCDCD; +7603 : CDCDCDCD; +7604 : CDCDCDCD; +7605 : CDCDCDCD; +7606 : CDCDCDCD; +7607 : CDCDCDCD; +7608 : CDCDCDCD; +7609 : CDCDCDCD; +760A : CDCDCDCD; +760B : CDCDCDCD; +760C : CDCDCDCD; +760D : CDCDCDCD; +760E : CDCDCDCD; +760F : CDCDCDCD; +7610 : CDCDCDCD; +7611 : CDCDCDCD; +7612 : CDCDCDCD; +7613 : CDCDCDCD; +7614 : CDCDCDCD; +7615 : CDCDCDCD; +7616 : CDCDCDCD; +7617 : CDCDCDCD; +7618 : CDCDCDCD; +7619 : CDCDCDCD; +761A : CDCDCDCD; +761B : CDCDCDCD; +761C : CDCDCDCD; +761D : CDCDCDCD; +761E : CDCDCDCD; +761F : CDCDCDCD; +7620 : CDCDCDCD; +7621 : CDCDCDCD; +7622 : CDCDCDCD; +7623 : CDCDCDCD; +7624 : CDCDCDCD; +7625 : CDCDCDCD; +7626 : CDCDCDCD; +7627 : CDCDCDCD; +7628 : CDCDCDCD; +7629 : CDCDCDCD; +762A : CDCDCDCD; +762B : CDCDCDCD; +762C : CDCDCDCD; +762D : CDCDCDCD; +762E : CDCDCDCD; +762F : CDCDCDCD; +7630 : CDCDCDCD; +7631 : CDCDCDCD; +7632 : CDCDCDCD; +7633 : CDCDCDCD; +7634 : CDCDCDCD; +7635 : CDCDCDCD; +7636 : CDCDCDCD; +7637 : CDCDCDCD; +7638 : CDCDCDCD; +7639 : CDCDCDCD; +763A : CDCDCDCD; +763B : CDCDCDCD; +763C : CDCDCDCD; +763D : CDCDCDCD; +763E : CDCDCDCD; +763F : CDCDCDCD; +7640 : CDCDCDCD; +7641 : CDCDCDCD; +7642 : CDCDCDCD; +7643 : CDCDCDCD; +7644 : CDCDCDCD; +7645 : CDCDCDCD; +7646 : CDCDCDCD; +7647 : CDCDCDCD; +7648 : CDCDCDCD; +7649 : CDCDCDCD; +764A : CDCDCDCD; +764B : CDCDCDCD; +764C : CDCDCDCD; +764D : CDCDCDCD; +764E : CDCDCDCD; +764F : CDCDCDCD; +7650 : CDCDCDCD; +7651 : CDCDCDCD; +7652 : CDCDCDCD; +7653 : CDCDCDCD; +7654 : CDCDCDCD; +7655 : CDCDCDCD; +7656 : CDCDCDCD; +7657 : CDCDCDCD; +7658 : CDCDCDCD; +7659 : CDCDCDCD; +765A : CDCDCDCD; +765B : CDCDCDCD; +765C : CDCDCDCD; +765D : CDCDCDCD; +765E : CDCDCDCD; +765F : CDCDCDCD; +7660 : CDCDCDCD; +7661 : CDCDCDCD; +7662 : CDCDCDCD; +7663 : CDCDCDCD; +7664 : CDCDCDCD; +7665 : CDCDCDCD; +7666 : CDCDCDCD; +7667 : CDCDCDCD; +7668 : CDCDCDCD; +7669 : CDCDCDCD; +766A : CDCDCDCD; +766B : CDCDCDCD; +766C : CDCDCDCD; +766D : CDCDCDCD; +766E : CDCDCDCD; +766F : CDCDCDCD; +7670 : CDCDCDCD; +7671 : CDCDCDCD; +7672 : CDCDCDCD; +7673 : CDCDCDCD; +7674 : CDCDCDCD; +7675 : CDCDCDCD; +7676 : CDCDCDCD; +7677 : CDCDCDCD; +7678 : CDCDCDCD; +7679 : CDCDCDCD; +767A : CDCDCDCD; +767B : CDCDCDCD; +767C : CDCDCDCD; +767D : CDCDCDCD; +767E : CDCDCDCD; +767F : CDCDCDCD; +7680 : CDCDCDCD; +7681 : CDCDCDCD; +7682 : CDCDCDCD; +7683 : CDCDCDCD; +7684 : CDCDCDCD; +7685 : CDCDCDCD; +7686 : CDCDCDCD; +7687 : CDCDCDCD; +7688 : CDCDCDCD; +7689 : CDCDCDCD; +768A : CDCDCDCD; +768B : CDCDCDCD; +768C : CDCDCDCD; +768D : CDCDCDCD; +768E : CDCDCDCD; +768F : CDCDCDCD; +7690 : CDCDCDCD; +7691 : CDCDCDCD; +7692 : CDCDCDCD; +7693 : CDCDCDCD; +7694 : CDCDCDCD; +7695 : CDCDCDCD; +7696 : CDCDCDCD; +7697 : CDCDCDCD; +7698 : CDCDCDCD; +7699 : CDCDCDCD; +769A : CDCDCDCD; +769B : CDCDCDCD; +769C : CDCDCDCD; +769D : CDCDCDCD; +769E : CDCDCDCD; +769F : CDCDCDCD; +76A0 : CDCDCDCD; +76A1 : CDCDCDCD; +76A2 : CDCDCDCD; +76A3 : CDCDCDCD; +76A4 : CDCDCDCD; +76A5 : CDCDCDCD; +76A6 : CDCDCDCD; +76A7 : CDCDCDCD; +76A8 : CDCDCDCD; +76A9 : CDCDCDCD; +76AA : CDCDCDCD; +76AB : CDCDCDCD; +76AC : CDCDCDCD; +76AD : CDCDCDCD; +76AE : CDCDCDCD; +76AF : CDCDCDCD; +76B0 : CDCDCDCD; +76B1 : CDCDCDCD; +76B2 : CDCDCDCD; +76B3 : CDCDCDCD; +76B4 : CDCDCDCD; +76B5 : CDCDCDCD; +76B6 : CDCDCDCD; +76B7 : CDCDCDCD; +76B8 : CDCDCDCD; +76B9 : CDCDCDCD; +76BA : CDCDCDCD; +76BB : CDCDCDCD; +76BC : CDCDCDCD; +76BD : CDCDCDCD; +76BE : CDCDCDCD; +76BF : CDCDCDCD; +76C0 : CDCDCDCD; +76C1 : CDCDCDCD; +76C2 : CDCDCDCD; +76C3 : CDCDCDCD; +76C4 : CDCDCDCD; +76C5 : CDCDCDCD; +76C6 : CDCDCDCD; +76C7 : CDCDCDCD; +76C8 : CDCDCDCD; +76C9 : CDCDCDCD; +76CA : CDCDCDCD; +76CB : CDCDCDCD; +76CC : CDCDCDCD; +76CD : CDCDCDCD; +76CE : CDCDCDCD; +76CF : CDCDCDCD; +76D0 : CDCDCDCD; +76D1 : CDCDCDCD; +76D2 : CDCDCDCD; +76D3 : CDCDCDCD; +76D4 : CDCDCDCD; +76D5 : CDCDCDCD; +76D6 : CDCDCDCD; +76D7 : CDCDCDCD; +76D8 : CDCDCDCD; +76D9 : CDCDCDCD; +76DA : CDCDCDCD; +76DB : CDCDCDCD; +76DC : CDCDCDCD; +76DD : CDCDCDCD; +76DE : CDCDCDCD; +76DF : CDCDCDCD; +76E0 : CDCDCDCD; +76E1 : CDCDCDCD; +76E2 : CDCDCDCD; +76E3 : CDCDCDCD; +76E4 : CDCDCDCD; +76E5 : CDCDCDCD; +76E6 : CDCDCDCD; +76E7 : CDCDCDCD; +76E8 : CDCDCDCD; +76E9 : CDCDCDCD; +76EA : CDCDCDCD; +76EB : CDCDCDCD; +76EC : CDCDCDCD; +76ED : CDCDCDCD; +76EE : CDCDCDCD; +76EF : CDCDCDCD; +76F0 : CDCDCDCD; +76F1 : CDCDCDCD; +76F2 : CDCDCDCD; +76F3 : CDCDCDCD; +76F4 : CDCDCDCD; +76F5 : CDCDCDCD; +76F6 : CDCDCDCD; +76F7 : CDCDCDCD; +76F8 : CDCDCDCD; +76F9 : CDCDCDCD; +76FA : CDCDCDCD; +76FB : CDCDCDCD; +76FC : CDCDCDCD; +76FD : CDCDCDCD; +76FE : CDCDCDCD; +76FF : CDCDCDCD; +7700 : CDCDCDCD; +7701 : CDCDCDCD; +7702 : CDCDCDCD; +7703 : CDCDCDCD; +7704 : CDCDCDCD; +7705 : CDCDCDCD; +7706 : CDCDCDCD; +7707 : CDCDCDCD; +7708 : CDCDCDCD; +7709 : CDCDCDCD; +770A : CDCDCDCD; +770B : CDCDCDCD; +770C : CDCDCDCD; +770D : CDCDCDCD; +770E : CDCDCDCD; +770F : CDCDCDCD; +7710 : CDCDCDCD; +7711 : CDCDCDCD; +7712 : CDCDCDCD; +7713 : CDCDCDCD; +7714 : CDCDCDCD; +7715 : CDCDCDCD; +7716 : CDCDCDCD; +7717 : CDCDCDCD; +7718 : CDCDCDCD; +7719 : CDCDCDCD; +771A : CDCDCDCD; +771B : CDCDCDCD; +771C : CDCDCDCD; +771D : CDCDCDCD; +771E : CDCDCDCD; +771F : CDCDCDCD; +7720 : CDCDCDCD; +7721 : CDCDCDCD; +7722 : CDCDCDCD; +7723 : CDCDCDCD; +7724 : CDCDCDCD; +7725 : CDCDCDCD; +7726 : CDCDCDCD; +7727 : CDCDCDCD; +7728 : CDCDCDCD; +7729 : CDCDCDCD; +772A : CDCDCDCD; +772B : CDCDCDCD; +772C : CDCDCDCD; +772D : CDCDCDCD; +772E : CDCDCDCD; +772F : CDCDCDCD; +7730 : CDCDCDCD; +7731 : CDCDCDCD; +7732 : CDCDCDCD; +7733 : CDCDCDCD; +7734 : CDCDCDCD; +7735 : CDCDCDCD; +7736 : CDCDCDCD; +7737 : CDCDCDCD; +7738 : CDCDCDCD; +7739 : CDCDCDCD; +773A : CDCDCDCD; +773B : CDCDCDCD; +773C : CDCDCDCD; +773D : CDCDCDCD; +773E : CDCDCDCD; +773F : CDCDCDCD; +7740 : CDCDCDCD; +7741 : CDCDCDCD; +7742 : CDCDCDCD; +7743 : CDCDCDCD; +7744 : CDCDCDCD; +7745 : CDCDCDCD; +7746 : CDCDCDCD; +7747 : CDCDCDCD; +7748 : CDCDCDCD; +7749 : CDCDCDCD; +774A : CDCDCDCD; +774B : CDCDCDCD; +774C : CDCDCDCD; +774D : CDCDCDCD; +774E : CDCDCDCD; +774F : CDCDCDCD; +7750 : CDCDCDCD; +7751 : CDCDCDCD; +7752 : CDCDCDCD; +7753 : CDCDCDCD; +7754 : CDCDCDCD; +7755 : CDCDCDCD; +7756 : CDCDCDCD; +7757 : CDCDCDCD; +7758 : CDCDCDCD; +7759 : CDCDCDCD; +775A : CDCDCDCD; +775B : CDCDCDCD; +775C : CDCDCDCD; +775D : CDCDCDCD; +775E : CDCDCDCD; +775F : CDCDCDCD; +7760 : CDCDCDCD; +7761 : CDCDCDCD; +7762 : CDCDCDCD; +7763 : CDCDCDCD; +7764 : CDCDCDCD; +7765 : CDCDCDCD; +7766 : CDCDCDCD; +7767 : CDCDCDCD; +7768 : CDCDCDCD; +7769 : CDCDCDCD; +776A : CDCDCDCD; +776B : CDCDCDCD; +776C : CDCDCDCD; +776D : CDCDCDCD; +776E : CDCDCDCD; +776F : CDCDCDCD; +7770 : CDCDCDCD; +7771 : CDCDCDCD; +7772 : CDCDCDCD; +7773 : CDCDCDCD; +7774 : CDCDCDCD; +7775 : CDCDCDCD; +7776 : CDCDCDCD; +7777 : CDCDCDCD; +7778 : CDCDCDCD; +7779 : CDCDCDCD; +777A : CDCDCDCD; +777B : CDCDCDCD; +777C : CDCDCDCD; +777D : CDCDCDCD; +777E : CDCDCDCD; +777F : CDCDCDCD; +7780 : CDCDCDCD; +7781 : CDCDCDCD; +7782 : CDCDCDCD; +7783 : CDCDCDCD; +7784 : CDCDCDCD; +7785 : CDCDCDCD; +7786 : CDCDCDCD; +7787 : CDCDCDCD; +7788 : CDCDCDCD; +7789 : CDCDCDCD; +778A : CDCDCDCD; +778B : CDCDCDCD; +778C : CDCDCDCD; +778D : CDCDCDCD; +778E : CDCDCDCD; +778F : CDCDCDCD; +7790 : CDCDCDCD; +7791 : CDCDCDCD; +7792 : CDCDCDCD; +7793 : CDCDCDCD; +7794 : CDCDCDCD; +7795 : CDCDCDCD; +7796 : CDCDCDCD; +7797 : CDCDCDCD; +7798 : CDCDCDCD; +7799 : CDCDCDCD; +779A : CDCDCDCD; +779B : CDCDCDCD; +779C : CDCDCDCD; +779D : CDCDCDCD; +779E : CDCDCDCD; +779F : CDCDCDCD; +77A0 : CDCDCDCD; +77A1 : CDCDCDCD; +77A2 : CDCDCDCD; +77A3 : CDCDCDCD; +77A4 : CDCDCDCD; +77A5 : CDCDCDCD; +77A6 : CDCDCDCD; +77A7 : CDCDCDCD; +77A8 : CDCDCDCD; +77A9 : CDCDCDCD; +77AA : CDCDCDCD; +77AB : CDCDCDCD; +77AC : CDCDCDCD; +77AD : CDCDCDCD; +77AE : CDCDCDCD; +77AF : CDCDCDCD; +77B0 : CDCDCDCD; +77B1 : CDCDCDCD; +77B2 : CDCDCDCD; +77B3 : CDCDCDCD; +77B4 : CDCDCDCD; +77B5 : CDCDCDCD; +77B6 : CDCDCDCD; +77B7 : CDCDCDCD; +77B8 : CDCDCDCD; +77B9 : CDCDCDCD; +77BA : CDCDCDCD; +77BB : CDCDCDCD; +77BC : CDCDCDCD; +77BD : CDCDCDCD; +77BE : CDCDCDCD; +77BF : CDCDCDCD; +77C0 : CDCDCDCD; +77C1 : CDCDCDCD; +77C2 : CDCDCDCD; +77C3 : CDCDCDCD; +77C4 : CDCDCDCD; +77C5 : CDCDCDCD; +77C6 : CDCDCDCD; +77C7 : CDCDCDCD; +77C8 : CDCDCDCD; +77C9 : CDCDCDCD; +77CA : CDCDCDCD; +77CB : CDCDCDCD; +77CC : CDCDCDCD; +77CD : CDCDCDCD; +77CE : CDCDCDCD; +77CF : CDCDCDCD; +77D0 : CDCDCDCD; +77D1 : CDCDCDCD; +77D2 : CDCDCDCD; +77D3 : CDCDCDCD; +77D4 : CDCDCDCD; +77D5 : CDCDCDCD; +77D6 : CDCDCDCD; +77D7 : CDCDCDCD; +77D8 : CDCDCDCD; +77D9 : CDCDCDCD; +77DA : CDCDCDCD; +77DB : CDCDCDCD; +77DC : CDCDCDCD; +77DD : CDCDCDCD; +77DE : CDCDCDCD; +77DF : CDCDCDCD; +77E0 : CDCDCDCD; +77E1 : CDCDCDCD; +77E2 : CDCDCDCD; +77E3 : CDCDCDCD; +77E4 : CDCDCDCD; +77E5 : CDCDCDCD; +77E6 : CDCDCDCD; +77E7 : CDCDCDCD; +77E8 : CDCDCDCD; +77E9 : CDCDCDCD; +77EA : CDCDCDCD; +77EB : CDCDCDCD; +77EC : CDCDCDCD; +77ED : CDCDCDCD; +77EE : CDCDCDCD; +77EF : CDCDCDCD; +77F0 : CDCDCDCD; +77F1 : CDCDCDCD; +77F2 : CDCDCDCD; +77F3 : CDCDCDCD; +77F4 : CDCDCDCD; +77F5 : CDCDCDCD; +77F6 : CDCDCDCD; +77F7 : CDCDCDCD; +77F8 : CDCDCDCD; +77F9 : CDCDCDCD; +77FA : CDCDCDCD; +77FB : CDCDCDCD; +77FC : CDCDCDCD; +77FD : CDCDCDCD; +77FE : CDCDCDCD; +77FF : CDCDCDCD; +7800 : CDCDCDCD; +7801 : CDCDCDCD; +7802 : CDCDCDCD; +7803 : CDCDCDCD; +7804 : CDCDCDCD; +7805 : CDCDCDCD; +7806 : CDCDCDCD; +7807 : CDCDCDCD; +7808 : CDCDCDCD; +7809 : CDCDCDCD; +780A : CDCDCDCD; +780B : CDCDCDCD; +780C : CDCDCDCD; +780D : CDCDCDCD; +780E : CDCDCDCD; +780F : CDCDCDCD; +7810 : CDCDCDCD; +7811 : CDCDCDCD; +7812 : CDCDCDCD; +7813 : CDCDCDCD; +7814 : CDCDCDCD; +7815 : CDCDCDCD; +7816 : CDCDCDCD; +7817 : CDCDCDCD; +7818 : CDCDCDCD; +7819 : CDCDCDCD; +781A : CDCDCDCD; +781B : CDCDCDCD; +781C : CDCDCDCD; +781D : CDCDCDCD; +781E : CDCDCDCD; +781F : CDCDCDCD; +7820 : CDCDCDCD; +7821 : CDCDCDCD; +7822 : CDCDCDCD; +7823 : CDCDCDCD; +7824 : CDCDCDCD; +7825 : CDCDCDCD; +7826 : CDCDCDCD; +7827 : CDCDCDCD; +7828 : CDCDCDCD; +7829 : CDCDCDCD; +782A : CDCDCDCD; +782B : CDCDCDCD; +782C : CDCDCDCD; +782D : CDCDCDCD; +782E : CDCDCDCD; +782F : CDCDCDCD; +7830 : CDCDCDCD; +7831 : CDCDCDCD; +7832 : CDCDCDCD; +7833 : CDCDCDCD; +7834 : CDCDCDCD; +7835 : CDCDCDCD; +7836 : CDCDCDCD; +7837 : CDCDCDCD; +7838 : CDCDCDCD; +7839 : CDCDCDCD; +783A : CDCDCDCD; +783B : CDCDCDCD; +783C : CDCDCDCD; +783D : CDCDCDCD; +783E : CDCDCDCD; +783F : CDCDCDCD; +7840 : CDCDCDCD; +7841 : CDCDCDCD; +7842 : CDCDCDCD; +7843 : CDCDCDCD; +7844 : CDCDCDCD; +7845 : CDCDCDCD; +7846 : CDCDCDCD; +7847 : CDCDCDCD; +7848 : CDCDCDCD; +7849 : CDCDCDCD; +784A : CDCDCDCD; +784B : CDCDCDCD; +784C : CDCDCDCD; +784D : CDCDCDCD; +784E : CDCDCDCD; +784F : CDCDCDCD; +7850 : CDCDCDCD; +7851 : CDCDCDCD; +7852 : CDCDCDCD; +7853 : CDCDCDCD; +7854 : CDCDCDCD; +7855 : CDCDCDCD; +7856 : CDCDCDCD; +7857 : CDCDCDCD; +7858 : CDCDCDCD; +7859 : CDCDCDCD; +785A : CDCDCDCD; +785B : CDCDCDCD; +785C : CDCDCDCD; +785D : CDCDCDCD; +785E : CDCDCDCD; +785F : CDCDCDCD; +7860 : CDCDCDCD; +7861 : CDCDCDCD; +7862 : CDCDCDCD; +7863 : CDCDCDCD; +7864 : CDCDCDCD; +7865 : CDCDCDCD; +7866 : CDCDCDCD; +7867 : CDCDCDCD; +7868 : CDCDCDCD; +7869 : CDCDCDCD; +786A : CDCDCDCD; +786B : CDCDCDCD; +786C : CDCDCDCD; +786D : CDCDCDCD; +786E : CDCDCDCD; +786F : CDCDCDCD; +7870 : CDCDCDCD; +7871 : CDCDCDCD; +7872 : CDCDCDCD; +7873 : CDCDCDCD; +7874 : CDCDCDCD; +7875 : CDCDCDCD; +7876 : CDCDCDCD; +7877 : CDCDCDCD; +7878 : CDCDCDCD; +7879 : CDCDCDCD; +787A : CDCDCDCD; +787B : CDCDCDCD; +787C : CDCDCDCD; +787D : CDCDCDCD; +787E : CDCDCDCD; +787F : CDCDCDCD; +7880 : CDCDCDCD; +7881 : CDCDCDCD; +7882 : CDCDCDCD; +7883 : CDCDCDCD; +7884 : CDCDCDCD; +7885 : CDCDCDCD; +7886 : CDCDCDCD; +7887 : CDCDCDCD; +7888 : CDCDCDCD; +7889 : CDCDCDCD; +788A : CDCDCDCD; +788B : CDCDCDCD; +788C : CDCDCDCD; +788D : CDCDCDCD; +788E : CDCDCDCD; +788F : CDCDCDCD; +7890 : CDCDCDCD; +7891 : CDCDCDCD; +7892 : CDCDCDCD; +7893 : CDCDCDCD; +7894 : CDCDCDCD; +7895 : CDCDCDCD; +7896 : CDCDCDCD; +7897 : CDCDCDCD; +7898 : CDCDCDCD; +7899 : CDCDCDCD; +789A : CDCDCDCD; +789B : CDCDCDCD; +789C : CDCDCDCD; +789D : CDCDCDCD; +789E : CDCDCDCD; +789F : CDCDCDCD; +78A0 : CDCDCDCD; +78A1 : CDCDCDCD; +78A2 : CDCDCDCD; +78A3 : CDCDCDCD; +78A4 : CDCDCDCD; +78A5 : CDCDCDCD; +78A6 : CDCDCDCD; +78A7 : CDCDCDCD; +78A8 : CDCDCDCD; +78A9 : CDCDCDCD; +78AA : CDCDCDCD; +78AB : CDCDCDCD; +78AC : CDCDCDCD; +78AD : CDCDCDCD; +78AE : CDCDCDCD; +78AF : CDCDCDCD; +78B0 : CDCDCDCD; +78B1 : CDCDCDCD; +78B2 : CDCDCDCD; +78B3 : CDCDCDCD; +78B4 : CDCDCDCD; +78B5 : CDCDCDCD; +78B6 : CDCDCDCD; +78B7 : CDCDCDCD; +78B8 : CDCDCDCD; +78B9 : CDCDCDCD; +78BA : CDCDCDCD; +78BB : CDCDCDCD; +78BC : CDCDCDCD; +78BD : CDCDCDCD; +78BE : CDCDCDCD; +78BF : CDCDCDCD; +78C0 : CDCDCDCD; +78C1 : CDCDCDCD; +78C2 : CDCDCDCD; +78C3 : CDCDCDCD; +78C4 : CDCDCDCD; +78C5 : CDCDCDCD; +78C6 : CDCDCDCD; +78C7 : CDCDCDCD; +78C8 : CDCDCDCD; +78C9 : CDCDCDCD; +78CA : CDCDCDCD; +78CB : CDCDCDCD; +78CC : CDCDCDCD; +78CD : CDCDCDCD; +78CE : CDCDCDCD; +78CF : CDCDCDCD; +78D0 : CDCDCDCD; +78D1 : CDCDCDCD; +78D2 : CDCDCDCD; +78D3 : CDCDCDCD; +78D4 : CDCDCDCD; +78D5 : CDCDCDCD; +78D6 : CDCDCDCD; +78D7 : CDCDCDCD; +78D8 : CDCDCDCD; +78D9 : CDCDCDCD; +78DA : CDCDCDCD; +78DB : CDCDCDCD; +78DC : CDCDCDCD; +78DD : CDCDCDCD; +78DE : CDCDCDCD; +78DF : CDCDCDCD; +78E0 : CDCDCDCD; +78E1 : CDCDCDCD; +78E2 : CDCDCDCD; +78E3 : CDCDCDCD; +78E4 : CDCDCDCD; +78E5 : CDCDCDCD; +78E6 : CDCDCDCD; +78E7 : CDCDCDCD; +78E8 : CDCDCDCD; +78E9 : CDCDCDCD; +78EA : CDCDCDCD; +78EB : CDCDCDCD; +78EC : CDCDCDCD; +78ED : CDCDCDCD; +78EE : CDCDCDCD; +78EF : CDCDCDCD; +78F0 : CDCDCDCD; +78F1 : CDCDCDCD; +78F2 : CDCDCDCD; +78F3 : CDCDCDCD; +78F4 : CDCDCDCD; +78F5 : CDCDCDCD; +78F6 : CDCDCDCD; +78F7 : CDCDCDCD; +78F8 : CDCDCDCD; +78F9 : CDCDCDCD; +78FA : CDCDCDCD; +78FB : CDCDCDCD; +78FC : CDCDCDCD; +78FD : CDCDCDCD; +78FE : CDCDCDCD; +78FF : CDCDCDCD; +7900 : CDCDCDCD; +7901 : CDCDCDCD; +7902 : CDCDCDCD; +7903 : CDCDCDCD; +7904 : CDCDCDCD; +7905 : CDCDCDCD; +7906 : CDCDCDCD; +7907 : CDCDCDCD; +7908 : CDCDCDCD; +7909 : CDCDCDCD; +790A : CDCDCDCD; +790B : CDCDCDCD; +790C : CDCDCDCD; +790D : CDCDCDCD; +790E : CDCDCDCD; +790F : CDCDCDCD; +7910 : CDCDCDCD; +7911 : CDCDCDCD; +7912 : CDCDCDCD; +7913 : CDCDCDCD; +7914 : CDCDCDCD; +7915 : CDCDCDCD; +7916 : CDCDCDCD; +7917 : CDCDCDCD; +7918 : CDCDCDCD; +7919 : CDCDCDCD; +791A : CDCDCDCD; +791B : CDCDCDCD; +791C : CDCDCDCD; +791D : CDCDCDCD; +791E : CDCDCDCD; +791F : CDCDCDCD; +7920 : CDCDCDCD; +7921 : CDCDCDCD; +7922 : CDCDCDCD; +7923 : CDCDCDCD; +7924 : CDCDCDCD; +7925 : CDCDCDCD; +7926 : CDCDCDCD; +7927 : CDCDCDCD; +7928 : CDCDCDCD; +7929 : CDCDCDCD; +792A : CDCDCDCD; +792B : CDCDCDCD; +792C : CDCDCDCD; +792D : CDCDCDCD; +792E : CDCDCDCD; +792F : CDCDCDCD; +7930 : CDCDCDCD; +7931 : CDCDCDCD; +7932 : CDCDCDCD; +7933 : CDCDCDCD; +7934 : CDCDCDCD; +7935 : CDCDCDCD; +7936 : CDCDCDCD; +7937 : CDCDCDCD; +7938 : CDCDCDCD; +7939 : CDCDCDCD; +793A : CDCDCDCD; +793B : CDCDCDCD; +793C : CDCDCDCD; +793D : CDCDCDCD; +793E : CDCDCDCD; +793F : CDCDCDCD; +7940 : CDCDCDCD; +7941 : CDCDCDCD; +7942 : CDCDCDCD; +7943 : CDCDCDCD; +7944 : CDCDCDCD; +7945 : CDCDCDCD; +7946 : CDCDCDCD; +7947 : CDCDCDCD; +7948 : CDCDCDCD; +7949 : CDCDCDCD; +794A : CDCDCDCD; +794B : CDCDCDCD; +794C : CDCDCDCD; +794D : CDCDCDCD; +794E : CDCDCDCD; +794F : CDCDCDCD; +7950 : CDCDCDCD; +7951 : CDCDCDCD; +7952 : CDCDCDCD; +7953 : CDCDCDCD; +7954 : CDCDCDCD; +7955 : CDCDCDCD; +7956 : CDCDCDCD; +7957 : CDCDCDCD; +7958 : CDCDCDCD; +7959 : CDCDCDCD; +795A : CDCDCDCD; +795B : CDCDCDCD; +795C : CDCDCDCD; +795D : CDCDCDCD; +795E : CDCDCDCD; +795F : CDCDCDCD; +7960 : CDCDCDCD; +7961 : CDCDCDCD; +7962 : CDCDCDCD; +7963 : CDCDCDCD; +7964 : CDCDCDCD; +7965 : CDCDCDCD; +7966 : CDCDCDCD; +7967 : CDCDCDCD; +7968 : CDCDCDCD; +7969 : CDCDCDCD; +796A : CDCDCDCD; +796B : CDCDCDCD; +796C : CDCDCDCD; +796D : CDCDCDCD; +796E : CDCDCDCD; +796F : CDCDCDCD; +7970 : CDCDCDCD; +7971 : CDCDCDCD; +7972 : CDCDCDCD; +7973 : CDCDCDCD; +7974 : CDCDCDCD; +7975 : CDCDCDCD; +7976 : CDCDCDCD; +7977 : CDCDCDCD; +7978 : CDCDCDCD; +7979 : CDCDCDCD; +797A : CDCDCDCD; +797B : CDCDCDCD; +797C : CDCDCDCD; +797D : CDCDCDCD; +797E : CDCDCDCD; +797F : CDCDCDCD; +7980 : CDCDCDCD; +7981 : CDCDCDCD; +7982 : CDCDCDCD; +7983 : CDCDCDCD; +7984 : CDCDCDCD; +7985 : CDCDCDCD; +7986 : CDCDCDCD; +7987 : CDCDCDCD; +7988 : CDCDCDCD; +7989 : CDCDCDCD; +798A : CDCDCDCD; +798B : CDCDCDCD; +798C : CDCDCDCD; +798D : CDCDCDCD; +798E : CDCDCDCD; +798F : CDCDCDCD; +7990 : CDCDCDCD; +7991 : CDCDCDCD; +7992 : CDCDCDCD; +7993 : CDCDCDCD; +7994 : CDCDCDCD; +7995 : CDCDCDCD; +7996 : CDCDCDCD; +7997 : CDCDCDCD; +7998 : CDCDCDCD; +7999 : CDCDCDCD; +799A : CDCDCDCD; +799B : CDCDCDCD; +799C : CDCDCDCD; +799D : CDCDCDCD; +799E : CDCDCDCD; +799F : CDCDCDCD; +79A0 : CDCDCDCD; +79A1 : CDCDCDCD; +79A2 : CDCDCDCD; +79A3 : CDCDCDCD; +79A4 : CDCDCDCD; +79A5 : CDCDCDCD; +79A6 : CDCDCDCD; +79A7 : CDCDCDCD; +79A8 : CDCDCDCD; +79A9 : CDCDCDCD; +79AA : CDCDCDCD; +79AB : CDCDCDCD; +79AC : CDCDCDCD; +79AD : CDCDCDCD; +79AE : CDCDCDCD; +79AF : CDCDCDCD; +79B0 : CDCDCDCD; +79B1 : CDCDCDCD; +79B2 : CDCDCDCD; +79B3 : CDCDCDCD; +79B4 : CDCDCDCD; +79B5 : CDCDCDCD; +79B6 : CDCDCDCD; +79B7 : CDCDCDCD; +79B8 : CDCDCDCD; +79B9 : CDCDCDCD; +79BA : CDCDCDCD; +79BB : CDCDCDCD; +79BC : CDCDCDCD; +79BD : CDCDCDCD; +79BE : CDCDCDCD; +79BF : CDCDCDCD; +79C0 : CDCDCDCD; +79C1 : CDCDCDCD; +79C2 : CDCDCDCD; +79C3 : CDCDCDCD; +79C4 : CDCDCDCD; +79C5 : CDCDCDCD; +79C6 : CDCDCDCD; +79C7 : CDCDCDCD; +79C8 : CDCDCDCD; +79C9 : CDCDCDCD; +79CA : CDCDCDCD; +79CB : CDCDCDCD; +79CC : CDCDCDCD; +79CD : CDCDCDCD; +79CE : CDCDCDCD; +79CF : CDCDCDCD; +79D0 : CDCDCDCD; +79D1 : CDCDCDCD; +79D2 : CDCDCDCD; +79D3 : CDCDCDCD; +79D4 : CDCDCDCD; +79D5 : CDCDCDCD; +79D6 : CDCDCDCD; +79D7 : CDCDCDCD; +79D8 : CDCDCDCD; +79D9 : CDCDCDCD; +79DA : CDCDCDCD; +79DB : CDCDCDCD; +79DC : CDCDCDCD; +79DD : CDCDCDCD; +79DE : CDCDCDCD; +79DF : CDCDCDCD; +79E0 : CDCDCDCD; +79E1 : CDCDCDCD; +79E2 : CDCDCDCD; +79E3 : CDCDCDCD; +79E4 : CDCDCDCD; +79E5 : CDCDCDCD; +79E6 : CDCDCDCD; +79E7 : CDCDCDCD; +79E8 : CDCDCDCD; +79E9 : CDCDCDCD; +79EA : CDCDCDCD; +79EB : CDCDCDCD; +79EC : CDCDCDCD; +79ED : CDCDCDCD; +79EE : CDCDCDCD; +79EF : CDCDCDCD; +79F0 : CDCDCDCD; +79F1 : CDCDCDCD; +79F2 : CDCDCDCD; +79F3 : CDCDCDCD; +79F4 : CDCDCDCD; +79F5 : CDCDCDCD; +79F6 : CDCDCDCD; +79F7 : CDCDCDCD; +79F8 : CDCDCDCD; +79F9 : CDCDCDCD; +79FA : CDCDCDCD; +79FB : CDCDCDCD; +79FC : CDCDCDCD; +79FD : CDCDCDCD; +79FE : CDCDCDCD; +79FF : CDCDCDCD; +7A00 : CDCDCDCD; +7A01 : CDCDCDCD; +7A02 : CDCDCDCD; +7A03 : CDCDCDCD; +7A04 : CDCDCDCD; +7A05 : CDCDCDCD; +7A06 : CDCDCDCD; +7A07 : CDCDCDCD; +7A08 : CDCDCDCD; +7A09 : CDCDCDCD; +7A0A : CDCDCDCD; +7A0B : CDCDCDCD; +7A0C : CDCDCDCD; +7A0D : CDCDCDCD; +7A0E : CDCDCDCD; +7A0F : CDCDCDCD; +7A10 : CDCDCDCD; +7A11 : CDCDCDCD; +7A12 : CDCDCDCD; +7A13 : CDCDCDCD; +7A14 : CDCDCDCD; +7A15 : CDCDCDCD; +7A16 : CDCDCDCD; +7A17 : CDCDCDCD; +7A18 : CDCDCDCD; +7A19 : CDCDCDCD; +7A1A : CDCDCDCD; +7A1B : CDCDCDCD; +7A1C : CDCDCDCD; +7A1D : CDCDCDCD; +7A1E : CDCDCDCD; +7A1F : CDCDCDCD; +7A20 : CDCDCDCD; +7A21 : CDCDCDCD; +7A22 : CDCDCDCD; +7A23 : CDCDCDCD; +7A24 : CDCDCDCD; +7A25 : CDCDCDCD; +7A26 : CDCDCDCD; +7A27 : CDCDCDCD; +7A28 : CDCDCDCD; +7A29 : CDCDCDCD; +7A2A : CDCDCDCD; +7A2B : CDCDCDCD; +7A2C : CDCDCDCD; +7A2D : CDCDCDCD; +7A2E : CDCDCDCD; +7A2F : CDCDCDCD; +7A30 : CDCDCDCD; +7A31 : CDCDCDCD; +7A32 : CDCDCDCD; +7A33 : CDCDCDCD; +7A34 : CDCDCDCD; +7A35 : CDCDCDCD; +7A36 : CDCDCDCD; +7A37 : CDCDCDCD; +7A38 : CDCDCDCD; +7A39 : CDCDCDCD; +7A3A : CDCDCDCD; +7A3B : CDCDCDCD; +7A3C : CDCDCDCD; +7A3D : CDCDCDCD; +7A3E : CDCDCDCD; +7A3F : CDCDCDCD; +7A40 : CDCDCDCD; +7A41 : CDCDCDCD; +7A42 : CDCDCDCD; +7A43 : CDCDCDCD; +7A44 : CDCDCDCD; +7A45 : CDCDCDCD; +7A46 : CDCDCDCD; +7A47 : CDCDCDCD; +7A48 : CDCDCDCD; +7A49 : CDCDCDCD; +7A4A : CDCDCDCD; +7A4B : CDCDCDCD; +7A4C : CDCDCDCD; +7A4D : CDCDCDCD; +7A4E : CDCDCDCD; +7A4F : CDCDCDCD; +7A50 : CDCDCDCD; +7A51 : CDCDCDCD; +7A52 : CDCDCDCD; +7A53 : CDCDCDCD; +7A54 : CDCDCDCD; +7A55 : CDCDCDCD; +7A56 : CDCDCDCD; +7A57 : CDCDCDCD; +7A58 : CDCDCDCD; +7A59 : CDCDCDCD; +7A5A : CDCDCDCD; +7A5B : CDCDCDCD; +7A5C : CDCDCDCD; +7A5D : CDCDCDCD; +7A5E : CDCDCDCD; +7A5F : CDCDCDCD; +7A60 : CDCDCDCD; +7A61 : CDCDCDCD; +7A62 : CDCDCDCD; +7A63 : CDCDCDCD; +7A64 : CDCDCDCD; +7A65 : CDCDCDCD; +7A66 : CDCDCDCD; +7A67 : CDCDCDCD; +7A68 : CDCDCDCD; +7A69 : CDCDCDCD; +7A6A : CDCDCDCD; +7A6B : CDCDCDCD; +7A6C : CDCDCDCD; +7A6D : CDCDCDCD; +7A6E : CDCDCDCD; +7A6F : CDCDCDCD; +7A70 : CDCDCDCD; +7A71 : CDCDCDCD; +7A72 : CDCDCDCD; +7A73 : CDCDCDCD; +7A74 : CDCDCDCD; +7A75 : CDCDCDCD; +7A76 : CDCDCDCD; +7A77 : CDCDCDCD; +7A78 : CDCDCDCD; +7A79 : CDCDCDCD; +7A7A : CDCDCDCD; +7A7B : CDCDCDCD; +7A7C : CDCDCDCD; +7A7D : CDCDCDCD; +7A7E : CDCDCDCD; +7A7F : CDCDCDCD; +7A80 : CDCDCDCD; +7A81 : CDCDCDCD; +7A82 : CDCDCDCD; +7A83 : CDCDCDCD; +7A84 : CDCDCDCD; +7A85 : CDCDCDCD; +7A86 : CDCDCDCD; +7A87 : CDCDCDCD; +7A88 : CDCDCDCD; +7A89 : CDCDCDCD; +7A8A : CDCDCDCD; +7A8B : CDCDCDCD; +7A8C : CDCDCDCD; +7A8D : CDCDCDCD; +7A8E : CDCDCDCD; +7A8F : CDCDCDCD; +7A90 : CDCDCDCD; +7A91 : CDCDCDCD; +7A92 : CDCDCDCD; +7A93 : CDCDCDCD; +7A94 : CDCDCDCD; +7A95 : CDCDCDCD; +7A96 : CDCDCDCD; +7A97 : CDCDCDCD; +7A98 : CDCDCDCD; +7A99 : CDCDCDCD; +7A9A : CDCDCDCD; +7A9B : CDCDCDCD; +7A9C : CDCDCDCD; +7A9D : CDCDCDCD; +7A9E : CDCDCDCD; +7A9F : CDCDCDCD; +7AA0 : CDCDCDCD; +7AA1 : CDCDCDCD; +7AA2 : CDCDCDCD; +7AA3 : CDCDCDCD; +7AA4 : CDCDCDCD; +7AA5 : CDCDCDCD; +7AA6 : CDCDCDCD; +7AA7 : CDCDCDCD; +7AA8 : CDCDCDCD; +7AA9 : CDCDCDCD; +7AAA : CDCDCDCD; +7AAB : CDCDCDCD; +7AAC : CDCDCDCD; +7AAD : CDCDCDCD; +7AAE : CDCDCDCD; +7AAF : CDCDCDCD; +7AB0 : CDCDCDCD; +7AB1 : CDCDCDCD; +7AB2 : CDCDCDCD; +7AB3 : CDCDCDCD; +7AB4 : CDCDCDCD; +7AB5 : CDCDCDCD; +7AB6 : CDCDCDCD; +7AB7 : CDCDCDCD; +7AB8 : CDCDCDCD; +7AB9 : CDCDCDCD; +7ABA : CDCDCDCD; +7ABB : CDCDCDCD; +7ABC : CDCDCDCD; +7ABD : CDCDCDCD; +7ABE : CDCDCDCD; +7ABF : CDCDCDCD; +7AC0 : CDCDCDCD; +7AC1 : CDCDCDCD; +7AC2 : CDCDCDCD; +7AC3 : CDCDCDCD; +7AC4 : CDCDCDCD; +7AC5 : CDCDCDCD; +7AC6 : CDCDCDCD; +7AC7 : CDCDCDCD; +7AC8 : CDCDCDCD; +7AC9 : CDCDCDCD; +7ACA : CDCDCDCD; +7ACB : CDCDCDCD; +7ACC : CDCDCDCD; +7ACD : CDCDCDCD; +7ACE : CDCDCDCD; +7ACF : CDCDCDCD; +7AD0 : CDCDCDCD; +7AD1 : CDCDCDCD; +7AD2 : CDCDCDCD; +7AD3 : CDCDCDCD; +7AD4 : CDCDCDCD; +7AD5 : CDCDCDCD; +7AD6 : CDCDCDCD; +7AD7 : CDCDCDCD; +7AD8 : CDCDCDCD; +7AD9 : CDCDCDCD; +7ADA : CDCDCDCD; +7ADB : CDCDCDCD; +7ADC : CDCDCDCD; +7ADD : CDCDCDCD; +7ADE : CDCDCDCD; +7ADF : CDCDCDCD; +7AE0 : CDCDCDCD; +7AE1 : CDCDCDCD; +7AE2 : CDCDCDCD; +7AE3 : CDCDCDCD; +7AE4 : CDCDCDCD; +7AE5 : CDCDCDCD; +7AE6 : CDCDCDCD; +7AE7 : CDCDCDCD; +7AE8 : CDCDCDCD; +7AE9 : CDCDCDCD; +7AEA : CDCDCDCD; +7AEB : CDCDCDCD; +7AEC : CDCDCDCD; +7AED : CDCDCDCD; +7AEE : CDCDCDCD; +7AEF : CDCDCDCD; +7AF0 : CDCDCDCD; +7AF1 : CDCDCDCD; +7AF2 : CDCDCDCD; +7AF3 : CDCDCDCD; +7AF4 : CDCDCDCD; +7AF5 : CDCDCDCD; +7AF6 : CDCDCDCD; +7AF7 : CDCDCDCD; +7AF8 : CDCDCDCD; +7AF9 : CDCDCDCD; +7AFA : CDCDCDCD; +7AFB : CDCDCDCD; +7AFC : CDCDCDCD; +7AFD : CDCDCDCD; +7AFE : CDCDCDCD; +7AFF : CDCDCDCD; +7B00 : CDCDCDCD; +7B01 : CDCDCDCD; +7B02 : CDCDCDCD; +7B03 : CDCDCDCD; +7B04 : CDCDCDCD; +7B05 : CDCDCDCD; +7B06 : CDCDCDCD; +7B07 : CDCDCDCD; +7B08 : CDCDCDCD; +7B09 : CDCDCDCD; +7B0A : CDCDCDCD; +7B0B : CDCDCDCD; +7B0C : CDCDCDCD; +7B0D : CDCDCDCD; +7B0E : CDCDCDCD; +7B0F : CDCDCDCD; +7B10 : CDCDCDCD; +7B11 : CDCDCDCD; +7B12 : CDCDCDCD; +7B13 : CDCDCDCD; +7B14 : CDCDCDCD; +7B15 : CDCDCDCD; +7B16 : CDCDCDCD; +7B17 : CDCDCDCD; +7B18 : CDCDCDCD; +7B19 : CDCDCDCD; +7B1A : CDCDCDCD; +7B1B : CDCDCDCD; +7B1C : CDCDCDCD; +7B1D : CDCDCDCD; +7B1E : CDCDCDCD; +7B1F : CDCDCDCD; +7B20 : CDCDCDCD; +7B21 : CDCDCDCD; +7B22 : CDCDCDCD; +7B23 : CDCDCDCD; +7B24 : CDCDCDCD; +7B25 : CDCDCDCD; +7B26 : CDCDCDCD; +7B27 : CDCDCDCD; +7B28 : CDCDCDCD; +7B29 : CDCDCDCD; +7B2A : CDCDCDCD; +7B2B : CDCDCDCD; +7B2C : CDCDCDCD; +7B2D : CDCDCDCD; +7B2E : CDCDCDCD; +7B2F : CDCDCDCD; +7B30 : CDCDCDCD; +7B31 : CDCDCDCD; +7B32 : CDCDCDCD; +7B33 : CDCDCDCD; +7B34 : CDCDCDCD; +7B35 : CDCDCDCD; +7B36 : CDCDCDCD; +7B37 : CDCDCDCD; +7B38 : CDCDCDCD; +7B39 : CDCDCDCD; +7B3A : CDCDCDCD; +7B3B : CDCDCDCD; +7B3C : CDCDCDCD; +7B3D : CDCDCDCD; +7B3E : CDCDCDCD; +7B3F : CDCDCDCD; +7B40 : CDCDCDCD; +7B41 : CDCDCDCD; +7B42 : CDCDCDCD; +7B43 : CDCDCDCD; +7B44 : CDCDCDCD; +7B45 : CDCDCDCD; +7B46 : CDCDCDCD; +7B47 : CDCDCDCD; +7B48 : CDCDCDCD; +7B49 : CDCDCDCD; +7B4A : CDCDCDCD; +7B4B : CDCDCDCD; +7B4C : CDCDCDCD; +7B4D : CDCDCDCD; +7B4E : CDCDCDCD; +7B4F : CDCDCDCD; +7B50 : CDCDCDCD; +7B51 : CDCDCDCD; +7B52 : CDCDCDCD; +7B53 : CDCDCDCD; +7B54 : CDCDCDCD; +7B55 : CDCDCDCD; +7B56 : CDCDCDCD; +7B57 : CDCDCDCD; +7B58 : CDCDCDCD; +7B59 : CDCDCDCD; +7B5A : CDCDCDCD; +7B5B : CDCDCDCD; +7B5C : CDCDCDCD; +7B5D : CDCDCDCD; +7B5E : CDCDCDCD; +7B5F : CDCDCDCD; +7B60 : CDCDCDCD; +7B61 : CDCDCDCD; +7B62 : CDCDCDCD; +7B63 : CDCDCDCD; +7B64 : CDCDCDCD; +7B65 : CDCDCDCD; +7B66 : CDCDCDCD; +7B67 : CDCDCDCD; +7B68 : CDCDCDCD; +7B69 : CDCDCDCD; +7B6A : CDCDCDCD; +7B6B : CDCDCDCD; +7B6C : CDCDCDCD; +7B6D : CDCDCDCD; +7B6E : CDCDCDCD; +7B6F : CDCDCDCD; +7B70 : CDCDCDCD; +7B71 : CDCDCDCD; +7B72 : CDCDCDCD; +7B73 : CDCDCDCD; +7B74 : CDCDCDCD; +7B75 : CDCDCDCD; +7B76 : CDCDCDCD; +7B77 : CDCDCDCD; +7B78 : CDCDCDCD; +7B79 : CDCDCDCD; +7B7A : CDCDCDCD; +7B7B : CDCDCDCD; +7B7C : CDCDCDCD; +7B7D : CDCDCDCD; +7B7E : CDCDCDCD; +7B7F : CDCDCDCD; +7B80 : CDCDCDCD; +7B81 : CDCDCDCD; +7B82 : CDCDCDCD; +7B83 : CDCDCDCD; +7B84 : CDCDCDCD; +7B85 : CDCDCDCD; +7B86 : CDCDCDCD; +7B87 : CDCDCDCD; +7B88 : CDCDCDCD; +7B89 : CDCDCDCD; +7B8A : CDCDCDCD; +7B8B : CDCDCDCD; +7B8C : CDCDCDCD; +7B8D : CDCDCDCD; +7B8E : CDCDCDCD; +7B8F : CDCDCDCD; +7B90 : CDCDCDCD; +7B91 : CDCDCDCD; +7B92 : CDCDCDCD; +7B93 : CDCDCDCD; +7B94 : CDCDCDCD; +7B95 : CDCDCDCD; +7B96 : CDCDCDCD; +7B97 : CDCDCDCD; +7B98 : CDCDCDCD; +7B99 : CDCDCDCD; +7B9A : CDCDCDCD; +7B9B : CDCDCDCD; +7B9C : CDCDCDCD; +7B9D : CDCDCDCD; +7B9E : CDCDCDCD; +7B9F : CDCDCDCD; +7BA0 : CDCDCDCD; +7BA1 : CDCDCDCD; +7BA2 : CDCDCDCD; +7BA3 : CDCDCDCD; +7BA4 : CDCDCDCD; +7BA5 : CDCDCDCD; +7BA6 : CDCDCDCD; +7BA7 : CDCDCDCD; +7BA8 : CDCDCDCD; +7BA9 : CDCDCDCD; +7BAA : CDCDCDCD; +7BAB : CDCDCDCD; +7BAC : CDCDCDCD; +7BAD : CDCDCDCD; +7BAE : CDCDCDCD; +7BAF : CDCDCDCD; +7BB0 : CDCDCDCD; +7BB1 : CDCDCDCD; +7BB2 : CDCDCDCD; +7BB3 : CDCDCDCD; +7BB4 : CDCDCDCD; +7BB5 : CDCDCDCD; +7BB6 : CDCDCDCD; +7BB7 : CDCDCDCD; +7BB8 : CDCDCDCD; +7BB9 : CDCDCDCD; +7BBA : CDCDCDCD; +7BBB : CDCDCDCD; +7BBC : CDCDCDCD; +7BBD : CDCDCDCD; +7BBE : CDCDCDCD; +7BBF : CDCDCDCD; +7BC0 : CDCDCDCD; +7BC1 : CDCDCDCD; +7BC2 : CDCDCDCD; +7BC3 : CDCDCDCD; +7BC4 : CDCDCDCD; +7BC5 : CDCDCDCD; +7BC6 : CDCDCDCD; +7BC7 : CDCDCDCD; +7BC8 : CDCDCDCD; +7BC9 : CDCDCDCD; +7BCA : CDCDCDCD; +7BCB : CDCDCDCD; +7BCC : CDCDCDCD; +7BCD : CDCDCDCD; +7BCE : CDCDCDCD; +7BCF : CDCDCDCD; +7BD0 : CDCDCDCD; +7BD1 : CDCDCDCD; +7BD2 : CDCDCDCD; +7BD3 : CDCDCDCD; +7BD4 : CDCDCDCD; +7BD5 : CDCDCDCD; +7BD6 : CDCDCDCD; +7BD7 : CDCDCDCD; +7BD8 : CDCDCDCD; +7BD9 : CDCDCDCD; +7BDA : CDCDCDCD; +7BDB : CDCDCDCD; +7BDC : CDCDCDCD; +7BDD : CDCDCDCD; +7BDE : CDCDCDCD; +7BDF : CDCDCDCD; +7BE0 : CDCDCDCD; +7BE1 : CDCDCDCD; +7BE2 : CDCDCDCD; +7BE3 : CDCDCDCD; +7BE4 : CDCDCDCD; +7BE5 : CDCDCDCD; +7BE6 : CDCDCDCD; +7BE7 : CDCDCDCD; +7BE8 : CDCDCDCD; +7BE9 : CDCDCDCD; +7BEA : CDCDCDCD; +7BEB : CDCDCDCD; +7BEC : CDCDCDCD; +7BED : CDCDCDCD; +7BEE : CDCDCDCD; +7BEF : CDCDCDCD; +7BF0 : CDCDCDCD; +7BF1 : CDCDCDCD; +7BF2 : CDCDCDCD; +7BF3 : CDCDCDCD; +7BF4 : CDCDCDCD; +7BF5 : CDCDCDCD; +7BF6 : CDCDCDCD; +7BF7 : CDCDCDCD; +7BF8 : CDCDCDCD; +7BF9 : CDCDCDCD; +7BFA : CDCDCDCD; +7BFB : CDCDCDCD; +7BFC : CDCDCDCD; +7BFD : CDCDCDCD; +7BFE : CDCDCDCD; +7BFF : CDCDCDCD; +7C00 : CDCDCDCD; +7C01 : CDCDCDCD; +7C02 : CDCDCDCD; +7C03 : CDCDCDCD; +7C04 : CDCDCDCD; +7C05 : CDCDCDCD; +7C06 : CDCDCDCD; +7C07 : CDCDCDCD; +7C08 : CDCDCDCD; +7C09 : CDCDCDCD; +7C0A : CDCDCDCD; +7C0B : CDCDCDCD; +7C0C : CDCDCDCD; +7C0D : CDCDCDCD; +7C0E : CDCDCDCD; +7C0F : CDCDCDCD; +7C10 : CDCDCDCD; +7C11 : CDCDCDCD; +7C12 : CDCDCDCD; +7C13 : CDCDCDCD; +7C14 : CDCDCDCD; +7C15 : CDCDCDCD; +7C16 : CDCDCDCD; +7C17 : CDCDCDCD; +7C18 : CDCDCDCD; +7C19 : CDCDCDCD; +7C1A : CDCDCDCD; +7C1B : CDCDCDCD; +7C1C : CDCDCDCD; +7C1D : CDCDCDCD; +7C1E : CDCDCDCD; +7C1F : CDCDCDCD; +7C20 : CDCDCDCD; +7C21 : CDCDCDCD; +7C22 : CDCDCDCD; +7C23 : CDCDCDCD; +7C24 : CDCDCDCD; +7C25 : CDCDCDCD; +7C26 : CDCDCDCD; +7C27 : CDCDCDCD; +7C28 : CDCDCDCD; +7C29 : CDCDCDCD; +7C2A : CDCDCDCD; +7C2B : CDCDCDCD; +7C2C : CDCDCDCD; +7C2D : CDCDCDCD; +7C2E : CDCDCDCD; +7C2F : CDCDCDCD; +7C30 : CDCDCDCD; +7C31 : CDCDCDCD; +7C32 : CDCDCDCD; +7C33 : CDCDCDCD; +7C34 : CDCDCDCD; +7C35 : CDCDCDCD; +7C36 : CDCDCDCD; +7C37 : CDCDCDCD; +7C38 : CDCDCDCD; +7C39 : CDCDCDCD; +7C3A : CDCDCDCD; +7C3B : CDCDCDCD; +7C3C : CDCDCDCD; +7C3D : CDCDCDCD; +7C3E : CDCDCDCD; +7C3F : CDCDCDCD; +7C40 : CDCDCDCD; +7C41 : CDCDCDCD; +7C42 : CDCDCDCD; +7C43 : CDCDCDCD; +7C44 : CDCDCDCD; +7C45 : CDCDCDCD; +7C46 : CDCDCDCD; +7C47 : CDCDCDCD; +7C48 : CDCDCDCD; +7C49 : CDCDCDCD; +7C4A : CDCDCDCD; +7C4B : CDCDCDCD; +7C4C : CDCDCDCD; +7C4D : CDCDCDCD; +7C4E : CDCDCDCD; +7C4F : CDCDCDCD; +7C50 : CDCDCDCD; +7C51 : CDCDCDCD; +7C52 : CDCDCDCD; +7C53 : CDCDCDCD; +7C54 : CDCDCDCD; +7C55 : CDCDCDCD; +7C56 : CDCDCDCD; +7C57 : CDCDCDCD; +7C58 : CDCDCDCD; +7C59 : CDCDCDCD; +7C5A : CDCDCDCD; +7C5B : CDCDCDCD; +7C5C : CDCDCDCD; +7C5D : CDCDCDCD; +7C5E : CDCDCDCD; +7C5F : CDCDCDCD; +7C60 : CDCDCDCD; +7C61 : CDCDCDCD; +7C62 : CDCDCDCD; +7C63 : CDCDCDCD; +7C64 : CDCDCDCD; +7C65 : CDCDCDCD; +7C66 : CDCDCDCD; +7C67 : CDCDCDCD; +7C68 : CDCDCDCD; +7C69 : CDCDCDCD; +7C6A : CDCDCDCD; +7C6B : CDCDCDCD; +7C6C : CDCDCDCD; +7C6D : CDCDCDCD; +7C6E : CDCDCDCD; +7C6F : CDCDCDCD; +7C70 : CDCDCDCD; +7C71 : CDCDCDCD; +7C72 : CDCDCDCD; +7C73 : CDCDCDCD; +7C74 : CDCDCDCD; +7C75 : CDCDCDCD; +7C76 : CDCDCDCD; +7C77 : CDCDCDCD; +7C78 : CDCDCDCD; +7C79 : CDCDCDCD; +7C7A : CDCDCDCD; +7C7B : CDCDCDCD; +7C7C : CDCDCDCD; +7C7D : CDCDCDCD; +7C7E : CDCDCDCD; +7C7F : CDCDCDCD; +7C80 : CDCDCDCD; +7C81 : CDCDCDCD; +7C82 : CDCDCDCD; +7C83 : CDCDCDCD; +7C84 : CDCDCDCD; +7C85 : CDCDCDCD; +7C86 : CDCDCDCD; +7C87 : CDCDCDCD; +7C88 : CDCDCDCD; +7C89 : CDCDCDCD; +7C8A : CDCDCDCD; +7C8B : CDCDCDCD; +7C8C : CDCDCDCD; +7C8D : CDCDCDCD; +7C8E : CDCDCDCD; +7C8F : CDCDCDCD; +7C90 : CDCDCDCD; +7C91 : CDCDCDCD; +7C92 : CDCDCDCD; +7C93 : CDCDCDCD; +7C94 : CDCDCDCD; +7C95 : CDCDCDCD; +7C96 : CDCDCDCD; +7C97 : CDCDCDCD; +7C98 : CDCDCDCD; +7C99 : CDCDCDCD; +7C9A : CDCDCDCD; +7C9B : CDCDCDCD; +7C9C : CDCDCDCD; +7C9D : CDCDCDCD; +7C9E : CDCDCDCD; +7C9F : CDCDCDCD; +7CA0 : CDCDCDCD; +7CA1 : CDCDCDCD; +7CA2 : CDCDCDCD; +7CA3 : CDCDCDCD; +7CA4 : CDCDCDCD; +7CA5 : CDCDCDCD; +7CA6 : CDCDCDCD; +7CA7 : CDCDCDCD; +7CA8 : CDCDCDCD; +7CA9 : CDCDCDCD; +7CAA : CDCDCDCD; +7CAB : CDCDCDCD; +7CAC : CDCDCDCD; +7CAD : CDCDCDCD; +7CAE : CDCDCDCD; +7CAF : CDCDCDCD; +7CB0 : CDCDCDCD; +7CB1 : CDCDCDCD; +7CB2 : CDCDCDCD; +7CB3 : CDCDCDCD; +7CB4 : CDCDCDCD; +7CB5 : CDCDCDCD; +7CB6 : CDCDCDCD; +7CB7 : CDCDCDCD; +7CB8 : CDCDCDCD; +7CB9 : CDCDCDCD; +7CBA : CDCDCDCD; +7CBB : CDCDCDCD; +7CBC : CDCDCDCD; +7CBD : CDCDCDCD; +7CBE : CDCDCDCD; +7CBF : CDCDCDCD; +7CC0 : CDCDCDCD; +7CC1 : CDCDCDCD; +7CC2 : CDCDCDCD; +7CC3 : CDCDCDCD; +7CC4 : CDCDCDCD; +7CC5 : CDCDCDCD; +7CC6 : CDCDCDCD; +7CC7 : CDCDCDCD; +7CC8 : CDCDCDCD; +7CC9 : CDCDCDCD; +7CCA : CDCDCDCD; +7CCB : CDCDCDCD; +7CCC : CDCDCDCD; +7CCD : CDCDCDCD; +7CCE : CDCDCDCD; +7CCF : CDCDCDCD; +7CD0 : CDCDCDCD; +7CD1 : CDCDCDCD; +7CD2 : CDCDCDCD; +7CD3 : CDCDCDCD; +7CD4 : CDCDCDCD; +7CD5 : CDCDCDCD; +7CD6 : CDCDCDCD; +7CD7 : CDCDCDCD; +7CD8 : CDCDCDCD; +7CD9 : CDCDCDCD; +7CDA : CDCDCDCD; +7CDB : CDCDCDCD; +7CDC : CDCDCDCD; +7CDD : CDCDCDCD; +7CDE : CDCDCDCD; +7CDF : CDCDCDCD; +7CE0 : CDCDCDCD; +7CE1 : CDCDCDCD; +7CE2 : CDCDCDCD; +7CE3 : CDCDCDCD; +7CE4 : CDCDCDCD; +7CE5 : CDCDCDCD; +7CE6 : CDCDCDCD; +7CE7 : CDCDCDCD; +7CE8 : CDCDCDCD; +7CE9 : CDCDCDCD; +7CEA : CDCDCDCD; +7CEB : CDCDCDCD; +7CEC : CDCDCDCD; +7CED : CDCDCDCD; +7CEE : CDCDCDCD; +7CEF : CDCDCDCD; +7CF0 : CDCDCDCD; +7CF1 : CDCDCDCD; +7CF2 : CDCDCDCD; +7CF3 : CDCDCDCD; +7CF4 : CDCDCDCD; +7CF5 : CDCDCDCD; +7CF6 : CDCDCDCD; +7CF7 : CDCDCDCD; +7CF8 : CDCDCDCD; +7CF9 : CDCDCDCD; +7CFA : CDCDCDCD; +7CFB : CDCDCDCD; +7CFC : CDCDCDCD; +7CFD : CDCDCDCD; +7CFE : CDCDCDCD; +7CFF : CDCDCDCD; +7D00 : CDCDCDCD; +7D01 : CDCDCDCD; +7D02 : CDCDCDCD; +7D03 : CDCDCDCD; +7D04 : CDCDCDCD; +7D05 : CDCDCDCD; +7D06 : CDCDCDCD; +7D07 : CDCDCDCD; +7D08 : CDCDCDCD; +7D09 : CDCDCDCD; +7D0A : CDCDCDCD; +7D0B : CDCDCDCD; +7D0C : CDCDCDCD; +7D0D : CDCDCDCD; +7D0E : CDCDCDCD; +7D0F : CDCDCDCD; +7D10 : CDCDCDCD; +7D11 : CDCDCDCD; +7D12 : CDCDCDCD; +7D13 : CDCDCDCD; +7D14 : CDCDCDCD; +7D15 : CDCDCDCD; +7D16 : CDCDCDCD; +7D17 : CDCDCDCD; +7D18 : CDCDCDCD; +7D19 : CDCDCDCD; +7D1A : CDCDCDCD; +7D1B : CDCDCDCD; +7D1C : CDCDCDCD; +7D1D : CDCDCDCD; +7D1E : CDCDCDCD; +7D1F : CDCDCDCD; +7D20 : CDCDCDCD; +7D21 : CDCDCDCD; +7D22 : CDCDCDCD; +7D23 : CDCDCDCD; +7D24 : CDCDCDCD; +7D25 : CDCDCDCD; +7D26 : CDCDCDCD; +7D27 : CDCDCDCD; +7D28 : CDCDCDCD; +7D29 : CDCDCDCD; +7D2A : CDCDCDCD; +7D2B : CDCDCDCD; +7D2C : CDCDCDCD; +7D2D : CDCDCDCD; +7D2E : CDCDCDCD; +7D2F : CDCDCDCD; +7D30 : CDCDCDCD; +7D31 : CDCDCDCD; +7D32 : CDCDCDCD; +7D33 : CDCDCDCD; +7D34 : CDCDCDCD; +7D35 : CDCDCDCD; +7D36 : CDCDCDCD; +7D37 : CDCDCDCD; +7D38 : CDCDCDCD; +7D39 : CDCDCDCD; +7D3A : CDCDCDCD; +7D3B : CDCDCDCD; +7D3C : CDCDCDCD; +7D3D : CDCDCDCD; +7D3E : CDCDCDCD; +7D3F : CDCDCDCD; +7D40 : CDCDCDCD; +7D41 : CDCDCDCD; +7D42 : CDCDCDCD; +7D43 : CDCDCDCD; +7D44 : CDCDCDCD; +7D45 : CDCDCDCD; +7D46 : CDCDCDCD; +7D47 : CDCDCDCD; +7D48 : CDCDCDCD; +7D49 : CDCDCDCD; +7D4A : CDCDCDCD; +7D4B : CDCDCDCD; +7D4C : CDCDCDCD; +7D4D : CDCDCDCD; +7D4E : CDCDCDCD; +7D4F : CDCDCDCD; +7D50 : CDCDCDCD; +7D51 : CDCDCDCD; +7D52 : CDCDCDCD; +7D53 : CDCDCDCD; +7D54 : CDCDCDCD; +7D55 : CDCDCDCD; +7D56 : CDCDCDCD; +7D57 : CDCDCDCD; +7D58 : CDCDCDCD; +7D59 : CDCDCDCD; +7D5A : CDCDCDCD; +7D5B : CDCDCDCD; +7D5C : CDCDCDCD; +7D5D : CDCDCDCD; +7D5E : CDCDCDCD; +7D5F : CDCDCDCD; +7D60 : CDCDCDCD; +7D61 : CDCDCDCD; +7D62 : CDCDCDCD; +7D63 : CDCDCDCD; +7D64 : CDCDCDCD; +7D65 : CDCDCDCD; +7D66 : CDCDCDCD; +7D67 : CDCDCDCD; +7D68 : CDCDCDCD; +7D69 : CDCDCDCD; +7D6A : CDCDCDCD; +7D6B : CDCDCDCD; +7D6C : CDCDCDCD; +7D6D : CDCDCDCD; +7D6E : CDCDCDCD; +7D6F : CDCDCDCD; +7D70 : CDCDCDCD; +7D71 : CDCDCDCD; +7D72 : CDCDCDCD; +7D73 : CDCDCDCD; +7D74 : CDCDCDCD; +7D75 : CDCDCDCD; +7D76 : CDCDCDCD; +7D77 : CDCDCDCD; +7D78 : CDCDCDCD; +7D79 : CDCDCDCD; +7D7A : CDCDCDCD; +7D7B : CDCDCDCD; +7D7C : CDCDCDCD; +7D7D : CDCDCDCD; +7D7E : CDCDCDCD; +7D7F : CDCDCDCD; +7D80 : CDCDCDCD; +7D81 : CDCDCDCD; +7D82 : CDCDCDCD; +7D83 : CDCDCDCD; +7D84 : CDCDCDCD; +7D85 : CDCDCDCD; +7D86 : CDCDCDCD; +7D87 : CDCDCDCD; +7D88 : CDCDCDCD; +7D89 : CDCDCDCD; +7D8A : CDCDCDCD; +7D8B : CDCDCDCD; +7D8C : CDCDCDCD; +7D8D : CDCDCDCD; +7D8E : CDCDCDCD; +7D8F : CDCDCDCD; +7D90 : CDCDCDCD; +7D91 : CDCDCDCD; +7D92 : CDCDCDCD; +7D93 : CDCDCDCD; +7D94 : CDCDCDCD; +7D95 : CDCDCDCD; +7D96 : CDCDCDCD; +7D97 : CDCDCDCD; +7D98 : CDCDCDCD; +7D99 : CDCDCDCD; +7D9A : CDCDCDCD; +7D9B : CDCDCDCD; +7D9C : CDCDCDCD; +7D9D : CDCDCDCD; +7D9E : CDCDCDCD; +7D9F : CDCDCDCD; +7DA0 : CDCDCDCD; +7DA1 : CDCDCDCD; +7DA2 : CDCDCDCD; +7DA3 : CDCDCDCD; +7DA4 : CDCDCDCD; +7DA5 : CDCDCDCD; +7DA6 : CDCDCDCD; +7DA7 : CDCDCDCD; +7DA8 : CDCDCDCD; +7DA9 : CDCDCDCD; +7DAA : CDCDCDCD; +7DAB : CDCDCDCD; +7DAC : CDCDCDCD; +7DAD : CDCDCDCD; +7DAE : CDCDCDCD; +7DAF : CDCDCDCD; +7DB0 : CDCDCDCD; +7DB1 : CDCDCDCD; +7DB2 : CDCDCDCD; +7DB3 : CDCDCDCD; +7DB4 : CDCDCDCD; +7DB5 : CDCDCDCD; +7DB6 : CDCDCDCD; +7DB7 : CDCDCDCD; +7DB8 : CDCDCDCD; +7DB9 : CDCDCDCD; +7DBA : CDCDCDCD; +7DBB : CDCDCDCD; +7DBC : CDCDCDCD; +7DBD : CDCDCDCD; +7DBE : CDCDCDCD; +7DBF : CDCDCDCD; +7DC0 : CDCDCDCD; +7DC1 : CDCDCDCD; +7DC2 : CDCDCDCD; +7DC3 : CDCDCDCD; +7DC4 : CDCDCDCD; +7DC5 : CDCDCDCD; +7DC6 : CDCDCDCD; +7DC7 : CDCDCDCD; +7DC8 : CDCDCDCD; +7DC9 : CDCDCDCD; +7DCA : CDCDCDCD; +7DCB : CDCDCDCD; +7DCC : CDCDCDCD; +7DCD : CDCDCDCD; +7DCE : CDCDCDCD; +7DCF : CDCDCDCD; +7DD0 : CDCDCDCD; +7DD1 : CDCDCDCD; +7DD2 : CDCDCDCD; +7DD3 : CDCDCDCD; +7DD4 : CDCDCDCD; +7DD5 : CDCDCDCD; +7DD6 : CDCDCDCD; +7DD7 : CDCDCDCD; +7DD8 : CDCDCDCD; +7DD9 : CDCDCDCD; +7DDA : CDCDCDCD; +7DDB : CDCDCDCD; +7DDC : CDCDCDCD; +7DDD : CDCDCDCD; +7DDE : CDCDCDCD; +7DDF : CDCDCDCD; +7DE0 : CDCDCDCD; +7DE1 : CDCDCDCD; +7DE2 : CDCDCDCD; +7DE3 : CDCDCDCD; +7DE4 : CDCDCDCD; +7DE5 : CDCDCDCD; +7DE6 : CDCDCDCD; +7DE7 : CDCDCDCD; +7DE8 : CDCDCDCD; +7DE9 : CDCDCDCD; +7DEA : CDCDCDCD; +7DEB : CDCDCDCD; +7DEC : CDCDCDCD; +7DED : CDCDCDCD; +7DEE : CDCDCDCD; +7DEF : CDCDCDCD; +7DF0 : CDCDCDCD; +7DF1 : CDCDCDCD; +7DF2 : CDCDCDCD; +7DF3 : CDCDCDCD; +7DF4 : CDCDCDCD; +7DF5 : CDCDCDCD; +7DF6 : CDCDCDCD; +7DF7 : CDCDCDCD; +7DF8 : CDCDCDCD; +7DF9 : CDCDCDCD; +7DFA : CDCDCDCD; +7DFB : CDCDCDCD; +7DFC : CDCDCDCD; +7DFD : CDCDCDCD; +7DFE : CDCDCDCD; +7DFF : CDCDCDCD; +7E00 : CDCDCDCD; +7E01 : CDCDCDCD; +7E02 : CDCDCDCD; +7E03 : CDCDCDCD; +7E04 : CDCDCDCD; +7E05 : CDCDCDCD; +7E06 : CDCDCDCD; +7E07 : CDCDCDCD; +7E08 : CDCDCDCD; +7E09 : CDCDCDCD; +7E0A : CDCDCDCD; +7E0B : CDCDCDCD; +7E0C : CDCDCDCD; +7E0D : CDCDCDCD; +7E0E : CDCDCDCD; +7E0F : CDCDCDCD; +7E10 : CDCDCDCD; +7E11 : CDCDCDCD; +7E12 : CDCDCDCD; +7E13 : CDCDCDCD; +7E14 : CDCDCDCD; +7E15 : CDCDCDCD; +7E16 : CDCDCDCD; +7E17 : CDCDCDCD; +7E18 : CDCDCDCD; +7E19 : CDCDCDCD; +7E1A : CDCDCDCD; +7E1B : CDCDCDCD; +7E1C : CDCDCDCD; +7E1D : CDCDCDCD; +7E1E : CDCDCDCD; +7E1F : CDCDCDCD; +7E20 : CDCDCDCD; +7E21 : CDCDCDCD; +7E22 : CDCDCDCD; +7E23 : CDCDCDCD; +7E24 : CDCDCDCD; +7E25 : CDCDCDCD; +7E26 : CDCDCDCD; +7E27 : CDCDCDCD; +7E28 : CDCDCDCD; +7E29 : CDCDCDCD; +7E2A : CDCDCDCD; +7E2B : CDCDCDCD; +7E2C : CDCDCDCD; +7E2D : CDCDCDCD; +7E2E : CDCDCDCD; +7E2F : CDCDCDCD; +7E30 : CDCDCDCD; +7E31 : CDCDCDCD; +7E32 : CDCDCDCD; +7E33 : CDCDCDCD; +7E34 : CDCDCDCD; +7E35 : CDCDCDCD; +7E36 : CDCDCDCD; +7E37 : CDCDCDCD; +7E38 : CDCDCDCD; +7E39 : CDCDCDCD; +7E3A : CDCDCDCD; +7E3B : CDCDCDCD; +7E3C : CDCDCDCD; +7E3D : CDCDCDCD; +7E3E : CDCDCDCD; +7E3F : CDCDCDCD; +7E40 : CDCDCDCD; +7E41 : CDCDCDCD; +7E42 : CDCDCDCD; +7E43 : CDCDCDCD; +7E44 : CDCDCDCD; +7E45 : CDCDCDCD; +7E46 : CDCDCDCD; +7E47 : CDCDCDCD; +7E48 : CDCDCDCD; +7E49 : CDCDCDCD; +7E4A : CDCDCDCD; +7E4B : CDCDCDCD; +7E4C : CDCDCDCD; +7E4D : CDCDCDCD; +7E4E : CDCDCDCD; +7E4F : CDCDCDCD; +7E50 : CDCDCDCD; +7E51 : CDCDCDCD; +7E52 : CDCDCDCD; +7E53 : CDCDCDCD; +7E54 : CDCDCDCD; +7E55 : CDCDCDCD; +7E56 : CDCDCDCD; +7E57 : CDCDCDCD; +7E58 : CDCDCDCD; +7E59 : CDCDCDCD; +7E5A : CDCDCDCD; +7E5B : CDCDCDCD; +7E5C : CDCDCDCD; +7E5D : CDCDCDCD; +7E5E : CDCDCDCD; +7E5F : CDCDCDCD; +7E60 : CDCDCDCD; +7E61 : CDCDCDCD; +7E62 : CDCDCDCD; +7E63 : CDCDCDCD; +7E64 : CDCDCDCD; +7E65 : CDCDCDCD; +7E66 : CDCDCDCD; +7E67 : CDCDCDCD; +7E68 : CDCDCDCD; +7E69 : CDCDCDCD; +7E6A : CDCDCDCD; +7E6B : CDCDCDCD; +7E6C : CDCDCDCD; +7E6D : CDCDCDCD; +7E6E : CDCDCDCD; +7E6F : CDCDCDCD; +7E70 : CDCDCDCD; +7E71 : CDCDCDCD; +7E72 : CDCDCDCD; +7E73 : CDCDCDCD; +7E74 : CDCDCDCD; +7E75 : CDCDCDCD; +7E76 : CDCDCDCD; +7E77 : CDCDCDCD; +7E78 : CDCDCDCD; +7E79 : CDCDCDCD; +7E7A : CDCDCDCD; +7E7B : CDCDCDCD; +7E7C : CDCDCDCD; +7E7D : CDCDCDCD; +7E7E : CDCDCDCD; +7E7F : CDCDCDCD; +7E80 : CDCDCDCD; +7E81 : CDCDCDCD; +7E82 : CDCDCDCD; +7E83 : CDCDCDCD; +7E84 : CDCDCDCD; +7E85 : CDCDCDCD; +7E86 : CDCDCDCD; +7E87 : CDCDCDCD; +7E88 : CDCDCDCD; +7E89 : CDCDCDCD; +7E8A : CDCDCDCD; +7E8B : CDCDCDCD; +7E8C : CDCDCDCD; +7E8D : CDCDCDCD; +7E8E : CDCDCDCD; +7E8F : CDCDCDCD; +7E90 : CDCDCDCD; +7E91 : CDCDCDCD; +7E92 : CDCDCDCD; +7E93 : CDCDCDCD; +7E94 : CDCDCDCD; +7E95 : CDCDCDCD; +7E96 : CDCDCDCD; +7E97 : CDCDCDCD; +7E98 : CDCDCDCD; +7E99 : CDCDCDCD; +7E9A : CDCDCDCD; +7E9B : CDCDCDCD; +7E9C : CDCDCDCD; +7E9D : CDCDCDCD; +7E9E : CDCDCDCD; +7E9F : CDCDCDCD; +7EA0 : CDCDCDCD; +7EA1 : CDCDCDCD; +7EA2 : CDCDCDCD; +7EA3 : CDCDCDCD; +7EA4 : CDCDCDCD; +7EA5 : CDCDCDCD; +7EA6 : CDCDCDCD; +7EA7 : CDCDCDCD; +7EA8 : CDCDCDCD; +7EA9 : CDCDCDCD; +7EAA : CDCDCDCD; +7EAB : CDCDCDCD; +7EAC : CDCDCDCD; +7EAD : CDCDCDCD; +7EAE : CDCDCDCD; +7EAF : CDCDCDCD; +7EB0 : CDCDCDCD; +7EB1 : CDCDCDCD; +7EB2 : CDCDCDCD; +7EB3 : CDCDCDCD; +7EB4 : CDCDCDCD; +7EB5 : CDCDCDCD; +7EB6 : CDCDCDCD; +7EB7 : CDCDCDCD; +7EB8 : CDCDCDCD; +7EB9 : CDCDCDCD; +7EBA : CDCDCDCD; +7EBB : CDCDCDCD; +7EBC : CDCDCDCD; +7EBD : CDCDCDCD; +7EBE : CDCDCDCD; +7EBF : CDCDCDCD; +7EC0 : CDCDCDCD; +7EC1 : CDCDCDCD; +7EC2 : CDCDCDCD; +7EC3 : CDCDCDCD; +7EC4 : CDCDCDCD; +7EC5 : CDCDCDCD; +7EC6 : CDCDCDCD; +7EC7 : CDCDCDCD; +7EC8 : CDCDCDCD; +7EC9 : CDCDCDCD; +7ECA : CDCDCDCD; +7ECB : CDCDCDCD; +7ECC : CDCDCDCD; +7ECD : CDCDCDCD; +7ECE : CDCDCDCD; +7ECF : CDCDCDCD; +7ED0 : CDCDCDCD; +7ED1 : CDCDCDCD; +7ED2 : CDCDCDCD; +7ED3 : CDCDCDCD; +7ED4 : CDCDCDCD; +7ED5 : CDCDCDCD; +7ED6 : CDCDCDCD; +7ED7 : CDCDCDCD; +7ED8 : CDCDCDCD; +7ED9 : CDCDCDCD; +7EDA : CDCDCDCD; +7EDB : CDCDCDCD; +7EDC : CDCDCDCD; +7EDD : CDCDCDCD; +7EDE : CDCDCDCD; +7EDF : CDCDCDCD; +7EE0 : CDCDCDCD; +7EE1 : CDCDCDCD; +7EE2 : CDCDCDCD; +7EE3 : CDCDCDCD; +7EE4 : CDCDCDCD; +7EE5 : CDCDCDCD; +7EE6 : CDCDCDCD; +7EE7 : CDCDCDCD; +7EE8 : CDCDCDCD; +7EE9 : CDCDCDCD; +7EEA : CDCDCDCD; +7EEB : CDCDCDCD; +7EEC : CDCDCDCD; +7EED : CDCDCDCD; +7EEE : CDCDCDCD; +7EEF : CDCDCDCD; +7EF0 : CDCDCDCD; +7EF1 : CDCDCDCD; +7EF2 : CDCDCDCD; +7EF3 : CDCDCDCD; +7EF4 : CDCDCDCD; +7EF5 : CDCDCDCD; +7EF6 : CDCDCDCD; +7EF7 : CDCDCDCD; +7EF8 : CDCDCDCD; +7EF9 : CDCDCDCD; +7EFA : CDCDCDCD; +7EFB : CDCDCDCD; +7EFC : CDCDCDCD; +7EFD : CDCDCDCD; +7EFE : CDCDCDCD; +7EFF : CDCDCDCD; +7F00 : CDCDCDCD; +7F01 : CDCDCDCD; +7F02 : CDCDCDCD; +7F03 : CDCDCDCD; +7F04 : CDCDCDCD; +7F05 : CDCDCDCD; +7F06 : CDCDCDCD; +7F07 : CDCDCDCD; +7F08 : CDCDCDCD; +7F09 : CDCDCDCD; +7F0A : CDCDCDCD; +7F0B : CDCDCDCD; +7F0C : CDCDCDCD; +7F0D : CDCDCDCD; +7F0E : CDCDCDCD; +7F0F : CDCDCDCD; +7F10 : CDCDCDCD; +7F11 : CDCDCDCD; +7F12 : CDCDCDCD; +7F13 : CDCDCDCD; +7F14 : CDCDCDCD; +7F15 : CDCDCDCD; +7F16 : CDCDCDCD; +7F17 : CDCDCDCD; +7F18 : CDCDCDCD; +7F19 : CDCDCDCD; +7F1A : CDCDCDCD; +7F1B : CDCDCDCD; +7F1C : CDCDCDCD; +7F1D : CDCDCDCD; +7F1E : CDCDCDCD; +7F1F : CDCDCDCD; +7F20 : CDCDCDCD; +7F21 : CDCDCDCD; +7F22 : CDCDCDCD; +7F23 : CDCDCDCD; +7F24 : CDCDCDCD; +7F25 : CDCDCDCD; +7F26 : CDCDCDCD; +7F27 : CDCDCDCD; +7F28 : CDCDCDCD; +7F29 : CDCDCDCD; +7F2A : CDCDCDCD; +7F2B : CDCDCDCD; +7F2C : CDCDCDCD; +7F2D : CDCDCDCD; +7F2E : CDCDCDCD; +7F2F : CDCDCDCD; +7F30 : CDCDCDCD; +7F31 : CDCDCDCD; +7F32 : CDCDCDCD; +7F33 : CDCDCDCD; +7F34 : CDCDCDCD; +7F35 : CDCDCDCD; +7F36 : CDCDCDCD; +7F37 : CDCDCDCD; +7F38 : CDCDCDCD; +7F39 : CDCDCDCD; +7F3A : CDCDCDCD; +7F3B : CDCDCDCD; +7F3C : CDCDCDCD; +7F3D : CDCDCDCD; +7F3E : CDCDCDCD; +7F3F : CDCDCDCD; +7F40 : CDCDCDCD; +7F41 : CDCDCDCD; +7F42 : CDCDCDCD; +7F43 : CDCDCDCD; +7F44 : CDCDCDCD; +7F45 : CDCDCDCD; +7F46 : CDCDCDCD; +7F47 : CDCDCDCD; +7F48 : CDCDCDCD; +7F49 : CDCDCDCD; +7F4A : CDCDCDCD; +7F4B : CDCDCDCD; +7F4C : CDCDCDCD; +7F4D : CDCDCDCD; +7F4E : CDCDCDCD; +7F4F : CDCDCDCD; +7F50 : CDCDCDCD; +7F51 : CDCDCDCD; +7F52 : CDCDCDCD; +7F53 : CDCDCDCD; +7F54 : CDCDCDCD; +7F55 : CDCDCDCD; +7F56 : CDCDCDCD; +7F57 : CDCDCDCD; +7F58 : CDCDCDCD; +7F59 : CDCDCDCD; +7F5A : CDCDCDCD; +7F5B : CDCDCDCD; +7F5C : CDCDCDCD; +7F5D : CDCDCDCD; +7F5E : CDCDCDCD; +7F5F : CDCDCDCD; +7F60 : CDCDCDCD; +7F61 : CDCDCDCD; +7F62 : CDCDCDCD; +7F63 : CDCDCDCD; +7F64 : CDCDCDCD; +7F65 : CDCDCDCD; +7F66 : CDCDCDCD; +7F67 : CDCDCDCD; +7F68 : CDCDCDCD; +7F69 : CDCDCDCD; +7F6A : CDCDCDCD; +7F6B : CDCDCDCD; +7F6C : CDCDCDCD; +7F6D : CDCDCDCD; +7F6E : CDCDCDCD; +7F6F : CDCDCDCD; +7F70 : CDCDCDCD; +7F71 : CDCDCDCD; +7F72 : CDCDCDCD; +7F73 : CDCDCDCD; +7F74 : CDCDCDCD; +7F75 : CDCDCDCD; +7F76 : CDCDCDCD; +7F77 : CDCDCDCD; +7F78 : CDCDCDCD; +7F79 : CDCDCDCD; +7F7A : CDCDCDCD; +7F7B : CDCDCDCD; +7F7C : CDCDCDCD; +7F7D : CDCDCDCD; +7F7E : CDCDCDCD; +7F7F : CDCDCDCD; +7F80 : CDCDCDCD; +7F81 : CDCDCDCD; +7F82 : CDCDCDCD; +7F83 : CDCDCDCD; +7F84 : CDCDCDCD; +7F85 : CDCDCDCD; +7F86 : CDCDCDCD; +7F87 : CDCDCDCD; +7F88 : CDCDCDCD; +7F89 : CDCDCDCD; +7F8A : CDCDCDCD; +7F8B : CDCDCDCD; +7F8C : CDCDCDCD; +7F8D : CDCDCDCD; +7F8E : CDCDCDCD; +7F8F : CDCDCDCD; +7F90 : CDCDCDCD; +7F91 : CDCDCDCD; +7F92 : CDCDCDCD; +7F93 : CDCDCDCD; +7F94 : CDCDCDCD; +7F95 : CDCDCDCD; +7F96 : CDCDCDCD; +7F97 : CDCDCDCD; +7F98 : CDCDCDCD; +7F99 : CDCDCDCD; +7F9A : CDCDCDCD; +7F9B : CDCDCDCD; +7F9C : CDCDCDCD; +7F9D : CDCDCDCD; +7F9E : CDCDCDCD; +7F9F : CDCDCDCD; +7FA0 : CDCDCDCD; +7FA1 : CDCDCDCD; +7FA2 : CDCDCDCD; +7FA3 : CDCDCDCD; +7FA4 : CDCDCDCD; +7FA5 : CDCDCDCD; +7FA6 : CDCDCDCD; +7FA7 : CDCDCDCD; +7FA8 : CDCDCDCD; +7FA9 : CDCDCDCD; +7FAA : CDCDCDCD; +7FAB : CDCDCDCD; +7FAC : CDCDCDCD; +7FAD : CDCDCDCD; +7FAE : CDCDCDCD; +7FAF : CDCDCDCD; +7FB0 : CDCDCDCD; +7FB1 : CDCDCDCD; +7FB2 : CDCDCDCD; +7FB3 : CDCDCDCD; +7FB4 : CDCDCDCD; +7FB5 : CDCDCDCD; +7FB6 : CDCDCDCD; +7FB7 : CDCDCDCD; +7FB8 : CDCDCDCD; +7FB9 : CDCDCDCD; +7FBA : CDCDCDCD; +7FBB : CDCDCDCD; +7FBC : CDCDCDCD; +7FBD : CDCDCDCD; +7FBE : CDCDCDCD; +7FBF : CDCDCDCD; +7FC0 : CDCDCDCD; +7FC1 : CDCDCDCD; +7FC2 : CDCDCDCD; +7FC3 : CDCDCDCD; +7FC4 : CDCDCDCD; +7FC5 : CDCDCDCD; +7FC6 : CDCDCDCD; +7FC7 : CDCDCDCD; +7FC8 : CDCDCDCD; +7FC9 : CDCDCDCD; +7FCA : CDCDCDCD; +7FCB : CDCDCDCD; +7FCC : CDCDCDCD; +7FCD : CDCDCDCD; +7FCE : CDCDCDCD; +7FCF : CDCDCDCD; +7FD0 : CDCDCDCD; +7FD1 : CDCDCDCD; +7FD2 : CDCDCDCD; +7FD3 : CDCDCDCD; +7FD4 : CDCDCDCD; +7FD5 : CDCDCDCD; +7FD6 : CDCDCDCD; +7FD7 : CDCDCDCD; +7FD8 : CDCDCDCD; +7FD9 : CDCDCDCD; +7FDA : CDCDCDCD; +7FDB : CDCDCDCD; +7FDC : CDCDCDCD; +7FDD : CDCDCDCD; +7FDE : CDCDCDCD; +7FDF : CDCDCDCD; +7FE0 : CDCDCDCD; +7FE1 : CDCDCDCD; +7FE2 : CDCDCDCD; +7FE3 : CDCDCDCD; +7FE4 : CDCDCDCD; +7FE5 : CDCDCDCD; +7FE6 : CDCDCDCD; +7FE7 : CDCDCDCD; +7FE8 : CDCDCDCD; +7FE9 : CDCDCDCD; +7FEA : CDCDCDCD; +7FEB : CDCDCDCD; +7FEC : CDCDCDCD; +7FED : CDCDCDCD; +7FEE : CDCDCDCD; +7FEF : CDCDCDCD; +7FF0 : CDCDCDCD; +7FF1 : CDCDCDCD; +7FF2 : CDCDCDCD; +7FF3 : CDCDCDCD; +7FF4 : CDCDCDCD; +7FF5 : CDCDCDCD; +7FF6 : CDCDCDCD; +7FF7 : CDCDCDCD; +7FF8 : CDCDCDCD; +7FF9 : CDCDCDCD; +7FFA : CDCDCDCD; +7FFB : CDCDCDCD; +7FFC : CDCDCDCD; +7FFD : CDCDCDCD; +7FFE : CDCDCDCD; +7FFF : CDCDCDCD; END; diff --git a/examples/hdl4se_riscv/de1/uart/uart_ctrl.v b/examples/hdl4se_riscv/de1/uart/uart_ctrl.v index 9f3468e75bffbef6ce7fc0236172d837d64f1fc7..ddc23fbbd3b0f4ef27bf30ef8020c6cec1941fad 100644 --- a/examples/hdl4se_riscv/de1/uart/uart_ctrl.v +++ b/examples/hdl4se_riscv/de1/uart/uart_ctrl.v @@ -90,7 +90,8 @@ module uart_ctrl( reg [15:0] lastdiv; reg [15:0] newdiv; - reg [2:0] ctrlstate; + reg [7:0] ctrlstate; + reg [15:0] waitclk; always @(posedge wClk) if (~nwReset) begin uart_read <= 1'b0; @@ -101,6 +102,7 @@ module uart_ctrl( recv_buf_data <= 8'b0; uart_write_data <= 16'b0; ctrlstate <= 0; + waitclk <= 0; lastdiv <= 50000000 / 38400; end else begin uart_read <= 1'b0; @@ -123,7 +125,7 @@ module uart_ctrl( uart_write <= 1'b1; uart_write_data <= newdiv; lastdiv <= newdiv; - ctrlstate <= 4; + ctrlstate <= 1; end end else if (ctrlstate == 1) begin ctrlstate <= 0; @@ -133,8 +135,6 @@ module uart_ctrl( recv_buf_data <= uart_read_data[7:0]; recv_buf_write <= 1'b1; ctrlstate <= 0; - end else if (ctrlstate == 4) begin - ctrlstate <= 0; end end @@ -147,7 +147,7 @@ module uart_ctrl( [16] -- recv buffer empty [26:17] -- recv buffer used */ - assign ctl_state = {5'b0, recv_buf_used, recv_buf_empty, 5'b0, send_buf_used, send_buf_full}; + assign ctl_state = {4'b0, recv_buf_used, recv_buf_full, recv_buf_empty, 4'b0, send_buf_used, send_buf_empty, send_buf_full}; /* ¶ÁÃüÁî´¦Àí */ reg [31:0] readdata; diff --git a/examples/hdl4se_riscv/hdl4se_riscv_sim/hdl4se_riscv.h b/examples/hdl4se_riscv/hdl4se_riscv_sim/hdl4se_riscv.h index 2342c57c52e6a4ea6b6ea890e08f7e99537c53d5..88c1f89e4e9af5eee8b661ec8c670cbf4157348e 100644 --- a/examples/hdl4se_riscv/hdl4se_riscv_sim/hdl4se_riscv.h +++ b/examples/hdl4se_riscv/hdl4se_riscv_sim/hdl4se_riscv.h @@ -51,7 +51,7 @@ DEFINE_GUID(CLSID_HDL4SE_RISCV_RAM, 0xee3409b2, 0x6d04, 0x42b3, 0xa4, 0x4d, 0x7f // {2E577C6B-2FF1-425E-90B3-947EB523B863} DEFINE_GUID(CLSID_HDL4SE_RISCV_REGFILE, 0x2e577c6b, 0x2ff1, 0x425e, 0x90, 0xb3, 0x94, 0x7e, 0xb5, 0x23, 0xb8, 0x63); -#define RAMSIZE 2048 +#define RAMSIZE 32768 #endif diff --git a/examples/hdl4se_riscv/hdl4se_riscv_sim/hdl4se_riscv_core_v3.c b/examples/hdl4se_riscv/hdl4se_riscv_sim/hdl4se_riscv_core_v3.c index 0e5a83f33707b2698c18218deef88640465e6a7a..9c6ac59020606a439e54df5b3660a6bd592bd602 100644 --- a/examples/hdl4se_riscv/hdl4se_riscv_sim/hdl4se_riscv_core_v3.c +++ b/examples/hdl4se_riscv/hdl4se_riscv_sim/hdl4se_riscv_core_v3.c @@ -92,8 +92,8 @@ enum riscv_core_state { RISCVSTATE_INIT_REGX2, RISCVSTATE_READ_INST, RISCVSTATE_READ_REGS, - RISCVSTATE_WRITE_RD, RISCVSTATE_EXEC_INST, + RISCVSTATE_WRITE_RD, RISCVSTATE_WAIT_LD, RISCVSTATE_WAIT_ST, RISCVSTATE_WAIT_DIV @@ -165,6 +165,12 @@ DEFINE_FUNC(riscv_core_gen_ldaddr, "state, pc, instr, regrddata") { imm = sign_expand(imm, 11); rdaddr = rs1 + imm; vput(ldaddr, rdaddr); + if (rdaddr & 3) { + unsigned int func3 = (instr >> 12) & 0x7; + if (func3 == 2) { + printf("read a unaligned addr %08x, %08x, %d, %08x, %08x\n", vget(pc), instr, rdaddr & 3, rs1, imm); + } + } } } } END_DEFINE_FUNC @@ -463,7 +469,7 @@ DEFINE_FUNC(riscv_core_gen_dstreg, "state, instr, ldaddr, readreg, bReadData, pc case 1: v = (v >> 8) & 0xffff; break; case 2: v = (v >> 16) & 0xffff; break; case 3: { - printf("No support for load 16bit in more than one word\n"); + printf("No support for load 16bit in more than one word[pc=%08x, instr=%08x, ldaddr=%d]\n", vget(pc), instr, ldaddr); exit(-8); }break; } @@ -472,7 +478,7 @@ DEFINE_FUNC(riscv_core_gen_dstreg, "state, instr, ldaddr, readreg, bReadData, pc break; case 2:/*lw*/ if (ldaddr != 0) { - printf("No support for load 16bit in more than one word\n"); + printf("No support for load 32bit in more than one word[pc=%08x, instr=%08x, ldaddr=%d]\n", vget(pc), instr, ldaddr); exit(-9); } RISCV_SETDSTREG(readreg, v); @@ -492,7 +498,7 @@ DEFINE_FUNC(riscv_core_gen_dstreg, "state, instr, ldaddr, readreg, bReadData, pc case 1: v = (v >> 8) & 0xffff; break; case 2: v = (v >> 16) & 0xffff; break; case 3: { - printf("No support for load 16bit in more than one word\n"); + printf("No support for load 16bit in more than one word[pc=%08x, instr=%08x, ldaddr=%d]\n", vget(pc), instr, ldaddr); exit(-8); }break; } diff --git a/examples/hdl4se_riscv/hdl4se_riscv_sim/hdl4se_riscv_ram8k.c b/examples/hdl4se_riscv/hdl4se_riscv_sim/hdl4se_riscv_ram8k.c index b9eda40b30f741f3d0fd602ccac219d9de56f59e..6d75af965d80ed67e69696802f0e3b875f11c0f0 100644 --- a/examples/hdl4se_riscv/hdl4se_riscv_sim/hdl4se_riscv_ram8k.c +++ b/examples/hdl4se_riscv/hdl4se_riscv_sim/hdl4se_riscv_ram8k.c @@ -133,7 +133,8 @@ DEFINE_FUNC(riscv_ram_setup, "") { | (pobj->rambyteena & 2 ? 0x0000ff00 : 0) | (pobj->rambyteena & 4 ? 0x00ff0000 : 0) | (pobj->rambyteena & 8 ? 0xff000000 : 0); - pobj->ram[pobj->ramaddr] = (pobj->ram[pobj->ramaddr] & (~mask)) + if (pobj->ramaddr < RAMSIZE) + pobj->ram[pobj->ramaddr] = (pobj->ram[pobj->ramaddr] & (~mask)) | (pobj->ramwrdata & mask); } pobj->ramwren = 0; @@ -158,28 +159,19 @@ static int loadExecImage(unsigned char* data, int maxlen) } else { int len; + int i; + unsigned int temp[16]; if (addr >= maxlen - 16) { printf("loadExecImage failed, address [%08x] overflow\n", addr); exit(-5); } len = sscanf(line, "%02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X", - (unsigned int*)(data + addr + 0), - (unsigned int*)(data + addr + 1), - (unsigned int*)(data + addr + 2), - (unsigned int*)(data + addr + 3), - (unsigned int*)(data + addr + 4), - (unsigned int*)(data + addr + 5), - (unsigned int*)(data + addr + 6), - (unsigned int*)(data + addr + 7), - (unsigned int*)(data + addr + 8), - (unsigned int*)(data + addr + 9), - (unsigned int*)(data + addr + 10), - (unsigned int*)(data + addr + 11), - (unsigned int*)(data + addr + 12), - (unsigned int*)(data + addr + 13), - (unsigned int*)(data + addr + 14), - (unsigned int*)(data + addr + 15) - ); + &temp[0], &temp[1], &temp[2], &temp[3], + &temp[4], &temp[5], &temp[6], &temp[7], + &temp[8], &temp[9], &temp[10], &temp[11], + &temp[12], &temp[13], &temp[14], &temp[15]); + for (i = 0; i < len; i++) + data[addr + i] = temp[i]; addr += len; } } @@ -218,11 +210,11 @@ MODULE_INIT(riscv_ram) pobj->ramwren = 0; PORT_IN(clock, 1); PORT_IN(wren, 1); - PORT_IN(address, 11); + PORT_IN(address, 30); PORT_IN(data, 32); PORT_IN(byteena, 4); GPORT_OUT(q, 32, riscv_ram_gen_q); - REG(lastaddr, 11); + REG(lastaddr, 30); CLKTICK_FUNC(riscv_ram_clktick); SETUP_FUNC(riscv_ram_setup); DEINIT_FUNC(riscv_ram_deinit); diff --git a/examples/hdl4se_riscv/hdl4se_riscv_sim/main_v2.c b/examples/hdl4se_riscv/hdl4se_riscv_sim/main_v2.c index b8c5307f2b3aa83762ad4709798ce0ad3197fcd7..4306f8d01729b41600e70b86b9bb1af3c9e07afe 100644 --- a/examples/hdl4se_riscv/hdl4se_riscv_sim/main_v2.c +++ b/examples/hdl4se_riscv/hdl4se_riscv_sim/main_v2.c @@ -99,6 +99,7 @@ int main(int argc, char* argv[]) objectCall2(vcdfile, AddSignal, "/top/core", "instr"); objectCall2(vcdfile, AddSignal, "/top/core", "state"); objectCall2(vcdfile, AddSignal, "/top/core", "wRead"); + objectCall2(vcdfile, AddSignal, "/top/core", "bReadAddr"); objectCall2(vcdfile, AddSignal, "/top/core", "bReadData"); objectCall2(vcdfile, AddSignal, "/top/core", "wWrite"); objectCall2(vcdfile, AddSignal, "/top/core", "bWriteAddr"); diff --git a/examples/hdl4se_riscv/hdl4se_riscv_sim/riscv_sim_main_v3.c b/examples/hdl4se_riscv/hdl4se_riscv_sim/riscv_sim_main_v3.c index 509d5d5ecb394a459dc630f71a9777d77dc1010b..afdf79c9f3a3d929fa4e53c760c725dac1378e08 100644 --- a/examples/hdl4se_riscv/hdl4se_riscv_sim/riscv_sim_main_v3.c +++ b/examples/hdl4se_riscv/hdl4se_riscv_sim/riscv_sim_main_v3.c @@ -31,7 +31,7 @@ /* -* Created by HDL4SE @ Sun Aug 29 17:30:02 2021 +* Created by HDL4SE @ Mon Aug 30 13:49:51 2021 * Don't edit it. */ @@ -59,6 +59,7 @@ IDLIST VID(regrddata), VID(regrddata2), VID(ram_dot_byteena), /* port:ram(ram8kb).byteena, 1 */ + VID(ram_dot_wren), /* port:ram(ram8kb).wren, 4 */ VID(bReadDataRam), VID(bReadDataKey), VID(wWrite), @@ -86,24 +87,29 @@ DEFINE_FUNC(top_gen_ram_dot_byteena, "bWriteMask, ") { /* port:ram(ram8kb).bytee vputs(ram_dot_byteena, ~(vgets(bWriteMask))); } END_DEFINE_FUNC +DEFINE_FUNC(top_gen_ram_dot_wren, "bWriteAddr, wWrite, ") { /* port:ram(ram8kb).wren, 4 */ + vputs(ram_dot_wren, (((vgets(bWriteAddr)) & (0xff000000)) == (0))?(vgets(wWrite)):(0)); +} END_DEFINE_FUNC + DEFINE_FUNC(top_gen_bReadData, "bReadAddr_out, bReadDataKey, bReadDataRam, ") { - vputs(bReadData, (((vgets(bReadAddr_out)) & (0xffffff00)) == (0xf0000000))?(vgets(bReadDataKey)):((((vgets(bReadAddr_out)) & (0xffffc000)) == (0))?(vgets(bReadDataRam)):(0xffffffff))); + vputs(bReadData, (((vgets(bReadAddr_out)) & (0xffffff00)) == (0xf0000000))?(vgets(bReadDataKey)):((((vgets(bReadAddr_out)) & (0xff000000)) == (0))?(vgets(bReadDataRam)):(0xffffffff))); } END_DEFINE_FUNC DEFINE_FUNC(top_gen_ramaddr, "wWrite, bWriteAddr, bReadAddr, ") { - vputs(ramaddr, (vgets(wWrite))?((vget(bWriteAddr) >> 2) & 0x7ff):((vget(bReadAddr) >> 2) & 0x7ff)); + vputs(ramaddr, (vgets(wWrite))?((vget(bWriteAddr) >> 2) & 0x3fffffff):((vget(bReadAddr) >> 2) & 0x3fffffff)); } END_DEFINE_FUNC GEN_MODULE_INIT PORT_IN (wClk, 1); PORT_IN (nwReset, 1); WIRE(bReadData, 32); - WIRE(ramaddr, 11); + WIRE(ramaddr, 30); WIRE(wRead_out, 1); WIRE(bReadAddr_out, 32); WIRE(regrddata, 32); WIRE(regrddata2, 32); WIRE(ram_dot_byteena, 1); + WIRE(ram_dot_wren, 1); WIRE(bReadDataRam, 32); WIRE(bReadDataKey, 32); WIRE(wWrite, 1); @@ -139,7 +145,7 @@ GEN_MODULE_INIT CELL_INST("EE3409B2-6D04-42B3-A44D-7F2444DDC00D", /* ram8kb */ "ram", "", - "ramaddr, ram_dot_byteena, wClk, bWriteData, wWrite, bReadDataRam"); + "ramaddr, ram_dot_byteena, wClk, bWriteData, ram_dot_wren, bReadDataRam"); CELL_INST("2925e2cf-dd49-4155-b31d-41d48f0f98dc", /* digitled */ "led", "", @@ -151,6 +157,7 @@ GEN_MODULE_INIT ", regno, regena, regwrdata, regwren, regrddata, regno2, regena2, regwrdata2, regwren2" ", regrddata2"); GEN_FUNC("ram_dot_byteena", top_gen_ram_dot_byteena); + GEN_FUNC("ram_dot_wren", top_gen_ram_dot_wren); GEN_FUNC("bReadData", top_gen_bReadData); GEN_FUNC("ramaddr", top_gen_ramaddr); END_GEN_MODULE_INIT diff --git a/examples/hdl4se_riscv/test_code/main_v2.c b/examples/hdl4se_riscv/test_code/main_v2.c index 0c841cbd1662910fdf3a8120a88338b12460b64d..d0c7a72d968fbdcce52fa47c3dbb3e29629ed32a 100644 --- a/examples/hdl4se_riscv/test_code/main_v2.c +++ b/examples/hdl4se_riscv/test_code/main_v2.c @@ -1,5 +1,4 @@ -#include #define UARTADDRESS (unsigned int *)0xf0000100 /* @@ -11,24 +10,26 @@ [16] -- recv buffer empty [26:17] -- recv buffer used */ -/* -int _write(int fd, char* buf, int len) + + +int uart_write(char* buf, int len) { + volatile int j; volatile unsigned int* uart = UARTADDRESS; unsigned int state; int i; i = 0; while (i < len) { state = uart[2]; - if ((state & 1) == 0) { - uart[1] = (unsigned int)(unsigned char)buf[i]; + if ((state & 2) != 0) { + uart[1] = buf[i]; i++; } } return len; } -int _read(int fd, char* buf, int len) +int uart_read(char* buf, int len) { volatile unsigned int* uart = UARTADDRESS; unsigned int state; @@ -38,20 +39,21 @@ int _read(int fd, char* buf, int len) i = 0; do { state = uart[2]; - if ( (state & (1 << 16)) == 0) { + if ((state & (1 << 16)) == 0) { state >>= 17; - if (state == 0) - continue; if (len > state) len = state; - for (i = 0; i < len; i++) { - buf[i] = uart[0]; + for (i = 0; i < len; i++) { + buf[i] = uart[0]; } } + else { + return 0; + } } while (i == 0); return i; } -*/ + const unsigned int segcode[10] = { 0x3F, @@ -71,10 +73,51 @@ unsigned int num2seg(unsigned int num) return segcode[num % 10]; } +int int2s(char* buf, int num) +{ + int i; + int len; + int sign; + len = 0; + sign = 0; + if (num < 0) { + num = -num; + sign = 0; + } + while (num > 0) { + buf[len++] = (num % 10) + '0'; + num /= 10; + } + if (sign) + buf[len++] = '-'; + for (i = 0; i < len / 2; i++) { + int di = len - 1 - i; + char temp; + temp = buf[i]; + buf[i] = buf[di]; + buf[di] = temp; + } + buf[len] = 0; + return len; +} + +int _strcat(char* s, const char* t) +{ + char* ss = s; + while (*s) + s++; + while (*t) + *s++ = *t++; + *s++ = 0; + return s - ss; +} + int main(int argc, char* argv[]) { unsigned long long count, ctemp; + long recvcount, recvcountseg; int countit = 1; + volatile unsigned int* ledkey = (unsigned int*)0xF0000000; volatile unsigned int* leddata = (unsigned int*)0xf0000010; volatile unsigned int* uart = UARTADDRESS; @@ -82,27 +125,27 @@ int main(int argc, char* argv[]) leddata[0] = 0x6f7f077d; leddata[1] = 0x6d664f5b; uart[4] = 50000000 / 115200; + recvcount = 0; + recvcountseg = 0; do { unsigned int key; - - unsigned int uartstate; -#if 0 - uartstate = uart[2]; - if (uartstate & 0x80) { /*rrdy*/ - uart[1] = uart[0]; /* writeback */ - uart[2] = 0; - continue; + char buf[256]; + char* msg = "Hello, World!"; + int len; +#if 1 + len = uart_read(buf, 255); + if (len > 0) { + uart_write(buf, len); + recvcount += len; + recvcountseg += len; } -#else - uartstate = uart[2]; - if ((uartstate & 0x10000) == 0) { - unsigned int uartdata; - uartdata = uart[0]; - if (uartdata & 0x80000000) { - uart[1] = '#'; - } - uart[1] = uartdata; - continue; + if (recvcountseg >= 1000) { + int i; + int len; + len = int2s(buf, recvcount); + len = _strcat(buf, " bytes received\r\n"); + uart_write(buf, len); + recvcountseg = 0; } #endif key = *ledkey; @@ -126,7 +169,7 @@ int main(int argc, char* argv[]) if (countit) count++; - ctemp = count; + ctemp = recvcountseg;//count; leddata[0] = num2seg(ctemp) | ((num2seg(ctemp / 10ll)) << 8) | ((num2seg(ctemp / 100ll)) << 16) | diff --git a/examples/hdl4se_riscv/test_code/test.cod b/examples/hdl4se_riscv/test_code/test.cod index aaa0c5a9dacf72f2d009406aedbe7808f10d63b7..fac2c765c5347f02f6c737d5b27c84a8aae0ca34 100755 --- a/examples/hdl4se_riscv/test_code/test.cod +++ b/examples/hdl4se_riscv/test_code/test.cod @@ -1,230 +1,291 @@ @00000074 -93 07 00 00 63 88 07 00 37 15 00 00 13 05 05 C9 -6F 00 90 46 67 80 00 00 97 11 00 00 93 81 C1 7A -13 85 41 C4 13 86 01 C6 33 06 A6 40 93 05 00 00 -EF 00 10 1F 17 15 00 00 13 05 45 C4 63 08 05 00 -17 15 00 00 13 05 C5 BD EF 00 10 43 EF 00 90 13 -03 25 01 00 93 05 41 00 13 06 00 00 EF 00 C0 0A -6F 00 50 0F 13 01 01 FF 23 24 81 00 83 C7 41 C4 +93 07 00 00 63 88 07 00 37 15 00 00 13 05 85 03 +6F 10 00 01 67 80 00 00 97 31 00 00 93 81 41 A7 +13 85 41 C3 13 86 01 C5 33 06 A6 40 93 05 00 00 +EF 00 90 59 17 15 00 00 13 05 C5 FE 63 08 05 00 +17 15 00 00 13 05 45 F8 EF 00 90 7D EF 00 10 4E +03 25 01 00 93 05 41 00 13 06 00 00 EF 00 00 40 +6F 00 D0 49 13 01 01 FF 23 24 81 00 83 C7 41 C3 23 26 11 00 63 92 07 02 93 07 00 00 63 8A 07 00 -37 15 00 00 13 05 05 00 97 00 00 00 E7 00 00 00 -93 07 10 00 23 82 F1 C4 83 20 C1 00 03 24 81 00 +37 25 00 00 13 05 45 2C 97 00 00 00 E7 00 00 00 +93 07 10 00 23 8A F1 C2 83 20 C1 00 03 24 81 00 13 01 01 01 67 80 00 00 93 07 00 00 63 8C 07 00 -37 15 00 00 93 85 81 C4 13 05 05 00 17 03 00 00 -67 00 00 00 67 80 00 00 13 01 01 FE 23 2E 81 00 -13 04 01 02 23 26 A4 FE 03 27 C4 FE 93 07 A0 00 -B3 77 F7 02 17 17 00 00 03 27 47 31 93 97 27 00 -B3 07 F7 00 83 A7 07 00 13 85 07 00 03 24 C1 01 -13 01 01 02 67 80 00 00 13 01 01 FB 23 26 11 04 -23 24 81 04 23 22 91 04 13 04 01 05 23 2E A4 FA -23 2C B4 FA 93 07 10 00 23 22 F4 FE B7 07 00 F0 -23 20 F4 FE B7 07 00 F0 93 87 07 01 23 2E F4 FC -B7 07 00 F0 93 87 07 10 23 2C F4 FC 93 07 00 00 -13 08 00 00 23 24 F4 FE 23 26 04 FF 83 27 C4 FD -37 07 7F 6F 13 07 D7 77 23 A0 E7 00 83 27 C4 FD -93 87 47 00 37 57 66 6D 13 07 B7 F5 23 A0 E7 00 -83 27 84 FD 93 87 07 01 13 07 20 1B 23 A0 E7 00 -83 27 84 FD 93 87 87 00 83 A7 07 00 23 2A F4 FC -03 27 44 FD B7 07 01 00 B3 77 F7 00 63 9E 07 02 -83 27 84 FD 83 A7 07 00 23 22 F4 FC 83 27 44 FC -63 DA 07 00 83 27 84 FD 93 87 47 00 13 07 30 02 -23 A0 E7 00 83 27 84 FD 93 87 47 00 03 27 44 FC -23 A0 E7 00 6F 00 C0 33 83 27 04 FE 83 A7 07 00 -23 28 F4 FC 83 27 04 FD 93 F7 17 00 63 8C 07 00 +37 25 00 00 93 85 81 C3 13 05 45 2C 17 03 00 00 +67 00 00 00 67 80 00 00 13 01 01 FD 23 26 81 02 +13 04 01 03 23 2E A4 FC 23 2C B4 FC B7 07 00 F0 +93 87 07 10 23 24 F4 FE 23 26 04 FE 6F 00 80 04 +83 27 84 FE 93 87 87 00 83 A7 07 00 23 22 F4 FE +83 27 44 FE 93 F7 27 00 63 86 07 02 83 27 C4 FE +03 27 C4 FD B3 07 F7 00 03 C7 07 00 83 27 84 FE +93 87 47 00 23 A0 E7 00 83 27 C4 FE 93 87 17 00 +23 26 F4 FE 03 27 C4 FE 83 27 84 FD E3 4A F7 FA +83 27 84 FD 13 85 07 00 03 24 C1 02 13 01 01 03 +67 80 00 00 13 01 01 FD 23 26 81 02 13 04 01 03 +23 2E A4 FC 23 2C B4 FC B7 07 00 F0 93 87 07 10 +23 24 F4 FE 83 27 84 FD 63 46 F0 00 93 07 00 00 +6F 00 C0 09 23 26 04 FE 83 27 84 FE 93 87 87 00 +83 A7 07 00 23 22 F4 FE 03 27 44 FE B7 07 01 00 +B3 77 F7 00 63 92 07 06 83 27 44 FE 93 D7 17 01 +23 22 F4 FE 83 27 84 FD 03 27 44 FE 63 76 F7 00 +83 27 44 FE 23 2C F4 FC 23 26 04 FE 6F 00 C0 02 +83 27 84 FE 83 A6 07 00 83 27 C4 FE 03 27 C4 FD +B3 07 F7 00 13 F7 F6 0F 23 80 E7 00 83 27 C4 FE +93 87 17 00 23 26 F4 FE 03 27 C4 FE 83 27 84 FD +E3 48 F7 FC 6F 00 C0 00 93 07 00 00 6F 00 00 01 +83 27 C4 FE E3 8A 07 F6 83 27 C4 FE 13 85 07 00 +03 24 C1 02 13 01 01 03 67 80 00 00 13 01 01 FE +23 2E 81 00 13 04 01 02 23 26 A4 FE 03 27 C4 FE +93 07 A0 00 B3 77 F7 02 37 17 00 00 13 07 87 17 +93 97 27 00 B3 07 F7 00 83 A7 07 00 13 85 07 00 +03 24 C1 01 13 01 01 02 67 80 00 00 13 01 01 FC +23 2E 81 02 13 04 01 04 23 26 A4 FC 23 24 B4 FC +23 24 04 FE 23 22 04 FE 83 27 84 FC 63 DE 07 04 +83 27 84 FC B3 07 F0 40 23 24 F4 FC 23 22 04 FE +6F 00 80 04 03 27 84 FC 93 07 A0 00 B3 67 F7 02 +13 F7 F7 0F 83 27 84 FE 93 86 17 00 23 24 D4 FE +93 86 07 00 83 27 C4 FC B3 87 D7 00 13 07 07 03 +13 77 F7 0F 23 80 E7 00 03 27 84 FC 93 07 A0 00 +B3 47 F7 02 23 24 F4 FC 83 27 84 FC E3 4C F0 FA +83 27 44 FE 63 82 07 02 83 27 84 FE 13 87 17 00 +23 24 E4 FE 13 87 07 00 83 27 C4 FC B3 87 E7 00 +13 07 D0 02 23 80 E7 00 23 26 04 FE 6F 00 C0 06 +83 27 84 FE 13 87 F7 FF 83 27 C4 FE B3 07 F7 40 +23 20 F4 FE 83 27 C4 FE 03 27 C4 FC B3 07 F7 00 +83 C7 07 00 A3 0F F4 FC 83 27 04 FE 03 27 C4 FC +33 07 F7 00 83 27 C4 FE 83 26 C4 FC B3 87 F6 00 +03 47 07 00 23 80 E7 00 83 27 04 FE 03 27 C4 FC +B3 07 F7 00 03 47 F4 FD 23 80 E7 00 83 27 C4 FE +93 87 17 00 23 26 F4 FE 83 27 84 FE 13 D7 F7 01 +B3 07 F7 00 93 D7 17 40 13 87 07 00 83 27 C4 FE +E3 C0 E7 F8 83 27 84 FE 03 27 C4 FC B3 07 F7 00 +23 80 07 00 83 27 84 FE 13 85 07 00 03 24 C1 03 +13 01 01 04 67 80 00 00 13 01 01 FD 23 26 81 02 +13 04 01 03 23 2E A4 FC 23 2C B4 FC 83 27 C4 FD +23 26 F4 FE 6F 00 00 01 83 27 C4 FD 93 87 17 00 +23 2E F4 FC 83 27 C4 FD 83 C7 07 00 E3 96 07 FE +6F 00 40 02 03 27 84 FD 93 07 17 00 23 2C F4 FC +83 27 C4 FD 93 86 17 00 23 2E D4 FC 03 47 07 00 +23 80 E7 00 83 27 84 FD 83 C7 07 00 E3 9C 07 FC +83 27 C4 FD 13 87 17 00 23 2E E4 FC 23 80 07 00 +03 27 C4 FD 83 27 C4 FE B3 07 F7 40 13 85 07 00 +03 24 C1 02 13 01 01 03 67 80 00 00 13 01 01 EA +23 2E 11 14 23 2C 81 14 23 2A 91 14 13 04 01 16 +23 26 A4 EA 23 24 B4 EA 93 07 10 00 23 2E F4 FC +B7 07 00 F0 23 2C F4 FC B7 07 00 F0 93 87 07 01 +23 2A F4 FC B7 07 00 F0 93 87 07 10 23 28 F4 FC 93 07 00 00 13 08 00 00 23 24 F4 FE 23 26 04 FF -6F 00 40 07 83 27 04 FD 93 F7 27 00 63 86 07 00 -23 22 04 FE 6F 00 00 06 83 27 04 FD 93 F7 47 00 -63 88 07 00 93 07 10 00 23 22 F4 FE 6F 00 80 04 -83 27 04 FD 93 F7 87 00 63 8C 07 00 83 27 84 FD -93 87 07 01 13 07 20 1B 23 A0 E7 00 6F 00 40 2C -83 27 04 FD 93 F7 07 01 63 8E 07 00 83 27 84 FD -93 87 07 01 37 17 00 00 13 07 87 45 23 A0 E7 00 -6F 00 00 2A 83 27 44 FE 63 8A 07 02 03 26 84 FE -83 26 C4 FE 13 05 10 00 93 05 00 00 33 07 A6 00 -13 08 07 00 33 38 C8 00 B3 87 B6 00 B3 06 F8 00 -93 87 06 00 23 24 E4 FE 23 26 F4 FE 03 27 84 FE -83 27 C4 FE 23 24 E4 FC 23 26 F4 FC 83 27 84 FC -13 85 07 00 EF F0 5F DF 93 04 05 00 03 27 84 FC -83 27 C4 FC 13 06 A0 00 93 06 00 00 13 05 07 00 -93 85 07 00 EF 00 00 23 13 07 05 00 93 87 05 00 -93 07 07 00 13 85 07 00 EF F0 1F DC 93 07 05 00 -93 97 87 00 B3 E4 F4 00 03 27 84 FC 83 27 C4 FC -13 06 40 06 93 06 00 00 13 05 07 00 93 85 07 00 -EF 00 40 1F 13 07 05 00 93 87 05 00 93 07 07 00 -13 85 07 00 EF F0 5F D8 93 07 05 00 93 97 07 01 -B3 E4 F4 00 03 27 84 FC 83 27 C4 FC 13 06 80 3E -93 06 00 00 13 05 07 00 93 85 07 00 EF 00 80 1B +83 27 44 FD 37 07 7F 6F 13 07 D7 77 23 A0 E7 00 +83 27 44 FD 93 87 47 00 37 57 66 6D 13 07 B7 F5 +23 A0 E7 00 83 27 04 FD 93 87 07 01 13 07 20 1B +23 A0 E7 00 23 22 04 FE 23 20 04 FE B7 17 00 00 +93 87 07 1A 23 26 F4 FC 93 07 84 EB 93 05 F0 0F +13 85 07 00 EF F0 1F C5 23 24 A4 FC 83 27 84 FC +63 5A F0 02 93 07 84 EB 83 25 84 FC 13 85 07 00 +EF F0 9F BA 03 27 44 FE 83 27 84 FC B3 07 F7 00 +23 22 F4 FE 03 27 04 FE 83 27 84 FC B3 07 F7 00 +23 20 F4 FE 03 27 04 FE 93 07 70 3E 63 D2 E7 04 +93 07 84 EB 83 25 44 FE 13 85 07 00 EF F0 1F D1 +23 22 A4 FC 13 07 84 EB B7 17 00 00 93 85 07 1B +13 05 07 00 EF F0 5F E5 23 22 A4 FC 93 07 84 EB +83 25 44 FC 13 85 07 00 EF F0 1F B4 23 20 04 FE +83 27 84 FD 83 A7 07 00 23 20 F4 FC 83 27 04 FC +93 F7 17 00 63 8C 07 00 93 07 00 00 13 08 00 00 +23 24 F4 FE 23 26 04 FF 6F 00 40 07 83 27 04 FC +93 F7 27 00 63 86 07 00 23 2E 04 FC 6F 00 00 06 +83 27 04 FC 93 F7 47 00 63 88 07 00 93 07 10 00 +23 2E F4 FC 6F 00 80 04 83 27 04 FC 93 F7 87 00 +63 8C 07 00 83 27 04 FD 93 87 07 01 13 07 20 1B +23 A0 E7 00 6F 00 40 2C 83 27 04 FC 93 F7 07 01 +63 8E 07 00 83 27 04 FD 93 87 07 01 37 17 00 00 +13 07 87 45 23 A0 E7 00 6F 00 00 2A 83 27 C4 FD +63 8A 07 02 03 26 84 FE 83 26 C4 FE 13 05 10 00 +93 05 00 00 33 07 A6 00 13 08 07 00 33 38 C8 00 +B3 87 B6 00 B3 06 F8 00 93 87 06 00 23 24 E4 FE +23 26 F4 FE 83 27 04 FE 23 2C F4 FA 93 D7 F7 41 +23 2E F4 FA 83 27 84 FB 13 85 07 00 EF F0 1F BB +93 04 05 00 03 27 84 FB 83 27 C4 FB 13 06 A0 00 +93 06 00 00 13 05 07 00 93 85 07 00 EF 00 00 23 13 07 05 00 93 87 05 00 93 07 07 00 13 85 07 00 -EF F0 9F D4 93 07 05 00 93 97 87 01 33 E7 F4 00 -83 27 C4 FD 23 A0 E7 00 03 27 84 FC 83 27 C4 FC -37 26 00 00 13 06 06 71 93 06 00 00 13 05 07 00 -93 85 07 00 EF 00 00 17 13 07 05 00 93 87 05 00 -23 24 E4 FC 23 26 F4 FC 83 27 84 FC 13 85 07 00 -EF F0 9F CF 93 04 05 00 03 27 84 FC 83 27 C4 FC -13 06 A0 00 93 06 00 00 13 05 07 00 93 85 07 00 -EF 00 40 13 13 07 05 00 93 87 05 00 93 07 07 00 -13 85 07 00 EF F0 5F CC 93 07 05 00 93 97 87 00 -B3 E4 F4 00 03 27 84 FC 83 27 C4 FC 13 06 40 06 -93 06 00 00 13 05 07 00 93 85 07 00 EF 00 80 0F -13 07 05 00 93 87 05 00 93 07 07 00 13 85 07 00 -EF F0 9F C8 93 07 05 00 93 97 07 01 B3 E4 F4 00 -03 27 84 FC 83 27 C4 FC 13 06 80 3E 93 06 00 00 -13 05 07 00 93 85 07 00 EF 00 C0 0B 13 07 05 00 -93 87 05 00 93 07 07 00 13 85 07 00 EF F0 DF C4 -93 07 05 00 13 97 87 01 83 27 C4 FD 93 87 47 00 -33 E7 E4 00 23 A0 E7 00 03 27 84 FC 83 27 C4 FC -37 26 00 00 13 06 06 71 93 06 00 00 13 05 07 00 -93 85 07 00 EF 00 00 07 13 07 05 00 93 87 05 00 -23 24 E4 FC 23 26 F4 FC 83 27 84 FC 13 85 07 00 -EF F0 9F BF 93 04 05 00 03 27 84 FC 83 27 C4 FC -13 06 A0 00 93 06 00 00 13 05 07 00 93 85 07 00 -EF 00 40 03 13 07 05 00 93 87 05 00 93 07 07 00 -13 85 07 00 EF F0 5F BC 93 07 05 00 13 97 87 00 -83 27 C4 FD 93 87 87 00 33 E7 E4 00 23 A0 E7 00 -6F F0 1F C7 13 03 05 00 93 88 05 00 13 07 06 00 -13 08 05 00 93 87 05 00 63 92 06 28 B7 15 00 00 -93 85 85 DF 63 F6 C8 0E B7 06 01 00 63 78 D6 0C -93 36 06 10 93 C6 16 00 93 96 36 00 33 55 D6 00 -B3 85 A5 00 83 C5 05 00 13 05 00 02 B3 86 D5 00 -B3 05 D5 40 63 0C D5 00 B3 97 B8 00 B3 56 D3 00 -33 17 B6 00 B3 E7 F6 00 33 18 B3 00 93 55 07 01 -33 D3 B7 02 13 16 07 01 13 56 06 01 B3 F7 B7 02 -13 05 03 00 B3 08 66 02 93 96 07 01 93 57 08 01 -B3 E7 D7 00 63 FE 17 01 B3 87 E7 00 13 05 F3 FF -63 E8 E7 00 63 F6 17 01 13 05 E3 FF B3 87 E7 00 -B3 87 17 41 B3 D8 B7 02 13 18 08 01 13 58 08 01 -B3 F7 B7 02 B3 06 16 03 93 97 07 01 33 68 F8 00 -93 87 08 00 63 7C D8 00 33 08 07 01 93 87 F8 FF -63 66 E8 00 63 74 D8 00 93 87 E8 FF 13 15 05 01 -33 65 F5 00 93 05 00 00 67 80 00 00 37 05 00 01 -93 06 00 01 E3 6C A6 F2 93 06 80 01 6F F0 1F F3 -63 14 06 00 73 00 10 00 B7 07 01 00 63 70 F6 0C -93 36 06 10 93 C6 16 00 93 96 36 00 B3 57 D6 00 -B3 85 F5 00 83 C7 05 00 B3 87 D7 00 93 06 00 02 -B3 85 F6 40 63 96 F6 0A B3 87 C8 40 93 05 10 00 -93 58 07 01 33 DE 17 03 13 16 07 01 13 56 06 01 -93 56 08 01 B3 F7 17 03 13 05 0E 00 33 03 C6 03 -93 97 07 01 B3 E7 F6 00 63 FE 67 00 B3 87 E7 00 -13 05 FE FF 63 E8 E7 00 63 F6 67 00 13 05 EE FF -B3 87 E7 00 B3 87 67 40 33 D3 17 03 13 18 08 01 -13 58 08 01 B3 F7 17 03 B3 06 66 02 93 97 07 01 -33 68 F8 00 93 07 03 00 63 7C D8 00 33 08 07 01 -93 07 F3 FF 63 66 E8 00 63 74 D8 00 93 07 E3 FF -13 15 05 01 33 65 F5 00 67 80 00 00 B7 07 00 01 -93 06 00 01 E3 64 F6 F4 93 06 80 01 6F F0 1F F4 -33 17 B6 00 B3 D6 F8 00 13 55 07 01 B3 57 F3 00 -33 18 B3 00 33 D3 A6 02 13 16 07 01 B3 98 B8 00 -13 56 06 01 B3 E7 17 01 B3 F6 A6 02 B3 08 66 02 -93 95 06 01 93 D6 07 01 B3 E6 B6 00 93 05 03 00 -63 FE 16 01 B3 86 E6 00 93 05 F3 FF 63 E8 E6 00 -63 F6 16 01 93 05 E3 FF B3 86 E6 00 B3 86 16 41 -B3 D8 A6 02 93 97 07 01 93 D7 07 01 B3 F6 A6 02 -33 06 16 03 93 96 06 01 B3 E7 D7 00 93 86 08 00 -63 FE C7 00 B3 87 E7 00 93 86 F8 FF 63 E8 E7 00 -63 F6 C7 00 93 86 E8 FF B3 87 E7 00 93 95 05 01 -B3 87 C7 40 B3 E5 D5 00 6F F0 9F EB 63 E6 D5 18 -B7 07 01 00 63 F4 F6 04 13 B7 06 10 13 47 17 00 -13 17 37 00 B7 17 00 00 B3 D5 E6 00 93 87 87 DF -B3 87 B7 00 83 C7 07 00 B3 87 E7 00 13 07 00 02 -B3 05 F7 40 63 16 F7 02 13 05 10 00 E3 EE 16 E1 -33 35 C3 00 13 45 15 00 67 80 00 00 B7 07 00 01 -13 07 00 01 E3 E0 F6 FC 13 07 80 01 6F F0 9F FB -33 57 F6 00 B3 96 B6 00 B3 66 D7 00 33 D7 F8 00 -B3 98 B8 00 B3 57 F3 00 B3 E7 17 01 93 D8 06 01 -B3 5E 17 03 13 98 06 01 13 58 08 01 33 16 B6 00 -33 77 17 03 33 0E D8 03 13 15 07 01 13 D7 07 01 -33 67 A7 00 13 85 0E 00 63 7E C7 01 33 07 D7 00 -13 85 FE FF 63 68 D7 00 63 76 C7 01 13 85 EE FF -33 07 D7 00 33 07 C7 41 33 5E 17 03 93 97 07 01 -93 D7 07 01 33 77 17 03 33 08 C8 03 13 17 07 01 -B3 E7 E7 00 13 07 0E 00 63 FE 07 01 B3 87 D7 00 -13 07 FE FF 63 E8 D7 00 63 F6 07 01 13 07 EE FF -B3 87 D7 00 13 15 05 01 37 0E 01 00 33 65 E5 00 -93 06 FE FF 33 77 D5 00 B3 87 07 41 B3 76 D6 00 -13 58 05 01 13 56 06 01 B3 08 D7 02 B3 06 D8 02 -33 07 C7 02 33 08 C8 02 33 06 D7 00 13 D7 08 01 -33 07 C7 00 63 74 D7 00 33 08 C8 01 93 56 07 01 -B3 86 06 01 63 E6 D7 02 E3 9E D7 CE B7 07 01 00 -93 87 F7 FF 33 77 F7 00 13 17 07 01 B3 F8 F8 00 -33 13 B3 00 33 07 17 01 93 05 00 00 E3 7E E3 CC -13 05 F5 FF 6F F0 1F CD 93 05 00 00 13 05 00 00 -67 80 00 00 13 01 01 FF 93 05 00 00 23 24 81 00 -23 26 11 00 13 04 05 00 EF 00 40 19 03 A5 81 C3 -83 27 C5 03 63 84 07 00 E7 80 07 00 13 05 04 00 -EF 00 40 3A 13 01 01 FF 23 24 81 00 23 20 21 01 -37 14 00 00 37 19 00 00 93 07 C4 02 13 09 C9 02 -33 09 F9 40 23 26 11 00 23 22 91 00 13 59 29 40 -63 00 09 02 13 04 C4 02 93 04 00 00 83 27 04 00 -93 84 14 00 13 04 44 00 E7 80 07 00 E3 18 99 FE -37 14 00 00 37 19 00 00 93 07 C4 02 13 09 49 03 -33 09 F9 40 13 59 29 40 63 00 09 02 13 04 C4 02 +EF F0 DF B7 93 07 05 00 93 97 87 00 B3 E4 F4 00 +03 27 84 FB 83 27 C4 FB 13 06 40 06 93 06 00 00 +13 05 07 00 93 85 07 00 EF 00 40 1F 13 07 05 00 +93 87 05 00 93 07 07 00 13 85 07 00 EF F0 1F B4 +93 07 05 00 93 97 07 01 B3 E4 F4 00 03 27 84 FB +83 27 C4 FB 13 06 80 3E 93 06 00 00 13 05 07 00 +93 85 07 00 EF 00 80 1B 13 07 05 00 93 87 05 00 +93 07 07 00 13 85 07 00 EF F0 5F B0 93 07 05 00 +93 97 87 01 33 E7 F4 00 83 27 44 FD 23 A0 E7 00 +03 27 84 FB 83 27 C4 FB 37 26 00 00 13 06 06 71 +93 06 00 00 13 05 07 00 93 85 07 00 EF 00 00 17 +13 07 05 00 93 87 05 00 23 2C E4 FA 23 2E F4 FA +83 27 84 FB 13 85 07 00 EF F0 5F AB 93 04 05 00 +03 27 84 FB 83 27 C4 FB 13 06 A0 00 93 06 00 00 +13 05 07 00 93 85 07 00 EF 00 40 13 13 07 05 00 +93 87 05 00 93 07 07 00 13 85 07 00 EF F0 1F A8 +93 07 05 00 93 97 87 00 B3 E4 F4 00 03 27 84 FB +83 27 C4 FB 13 06 40 06 93 06 00 00 13 05 07 00 +93 85 07 00 EF 00 80 0F 13 07 05 00 93 87 05 00 +93 07 07 00 13 85 07 00 EF F0 5F A4 93 07 05 00 +93 97 07 01 B3 E4 F4 00 03 27 84 FB 83 27 C4 FB +13 06 80 3E 93 06 00 00 13 05 07 00 93 85 07 00 +EF 00 C0 0B 13 07 05 00 93 87 05 00 93 07 07 00 +13 85 07 00 EF F0 9F A0 93 07 05 00 13 97 87 01 +83 27 44 FD 93 87 47 00 33 E7 E4 00 23 A0 E7 00 +03 27 84 FB 83 27 C4 FB 37 26 00 00 13 06 06 71 +93 06 00 00 13 05 07 00 93 85 07 00 EF 00 00 07 +13 07 05 00 93 87 05 00 23 2C E4 FA 23 2E F4 FA +83 27 84 FB 13 85 07 00 EF F0 5F 9B 93 04 05 00 +03 27 84 FB 83 27 C4 FB 13 06 A0 00 93 06 00 00 +13 05 07 00 93 85 07 00 EF 00 40 03 13 07 05 00 +93 87 05 00 93 07 07 00 13 85 07 00 EF F0 1F 98 +93 07 05 00 13 97 87 00 83 27 44 FD 93 87 87 00 +33 E7 E4 00 23 A0 E7 00 6F F0 5F C2 13 03 05 00 +93 88 05 00 13 07 06 00 13 08 05 00 93 87 05 00 +63 92 06 28 B7 15 00 00 93 85 45 1C 63 F6 C8 0E +B7 06 01 00 63 78 D6 0C 93 36 06 10 93 C6 16 00 +93 96 36 00 33 55 D6 00 B3 85 A5 00 83 C5 05 00 +13 05 00 02 B3 86 D5 00 B3 05 D5 40 63 0C D5 00 +B3 97 B8 00 B3 56 D3 00 33 17 B6 00 B3 E7 F6 00 +33 18 B3 00 93 55 07 01 33 D3 B7 02 13 16 07 01 +13 56 06 01 B3 F7 B7 02 13 05 03 00 B3 08 66 02 +93 96 07 01 93 57 08 01 B3 E7 D7 00 63 FE 17 01 +B3 87 E7 00 13 05 F3 FF 63 E8 E7 00 63 F6 17 01 +13 05 E3 FF B3 87 E7 00 B3 87 17 41 B3 D8 B7 02 +13 18 08 01 13 58 08 01 B3 F7 B7 02 B3 06 16 03 +93 97 07 01 33 68 F8 00 93 87 08 00 63 7C D8 00 +33 08 07 01 93 87 F8 FF 63 66 E8 00 63 74 D8 00 +93 87 E8 FF 13 15 05 01 33 65 F5 00 93 05 00 00 +67 80 00 00 37 05 00 01 93 06 00 01 E3 6C A6 F2 +93 06 80 01 6F F0 1F F3 63 14 06 00 73 00 10 00 +B7 07 01 00 63 70 F6 0C 93 36 06 10 93 C6 16 00 +93 96 36 00 B3 57 D6 00 B3 85 F5 00 83 C7 05 00 +B3 87 D7 00 93 06 00 02 B3 85 F6 40 63 96 F6 0A +B3 87 C8 40 93 05 10 00 93 58 07 01 33 DE 17 03 +13 16 07 01 13 56 06 01 93 56 08 01 B3 F7 17 03 +13 05 0E 00 33 03 C6 03 93 97 07 01 B3 E7 F6 00 +63 FE 67 00 B3 87 E7 00 13 05 FE FF 63 E8 E7 00 +63 F6 67 00 13 05 EE FF B3 87 E7 00 B3 87 67 40 +33 D3 17 03 13 18 08 01 13 58 08 01 B3 F7 17 03 +B3 06 66 02 93 97 07 01 33 68 F8 00 93 07 03 00 +63 7C D8 00 33 08 07 01 93 07 F3 FF 63 66 E8 00 +63 74 D8 00 93 07 E3 FF 13 15 05 01 33 65 F5 00 +67 80 00 00 B7 07 00 01 93 06 00 01 E3 64 F6 F4 +93 06 80 01 6F F0 1F F4 33 17 B6 00 B3 D6 F8 00 +13 55 07 01 B3 57 F3 00 33 18 B3 00 33 D3 A6 02 +13 16 07 01 B3 98 B8 00 13 56 06 01 B3 E7 17 01 +B3 F6 A6 02 B3 08 66 02 93 95 06 01 93 D6 07 01 +B3 E6 B6 00 93 05 03 00 63 FE 16 01 B3 86 E6 00 +93 05 F3 FF 63 E8 E6 00 63 F6 16 01 93 05 E3 FF +B3 86 E6 00 B3 86 16 41 B3 D8 A6 02 93 97 07 01 +93 D7 07 01 B3 F6 A6 02 33 06 16 03 93 96 06 01 +B3 E7 D7 00 93 86 08 00 63 FE C7 00 B3 87 E7 00 +93 86 F8 FF 63 E8 E7 00 63 F6 C7 00 93 86 E8 FF +B3 87 E7 00 93 95 05 01 B3 87 C7 40 B3 E5 D5 00 +6F F0 9F EB 63 E6 D5 18 B7 07 01 00 63 F4 F6 04 +13 B7 06 10 13 47 17 00 13 17 37 00 B7 17 00 00 +B3 D5 E6 00 93 87 47 1C B3 87 B7 00 83 C7 07 00 +B3 87 E7 00 13 07 00 02 B3 05 F7 40 63 16 F7 02 +13 05 10 00 E3 EE 16 E1 33 35 C3 00 13 45 15 00 +67 80 00 00 B7 07 00 01 13 07 00 01 E3 E0 F6 FC +13 07 80 01 6F F0 9F FB 33 57 F6 00 B3 96 B6 00 +B3 66 D7 00 33 D7 F8 00 B3 98 B8 00 B3 57 F3 00 +B3 E7 17 01 93 D8 06 01 B3 5E 17 03 13 98 06 01 +13 58 08 01 33 16 B6 00 33 77 17 03 33 0E D8 03 +13 15 07 01 13 D7 07 01 33 67 A7 00 13 85 0E 00 +63 7E C7 01 33 07 D7 00 13 85 FE FF 63 68 D7 00 +63 76 C7 01 13 85 EE FF 33 07 D7 00 33 07 C7 41 +33 5E 17 03 93 97 07 01 93 D7 07 01 33 77 17 03 +33 08 C8 03 13 17 07 01 B3 E7 E7 00 13 07 0E 00 +63 FE 07 01 B3 87 D7 00 13 07 FE FF 63 E8 D7 00 +63 F6 07 01 13 07 EE FF B3 87 D7 00 13 15 05 01 +37 0E 01 00 33 65 E5 00 93 06 FE FF 33 77 D5 00 +B3 87 07 41 B3 76 D6 00 13 58 05 01 13 56 06 01 +B3 08 D7 02 B3 06 D8 02 33 07 C7 02 33 08 C8 02 +33 06 D7 00 13 D7 08 01 33 07 C7 00 63 74 D7 00 +33 08 C8 01 93 56 07 01 B3 86 06 01 63 E6 D7 02 +E3 9E D7 CE B7 07 01 00 93 87 F7 FF 33 77 F7 00 +13 17 07 01 B3 F8 F8 00 33 13 B3 00 33 07 17 01 +93 05 00 00 E3 7E E3 CC 13 05 F5 FF 6F F0 1F CD +93 05 00 00 13 05 00 00 67 80 00 00 13 01 01 FF +93 05 00 00 23 24 81 00 23 26 11 00 13 04 05 00 +EF 00 40 19 03 A5 81 C2 83 27 C5 03 63 84 07 00 +E7 80 07 00 13 05 04 00 EF 00 40 3A 13 01 01 FF +23 24 81 00 23 20 21 01 37 24 00 00 37 29 00 00 +93 07 04 2F 13 09 09 2F 33 09 F9 40 23 26 11 00 +23 22 91 00 13 59 29 40 63 00 09 02 13 04 04 2F 93 04 00 00 83 27 04 00 93 84 14 00 13 04 44 00 -E7 80 07 00 E3 18 99 FE 83 20 C1 00 03 24 81 00 -83 24 41 00 03 29 01 00 13 01 01 01 67 80 00 00 -13 03 F0 00 13 07 05 00 63 7E C3 02 93 77 F7 00 -63 90 07 0A 63 92 05 08 93 76 06 FF 13 76 F6 00 -B3 86 E6 00 23 20 B7 00 23 22 B7 00 23 24 B7 00 -23 26 B7 00 13 07 07 01 E3 66 D7 FE 63 14 06 00 -67 80 00 00 B3 06 C3 40 93 96 26 00 97 02 00 00 -B3 86 56 00 67 80 C6 00 23 07 B7 00 A3 06 B7 00 -23 06 B7 00 A3 05 B7 00 23 05 B7 00 A3 04 B7 00 -23 04 B7 00 A3 03 B7 00 23 03 B7 00 A3 02 B7 00 -23 02 B7 00 A3 01 B7 00 23 01 B7 00 A3 00 B7 00 -23 00 B7 00 67 80 00 00 93 F5 F5 0F 93 96 85 00 -B3 E5 D5 00 93 96 05 01 B3 E5 D5 00 6F F0 DF F6 -93 96 27 00 97 02 00 00 B3 86 56 00 93 82 00 00 -E7 80 06 FA 93 80 02 00 93 87 07 FF 33 07 F7 40 -33 06 F6 00 E3 78 C3 F6 6F F0 DF F3 13 01 01 FD -23 2C 41 01 03 AA 81 C3 23 20 21 03 23 26 11 02 -03 29 8A 14 23 24 81 02 23 22 91 02 23 2E 31 01 -23 2A 51 01 23 28 61 01 23 26 71 01 23 24 81 01 -63 00 09 04 13 0B 05 00 93 8B 05 00 93 0A 10 00 -93 09 F0 FF 83 24 49 00 13 84 F4 FF 63 42 04 02 -93 94 24 00 B3 04 99 00 63 84 0B 04 83 A7 44 10 -63 80 77 05 13 04 F4 FF 93 84 C4 FF E3 16 34 FF -83 20 C1 02 03 24 81 02 83 24 41 02 03 29 01 02 -83 29 C1 01 03 2A 81 01 83 2A 41 01 03 2B 01 01 -83 2B C1 00 03 2C 81 00 13 01 01 03 67 80 00 00 -83 27 49 00 83 A6 44 00 93 87 F7 FF 63 8E 87 04 -23 A2 04 00 E3 88 06 FA 83 27 89 18 33 97 8A 00 -03 2C 49 00 B3 77 F7 00 63 92 07 02 E7 80 06 00 -03 27 49 00 83 27 8A 14 63 14 87 01 E3 84 27 F9 -E3 88 07 F8 13 89 07 00 6F F0 DF F5 83 27 C9 18 -83 A5 44 08 33 77 F7 00 63 1C 07 00 13 05 0B 00 -E7 80 06 00 6F F0 DF FC 23 22 89 00 6F F0 9F FA -13 85 05 00 E7 80 06 00 6F F0 9F FB 13 01 01 FF -23 24 81 00 B7 17 00 00 37 14 00 00 93 87 47 03 -13 04 84 03 33 04 F4 40 23 22 91 00 23 26 11 00 -93 54 24 40 63 80 04 02 13 04 C4 FF 33 04 F4 00 -83 27 04 00 93 84 F4 FF 13 04 C4 FF E7 80 07 00 -E3 98 04 FE 83 20 C1 00 03 24 81 00 83 24 41 00 -13 01 01 01 67 80 00 00 93 05 05 00 93 06 00 00 -13 06 00 00 13 05 00 00 6F 00 40 00 03 A7 81 C3 -83 27 87 14 63 8C 07 04 03 A7 47 00 13 08 F0 01 -63 4E E8 06 13 18 27 00 63 06 05 02 33 83 07 01 -23 24 C3 08 83 A8 87 18 13 06 10 00 33 16 E6 00 -B3 E8 C8 00 23 A4 17 19 23 24 D3 10 93 06 20 00 -63 04 D5 02 13 07 17 00 23 A2 E7 00 B3 87 07 01 -23 A4 B7 00 13 05 00 00 67 80 00 00 93 07 C7 14 -23 24 F7 14 6F F0 5F FA 83 A6 C7 18 13 07 17 00 -23 A2 E7 00 B3 E6 C6 00 23 A6 D7 18 B3 87 07 01 -23 A4 B7 00 13 05 00 00 67 80 00 00 13 05 F0 FF -67 80 00 00 93 08 D0 05 73 00 00 00 63 44 05 00 -6F 00 00 00 13 01 01 FF 23 24 81 00 13 04 05 00 -23 26 11 00 33 04 80 40 EF 00 C0 00 23 20 85 00 -6F 00 00 00 03 A5 01 C4 67 80 00 00 -@00000DD0 +E7 80 07 00 E3 18 99 FE 37 24 00 00 37 29 00 00 +93 07 04 2F 13 09 89 2F 33 09 F9 40 13 59 29 40 +63 00 09 02 13 04 04 2F 93 04 00 00 83 27 04 00 +93 84 14 00 13 04 44 00 E7 80 07 00 E3 18 99 FE +83 20 C1 00 03 24 81 00 83 24 41 00 03 29 01 00 +13 01 01 01 67 80 00 00 13 03 F0 00 13 07 05 00 +63 7E C3 02 93 77 F7 00 63 90 07 0A 63 92 05 08 +93 76 06 FF 13 76 F6 00 B3 86 E6 00 23 20 B7 00 +23 22 B7 00 23 24 B7 00 23 26 B7 00 13 07 07 01 +E3 66 D7 FE 63 14 06 00 67 80 00 00 B3 06 C3 40 +93 96 26 00 97 02 00 00 B3 86 56 00 67 80 C6 00 +23 07 B7 00 A3 06 B7 00 23 06 B7 00 A3 05 B7 00 +23 05 B7 00 A3 04 B7 00 23 04 B7 00 A3 03 B7 00 +23 03 B7 00 A3 02 B7 00 23 02 B7 00 A3 01 B7 00 +23 01 B7 00 A3 00 B7 00 23 00 B7 00 67 80 00 00 +93 F5 F5 0F 93 96 85 00 B3 E5 D5 00 93 96 05 01 +B3 E5 D5 00 6F F0 DF F6 93 96 27 00 97 02 00 00 +B3 86 56 00 93 82 00 00 E7 80 06 FA 93 80 02 00 +93 87 07 FF 33 07 F7 40 33 06 F6 00 E3 78 C3 F6 +6F F0 DF F3 13 01 01 FD 23 2C 41 01 03 AA 81 C2 +23 20 21 03 23 26 11 02 03 29 8A 14 23 24 81 02 +23 22 91 02 23 2E 31 01 23 2A 51 01 23 28 61 01 +23 26 71 01 23 24 81 01 63 00 09 04 13 0B 05 00 +93 8B 05 00 93 0A 10 00 93 09 F0 FF 83 24 49 00 +13 84 F4 FF 63 42 04 02 93 94 24 00 B3 04 99 00 +63 84 0B 04 83 A7 44 10 63 80 77 05 13 04 F4 FF +93 84 C4 FF E3 16 34 FF 83 20 C1 02 03 24 81 02 +83 24 41 02 03 29 01 02 83 29 C1 01 03 2A 81 01 +83 2A 41 01 03 2B 01 01 83 2B C1 00 03 2C 81 00 +13 01 01 03 67 80 00 00 83 27 49 00 83 A6 44 00 +93 87 F7 FF 63 8E 87 04 23 A2 04 00 E3 88 06 FA +83 27 89 18 33 97 8A 00 03 2C 49 00 B3 77 F7 00 +63 92 07 02 E7 80 06 00 03 27 49 00 83 27 8A 14 +63 14 87 01 E3 84 27 F9 E3 88 07 F8 13 89 07 00 +6F F0 DF F5 83 27 C9 18 83 A5 44 08 33 77 F7 00 +63 1C 07 00 13 05 0B 00 E7 80 06 00 6F F0 DF FC +23 22 89 00 6F F0 9F FA 13 85 05 00 E7 80 06 00 +6F F0 9F FB 13 01 01 FF 23 24 81 00 B7 27 00 00 +37 24 00 00 93 87 87 2F 13 04 C4 2F 33 04 F4 40 +23 22 91 00 23 26 11 00 93 54 24 40 63 80 04 02 +13 04 C4 FF 33 04 F4 00 83 27 04 00 93 84 F4 FF +13 04 C4 FF E7 80 07 00 E3 98 04 FE 83 20 C1 00 +03 24 81 00 83 24 41 00 13 01 01 01 67 80 00 00 +93 05 05 00 93 06 00 00 13 06 00 00 13 05 00 00 +6F 00 40 00 03 A7 81 C2 83 27 87 14 63 8C 07 04 +03 A7 47 00 13 08 F0 01 63 4E E8 06 13 18 27 00 +63 06 05 02 33 83 07 01 23 24 C3 08 83 A8 87 18 +13 06 10 00 33 16 E6 00 B3 E8 C8 00 23 A4 17 19 +23 24 D3 10 93 06 20 00 63 04 D5 02 13 07 17 00 +23 A2 E7 00 B3 87 07 01 23 A4 B7 00 13 05 00 00 +67 80 00 00 93 07 C7 14 23 24 F7 14 6F F0 5F FA +83 A6 C7 18 13 07 17 00 23 A2 E7 00 B3 E6 C6 00 +23 A6 D7 18 B3 87 07 01 23 A4 B7 00 13 05 00 00 +67 80 00 00 13 05 F0 FF 67 80 00 00 93 08 D0 05 +73 00 00 00 63 44 05 00 6F 00 00 00 13 01 01 FF +23 24 81 00 13 04 05 00 23 26 11 00 33 04 80 40 +EF 00 C0 00 23 20 85 00 6F 00 00 00 03 A5 01 C3 +67 80 00 00 +@00001178 3F 00 00 00 06 00 00 00 5B 00 00 00 4F 00 00 00 66 00 00 00 6D 00 00 00 7D 00 00 00 07 00 00 00 -7F 00 00 00 6F 00 00 00 00 01 02 02 03 03 03 03 -04 04 04 04 04 04 04 04 05 05 05 05 05 05 05 05 -05 05 05 05 05 05 05 05 06 06 06 06 06 06 06 06 +7F 00 00 00 6F 00 00 00 48 65 6C 6C 6F 2C 20 57 +6F 72 6C 64 21 00 00 00 20 62 79 74 65 73 20 72 +65 63 65 69 76 65 64 0D 0A 00 00 00 00 01 02 02 +03 03 03 03 04 04 04 04 04 04 04 04 05 05 05 05 +05 05 05 05 05 05 05 05 05 05 05 05 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 -06 06 06 06 06 06 06 06 07 07 07 07 07 07 07 07 +06 06 06 06 06 06 06 06 06 06 06 06 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 -07 07 07 07 07 07 07 07 08 08 08 08 08 08 08 08 +07 07 07 07 07 07 07 07 07 07 07 07 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 @@ -232,17 +293,17 @@ B3 E8 C8 00 23 A4 17 19 23 24 D3 10 93 06 20 00 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 -08 08 08 08 08 08 08 08 -@00001000 +08 08 08 08 08 08 08 08 08 08 08 08 +@000022C4 10 00 00 00 00 00 00 00 03 7A 52 00 01 7C 01 01 -1B 0D 02 00 10 00 00 00 18 00 00 00 7C F5 FF FF +1B 0D 02 00 10 00 00 00 18 00 00 00 60 E6 FF FF 30 04 00 00 00 00 00 00 00 00 00 00 -@0000102C +@000022F0 74 00 00 00 1C 01 00 00 -@00001034 +@000022F8 D8 00 00 00 -@00001038 -00 00 00 00 24 13 00 00 8C 13 00 00 F4 13 00 00 +@00002300 +00 00 00 00 EC 25 00 00 54 26 00 00 BC 26 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @@ -309,7 +370,5 @@ D8 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -@00001460 -FF FF FF FF 00 00 00 00 00 00 00 00 D0 0D 00 00 -@00001470 -38 10 00 00 00 00 00 00 38 10 00 00 +@00002728 +00 23 00 00 00 00 00 00 00 23 00 00 diff --git a/examples/hdl4se_riscv/test_code/test.elf b/examples/hdl4se_riscv/test_code/test.elf index 8c30acc738eb024d5d8d180a141f3e2a352d9b25..39d267a04aa26881f3510a11fb07581c5f5c0117 100755 Binary files a/examples/hdl4se_riscv/test_code/test.elf and b/examples/hdl4se_riscv/test_code/test.elf differ diff --git a/examples/hdl4se_riscv/test_code/test.hex b/examples/hdl4se_riscv/test_code/test.hex index e7efc9e39fb1b067fe4062d85a7fe97628f5ec3a..9aee8c319f9e028fa256fb8b188c1f3cae66bd44 100644 --- a/examples/hdl4se_riscv/test_code/test.hex +++ b/examples/hdl4se_riscv/test_code/test.hex @@ -1,309 +1,369 @@ -:10007400930700006388070037150000130505C9BE -:100084006F00904667800000971100009381C17A49 -:10009400138541C4138601C63306A64093050000A8 -:1000A400EF00101F17150000130545C46308050071 -:1000B400171500001305C5BDEF001043EF009013A2 -:1000C400032501009305410013060000EF00C00A58 -:1000D4006F00500F130101FF2324810083C741C423 +:100074009307000063880700371500001305850304 +:100084006F1000016780000097310000938141A741 +:10009400138541C3138601C53306A64093050000AA +:1000A400EF009059171500001305C5FE63080500FD +:1000B40017150000130545F8EF00907DEF00104E72 +:1000C400032501009305410013060000EF000040E2 +:1000D4006F00D049130101FF2324810083C741C36A :1000E400232611006392070293070000638A070026 -:1000F400371500001305050097000000E700000015 -:10010400930710002382F1C48320C10003248100DB +:1000F400372500001305452C97000000E700000099 +:1001040093071000238AF1C28320C10003248100D5 :10011400130101016780000093070000638C07004E -:1001240037150000938581C41305050017030000EB -:100134006700000067800000130101FE232E810088 -:10014400130401022326A4FE0327C4FE9307A00080 -:10015400B377F70217170000032747319397270057 -:10016400B307F70083A70700138507000324C10121 -:100174001301010267800000130101FB232611040F -:10018400232481042322910413040105232EA4FAB9 -:10019400232CB4FA930710002322F4FEB70700F0CF -:1001A4002320F4FEB70700F093870701232EF4FC05 -:1001B400B70700F093870710232CF4FC9307000083 -:1001C400130800002324F4FE232604FF8327C4FD20 -:1001D40037077F6F1307D77723A0E7008327C4FD72 -:1001E400938747003757666D1307B7F523A0E700D9 -:1001F400832784FD938707011307201B23A0E700AF -:10020400832784FD9387870083A70700232AF4FCB0 -:10021400032744FDB7070100B377F700639E070285 -:10022400832784FD83A707002322F4FC832744FC4F -:1002340063DA0700832784FD93874700130730029E -:1002440023A0E700832784FD93874700032744FC0A -:1002540023A0E7006F00C033832704FE83A70700B1 -:100264002328F4FC832704FD93F71700638C07000D -:1002740093070000130800002324F4FE232604FF40 -:100284006F004007832704FD93F727006386070068 -:10029400232204FE6F000006832704FD93F7470022 -:1002A40063880700930710002322F4FE6F00800484 -:1002B400832704FD93F78700638C0700832784FD5D -:1002C400938707011307201B23A0E7006F00402C2E -:1002D400832704FD93F70701638E0700832784FDBA -:1002E40093870701371700001307874523A0E7000A -:1002F4006F00002A832744FE638A0702032684FED4 -:100304008326C4FE13051000930500003307A600DE -:10031400130807003338C800B387B600B306F800E3 -:10032400938706002324E4FE2326F4FE032784FE99 -:100334008327C4FE2324E4FC2326F4FC832784FCC3 -:1003440013850700EFF05FDF93040500032784FCA7 -:100354008327C4FC1306A0009306000013050700BE -:1003640093850700EF00002313070500938705001A -:100374009307070013850700EFF01FDC93070500C0 -:1003840093978700B3E4F400032784FC8327C4FC19 -:100394001306400693060000130507009385070023 -:1003A400EF00401F1307050093870500930707001C -:1003B40013850700EFF05FD89307050093970701B3 -:1003C400B3E4F400032784FC8327C4FC1306803EB3 -:1003D400930600001305070093850700EF00801BB8 -:1003E400130705009387050093070700138507008B -:1003F400EFF09FD4930705009397870133E7F40048 -:100404008327C4FD23A0E700032784FC8327C4FCBF -:100414003726000013060671930600001305070033 -:1004240093850700EF000017130705009387050065 -:100434002324E4FC2326F4FC832784FC138507008F -:10044400EFF09FCF93040500032784FC8327C4FCAB -:100454001306A00093060000130507009385070008 -:10046400EF00401313070500938705009307070067 -:1004740013850700EFF05FCC93070500939787007F -:10048400B3E4F400032784FC8327C4FC130640066A -:10049400930600001305070093850700EF00800F03 -:1004A40013070500938705009307070013850700CA -:1004B400EFF09FC89307050093970701B3E4F40096 -:1004C400032784FC8327C4FC1306803E93060000A4 -:1004D4001305070093850700EF00C00B1307050001 -:1004E400938705009307070013850700EFF0DFC427 -:1004F40093070500139787018327C4FD938747005B -:1005040033E7E40023A0E700032784FC8327C4FC2B -:100514003726000013060671930600001305070032 -:1005240093850700EF000007130705009387050074 -:100534002324E4FC2326F4FC832784FC138507008E -:10054400EFF09FBF93040500032784FC8327C4FCBA -:100554001306A00093060000130507009385070007 -:10056400EF00400313070500938705009307070076 -:1005740013850700EFF05FBC93070500139787000E -:100584008327C4FD9387870033E7E40023A0E700B3 -:100594006FF01FC7130305009388050013070600B7 -:1005A400130805009387050063920628B715000019 -:1005B400938585DF63F6C80EB70601006378D60C11 -:1005C4009336061093C61600939636003355D6001C -:1005D400B385A50083C5050013050002B386D500C5 -:1005E400B305D540630CD500B397B800B356D30018 -:1005F4003317B600B3E7F6003318B3009355070179 -:1006040033D3B7021316070113560601B3F7B70223 -:1006140013050300B3086602939607019357080174 -:10062400B3E7D70063FE1701B387E7001305F3FFB1 -:1006340063E8E70063F617011305E3FFB387E700F8 -:10064400B3871741B3D8B702131808011358080128 -:10065400B3F7B702B3061603939707013368F8009C -:1006640093870800637CD800330807019387F8FF59 -:100674006366E8006374D8009387E8FF13150501E7 -:100684003365F5009305000067800000370500011D -:1006940093060001E36CA6F2930680016FF01FF34A -:1006A4006314060073001000B70701006370F60CB2 -:1006B4009336061093C6160093963600B357D600A9 -:1006C400B385F50083C70500B387D70093060002FE -:1006D400B385F6406396F60AB387C84093051000C5 -:1006E4009358070133DE1703131607011356060147 -:1006F40093560801B3F7170313050E003303C6031B -:1007040093970701B3E7F60063FE6700B387E7003A -:100714001305FEFF63E8E70063F667001305EEFFC9 -:10072400B387E700B387674033D31703131808016F -:1007340013580801B3F71703B3066602939707012A -:100744003368F80093070300637CD800330807017B -:100754009307F3FF6366E8006374D8009307E3FF2D -:10076400131505013365F50067800000B707000124 -:1007740093060001E364F6F4930680016FF01FF41E -:100784003317B600B3D6F80013550701B357F30077 -:100794003318B30033D3A60213160701B398B80075 -:1007A40013560601B3E71701B3F6A602B3086602AF -:1007B4009395060193D60701B3E6B60093050300AB -:1007C40063FE1601B386E6009305F3FF63E8E600D3 -:1007D40063F616019305E3FFB386E600B38616417C -:1007E400B3D8A6029397070193D70701B3F6A602DD -:1007F4003306160393960601B3E7D70093860800E1 -:1008040063FEC700B387E7009386F8FF63E8E70059 -:1008140063F6C7009386E8FFB387E7009395050165 -:10082400B387C740B3E5D5006FF09FEB63E6D518F7 -:10083400B707010063F4F60413B706101347170053 -:1008440013173700B7170000B3D5E600938787DF87 -:10085400B387B70083C70700B387E7001307000215 -:10086400B305F7406316F70213051000E3EE16E133 -:100874003335C3001345150067800000B707000136 -:1008840013070001E3E0F6FC130780016FF09FFB00 -:100894003357F600B396B600B366D70033D7F800E3 -:1008A400B398B800B357F300B3E7170193D8060120 -:1008B400B35E170313980601135808013316B600E4 -:1008C40033771703330ED8031315070113D7070122 -:1008D4003367A70013850E00637EC7013307D70073 -:1008E4001385FEFF6368D7006376C7011385EEFFA7 -:1008F4003307D7003307C741335E170393970701C4 -:1009040093D70701337717033308C8031317070175 -:10091400B3E7E70013070E0063FE0701B387D700B0 -:100924001307FEFF63E8D70063F607011307EEFF22 -:10093400B387D70013150501370E01003365E500B1 -:100944009306FEFF3377D500B3870741B376D6000D -:100954001358050113560601B308D702B306D8028B -:100964003307C7023308C8023306D70013D7080178 -:100974003307C7006374D7003308C80193560701CF -:10098400B386060163E6D702E39ED7CEB70701001C -:100994009387F7FF3377F70013170701B3F8F800CD -:1009A4003313B3003307170193050000E37EE3CC50 -:1009B4001305F5FF6FF01FCD93050000130500002C -:1009C40067800000130101FF9305000023248100C8 -:1009D4002326110013040500EF00401903A581C369 -:1009E4008327C50363840700E78007001305040019 -:1009F400EF00403A130101FF232481002320210149 -:100A040037140000371900009307C4021309C90200 -:100A14003309F94023261100232291001359294058 -:100A2400630009021304C402930400008327040032 -:100A34009384140013044400E7800700E31899FE2C -:100A440037140000371900009307C402130949033F -:100A54003309F94013592940630009021304C402FD -:100A640093040000832704009384140013044400B7 -:100A7400E7800700E31899FE8320C1000324810066 -:100A84008324410003290100130101016780000050 -:100A94001303F00013070500637EC3029377F70086 -:100AA4006390070A63920508937606FF1376F600AF -:100AB400B386E6002320B7002322B7002324B7001F -:100AC4002326B70013070701E366D7FE6314060065 -:100AD40067800000B306C340939626009702000087 -:100AE400B38656006780C6002307B700A306B70085 -:100AF4002306B700A305B7002305B700A304B70076 -:100B04002304B700A303B7002303B700A302B7006D -:100B14002302B700A301B7002301B700A300B70065 -:100B24002300B7006780000093F5F50F93968500C6 -:100B3400B3E5D50093960501B3E5D5006FF0DFF674 -:100B44009396270097020000B38656009382000014 -:100B5400E78006FA93800200938707FF3307F74084 -:100B64003306F600E378C3F66FF0DFF3130101FDFB -:100B7400232C410103AA81C323202103232611022C -:100B840003298A142324810223229102232E310172 -:100B9400232A510123286101232671012324810181 -:100BA40063000904130B0500938B0500930A1000DE -:100BB4009309F0FF832449001384F4FF6342040281 -:100BC40093942400B304990063840B0483A7441012 -:100BD400638077051304F4FF9384C4FFE31634FFA2 -:100BE4008320C102032481028324410203290102D8 -:100BF4008329C101032A8101832A4101032B0101B5 -:100C0400832BC100032C81001301010367800000C2 -:100C14008327490083A644009387F7FF638E8704E4 -:100C240023A20400E38806FA8327891833978A00ED -:100C3400032C4900B377F70063920702E7800600AC -:100C44000327490083278A1463148701E38427F95F -:100C5400E38807F8138907006FF0DFF58327C918C5 -:100C640083A544083377F700631C070013050B00C2 -:100C7400E78006006FF0DFFC232289006FF09FFA03 -:100C840013850500E78006006FF09FFB130101FF49 -:100C940023248100B717000037140000938747030B -:100CA400130484033304F440232291002326110007 -:100CB40093542440638004021304C4FF3304F400F7 -:100CC400832704009384F4FF1304C4FFE780070020 -:100CD400E39804FE8320C10003248100832441009F -:100CE40013010101678000009305050093060000CD -:100CF40013060000130500006F00400003A781C322 -:100D040083278714638C070403A747001308F001A3 -:100D1400634EE806131827006306050233830701B0 -:100D24002324C30883A88718130610003316E6008B -:100D3400B3E8C80023A417192324D3109306200072 -:100D44006304D5021307170023A2E700B387070142 -:100D540023A4B70013050000678000009307C7149D -:100D64002324F7146FF05FFA83A6C718130717003C -:100D740023A2E700B3E6C60023A6D718B38707016A -:100D840023A4B70013050000678000001305F0FFDB -:100D9400678000009308D0057300000063440500D9 -:100DA4006F000000130101FF2324810013040500D8 -:100DB4002326110033048040EF00C0002320850067 -:0C0DC4006F00000003A501C46780000060 -:100DD0003F000000060000005B0000004F00000024 -:100DE000660000006D0000007D00000007000000AC -:100DF0007F0000006F0000000001020203030303F4 -:100E0000040404040404040405050505050505059A -:100E1000050505050505050506060606060606067A -:100E20000606060606060606060606060606060662 -:100E3000060606060606060607070707070707074A -:100E40000707070707070707070707070707070732 -:100E50000707070707070707070707070707070722 -:100E60000707070707070707070707070707070712 -:100E700007070707070707070808080808080808FA -:100E800008080808080808080808080808080808E2 -:100E900008080808080808080808080808080808D2 -:100EA00008080808080808080808080808080808C2 -:100EB00008080808080808080808080808080808B2 -:100EC00008080808080808080808080808080808A2 -:100ED0000808080808080808080808080808080892 -:100EE0000808080808080808080808080808080882 -:080EF0000808080808080808BA -:101000001000000000000000037A5200017C010182 -:101010001B0D020010000000180000007CF5FFFF0F -:0C10200030040000000000000000000090 -:08102C00740000001C0100002B -:04103400D8000000E0 -:1010380000000000241300008C130000F4130000CB -:101048000000000000000000000000000000000098 -:101058000000000000000000000000000000000088 -:101068000000000000000000000000000000000078 -:101078000000000000000000000000000000000068 -:101088000000000000000000000000000000000058 -:101098000000000000000000000000000000000048 -:1010A8000000000000000000000000000000000038 -:1010B8000000000000000000000000000000000028 -:1010C8000000000000000000000000000000000018 -:1010D8000000000000000000010000000000000007 -:1010E8000E33CDAB34126DE6ECDE05000B000000CC -:1010F80000000000000000000000000000000000E8 -:1011080000000000000000000000000000000000D7 -:1011180000000000000000000000000000000000C7 -:1011280000000000000000000000000000000000B7 -:1011380000000000000000000000000000000000A7 -:101148000000000000000000000000000000000097 -:101158000000000000000000000000000000000087 -:101168000000000000000000000000000000000077 -:101178000000000000000000000000000000000067 -:101188000000000000000000000000000000000057 -:101198000000000000000000000000000000000047 -:1011A8000000000000000000000000000000000037 -:1011B8000000000000000000000000000000000027 -:1011C8000000000000000000000000000000000017 -:1011D8000000000000000000000000000000000007 -:1011E80000000000000000000000000000000000F7 -:1011F80000000000000000000000000000000000E7 -:1012080000000000000000000000000000000000D6 -:1012180000000000000000000000000000000000C6 -:1012280000000000000000000000000000000000B6 -:1012380000000000000000000000000000000000A6 -:101248000000000000000000000000000000000096 -:101258000000000000000000000000000000000086 -:101268000000000000000000000000000000000076 -:101278000000000000000000000000000000000066 -:101288000000000000000000000000000000000056 -:101298000000000000000000000000000000000046 -:1012A8000000000000000000000000000000000036 -:1012B8000000000000000000000000000000000026 -:1012C8000000000000000000000000000000000016 -:1012D8000000000000000000000000000000000006 -:1012E80000000000000000000000000000000000F6 -:1012F80000000000000000000000000000000000E6 -:1013080000000000000000000000000000000000D5 -:1013180000000000000000000000000000000000C5 -:1013280000000000000000000000000000000000B5 -:1013380000000000000000000000000000000000A5 -:101348000000000000000000000000000000000095 -:101358000000000000000000000000000000000085 -:101368000000000000000000000000000000000075 -:101378000000000000000000000000000000000065 -:101388000000000000000000000000000000000055 -:101398000000000000000000000000000000000045 -:1013A8000000000000000000000000000000000035 -:1013B8000000000000000000000000000000000025 -:1013C8000000000000000000000000000000000015 -:1013D8000000000000000000000000000000000005 -:1013E80000000000000000000000000000000000F5 -:1013F80000000000000000000000000000000000E5 -:1014080000000000000000000000000000000000D4 -:1014180000000000000000000000000000000000C4 -:1014280000000000000000000000000000000000B4 -:1014380000000000000000000000000000000000A4 -:101448000000000000000000000000000000000094 -:0814580000000000000000008C -:10146000FFFFFFFF0000000000000000D00D0000A3 -:0C147000381000000000000038100000E0 +:1001240037250000938581C31305452C1703000070 +:100134006700000067800000130101FD232681028F +:1001440013040103232EA4FC232CB4FCB70700F0F2 +:10015400938707102324F4FE232604FE6F008004F3 +:10016400832784FE9387870083A707002322F4FE56 +:10017400832744FE93F72700638607028327C4FE80 +:100184000327C4FDB307F70003C70700832784FED2 +:100194009387470023A0E7008327C4FE93871700B3 +:1001A4002326F4FE0327C4FE832784FDE34AF7FADB +:1001B400832784FD138507000324C102130101036F +:1001C40067800000130101FD23268102130401034B +:1001D400232EA4FC232CB4FCB70700F0938707104C +:1001E4002324F4FE832784FD6346F0009307000074 +:1001F4006F00C009232604FE832784FE93878700AB +:1002040083A707002322F4FE032744FEB707010057 +:10021400B377F70063920706832744FE93D7170149 +:100224002322F4FE832784FD032744FE6376F7002C +:10023400832744FE232CF4FC232604FE6F00C00213 +:10024400832784FE83A607008327C4FE0327C4FDF7 +:10025400B307F70013F7F60F2380E7008327C4FEE4 +:10026400938717002326F4FE0327C4FE832784FD07 +:10027400E348F7FC6F00C000930700006F00000123 +:100284008327C4FEE38A07F68327C4FE1385070089 +:100294000324C1021301010367800000130101FE5E +:1002A400232E8100130401022326A4FE0327C4FE87 +:1002B4009307A000B377F7023717000013078717D7 +:1002C40093972700B307F70083A707001385070058 +:1002D4000324C1011301010267800000130101FC22 +:1002E400232E8102130401042326A4FC2324B4FC3A +:1002F400232404FE232204FE832784FC63DE0704F4 +:10030400832784FCB307F0402324F4FC232204FE57 +:100314006F008004032784FC9307A000B367F702EF +:1003240013F7F70F832784FE938617002324D4FE44 +:10033400938607008327C4FCB387D70013070703FA +:100344001377F70F2380E700032784FC9307A000AB +:10035400B347F7022324F4FC832784FCE34CF0FA2C +:10036400832744FE63820702832784FE13871700D2 +:100374002324E4FE138707008327C4FCB387E70024 +:100384001307D0022380E700232604FE6F00C00673 +:10039400832784FE1387F7FF8327C4FEB307F74040 +:1003A4002320F4FE8327C4FE0327C4FCB307F7000D +:1003B40083C70700A30FF4FC832704FE0327C4FCB0 +:1003C4003307F7008327C4FE8326C4FCB387F600F3 +:1003D400034707002380E700832704FE0327C4FCA8 +:1003E400B307F7000347F4FD2380E7008327C4FE27 +:1003F400938717002326F4FE832784FE13D7F7017F +:10040400B307F70093D71740138707008327C4FE69 +:10041400E3C0E7F8832784FE0327C4FCB307F7008F +:1004240023800700832784FE138507000324C10368 +:100434001301010467800000130101FD23268102DA +:1004440013040103232EA4FC232CB4FC8327C4FD32 +:100454002326F4FE6F0000018327C4FD9387170051 +:10046400232EF4FC8327C4FD83C70700E39607FE0D +:100474006F004002032784FD93071700232CF4FC2C +:100484008327C4FD93861700232ED4FC034707005B +:100494002380E700832784FD83C70700E39C07FCD0 +:1004A4008327C4FD13871700232EE4FC2380070051 +:1004B4000327C4FD8327C4FEB307F7401385070051 +:1004C4000324C1021301010367800000130101EA40 +:1004D400232E1114232C8114232A9114130401169E +:1004E4002326A4EA2324B4EA93071000232EF4FC61 +:1004F400B70700F0232CF4FCB70700F0938707013B +:10050400232AF4FCB70700F0938707102328F4FC90 +:1005140093070000130800002324F4FE232604FF9D +:10052400832744FD37077F6F1307D77723A0E7009E +:10053400832744FD938747003757666D1307B7F544 +:1005440023A0E700832704FD938707011307201BDB +:1005540023A0E700232204FE232004FEB717000093 +:100564009387071A2326F4FC930784EB9305F00F73 +:1005740013850700EFF01FC52324A4FC832784FC04 +:10058400635AF002930784EB832584FC13850700E8 +:10059400EFF09FBA032744FE832784FCB307F700D8 +:1005A4002322F4FE032704FE832784FCB307F70009 +:1005B4002320F4FE032704FE9307703E63D2E7046E +:1005C400930784EB832544FE13850700EFF01FD1C6 +:1005D4002322A4FC130784EBB71700009385071BA1 +:1005E40013050700EFF05FE52322A4FC930784EBD7 +:1005F400832544FC13850700EFF01FB4232004FE79 +:10060400832784FD83A707002320F4FC832704FCAD +:1006140093F71700638C070093070000130800008A +:100624002324F4FE232604FF6F004007832704FCE1 +:1006340093F7270063860700232E04FC6F0000064F +:10064400832704FC93F7470063880700930710008F +:10065400232EF4FC6F008004832704FC93F78700A7 +:10066400638C0700832704FD938707011307201B6E +:1006740023A0E7006F00402C832704FC93F70701B5 +:10068400638E0700832704FD938707013717000053 +:100694001307874523A0E7006F00002A8327C4FDC2 +:1006A400638A0702032684FE8326C4FE1305100012 +:1006B400930500003307A600130807003338C80069 +:1006C400B387B600B306F800938706002324E4FE3C +:1006D4002326F4FE832704FE232CF4FA93D7F74150 +:1006E400232EF4FA832784FB13850700EFF01FBB46 +:1006F40093040500032784FB8327C4FB1306A0008F +:10070400930600001305070093850700EF000023FC +:100714001307050093870500930707001385070057 +:10072400EFF0DFB79307050093978700B3E4F40075 +:10073400032784FB8327C4FB1306400693060000AB +:100744001305070093850700EF00401F13070500FA +:10075400938705009307070013850700EFF01FB484 +:100764009307050093970701B3E4F400032784FB80 +:100774008327C4FB1306803E93060000130507007D +:1007840093850700EF00801B13070500938705007E +:100794009307070013850700EFF05FB09307050088 +:1007A4009397870133E7F400832744FD23A0E700F0 +:1007B400032784FB8327C4FB372600001306067136 +:1007C400930600001305070093850700EF00001748 +:1007D4001307050093870500232CE4FA232EF4FA6B +:1007E400832784FB13850700EFF05FAB93040500B8 +:1007F400032784FB8327C4FB1306A0009306000091 +:100804001305070093850700EF0040131307050045 +:10081400938705009307070013850700EFF01FA8CF +:100824009307050093978700B3E4F400032784FB40 +:100834008327C4FB13064006930600001305070034 +:1008440093850700EF00800F1307050093870500C9 +:100854009307070013850700EFF05FA493070500D3 +:1008640093970701B3E4F400032784FB8327C4FBB5 +:100874001306803E930600001305070093850700C6 +:10088400EF00C00B130705009387050093070700CB +:1008940013850700EFF09FA09307050013978701C6 +:1008A400832744FD9387470033E7E40023A0E70050 +:1008B400032784FB8327C4FB372600001306067135 +:1008C400930600001305070093850700EF00000757 +:1008D4001307050093870500232CE4FA232EF4FA6A +:1008E400832784FB13850700EFF05F9B93040500C7 +:1008F400032784FB8327C4FB1306A0009306000090 +:100904001305070093850700EF0040031307050054 +:10091400938705009307070013850700EFF01F98DE +:100924009307050013978700832744FD9387870067 +:1009340033E7E40023A0E7006FF05FC21303050070 +:100944009388050013070600130805009387050024 +:1009540063920628B71500009385451C63F6C80EFC +:10096400B70601006378D60C9336061093C61600BA +:10097400939636003355D600B385A50083C505008C +:1009840013050002B386D500B305D540630CD5002A +:10099400B397B800B356D3003317B600B3E7F600E5 +:1009A4003318B3009355070133D3B7021316070165 +:1009B40013560601B3F7B70213050300B308660222 +:1009C4009396070193570801B3E7D70063FE170115 +:1009D400B387E7001305F3FF63E8E70063F6170145 +:1009E4001305E3FFB387E700B3871741B3D8B70212 +:1009F4001318080113580801B3F7B702B306160316 +:100A0400939707013368F80093870800637CD80044 +:100A1400330807019387F8FF6366E8006374D8001E +:100A24009387E8FF131505013365F500930500006E +:100A3400678000003705000193060001E36CA6F20D +:100A4400930680016FF01FF3631406007300100017 +:100A5400B70701006370F60C9336061093C61600B0 +:100A640093963600B357D600B385F50083C70500C7 +:100A7400B387D70093060002B385F6406396F60A5F +:100A8400B387C840930510009358070133DE17035A +:100A9400131607011356060193560801B3F71703FB +:100AA40013050E003303C60393970701B3E7F6005B +:100AB40063FE6700B387E7001305FEFF63E8E70002 +:100AC40063F667001305EEFFB387E700B38767405B +:100AD40033D317031318080113580801B3F7170386 +:100AE400B3066602939707013368F800930703007F +:100AF400637CD800330807019307F3FF6366E800BB +:100B04006374D8009307E3FF131505013365F500FB +:100B140067800000B707000193060001E364F6F460 +:100B2400930680016FF01FF43317B600B3D6F800B4 +:100B340013550701B357F3003318B30033D3A60298 +:100B440013160701B398B80013560601B3E717014B +:100B5400B3F6A602B30866029395060193D607017D +:100B6400B3E6B6009305030063FE1601B386E60000 +:100B74009305F3FF63E8E60063F616019305E3FFCC +:100B8400B386E600B3861641B3D8A602939707014D +:100B940093D70701B3F6A60233061603939606010C +:100BA400B3E7D7009386080063FEC700B387E70066 +:100BB4009386F8FF63E8E70063F6C7009386E8FFCF +:100BC400B387E70093950501B387C740B3E5D50024 +:100BD4006FF09FEB63E6D518B707010063F4F604E2 +:100BE40013B706101347170013173700B717000081 +:100BF400B3D5E6009387471CB387B70083C70700C4 +:100C0400B387E70013070002B305F7406316F70242 +:100C140013051000E3EE16E13335C3001345150048 +:100C240067800000B707000113070001E3E0F6FC4A +:100C3400130780016FF09FFB3357F600B396B6009D +:100C4400B366D70033D7F800B398B800B357F300AE +:100C5400B3E7170193D80601B35E1703139806018F +:100C6400135808013316B60033771703330ED8032D +:100C74001315070113D707013367A70013850E0067 +:100C8400637EC7013307D7001385FEFF6368D7006F +:100C94006376C7011385EEFF3307D7003307C741D7 +:100CA400335E17039397070193D70701337717032D +:100CB4003308C80313170701B3E7E70013070E004F +:100CC40063FE0701B387D7001307FEFF63E8D7006D +:100CD40063F607011307EEFFB387D7001315050169 +:100CE400370E01003365E5009306FEFF3377D50028 +:100CF400B3870741B376D60013580501135606018E +:100D0400B308D702B306D8023307C7023308C802B0 +:100D14003306D70013D708013307C7006374D7001D +:100D24003308C80193560701B386060163E6D70268 +:100D3400E39ED7CEB70701009387F7FF3377F70019 +:100D440013170701B3F8F8003313B300330717017F +:100D540093050000E37EE3CC1305F5FF6FF01FCD90 +:100D6400930500001305000067800000130101FFD4 +:100D74009305000023248100232611001304050099 +:100D8400EF00401903A581C28327C50363840700CC +:100D9400E780070013050400EF00403A130101FF48 +:100DA4002324810023202101372400003729000057 +:100DB4009307042F1309092F3309F940232611003F +:100DC4002322910013592940630009021304042FBC +:100DD4009304000083270400938414001304440044 +:100DE400E7800700E31899FE372400003729000044 +:100DF4009307042F1309892F3309F9401359294004 +:100E0400630009021304042F9304000083270400E1 +:100E14009384140013044400E7800700E31899FE48 +:100E24008320C1000324810083244100032901009D +:100E340013010101678000001303F000130705008C +:100E4400637EC3029377F7006390070A63920508F1 +:100E5400937606FF1376F600B386E6002320B700E8 +:100E64002322B7002324B7002326B7001307070162 +:100E7400E366D7FE6314060067800000B306C34030 +:100E84009396260097020000B38656006780C6003A +:100E94002307B700A306B7002306B700A305B700CE +:100EA4002305B700A304B7002304B700A303B700C6 +:100EB4002303B700A302B7002302B700A301B700BE +:100EC4002301B700A300B7002300B7006780000028 +:100ED40093F5F50F93968500B3E5D5009396050138 +:100EE400B3E5D5006FF0DFF6939627009702000074 +:100EF400B386560093820000E78006FA93800200CE +:100F0400938707FF3307F7403306F600E378C3F609 +:100F14006FF0DFF3130101FD232C410103AA81C209 +:100F2400232021032326110203298A142324810266 +:100F340023229102232E3101232A51012328610106 +:100F4400232671012324810163000904130B050086 +:100F5400938B0500930A10009309F0FF8324490042 +:100F64001384F4FF6342040293942400B3049900AD +:100F740063840B0483A74410638077051304F4FF90 +:100F84009384C4FFE31634FF8320C1020324810247 +:100F940083244102032901028329C101032A810117 +:100FA400832A4101032B0101832BC100032C8100FF +:100FB40013010103678000008327490083A64400CE +:100FC4009387F7FF638E870423A20400E38806FA5D +:100FD4008327891833978A00032C4900B377F700D5 +:100FE40063920702E78006000327490083278A14D7 +:100FF40063148701E38427F9E38807F8138907005A +:101004006FF0DFF58327C91883A544083377F70009 +:10101400631C070013050B00E78006006FF0DFFC7C +:10102400232289006FF09FFA13850500E7800600EC +:101034006FF09FFB130101FF23248100B7270000F9 +:10104400372400009387872F1304C42F3304F440FC +:101054002322910023261100935424406380040228 +:101064001304C4FF3304F400832704009384F4FFBF +:101074001304C4FFE7800700E39804FE8320C10043 +:1010840003248100832441001301010167800000CF +:1010940093050500930600001306000013050000E5 +:1010A4006F00400003A781C283278714638C070461 +:1010B40003A747001308F001634EE806131827003E +:1010C40063060502338307012324C30883A8871812 +:1010D400130610003316E600B3E8C80023A417195A +:1010E4002324D310930620006304D50213071700AA +:1010F40023A2E700B387070123A4B7001305000068 +:10110400678000009307C7142324F7146FF05FFA75 +:1011140083A6C7181307170023A2E700B3E6C60087 +:1011240023A6D718B387070123A4B700130500002B +:10113400678000001305F0FF678000009308D00566 +:1011440073000000634405006F000000130101FFF9 +:101154002324810013040500232611003304804056 +:10116400EF00C000232085006F00000003A501C329 +:041174006780000090 +:101178003F000000060000005B0000004F00000078 +:10118800660000006D0000007D0000000700000000 +:101198007F0000006F00000048656C6C6F2C2057C2 +:1011A8006F726C642100000020627974657320728C +:1011B800656365697665640D0A0000000001020236 +:1011C80003030303040404040404040405050505D7 +:1011D80005050505050505050505050506060606B3 +:1011E8000606060606060606060606060606060697 +:1011F8000606060606060606060606060707070783 +:101208000707070707070707070707070707070766 +:101218000707070707070707070707070707070756 +:101228000707070707070707070707070707070746 +:101238000707070707070707070707070808080832 +:101248000808080808080808080808080808080816 +:101258000808080808080808080808080808080806 +:1012680008080808080808080808080808080808F6 +:1012780008080808080808080808080808080808E6 +:1012880008080808080808080808080808080808D6 +:1012980008080808080808080808080808080808C6 +:1012A80008080808080808080808080808080808B6 +:0C12B800080808080808080808080808CA +:1022C4001000000000000000037A5200017C0101AC +:1022D4001B0D0200100000001800000060E6FFFF64 +:0C22E400300400000000000000000000BA +:0822F000740000001C01000055 +:0422F800D80000000A +:1023000000000000EC25000054260000BC26000060 +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000001000000000000002C +:1023B0000E33CDAB34126DE6ECDE05000B000000F1 +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:082720000000000000000000B1 +:0C2728000023000000000000002300005F :040000030000008C6D :00000001FF diff --git a/examples/hdl4se_riscv/test_code/test.info b/examples/hdl4se_riscv/test_code/test.info index f57713652a8977afca890bf2244a9cac248c3ea6..e9994bb89f567721a8f16e1160d1af0af03f8451 100644 --- a/examples/hdl4se_riscv/test_code/test.info +++ b/examples/hdl4se_riscv/test_code/test.info @@ -10,40 +10,39 @@ ELF Header: Version: 0x1 Entry point address: 0x8c Start of program headers: 52 (bytes into file) - Start of section headers: 15852 (bytes into file) + Start of section headers: 16592 (bytes into file) Flags: 0x0 Size of this header: 52 (bytes) Size of program headers: 32 (bytes) Number of program headers: 2 Size of section headers: 40 (bytes) - Number of section headers: 23 - Section header string table index: 22 + Number of section headers: 22 + Section header string table index: 21 Section Headers: [Nr] Name Type Addr Off Size ES Flg Lk Inf Al [ 0] NULL 00000000 000000 000000 00 0 0 0 - [ 1] .text PROGBITS 00000074 000074 000d5c 00 AX 0 0 4 - [ 2] .rodata PROGBITS 00000dd0 000dd0 000128 00 A 0 0 4 - [ 3] .eh_frame PROGBITS 00001000 001000 00002c 00 WA 0 0 4 - [ 4] .init_array INIT_ARRAY 0000102c 00102c 000008 04 WA 0 0 4 - [ 5] .fini_array FINI_ARRAY 00001034 001034 000004 04 WA 0 0 4 - [ 6] .data PROGBITS 00001038 001038 000428 00 WA 0 0 8 - [ 7] .got PROGBITS 00001460 001460 000010 04 WA 0 0 4 - [ 8] .sdata PROGBITS 00001470 001470 00000c 00 WA 0 0 4 - [ 9] .bss NOBITS 0000147c 00147c 00001c 00 WA 0 0 4 - [10] .comment PROGBITS 00000000 00147c 000012 01 MS 0 0 1 - [11] .riscv.attributes RISCV_ATTRIBUTE 00000000 00148e 000021 00 0 0 1 - [12] .debug_aranges PROGBITS 00000000 0014af 000038 00 0 0 1 - [13] .debug_info PROGBITS 00000000 0014e7 000839 00 0 0 1 - [14] .debug_abbrev PROGBITS 00000000 001d20 000216 00 0 0 1 - [15] .debug_line PROGBITS 00000000 001f36 000766 00 0 0 1 - [16] .debug_str PROGBITS 00000000 00269c 000296 01 MS 0 0 1 - [17] .debug_line_str PROGBITS 00000000 002932 0000b0 01 MS 0 0 1 - [18] .debug_loclists PROGBITS 00000000 0029e2 000a99 00 0 0 1 - [19] .debug_rnglists PROGBITS 00000000 00347b 000111 00 0 0 1 - [20] .symtab SYMTAB 00000000 00358c 0004d0 10 21 52 4 - [21] .strtab STRTAB 00000000 003a5c 0002a3 00 0 0 1 - [22] .shstrtab STRTAB 00000000 003cff 0000ed 00 0 0 1 + [ 1] .text PROGBITS 00000074 000074 001104 00 AX 0 0 4 + [ 2] .rodata PROGBITS 00001178 001178 00014c 00 A 0 0 4 + [ 3] .eh_frame PROGBITS 000022c4 0012c4 00002c 00 WA 0 0 4 + [ 4] .init_array INIT_ARRAY 000022f0 0012f0 000008 04 WA 0 0 4 + [ 5] .fini_array FINI_ARRAY 000022f8 0012f8 000004 04 WA 0 0 4 + [ 6] .data PROGBITS 00002300 001300 000428 00 WA 0 0 8 + [ 7] .sdata PROGBITS 00002728 001728 00000c 00 WA 0 0 4 + [ 8] .bss NOBITS 00002734 001734 00001c 00 WA 0 0 4 + [ 9] .comment PROGBITS 00000000 001734 000012 01 MS 0 0 1 + [10] .riscv.attributes RISCV_ATTRIBUTE 00000000 001746 000026 00 0 0 1 + [11] .debug_aranges PROGBITS 00000000 00176c 000038 00 0 0 1 + [12] .debug_info PROGBITS 00000000 0017a4 000839 00 0 0 1 + [13] .debug_abbrev PROGBITS 00000000 001fdd 000216 00 0 0 1 + [14] .debug_line PROGBITS 00000000 0021f3 000766 00 0 0 1 + [15] .debug_str PROGBITS 00000000 002959 00029a 01 MS 0 0 1 + [16] .debug_line_str PROGBITS 00000000 002bf3 0000aa 01 MS 0 0 1 + [17] .debug_loclists PROGBITS 00000000 002c9d 000a99 00 0 0 1 + [18] .debug_rnglists PROGBITS 00000000 003736 000111 00 0 0 1 + [19] .symtab SYMTAB 00000000 003848 0004f0 10 20 50 4 + [20] .strtab STRTAB 00000000 003d38 0002b0 00 0 0 1 + [21] .shstrtab STRTAB 00000000 003fe8 0000e8 00 0 0 1 Key to Flags: W (write), A (alloc), X (execute), M (merge), S (strings), I (info), L (link order), O (extra OS processing required), G (group), T (TLS), @@ -54,13 +53,13 @@ There are no section groups in this file. Program Headers: Type Offset VirtAddr PhysAddr FileSiz MemSiz Flg Align - LOAD 0x000000 0x00000000 0x00000000 0x00ef8 0x00ef8 R E 0x1000 - LOAD 0x001000 0x00001000 0x00001000 0x0047c 0x00498 RW 0x1000 + LOAD 0x000000 0x00000000 0x00000000 0x012c4 0x012c4 R E 0x1000 + LOAD 0x0012c4 0x000022c4 0x000022c4 0x00470 0x0048c RW 0x1000 Section to Segment mapping: Segment Sections... 00 .text .rodata - 01 .eh_frame .init_array .fini_array .data .got .sdata .bss + 01 .eh_frame .init_array .fini_array .data .sdata .bss There is no dynamic section in this file. @@ -68,88 +67,90 @@ There are no relocations in this file. The decoding of unwind sections for machine type RISC-V is not currently supported. -Symbol table '.symtab' contains 77 entries: +Symbol table '.symtab' contains 79 entries: Num: Value Size Type Bind Vis Ndx Name 0: 00000000 0 NOTYPE LOCAL DEFAULT UND 1: 00000074 0 SECTION LOCAL DEFAULT 1 .text - 2: 00000dd0 0 SECTION LOCAL DEFAULT 2 .rodata - 3: 00001000 0 SECTION LOCAL DEFAULT 3 .eh_frame - 4: 0000102c 0 SECTION LOCAL DEFAULT 4 .init_array - 5: 00001034 0 SECTION LOCAL DEFAULT 5 .fini_array - 6: 00001038 0 SECTION LOCAL DEFAULT 6 .data - 7: 00001460 0 SECTION LOCAL DEFAULT 7 .got - 8: 00001470 0 SECTION LOCAL DEFAULT 8 .sdata - 9: 0000147c 0 SECTION LOCAL DEFAULT 9 .bss - 10: 00000000 0 SECTION LOCAL DEFAULT 10 .comment - 11: 00000000 0 SECTION LOCAL DEFAULT 11 .riscv.attributes - 12: 00000000 0 SECTION LOCAL DEFAULT 12 .debug_aranges - 13: 00000000 0 SECTION LOCAL DEFAULT 13 .debug_info - 14: 00000000 0 SECTION LOCAL DEFAULT 14 .debug_abbrev - 15: 00000000 0 SECTION LOCAL DEFAULT 15 .debug_line - 16: 00000000 0 SECTION LOCAL DEFAULT 16 .debug_str - 17: 00000000 0 SECTION LOCAL DEFAULT 17 .debug_line_str - 18: 00000000 0 SECTION LOCAL DEFAULT 18 .debug_loclists - 19: 00000000 0 SECTION LOCAL DEFAULT 19 .debug_rnglists - 20: 00000000 0 FILE LOCAL DEFAULT ABS __call_atexit.c - 21: 00000074 24 FUNC LOCAL DEFAULT 1 register_fini - 22: 00000000 0 FILE LOCAL DEFAULT ABS crtstuff.c - 23: 00001000 0 OBJECT LOCAL DEFAULT 3 __EH_FRAME_BEGIN__ - 24: 000000d8 0 FUNC LOCAL DEFAULT 1 __do_global_dtors_aux - 25: 0000147c 1 OBJECT LOCAL DEFAULT 9 completed.1 - 26: 00001034 0 OBJECT LOCAL DEFAULT 5 __do_global_dtor[...] - 27: 0000011c 0 FUNC LOCAL DEFAULT 1 frame_dummy - 28: 00001480 24 OBJECT LOCAL DEFAULT 9 object.0 - 29: 00001030 0 OBJECT LOCAL DEFAULT 4 __frame_dummy_in[...] - 30: 00000000 0 FILE LOCAL DEFAULT ABS main_v2.c + 2: 00001178 0 SECTION LOCAL DEFAULT 2 .rodata + 3: 000022c4 0 SECTION LOCAL DEFAULT 3 .eh_frame + 4: 000022f0 0 SECTION LOCAL DEFAULT 4 .init_array + 5: 000022f8 0 SECTION LOCAL DEFAULT 5 .fini_array + 6: 00002300 0 SECTION LOCAL DEFAULT 6 .data + 7: 00002728 0 SECTION LOCAL DEFAULT 7 .sdata + 8: 00002734 0 SECTION LOCAL DEFAULT 8 .bss + 9: 00000000 0 SECTION LOCAL DEFAULT 9 .comment + 10: 00000000 0 SECTION LOCAL DEFAULT 10 .riscv.attributes + 11: 00000000 0 SECTION LOCAL DEFAULT 11 .debug_aranges + 12: 00000000 0 SECTION LOCAL DEFAULT 12 .debug_info + 13: 00000000 0 SECTION LOCAL DEFAULT 13 .debug_abbrev + 14: 00000000 0 SECTION LOCAL DEFAULT 14 .debug_line + 15: 00000000 0 SECTION LOCAL DEFAULT 15 .debug_str + 16: 00000000 0 SECTION LOCAL DEFAULT 16 .debug_line_str + 17: 00000000 0 SECTION LOCAL DEFAULT 17 .debug_loclists + 18: 00000000 0 SECTION LOCAL DEFAULT 18 .debug_rnglists + 19: 00000000 0 FILE LOCAL DEFAULT ABS __call_atexit.c + 20: 00000074 24 FUNC LOCAL DEFAULT 1 register_fini + 21: 00000000 0 FILE LOCAL DEFAULT ABS crtstuff.c + 22: 000022c4 0 OBJECT LOCAL DEFAULT 3 __EH_FRAME_BEGIN__ + 23: 000000d8 0 FUNC LOCAL DEFAULT 1 __do_global_dtors_aux + 24: 00002734 1 OBJECT LOCAL DEFAULT 8 completed.1 + 25: 000022f8 0 OBJECT LOCAL DEFAULT 5 __do_global_dtor[...] + 26: 0000011c 0 FUNC LOCAL DEFAULT 1 frame_dummy + 27: 00002738 24 OBJECT LOCAL DEFAULT 8 object.0 + 28: 000022f4 0 OBJECT LOCAL DEFAULT 4 __frame_dummy_in[...] + 29: 00000000 0 FILE LOCAL DEFAULT ABS main_v2.c + 30: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c 31: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c - 32: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c - 33: 00000000 0 FILE LOCAL DEFAULT ABS exit.c - 34: 00000000 0 FILE LOCAL DEFAULT ABS impure.c - 35: 00001038 1064 OBJECT LOCAL DEFAULT 6 impure_data - 36: 00000000 0 FILE LOCAL DEFAULT ABS init.c - 37: 00000000 0 FILE LOCAL DEFAULT ABS fini.c - 38: 00000000 0 FILE LOCAL DEFAULT ABS atexit.c - 39: 00000000 0 FILE LOCAL DEFAULT ABS __atexit.c - 40: 00000000 0 FILE LOCAL DEFAULT ABS sys_exit.c - 41: 00000000 0 FILE LOCAL DEFAULT ABS errno.c - 42: 00000000 0 FILE LOCAL DEFAULT ABS crtstuff.c - 43: 00001028 0 OBJECT LOCAL DEFAULT 3 __FRAME_END__ - 44: 00000000 0 FILE LOCAL DEFAULT ABS - 45: 00001038 0 NOTYPE LOCAL DEFAULT 5 __fini_array_end - 46: 00001034 0 NOTYPE LOCAL DEFAULT 5 __fini_array_start - 47: 00001034 0 NOTYPE LOCAL DEFAULT 4 __init_array_end - 48: 0000102c 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_end - 49: 00001468 0 OBJECT LOCAL DEFAULT 7 _GLOBAL_OFFSET_TABLE_ - 50: 0000102c 0 NOTYPE LOCAL DEFAULT 4 __init_array_start - 51: 0000102c 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_start - 52: 00001838 0 NOTYPE GLOBAL DEFAULT ABS __global_pointer$ - 53: 00000dd0 40 OBJECT GLOBAL DEFAULT 2 segcode - 54: 00000dc8 8 FUNC GLOBAL DEFAULT 1 __errno - 55: 00001470 0 NOTYPE GLOBAL DEFAULT 8 __SDATA_BEGIN__ - 56: 00001474 0 OBJECT GLOBAL HIDDEN 8 __dso_handle - 57: 00001470 4 OBJECT GLOBAL DEFAULT 8 _global_impure_ptr - 58: 000009f8 156 FUNC GLOBAL DEFAULT 1 __libc_init_array - 59: 00000598 1072 FUNC GLOBAL HIDDEN 1 __udivdi3 - 60: 00000c90 92 FUNC GLOBAL DEFAULT 1 __libc_fini_array - 61: 00000b70 288 FUNC GLOBAL DEFAULT 1 __call_exitprocs - 62: 0000008c 76 FUNC GLOBAL DEFAULT 1 _start - 63: 00000d00 152 FUNC GLOBAL DEFAULT 1 __register_exitproc - 64: 00001498 0 NOTYPE GLOBAL DEFAULT 9 __BSS_END__ - 65: 0000147c 0 NOTYPE GLOBAL DEFAULT 9 __bss_start - 66: 00000a94 220 FUNC GLOBAL DEFAULT 1 memset - 67: 0000017c 1052 FUNC GLOBAL DEFAULT 1 main - 68: 00000df8 256 OBJECT GLOBAL HIDDEN 2 __clz_tab - 69: 00000cec 20 FUNC GLOBAL DEFAULT 1 atexit - 70: 00001478 4 OBJECT GLOBAL DEFAULT 8 _impure_ptr - 71: 00001038 0 NOTYPE GLOBAL DEFAULT 6 __DATA_BEGIN__ - 72: 0000013c 64 FUNC GLOBAL DEFAULT 1 num2seg - 73: 0000147c 0 NOTYPE GLOBAL DEFAULT 8 _edata - 74: 00001498 0 NOTYPE GLOBAL DEFAULT 9 _end - 75: 000009c8 48 FUNC GLOBAL DEFAULT 1 exit - 76: 00000d98 48 FUNC GLOBAL DEFAULT 1 _exit + 32: 00000000 0 FILE LOCAL DEFAULT ABS exit.c + 33: 00000000 0 FILE LOCAL DEFAULT ABS impure.c + 34: 00002300 1064 OBJECT LOCAL DEFAULT 6 impure_data + 35: 00000000 0 FILE LOCAL DEFAULT ABS init.c + 36: 00000000 0 FILE LOCAL DEFAULT ABS fini.c + 37: 00000000 0 FILE LOCAL DEFAULT ABS atexit.c + 38: 00000000 0 FILE LOCAL DEFAULT ABS __atexit.c + 39: 00000000 0 FILE LOCAL DEFAULT ABS sys_exit.c + 40: 00000000 0 FILE LOCAL DEFAULT ABS errno.c + 41: 00000000 0 FILE LOCAL DEFAULT ABS crtstuff.c + 42: 000022ec 0 OBJECT LOCAL DEFAULT 3 __FRAME_END__ + 43: 00000000 0 FILE LOCAL DEFAULT ABS + 44: 000022fc 0 NOTYPE LOCAL DEFAULT 5 __fini_array_end + 45: 000022f8 0 NOTYPE LOCAL DEFAULT 5 __fini_array_start + 46: 000022f8 0 NOTYPE LOCAL DEFAULT 4 __init_array_end + 47: 000022f0 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_end + 48: 000022f0 0 NOTYPE LOCAL DEFAULT 4 __init_array_start + 49: 000022f0 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_start + 50: 0000043c 148 FUNC GLOBAL DEFAULT 1 _strcat + 51: 00002b00 0 NOTYPE GLOBAL DEFAULT ABS __global_pointer$ + 52: 00001178 40 OBJECT GLOBAL DEFAULT 2 segcode + 53: 00001170 8 FUNC GLOBAL DEFAULT 1 __errno + 54: 00002728 0 NOTYPE GLOBAL DEFAULT 7 __SDATA_BEGIN__ + 55: 0000013c 140 FUNC GLOBAL DEFAULT 1 uart_write + 56: 0000272c 0 OBJECT GLOBAL HIDDEN 7 __dso_handle + 57: 00002728 4 OBJECT GLOBAL DEFAULT 7 _global_impure_ptr + 58: 00000da0 156 FUNC GLOBAL DEFAULT 1 __libc_init_array + 59: 00000940 1072 FUNC GLOBAL HIDDEN 1 __udivdi3 + 60: 00001038 92 FUNC GLOBAL DEFAULT 1 __libc_fini_array + 61: 000002e0 348 FUNC GLOBAL DEFAULT 1 int2s + 62: 00000f18 288 FUNC GLOBAL DEFAULT 1 __call_exitprocs + 63: 0000008c 76 FUNC GLOBAL DEFAULT 1 _start + 64: 000010a8 152 FUNC GLOBAL DEFAULT 1 __register_exitproc + 65: 00002750 0 NOTYPE GLOBAL DEFAULT 8 __BSS_END__ + 66: 00002734 0 NOTYPE GLOBAL DEFAULT 8 __bss_start + 67: 00000e3c 220 FUNC GLOBAL DEFAULT 1 memset + 68: 000004d0 1136 FUNC GLOBAL DEFAULT 1 main + 69: 000011c4 256 OBJECT GLOBAL HIDDEN 2 __clz_tab + 70: 00001094 20 FUNC GLOBAL DEFAULT 1 atexit + 71: 00002730 4 OBJECT GLOBAL DEFAULT 7 _impure_ptr + 72: 00002300 0 NOTYPE GLOBAL DEFAULT 6 __DATA_BEGIN__ + 73: 000002a0 64 FUNC GLOBAL DEFAULT 1 num2seg + 74: 00002734 0 NOTYPE GLOBAL DEFAULT 7 _edata + 75: 00002750 0 NOTYPE GLOBAL DEFAULT 8 _end + 76: 000001c8 216 FUNC GLOBAL DEFAULT 1 uart_read + 77: 00000d70 48 FUNC GLOBAL DEFAULT 1 exit + 78: 00001140 48 FUNC GLOBAL DEFAULT 1 _exit No version information found in this file. Attribute Section: riscv File Attributes Tag_RISCV_stack_align: 16-bytes - Tag_RISCV_arch: "rv32i2p0_m2p0" + Tag_RISCV_arch: "rv32i2p0_m2p0_a2p0" diff --git a/examples/hdl4se_riscv/test_code/test.mif b/examples/hdl4se_riscv/test_code/test.mif index 1d5ab75808d44dc07e5ab0c18d650ae309744cdf..2a281e23a8f3d8826c854e1385f61190f3263459 100644 --- a/examples/hdl4se_riscv/test_code/test.mif +++ b/examples/hdl4se_riscv/test_code/test.mif @@ -1,4 +1,4 @@ -DEPTH = 2048; +DEPTH = 32768; WIDTH = 32; ADDRESS_RADIX = HEX; DATA_RADIX = HEX; @@ -36,1289 +36,1289 @@ BEGIN 001D : 00000793; 001E : 00078863; 001F : 00001537; -0020 : C9050513; -0021 : 4690006F; +0020 : 03850513; +0021 : 0100106F; 0022 : 00008067; -0023 : 00001197; -0024 : 7AC18193; -0025 : C4418513; -0026 : C6018613; +0023 : 00003197; +0024 : A7418193; +0025 : C3418513; +0026 : C5018613; 0027 : 40A60633; 0028 : 00000593; -0029 : 1F1000EF; +0029 : 599000EF; 002A : 00001517; -002B : C4450513; +002B : FEC50513; 002C : 00050863; 002D : 00001517; -002E : BDC50513; -002F : 431000EF; -0030 : 139000EF; +002E : F8450513; +002F : 7D9000EF; +0030 : 4E1000EF; 0031 : 00012503; 0032 : 00410593; 0033 : 00000613; -0034 : 0AC000EF; -0035 : 0F50006F; +0034 : 400000EF; +0035 : 49D0006F; 0036 : FF010113; 0037 : 00812423; -0038 : C441C783; +0038 : C341C783; 0039 : 00112623; 003A : 02079263; 003B : 00000793; 003C : 00078A63; -003D : 00001537; -003E : 00050513; +003D : 00002537; +003E : 2C450513; 003F : 00000097; 0040 : 000000E7; 0041 : 00100793; -0042 : C4F18223; +0042 : C2F18A23; 0043 : 00C12083; 0044 : 00812403; 0045 : 01010113; 0046 : 00008067; 0047 : 00000793; 0048 : 00078C63; -0049 : 00001537; -004A : C4818593; -004B : 00050513; +0049 : 00002537; +004A : C3818593; +004B : 2C450513; 004C : 00000317; 004D : 00000067; 004E : 00008067; -004F : FE010113; -0050 : 00812E23; -0051 : 02010413; -0052 : FEA42623; -0053 : FEC42703; -0054 : 00A00793; -0055 : 02F777B3; -0056 : 00001717; -0057 : 31472703; -0058 : 00279793; -0059 : 00F707B3; -005A : 0007A783; -005B : 00078513; -005C : 01C12403; -005D : 02010113; -005E : 00008067; -005F : FB010113; -0060 : 04112623; -0061 : 04812423; -0062 : 04912223; -0063 : 05010413; -0064 : FAA42E23; -0065 : FAB42C23; -0066 : 00100793; -0067 : FEF42223; -0068 : F00007B7; -0069 : FEF42023; -006A : F00007B7; -006B : 01078793; -006C : FCF42E23; -006D : F00007B7; -006E : 10078793; -006F : FCF42C23; -0070 : 00000793; -0071 : 00000813; -0072 : FEF42423; -0073 : FF042623; -0074 : FDC42783; -0075 : 6F7F0737; -0076 : 77D70713; -0077 : 00E7A023; -0078 : FDC42783; -0079 : 00478793; -007A : 6D665737; -007B : F5B70713; -007C : 00E7A023; -007D : FD842783; -007E : 01078793; -007F : 1B200713; -0080 : 00E7A023; -0081 : FD842783; -0082 : 00878793; -0083 : 0007A783; -0084 : FCF42A23; -0085 : FD442703; -0086 : 000107B7; -0087 : 00F777B3; -0088 : 02079E63; -0089 : FD842783; -008A : 0007A783; -008B : FCF42223; -008C : FC442783; -008D : 0007DA63; -008E : FD842783; -008F : 00478793; -0090 : 02300713; -0091 : 00E7A023; -0092 : FD842783; -0093 : 00478793; -0094 : FC442703; -0095 : 00E7A023; -0096 : 33C0006F; -0097 : FE042783; -0098 : 0007A783; -0099 : FCF42823; -009A : FD042783; -009B : 0017F793; -009C : 00078C63; -009D : 00000793; -009E : 00000813; -009F : FEF42423; -00A0 : FF042623; -00A1 : 0740006F; -00A2 : FD042783; -00A3 : 0027F793; -00A4 : 00078663; -00A5 : FE042223; -00A6 : 0600006F; -00A7 : FD042783; -00A8 : 0047F793; -00A9 : 00078863; -00AA : 00100793; -00AB : FEF42223; -00AC : 0480006F; -00AD : FD042783; -00AE : 0087F793; -00AF : 00078C63; -00B0 : FD842783; -00B1 : 01078793; -00B2 : 1B200713; -00B3 : 00E7A023; -00B4 : 2C40006F; -00B5 : FD042783; -00B6 : 0107F793; -00B7 : 00078E63; -00B8 : FD842783; -00B9 : 01078793; -00BA : 00001737; -00BB : 45870713; -00BC : 00E7A023; -00BD : 2A00006F; -00BE : FE442783; -00BF : 02078A63; -00C0 : FE842603; -00C1 : FEC42683; -00C2 : 00100513; -00C3 : 00000593; -00C4 : 00A60733; -00C5 : 00070813; -00C6 : 00C83833; -00C7 : 00B687B3; -00C8 : 00F806B3; -00C9 : 00068793; -00CA : FEE42423; -00CB : FEF42623; -00CC : FE842703; -00CD : FEC42783; -00CE : FCE42423; -00CF : FCF42623; -00D0 : FC842783; -00D1 : 00078513; -00D2 : DF5FF0EF; -00D3 : 00050493; -00D4 : FC842703; -00D5 : FCC42783; -00D6 : 00A00613; -00D7 : 00000693; -00D8 : 00070513; -00D9 : 00078593; -00DA : 230000EF; -00DB : 00050713; -00DC : 00058793; -00DD : 00070793; -00DE : 00078513; -00DF : DC1FF0EF; -00E0 : 00050793; -00E1 : 00879793; -00E2 : 00F4E4B3; -00E3 : FC842703; -00E4 : FCC42783; -00E5 : 06400613; -00E6 : 00000693; -00E7 : 00070513; -00E8 : 00078593; -00E9 : 1F4000EF; -00EA : 00050713; -00EB : 00058793; -00EC : 00070793; -00ED : 00078513; -00EE : D85FF0EF; -00EF : 00050793; -00F0 : 01079793; -00F1 : 00F4E4B3; -00F2 : FC842703; -00F3 : FCC42783; -00F4 : 3E800613; -00F5 : 00000693; -00F6 : 00070513; -00F7 : 00078593; -00F8 : 1B8000EF; -00F9 : 00050713; -00FA : 00058793; -00FB : 00070793; -00FC : 00078513; -00FD : D49FF0EF; -00FE : 00050793; -00FF : 01879793; -0100 : 00F4E733; -0101 : FDC42783; -0102 : 00E7A023; -0103 : FC842703; -0104 : FCC42783; -0105 : 00002637; -0106 : 71060613; -0107 : 00000693; -0108 : 00070513; -0109 : 00078593; -010A : 170000EF; -010B : 00050713; -010C : 00058793; -010D : FCE42423; -010E : FCF42623; -010F : FC842783; -0110 : 00078513; -0111 : CF9FF0EF; -0112 : 00050493; -0113 : FC842703; -0114 : FCC42783; -0115 : 00A00613; -0116 : 00000693; -0117 : 00070513; -0118 : 00078593; -0119 : 134000EF; -011A : 00050713; -011B : 00058793; -011C : 00070793; -011D : 00078513; -011E : CC5FF0EF; -011F : 00050793; -0120 : 00879793; -0121 : 00F4E4B3; -0122 : FC842703; -0123 : FCC42783; -0124 : 06400613; -0125 : 00000693; -0126 : 00070513; -0127 : 00078593; -0128 : 0F8000EF; -0129 : 00050713; -012A : 00058793; -012B : 00070793; -012C : 00078513; -012D : C89FF0EF; -012E : 00050793; -012F : 01079793; -0130 : 00F4E4B3; -0131 : FC842703; -0132 : FCC42783; -0133 : 3E800613; -0134 : 00000693; -0135 : 00070513; -0136 : 00078593; -0137 : 0BC000EF; -0138 : 00050713; -0139 : 00058793; -013A : 00070793; -013B : 00078513; -013C : C4DFF0EF; -013D : 00050793; -013E : 01879713; -013F : FDC42783; -0140 : 00478793; -0141 : 00E4E733; -0142 : 00E7A023; -0143 : FC842703; -0144 : FCC42783; -0145 : 00002637; -0146 : 71060613; -0147 : 00000693; -0148 : 00070513; -0149 : 00078593; -014A : 070000EF; -014B : 00050713; -014C : 00058793; -014D : FCE42423; -014E : FCF42623; -014F : FC842783; -0150 : 00078513; -0151 : BF9FF0EF; -0152 : 00050493; -0153 : FC842703; -0154 : FCC42783; -0155 : 00A00613; -0156 : 00000693; -0157 : 00070513; -0158 : 00078593; -0159 : 034000EF; -015A : 00050713; -015B : 00058793; -015C : 00070793; +004F : FD010113; +0050 : 02812623; +0051 : 03010413; +0052 : FCA42E23; +0053 : FCB42C23; +0054 : F00007B7; +0055 : 10078793; +0056 : FEF42423; +0057 : FE042623; +0058 : 0480006F; +0059 : FE842783; +005A : 00878793; +005B : 0007A783; +005C : FEF42223; +005D : FE442783; +005E : 0027F793; +005F : 02078663; +0060 : FEC42783; +0061 : FDC42703; +0062 : 00F707B3; +0063 : 0007C703; +0064 : FE842783; +0065 : 00478793; +0066 : 00E7A023; +0067 : FEC42783; +0068 : 00178793; +0069 : FEF42623; +006A : FEC42703; +006B : FD842783; +006C : FAF74AE3; +006D : FD842783; +006E : 00078513; +006F : 02C12403; +0070 : 03010113; +0071 : 00008067; +0072 : FD010113; +0073 : 02812623; +0074 : 03010413; +0075 : FCA42E23; +0076 : FCB42C23; +0077 : F00007B7; +0078 : 10078793; +0079 : FEF42423; +007A : FD842783; +007B : 00F04663; +007C : 00000793; +007D : 09C0006F; +007E : FE042623; +007F : FE842783; +0080 : 00878793; +0081 : 0007A783; +0082 : FEF42223; +0083 : FE442703; +0084 : 000107B7; +0085 : 00F777B3; +0086 : 06079263; +0087 : FE442783; +0088 : 0117D793; +0089 : FEF42223; +008A : FD842783; +008B : FE442703; +008C : 00F77663; +008D : FE442783; +008E : FCF42C23; +008F : FE042623; +0090 : 02C0006F; +0091 : FE842783; +0092 : 0007A683; +0093 : FEC42783; +0094 : FDC42703; +0095 : 00F707B3; +0096 : 0FF6F713; +0097 : 00E78023; +0098 : FEC42783; +0099 : 00178793; +009A : FEF42623; +009B : FEC42703; +009C : FD842783; +009D : FCF748E3; +009E : 00C0006F; +009F : 00000793; +00A0 : 0100006F; +00A1 : FEC42783; +00A2 : F6078AE3; +00A3 : FEC42783; +00A4 : 00078513; +00A5 : 02C12403; +00A6 : 03010113; +00A7 : 00008067; +00A8 : FE010113; +00A9 : 00812E23; +00AA : 02010413; +00AB : FEA42623; +00AC : FEC42703; +00AD : 00A00793; +00AE : 02F777B3; +00AF : 00001737; +00B0 : 17870713; +00B1 : 00279793; +00B2 : 00F707B3; +00B3 : 0007A783; +00B4 : 00078513; +00B5 : 01C12403; +00B6 : 02010113; +00B7 : 00008067; +00B8 : FC010113; +00B9 : 02812E23; +00BA : 04010413; +00BB : FCA42623; +00BC : FCB42423; +00BD : FE042423; +00BE : FE042223; +00BF : FC842783; +00C0 : 0407DE63; +00C1 : FC842783; +00C2 : 40F007B3; +00C3 : FCF42423; +00C4 : FE042223; +00C5 : 0480006F; +00C6 : FC842703; +00C7 : 00A00793; +00C8 : 02F767B3; +00C9 : 0FF7F713; +00CA : FE842783; +00CB : 00178693; +00CC : FED42423; +00CD : 00078693; +00CE : FCC42783; +00CF : 00D787B3; +00D0 : 03070713; +00D1 : 0FF77713; +00D2 : 00E78023; +00D3 : FC842703; +00D4 : 00A00793; +00D5 : 02F747B3; +00D6 : FCF42423; +00D7 : FC842783; +00D8 : FAF04CE3; +00D9 : FE442783; +00DA : 02078263; +00DB : FE842783; +00DC : 00178713; +00DD : FEE42423; +00DE : 00078713; +00DF : FCC42783; +00E0 : 00E787B3; +00E1 : 02D00713; +00E2 : 00E78023; +00E3 : FE042623; +00E4 : 06C0006F; +00E5 : FE842783; +00E6 : FFF78713; +00E7 : FEC42783; +00E8 : 40F707B3; +00E9 : FEF42023; +00EA : FEC42783; +00EB : FCC42703; +00EC : 00F707B3; +00ED : 0007C783; +00EE : FCF40FA3; +00EF : FE042783; +00F0 : FCC42703; +00F1 : 00F70733; +00F2 : FEC42783; +00F3 : FCC42683; +00F4 : 00F687B3; +00F5 : 00074703; +00F6 : 00E78023; +00F7 : FE042783; +00F8 : FCC42703; +00F9 : 00F707B3; +00FA : FDF44703; +00FB : 00E78023; +00FC : FEC42783; +00FD : 00178793; +00FE : FEF42623; +00FF : FE842783; +0100 : 01F7D713; +0101 : 00F707B3; +0102 : 4017D793; +0103 : 00078713; +0104 : FEC42783; +0105 : F8E7C0E3; +0106 : FE842783; +0107 : FCC42703; +0108 : 00F707B3; +0109 : 00078023; +010A : FE842783; +010B : 00078513; +010C : 03C12403; +010D : 04010113; +010E : 00008067; +010F : FD010113; +0110 : 02812623; +0111 : 03010413; +0112 : FCA42E23; +0113 : FCB42C23; +0114 : FDC42783; +0115 : FEF42623; +0116 : 0100006F; +0117 : FDC42783; +0118 : 00178793; +0119 : FCF42E23; +011A : FDC42783; +011B : 0007C783; +011C : FE0796E3; +011D : 0240006F; +011E : FD842703; +011F : 00170793; +0120 : FCF42C23; +0121 : FDC42783; +0122 : 00178693; +0123 : FCD42E23; +0124 : 00074703; +0125 : 00E78023; +0126 : FD842783; +0127 : 0007C783; +0128 : FC079CE3; +0129 : FDC42783; +012A : 00178713; +012B : FCE42E23; +012C : 00078023; +012D : FDC42703; +012E : FEC42783; +012F : 40F707B3; +0130 : 00078513; +0131 : 02C12403; +0132 : 03010113; +0133 : 00008067; +0134 : EA010113; +0135 : 14112E23; +0136 : 14812C23; +0137 : 14912A23; +0138 : 16010413; +0139 : EAA42623; +013A : EAB42423; +013B : 00100793; +013C : FCF42E23; +013D : F00007B7; +013E : FCF42C23; +013F : F00007B7; +0140 : 01078793; +0141 : FCF42A23; +0142 : F00007B7; +0143 : 10078793; +0144 : FCF42823; +0145 : 00000793; +0146 : 00000813; +0147 : FEF42423; +0148 : FF042623; +0149 : FD442783; +014A : 6F7F0737; +014B : 77D70713; +014C : 00E7A023; +014D : FD442783; +014E : 00478793; +014F : 6D665737; +0150 : F5B70713; +0151 : 00E7A023; +0152 : FD042783; +0153 : 01078793; +0154 : 1B200713; +0155 : 00E7A023; +0156 : FE042223; +0157 : FE042023; +0158 : 000017B7; +0159 : 1A078793; +015A : FCF42623; +015B : EB840793; +015C : 0FF00593; 015D : 00078513; -015E : BC5FF0EF; -015F : 00050793; -0160 : 00879713; -0161 : FDC42783; -0162 : 00878793; -0163 : 00E4E733; -0164 : 00E7A023; -0165 : C71FF06F; -0166 : 00050313; -0167 : 00058893; -0168 : 00060713; -0169 : 00050813; -016A : 00058793; -016B : 28069263; -016C : 000015B7; -016D : DF858593; -016E : 0EC8F663; -016F : 000106B7; -0170 : 0CD67863; -0171 : 10063693; -0172 : 0016C693; -0173 : 00369693; -0174 : 00D65533; -0175 : 00A585B3; -0176 : 0005C583; -0177 : 02000513; -0178 : 00D586B3; -0179 : 40D505B3; -017A : 00D50C63; -017B : 00B897B3; -017C : 00D356B3; -017D : 00B61733; -017E : 00F6E7B3; -017F : 00B31833; -0180 : 01075593; -0181 : 02B7D333; -0182 : 01071613; -0183 : 01065613; -0184 : 02B7F7B3; -0185 : 00030513; -0186 : 026608B3; -0187 : 01079693; -0188 : 01085793; -0189 : 00D7E7B3; -018A : 0117FE63; -018B : 00E787B3; -018C : FFF30513; -018D : 00E7E863; -018E : 0117F663; -018F : FFE30513; -0190 : 00E787B3; -0191 : 411787B3; -0192 : 02B7D8B3; -0193 : 01081813; -0194 : 01085813; -0195 : 02B7F7B3; -0196 : 031606B3; -0197 : 01079793; -0198 : 00F86833; -0199 : 00088793; -019A : 00D87C63; -019B : 01070833; -019C : FFF88793; -019D : 00E86663; -019E : 00D87463; -019F : FFE88793; -01A0 : 01051513; -01A1 : 00F56533; -01A2 : 00000593; -01A3 : 00008067; -01A4 : 01000537; -01A5 : 01000693; -01A6 : F2A66CE3; -01A7 : 01800693; -01A8 : F31FF06F; -01A9 : 00061463; -01AA : 00100073; -01AB : 000107B7; -01AC : 0CF67063; -01AD : 10063693; -01AE : 0016C693; -01AF : 00369693; -01B0 : 00D657B3; -01B1 : 00F585B3; -01B2 : 0005C783; -01B3 : 00D787B3; -01B4 : 02000693; -01B5 : 40F685B3; -01B6 : 0AF69663; -01B7 : 40C887B3; -01B8 : 00100593; -01B9 : 01075893; -01BA : 0317DE33; -01BB : 01071613; -01BC : 01065613; -01BD : 01085693; -01BE : 0317F7B3; -01BF : 000E0513; -01C0 : 03C60333; -01C1 : 01079793; -01C2 : 00F6E7B3; -01C3 : 0067FE63; -01C4 : 00E787B3; -01C5 : FFFE0513; -01C6 : 00E7E863; -01C7 : 0067F663; -01C8 : FFEE0513; -01C9 : 00E787B3; -01CA : 406787B3; -01CB : 0317D333; -01CC : 01081813; -01CD : 01085813; -01CE : 0317F7B3; -01CF : 026606B3; -01D0 : 01079793; -01D1 : 00F86833; -01D2 : 00030793; -01D3 : 00D87C63; -01D4 : 01070833; -01D5 : FFF30793; -01D6 : 00E86663; -01D7 : 00D87463; -01D8 : FFE30793; -01D9 : 01051513; -01DA : 00F56533; -01DB : 00008067; -01DC : 010007B7; -01DD : 01000693; -01DE : F4F664E3; -01DF : 01800693; -01E0 : F41FF06F; -01E1 : 00B61733; -01E2 : 00F8D6B3; -01E3 : 01075513; -01E4 : 00F357B3; -01E5 : 00B31833; -01E6 : 02A6D333; -01E7 : 01071613; -01E8 : 00B898B3; -01E9 : 01065613; -01EA : 0117E7B3; -01EB : 02A6F6B3; -01EC : 026608B3; -01ED : 01069593; -01EE : 0107D693; -01EF : 00B6E6B3; -01F0 : 00030593; -01F1 : 0116FE63; -01F2 : 00E686B3; -01F3 : FFF30593; -01F4 : 00E6E863; -01F5 : 0116F663; -01F6 : FFE30593; -01F7 : 00E686B3; -01F8 : 411686B3; -01F9 : 02A6D8B3; -01FA : 01079793; -01FB : 0107D793; -01FC : 02A6F6B3; -01FD : 03160633; -01FE : 01069693; -01FF : 00D7E7B3; -0200 : 00088693; -0201 : 00C7FE63; -0202 : 00E787B3; -0203 : FFF88693; -0204 : 00E7E863; -0205 : 00C7F663; -0206 : FFE88693; -0207 : 00E787B3; -0208 : 01059593; -0209 : 40C787B3; -020A : 00D5E5B3; -020B : EB9FF06F; -020C : 18D5E663; -020D : 000107B7; -020E : 04F6F463; -020F : 1006B713; -0210 : 00174713; -0211 : 00371713; -0212 : 000017B7; -0213 : 00E6D5B3; -0214 : DF878793; -0215 : 00B787B3; -0216 : 0007C783; -0217 : 00E787B3; -0218 : 02000713; -0219 : 40F705B3; -021A : 02F71663; -021B : 00100513; -021C : E116EEE3; -021D : 00C33533; -021E : 00154513; -021F : 00008067; -0220 : 010007B7; -0221 : 01000713; -0222 : FCF6E0E3; -0223 : 01800713; -0224 : FB9FF06F; -0225 : 00F65733; -0226 : 00B696B3; -0227 : 00D766B3; -0228 : 00F8D733; -0229 : 00B898B3; -022A : 00F357B3; -022B : 0117E7B3; -022C : 0106D893; -022D : 03175EB3; -022E : 01069813; -022F : 01085813; -0230 : 00B61633; -0231 : 03177733; -0232 : 03D80E33; -0233 : 01071513; -0234 : 0107D713; -0235 : 00A76733; -0236 : 000E8513; -0237 : 01C77E63; -0238 : 00D70733; -0239 : FFFE8513; -023A : 00D76863; -023B : 01C77663; -023C : FFEE8513; -023D : 00D70733; -023E : 41C70733; -023F : 03175E33; -0240 : 01079793; -0241 : 0107D793; -0242 : 03177733; -0243 : 03C80833; -0244 : 01071713; -0245 : 00E7E7B3; -0246 : 000E0713; -0247 : 0107FE63; -0248 : 00D787B3; -0249 : FFFE0713; -024A : 00D7E863; -024B : 0107F663; -024C : FFEE0713; -024D : 00D787B3; -024E : 01051513; -024F : 00010E37; -0250 : 00E56533; -0251 : FFFE0693; -0252 : 00D57733; -0253 : 410787B3; -0254 : 00D676B3; -0255 : 01055813; -0256 : 01065613; -0257 : 02D708B3; -0258 : 02D806B3; -0259 : 02C70733; -025A : 02C80833; -025B : 00D70633; -025C : 0108D713; -025D : 00C70733; -025E : 00D77463; -025F : 01C80833; -0260 : 01075693; -0261 : 010686B3; -0262 : 02D7E663; -0263 : CED79EE3; -0264 : 000107B7; -0265 : FFF78793; -0266 : 00F77733; -0267 : 01071713; -0268 : 00F8F8B3; -0269 : 00B31333; -026A : 01170733; -026B : 00000593; -026C : CCE37EE3; -026D : FFF50513; -026E : CD1FF06F; -026F : 00000593; -0270 : 00000513; -0271 : 00008067; -0272 : FF010113; -0273 : 00000593; -0274 : 00812423; -0275 : 00112623; -0276 : 00050413; -0277 : 194000EF; -0278 : C381A503; -0279 : 03C52783; -027A : 00078463; -027B : 000780E7; -027C : 00040513; -027D : 3A4000EF; -027E : FF010113; -027F : 00812423; -0280 : 01212023; -0281 : 00001437; -0282 : 00001937; -0283 : 02C40793; -0284 : 02C90913; -0285 : 40F90933; -0286 : 00112623; -0287 : 00912223; -0288 : 40295913; -0289 : 02090063; -028A : 02C40413; -028B : 00000493; -028C : 00042783; -028D : 00148493; -028E : 00440413; -028F : 000780E7; -0290 : FE9918E3; -0291 : 00001437; -0292 : 00001937; -0293 : 02C40793; -0294 : 03490913; -0295 : 40F90933; -0296 : 40295913; -0297 : 02090063; -0298 : 02C40413; -0299 : 00000493; -029A : 00042783; -029B : 00148493; -029C : 00440413; -029D : 000780E7; -029E : FE9918E3; -029F : 00C12083; -02A0 : 00812403; -02A1 : 00412483; -02A2 : 00012903; -02A3 : 01010113; -02A4 : 00008067; -02A5 : 00F00313; -02A6 : 00050713; -02A7 : 02C37E63; -02A8 : 00F77793; -02A9 : 0A079063; -02AA : 08059263; -02AB : FF067693; -02AC : 00F67613; -02AD : 00E686B3; -02AE : 00B72023; -02AF : 00B72223; -02B0 : 00B72423; -02B1 : 00B72623; -02B2 : 01070713; -02B3 : FED766E3; -02B4 : 00061463; -02B5 : 00008067; -02B6 : 40C306B3; -02B7 : 00269693; -02B8 : 00000297; -02B9 : 005686B3; -02BA : 00C68067; -02BB : 00B70723; -02BC : 00B706A3; -02BD : 00B70623; -02BE : 00B705A3; -02BF : 00B70523; -02C0 : 00B704A3; -02C1 : 00B70423; -02C2 : 00B703A3; -02C3 : 00B70323; -02C4 : 00B702A3; -02C5 : 00B70223; -02C6 : 00B701A3; -02C7 : 00B70123; -02C8 : 00B700A3; -02C9 : 00B70023; -02CA : 00008067; -02CB : 0FF5F593; -02CC : 00859693; -02CD : 00D5E5B3; -02CE : 01059693; -02CF : 00D5E5B3; -02D0 : F6DFF06F; -02D1 : 00279693; -02D2 : 00000297; -02D3 : 005686B3; -02D4 : 00008293; -02D5 : FA0680E7; -02D6 : 00028093; -02D7 : FF078793; -02D8 : 40F70733; -02D9 : 00F60633; -02DA : F6C378E3; -02DB : F3DFF06F; -02DC : FD010113; -02DD : 01412C23; -02DE : C381AA03; -02DF : 03212023; -02E0 : 02112623; -02E1 : 148A2903; -02E2 : 02812423; -02E3 : 02912223; -02E4 : 01312E23; -02E5 : 01512A23; -02E6 : 01612823; -02E7 : 01712623; -02E8 : 01812423; -02E9 : 04090063; -02EA : 00050B13; -02EB : 00058B93; -02EC : 00100A93; -02ED : FFF00993; -02EE : 00492483; -02EF : FFF48413; -02F0 : 02044263; -02F1 : 00249493; -02F2 : 009904B3; -02F3 : 040B8463; -02F4 : 1044A783; -02F5 : 05778063; -02F6 : FFF40413; -02F7 : FFC48493; -02F8 : FF3416E3; -02F9 : 02C12083; -02FA : 02812403; -02FB : 02412483; -02FC : 02012903; -02FD : 01C12983; -02FE : 01812A03; -02FF : 01412A83; -0300 : 01012B03; -0301 : 00C12B83; -0302 : 00812C03; -0303 : 03010113; -0304 : 00008067; -0305 : 00492783; -0306 : 0044A683; -0307 : FFF78793; -0308 : 04878E63; -0309 : 0004A223; -030A : FA0688E3; -030B : 18892783; -030C : 008A9733; -030D : 00492C03; -030E : 00F777B3; -030F : 02079263; -0310 : 000680E7; -0311 : 00492703; -0312 : 148A2783; -0313 : 01871463; -0314 : F92784E3; -0315 : F80788E3; -0316 : 00078913; -0317 : F5DFF06F; -0318 : 18C92783; -0319 : 0844A583; -031A : 00F77733; -031B : 00071C63; -031C : 000B0513; -031D : 000680E7; -031E : FCDFF06F; -031F : 00892223; -0320 : FA9FF06F; -0321 : 00058513; -0322 : 000680E7; -0323 : FB9FF06F; -0324 : FF010113; -0325 : 00812423; -0326 : 000017B7; -0327 : 00001437; -0328 : 03478793; -0329 : 03840413; -032A : 40F40433; -032B : 00912223; -032C : 00112623; -032D : 40245493; -032E : 02048063; -032F : FFC40413; -0330 : 00F40433; -0331 : 00042783; -0332 : FFF48493; -0333 : FFC40413; -0334 : 000780E7; -0335 : FE0498E3; -0336 : 00C12083; -0337 : 00812403; -0338 : 00412483; -0339 : 01010113; -033A : 00008067; -033B : 00050593; -033C : 00000693; -033D : 00000613; -033E : 00000513; -033F : 0040006F; -0340 : C381A703; -0341 : 14872783; -0342 : 04078C63; -0343 : 0047A703; -0344 : 01F00813; -0345 : 06E84E63; -0346 : 00271813; -0347 : 02050663; -0348 : 01078333; -0349 : 08C32423; -034A : 1887A883; -034B : 00100613; -034C : 00E61633; -034D : 00C8E8B3; -034E : 1917A423; -034F : 10D32423; -0350 : 00200693; -0351 : 02D50463; -0352 : 00170713; -0353 : 00E7A223; -0354 : 010787B3; -0355 : 00B7A423; -0356 : 00000513; -0357 : 00008067; -0358 : 14C70793; -0359 : 14F72423; -035A : FA5FF06F; -035B : 18C7A683; -035C : 00170713; -035D : 00E7A223; -035E : 00C6E6B3; -035F : 18D7A623; -0360 : 010787B3; -0361 : 00B7A423; -0362 : 00000513; -0363 : 00008067; -0364 : FFF00513; -0365 : 00008067; -0366 : 05D00893; -0367 : 00000073; -0368 : 00054463; -0369 : 0000006F; -036A : FF010113; -036B : 00812423; -036C : 00050413; -036D : 00112623; -036E : 40800433; -036F : 00C000EF; -0370 : 00852023; -0371 : 0000006F; -0372 : C401A503; -0373 : 00008067; -0374 : 0000003F; -0375 : 00000006; -0376 : 0000005B; -0377 : 0000004F; -0378 : 00000066; -0379 : 0000006D; -037A : 0000007D; -037B : 00000007; -037C : 0000007F; -037D : 0000006F; -037E : 02020100; -037F : 03030303; -0380 : 04040404; -0381 : 04040404; -0382 : 05050505; -0383 : 05050505; -0384 : 05050505; -0385 : 05050505; -0386 : 06060606; -0387 : 06060606; -0388 : 06060606; -0389 : 06060606; -038A : 06060606; -038B : 06060606; -038C : 06060606; -038D : 06060606; -038E : 07070707; -038F : 07070707; -0390 : 07070707; -0391 : 07070707; -0392 : 07070707; -0393 : 07070707; -0394 : 07070707; -0395 : 07070707; -0396 : 07070707; -0397 : 07070707; -0398 : 07070707; -0399 : 07070707; -039A : 07070707; -039B : 07070707; -039C : 07070707; -039D : 07070707; -039E : 08080808; -039F : 08080808; -03A0 : 08080808; -03A1 : 08080808; -03A2 : 08080808; -03A3 : 08080808; -03A4 : 08080808; -03A5 : 08080808; -03A6 : 08080808; -03A7 : 08080808; -03A8 : 08080808; -03A9 : 08080808; -03AA : 08080808; -03AB : 08080808; -03AC : 08080808; -03AD : 08080808; -03AE : 08080808; -03AF : 08080808; -03B0 : 08080808; -03B1 : 08080808; -03B2 : 08080808; -03B3 : 08080808; -03B4 : 08080808; -03B5 : 08080808; -03B6 : 08080808; -03B7 : 08080808; -03B8 : 08080808; -03B9 : 08080808; -03BA : 08080808; -03BB : 08080808; -03BC : 08080808; -03BD : 08080808; -03BE : CD000000; -03BF : CDCDCDCD; -03C0 : CDCDCDCD; -03C1 : CDCDCDCD; -03C2 : CDCDCDCD; -03C3 : CDCDCDCD; -03C4 : CDCDCDCD; -03C5 : CDCDCDCD; -03C6 : CDCDCDCD; -03C7 : CDCDCDCD; -03C8 : CDCDCDCD; -03C9 : CDCDCDCD; -03CA : CDCDCDCD; -03CB : CDCDCDCD; -03CC : CDCDCDCD; -03CD : CDCDCDCD; -03CE : CDCDCDCD; -03CF : CDCDCDCD; -03D0 : CDCDCDCD; -03D1 : CDCDCDCD; -03D2 : CDCDCDCD; -03D3 : CDCDCDCD; -03D4 : CDCDCDCD; -03D5 : CDCDCDCD; -03D6 : CDCDCDCD; -03D7 : CDCDCDCD; -03D8 : CDCDCDCD; -03D9 : CDCDCDCD; -03DA : CDCDCDCD; -03DB : CDCDCDCD; -03DC : CDCDCDCD; -03DD : CDCDCDCD; -03DE : CDCDCDCD; -03DF : CDCDCDCD; -03E0 : CDCDCDCD; -03E1 : CDCDCDCD; -03E2 : CDCDCDCD; -03E3 : CDCDCDCD; -03E4 : CDCDCDCD; -03E5 : CDCDCDCD; -03E6 : CDCDCDCD; -03E7 : CDCDCDCD; -03E8 : CDCDCDCD; -03E9 : CDCDCDCD; -03EA : CDCDCDCD; -03EB : CDCDCDCD; -03EC : CDCDCDCD; -03ED : CDCDCDCD; -03EE : CDCDCDCD; -03EF : CDCDCDCD; -03F0 : CDCDCDCD; -03F1 : CDCDCDCD; -03F2 : CDCDCDCD; -03F3 : CDCDCDCD; -03F4 : CDCDCDCD; -03F5 : CDCDCDCD; -03F6 : CDCDCDCD; -03F7 : CDCDCDCD; -03F8 : CDCDCDCD; -03F9 : CDCDCDCD; -03FA : CDCDCDCD; -03FB : CDCDCDCD; -03FC : CDCDCDCD; -03FD : CDCDCDCD; -03FE : CDCDCDCD; -03FF : CDCDCDCD; -0400 : 00000010; -0401 : 00000000; -0402 : 00527A03; -0403 : 01017C01; -0404 : 00020D1B; -0405 : 00000010; -0406 : 00000018; -0407 : FFFFF57C; -0408 : 00000430; -0409 : 00000000; -040A : 00000000; -040B : 00000074; -040C : 0000011C; -040D : 000000D8; -040E : 00000000; -040F : 00001324; -0410 : 0000138C; -0411 : 000013F4; -0412 : 00000000; -0413 : 00000000; -0414 : 00000000; -0415 : 00000000; -0416 : 00000000; -0417 : 00000000; -0418 : 00000000; -0419 : 00000000; -041A : 00000000; -041B : 00000000; -041C : 00000000; -041D : 00000000; -041E : 00000000; -041F : 00000000; -0420 : 00000000; -0421 : 00000000; -0422 : 00000000; -0423 : 00000000; -0424 : 00000000; -0425 : 00000000; -0426 : 00000000; -0427 : 00000000; -0428 : 00000000; -0429 : 00000000; -042A : 00000000; -042B : 00000000; -042C : 00000000; -042D : 00000000; -042E : 00000000; -042F : 00000000; -0430 : 00000000; -0431 : 00000000; -0432 : 00000000; -0433 : 00000000; -0434 : 00000000; -0435 : 00000000; -0436 : 00000000; -0437 : 00000000; -0438 : 00000001; -0439 : 00000000; -043A : ABCD330E; -043B : E66D1234; -043C : 0005DEEC; -043D : 0000000B; -043E : 00000000; -043F : 00000000; -0440 : 00000000; -0441 : 00000000; -0442 : 00000000; -0443 : 00000000; -0444 : 00000000; -0445 : 00000000; -0446 : 00000000; -0447 : 00000000; -0448 : 00000000; -0449 : 00000000; -044A : 00000000; -044B : 00000000; -044C : 00000000; -044D : 00000000; -044E : 00000000; -044F : 00000000; -0450 : 00000000; -0451 : 00000000; -0452 : 00000000; -0453 : 00000000; -0454 : 00000000; -0455 : 00000000; -0456 : 00000000; -0457 : 00000000; -0458 : 00000000; -0459 : 00000000; -045A : 00000000; -045B : 00000000; -045C : 00000000; -045D : 00000000; -045E : 00000000; -045F : 00000000; -0460 : 00000000; -0461 : 00000000; -0462 : 00000000; -0463 : 00000000; -0464 : 00000000; -0465 : 00000000; -0466 : 00000000; -0467 : 00000000; -0468 : 00000000; -0469 : 00000000; -046A : 00000000; -046B : 00000000; -046C : 00000000; -046D : 00000000; -046E : 00000000; -046F : 00000000; -0470 : 00000000; -0471 : 00000000; -0472 : 00000000; -0473 : 00000000; -0474 : 00000000; -0475 : 00000000; -0476 : 00000000; -0477 : 00000000; -0478 : 00000000; -0479 : 00000000; -047A : 00000000; -047B : 00000000; -047C : 00000000; -047D : 00000000; -047E : 00000000; -047F : 00000000; -0480 : 00000000; -0481 : 00000000; -0482 : 00000000; -0483 : 00000000; -0484 : 00000000; -0485 : 00000000; -0486 : 00000000; -0487 : 00000000; -0488 : 00000000; -0489 : 00000000; -048A : 00000000; -048B : 00000000; -048C : 00000000; -048D : 00000000; -048E : 00000000; -048F : 00000000; -0490 : 00000000; -0491 : 00000000; -0492 : 00000000; -0493 : 00000000; -0494 : 00000000; -0495 : 00000000; -0496 : 00000000; -0497 : 00000000; -0498 : 00000000; -0499 : 00000000; -049A : 00000000; -049B : 00000000; -049C : 00000000; -049D : 00000000; -049E : 00000000; -049F : 00000000; -04A0 : 00000000; -04A1 : 00000000; -04A2 : 00000000; -04A3 : 00000000; -04A4 : 00000000; -04A5 : 00000000; -04A6 : 00000000; -04A7 : 00000000; -04A8 : 00000000; -04A9 : 00000000; -04AA : 00000000; -04AB : 00000000; -04AC : 00000000; -04AD : 00000000; -04AE : 00000000; -04AF : 00000000; -04B0 : 00000000; -04B1 : 00000000; -04B2 : 00000000; -04B3 : 00000000; -04B4 : 00000000; -04B5 : 00000000; -04B6 : 00000000; -04B7 : 00000000; -04B8 : 00000000; -04B9 : 00000000; -04BA : 00000000; -04BB : 00000000; -04BC : 00000000; -04BD : 00000000; -04BE : 00000000; -04BF : 00000000; -04C0 : 00000000; -04C1 : 00000000; -04C2 : 00000000; -04C3 : 00000000; -04C4 : 00000000; -04C5 : 00000000; -04C6 : 00000000; -04C7 : 00000000; -04C8 : 00000000; -04C9 : 00000000; -04CA : 00000000; -04CB : 00000000; -04CC : 00000000; -04CD : 00000000; -04CE : 00000000; -04CF : 00000000; -04D0 : 00000000; -04D1 : 00000000; -04D2 : 00000000; -04D3 : 00000000; -04D4 : 00000000; -04D5 : 00000000; -04D6 : 00000000; -04D7 : 00000000; -04D8 : 00000000; -04D9 : 00000000; -04DA : 00000000; -04DB : 00000000; -04DC : 00000000; -04DD : 00000000; -04DE : 00000000; -04DF : 00000000; -04E0 : 00000000; -04E1 : 00000000; -04E2 : 00000000; -04E3 : 00000000; -04E4 : 00000000; -04E5 : 00000000; -04E6 : 00000000; -04E7 : 00000000; -04E8 : 00000000; -04E9 : 00000000; -04EA : 00000000; -04EB : 00000000; -04EC : 00000000; -04ED : 00000000; -04EE : 00000000; -04EF : 00000000; -04F0 : 00000000; -04F1 : 00000000; -04F2 : 00000000; -04F3 : 00000000; -04F4 : 00000000; -04F5 : 00000000; -04F6 : 00000000; -04F7 : 00000000; -04F8 : 00000000; -04F9 : 00000000; -04FA : 00000000; -04FB : 00000000; -04FC : 00000000; -04FD : 00000000; -04FE : 00000000; -04FF : 00000000; -0500 : 00000000; -0501 : 00000000; -0502 : 00000000; -0503 : 00000000; -0504 : 00000000; -0505 : 00000000; -0506 : 00000000; -0507 : 00000000; -0508 : 00000000; -0509 : 00000000; -050A : 00000000; -050B : 00000000; -050C : 00000000; -050D : 00000000; -050E : 00000000; -050F : 00000000; -0510 : 00000000; -0511 : 00000000; -0512 : 00000000; -0513 : 00000000; -0514 : 00000000; -0515 : 00000000; -0516 : 00000000; -0517 : 00000000; -0518 : FFFFFFFF; -0519 : 00000000; -051A : 00000000; -051B : 00000DD0; -051C : 00001038; -051D : 00000000; -051E : 00001038; -051F : 00001038; -0520 : 00000000; -0521 : 00001038; -0522 : CD000000; +015E : C51FF0EF; +015F : FCA42423; +0160 : FC842783; +0161 : 02F05A63; +0162 : EB840793; +0163 : FC842583; +0164 : 00078513; +0165 : BA9FF0EF; +0166 : FE442703; +0167 : FC842783; +0168 : 00F707B3; +0169 : FEF42223; +016A : FE042703; +016B : FC842783; +016C : 00F707B3; +016D : FEF42023; +016E : FE042703; +016F : 3E700793; +0170 : 04E7D263; +0171 : EB840793; +0172 : FE442583; +0173 : 00078513; +0174 : D11FF0EF; +0175 : FCA42223; +0176 : EB840713; +0177 : 000017B7; +0178 : 1B078593; +0179 : 00070513; +017A : E55FF0EF; +017B : FCA42223; +017C : EB840793; +017D : FC442583; +017E : 00078513; +017F : B41FF0EF; +0180 : FE042023; +0181 : FD842783; +0182 : 0007A783; +0183 : FCF42023; +0184 : FC042783; +0185 : 0017F793; +0186 : 00078C63; +0187 : 00000793; +0188 : 00000813; +0189 : FEF42423; +018A : FF042623; +018B : 0740006F; +018C : FC042783; +018D : 0027F793; +018E : 00078663; +018F : FC042E23; +0190 : 0600006F; +0191 : FC042783; +0192 : 0047F793; +0193 : 00078863; +0194 : 00100793; +0195 : FCF42E23; +0196 : 0480006F; +0197 : FC042783; +0198 : 0087F793; +0199 : 00078C63; +019A : FD042783; +019B : 01078793; +019C : 1B200713; +019D : 00E7A023; +019E : 2C40006F; +019F : FC042783; +01A0 : 0107F793; +01A1 : 00078E63; +01A2 : FD042783; +01A3 : 01078793; +01A4 : 00001737; +01A5 : 45870713; +01A6 : 00E7A023; +01A7 : 2A00006F; +01A8 : FDC42783; +01A9 : 02078A63; +01AA : FE842603; +01AB : FEC42683; +01AC : 00100513; +01AD : 00000593; +01AE : 00A60733; +01AF : 00070813; +01B0 : 00C83833; +01B1 : 00B687B3; +01B2 : 00F806B3; +01B3 : 00068793; +01B4 : FEE42423; +01B5 : FEF42623; +01B6 : FE042783; +01B7 : FAF42C23; +01B8 : 41F7D793; +01B9 : FAF42E23; +01BA : FB842783; +01BB : 00078513; +01BC : BB1FF0EF; +01BD : 00050493; +01BE : FB842703; +01BF : FBC42783; +01C0 : 00A00613; +01C1 : 00000693; +01C2 : 00070513; +01C3 : 00078593; +01C4 : 230000EF; +01C5 : 00050713; +01C6 : 00058793; +01C7 : 00070793; +01C8 : 00078513; +01C9 : B7DFF0EF; +01CA : 00050793; +01CB : 00879793; +01CC : 00F4E4B3; +01CD : FB842703; +01CE : FBC42783; +01CF : 06400613; +01D0 : 00000693; +01D1 : 00070513; +01D2 : 00078593; +01D3 : 1F4000EF; +01D4 : 00050713; +01D5 : 00058793; +01D6 : 00070793; +01D7 : 00078513; +01D8 : B41FF0EF; +01D9 : 00050793; +01DA : 01079793; +01DB : 00F4E4B3; +01DC : FB842703; +01DD : FBC42783; +01DE : 3E800613; +01DF : 00000693; +01E0 : 00070513; +01E1 : 00078593; +01E2 : 1B8000EF; +01E3 : 00050713; +01E4 : 00058793; +01E5 : 00070793; +01E6 : 00078513; +01E7 : B05FF0EF; +01E8 : 00050793; +01E9 : 01879793; +01EA : 00F4E733; +01EB : FD442783; +01EC : 00E7A023; +01ED : FB842703; +01EE : FBC42783; +01EF : 00002637; +01F0 : 71060613; +01F1 : 00000693; +01F2 : 00070513; +01F3 : 00078593; +01F4 : 170000EF; +01F5 : 00050713; +01F6 : 00058793; +01F7 : FAE42C23; +01F8 : FAF42E23; +01F9 : FB842783; +01FA : 00078513; +01FB : AB5FF0EF; +01FC : 00050493; +01FD : FB842703; +01FE : FBC42783; +01FF : 00A00613; +0200 : 00000693; +0201 : 00070513; +0202 : 00078593; +0203 : 134000EF; +0204 : 00050713; +0205 : 00058793; +0206 : 00070793; +0207 : 00078513; +0208 : A81FF0EF; +0209 : 00050793; +020A : 00879793; +020B : 00F4E4B3; +020C : FB842703; +020D : FBC42783; +020E : 06400613; +020F : 00000693; +0210 : 00070513; +0211 : 00078593; +0212 : 0F8000EF; +0213 : 00050713; +0214 : 00058793; +0215 : 00070793; +0216 : 00078513; +0217 : A45FF0EF; +0218 : 00050793; +0219 : 01079793; +021A : 00F4E4B3; +021B : FB842703; +021C : FBC42783; +021D : 3E800613; +021E : 00000693; +021F : 00070513; +0220 : 00078593; +0221 : 0BC000EF; +0222 : 00050713; +0223 : 00058793; +0224 : 00070793; +0225 : 00078513; +0226 : A09FF0EF; +0227 : 00050793; +0228 : 01879713; +0229 : FD442783; +022A : 00478793; +022B : 00E4E733; +022C : 00E7A023; +022D : FB842703; +022E : FBC42783; +022F : 00002637; +0230 : 71060613; +0231 : 00000693; +0232 : 00070513; +0233 : 00078593; +0234 : 070000EF; +0235 : 00050713; +0236 : 00058793; +0237 : FAE42C23; +0238 : FAF42E23; +0239 : FB842783; +023A : 00078513; +023B : 9B5FF0EF; +023C : 00050493; +023D : FB842703; +023E : FBC42783; +023F : 00A00613; +0240 : 00000693; +0241 : 00070513; +0242 : 00078593; +0243 : 034000EF; +0244 : 00050713; +0245 : 00058793; +0246 : 00070793; +0247 : 00078513; +0248 : 981FF0EF; +0249 : 00050793; +024A : 00879713; +024B : FD442783; +024C : 00878793; +024D : 00E4E733; +024E : 00E7A023; +024F : C25FF06F; +0250 : 00050313; +0251 : 00058893; +0252 : 00060713; +0253 : 00050813; +0254 : 00058793; +0255 : 28069263; +0256 : 000015B7; +0257 : 1C458593; +0258 : 0EC8F663; +0259 : 000106B7; +025A : 0CD67863; +025B : 10063693; +025C : 0016C693; +025D : 00369693; +025E : 00D65533; +025F : 00A585B3; +0260 : 0005C583; +0261 : 02000513; +0262 : 00D586B3; +0263 : 40D505B3; +0264 : 00D50C63; +0265 : 00B897B3; +0266 : 00D356B3; +0267 : 00B61733; +0268 : 00F6E7B3; +0269 : 00B31833; +026A : 01075593; +026B : 02B7D333; +026C : 01071613; +026D : 01065613; +026E : 02B7F7B3; +026F : 00030513; +0270 : 026608B3; +0271 : 01079693; +0272 : 01085793; +0273 : 00D7E7B3; +0274 : 0117FE63; +0275 : 00E787B3; +0276 : FFF30513; +0277 : 00E7E863; +0278 : 0117F663; +0279 : FFE30513; +027A : 00E787B3; +027B : 411787B3; +027C : 02B7D8B3; +027D : 01081813; +027E : 01085813; +027F : 02B7F7B3; +0280 : 031606B3; +0281 : 01079793; +0282 : 00F86833; +0283 : 00088793; +0284 : 00D87C63; +0285 : 01070833; +0286 : FFF88793; +0287 : 00E86663; +0288 : 00D87463; +0289 : FFE88793; +028A : 01051513; +028B : 00F56533; +028C : 00000593; +028D : 00008067; +028E : 01000537; +028F : 01000693; +0290 : F2A66CE3; +0291 : 01800693; +0292 : F31FF06F; +0293 : 00061463; +0294 : 00100073; +0295 : 000107B7; +0296 : 0CF67063; +0297 : 10063693; +0298 : 0016C693; +0299 : 00369693; +029A : 00D657B3; +029B : 00F585B3; +029C : 0005C783; +029D : 00D787B3; +029E : 02000693; +029F : 40F685B3; +02A0 : 0AF69663; +02A1 : 40C887B3; +02A2 : 00100593; +02A3 : 01075893; +02A4 : 0317DE33; +02A5 : 01071613; +02A6 : 01065613; +02A7 : 01085693; +02A8 : 0317F7B3; +02A9 : 000E0513; +02AA : 03C60333; +02AB : 01079793; +02AC : 00F6E7B3; +02AD : 0067FE63; +02AE : 00E787B3; +02AF : FFFE0513; +02B0 : 00E7E863; +02B1 : 0067F663; +02B2 : FFEE0513; +02B3 : 00E787B3; +02B4 : 406787B3; +02B5 : 0317D333; +02B6 : 01081813; +02B7 : 01085813; +02B8 : 0317F7B3; +02B9 : 026606B3; +02BA : 01079793; +02BB : 00F86833; +02BC : 00030793; +02BD : 00D87C63; +02BE : 01070833; +02BF : FFF30793; +02C0 : 00E86663; +02C1 : 00D87463; +02C2 : FFE30793; +02C3 : 01051513; +02C4 : 00F56533; +02C5 : 00008067; +02C6 : 010007B7; +02C7 : 01000693; +02C8 : F4F664E3; +02C9 : 01800693; +02CA : F41FF06F; +02CB : 00B61733; +02CC : 00F8D6B3; +02CD : 01075513; +02CE : 00F357B3; +02CF : 00B31833; +02D0 : 02A6D333; +02D1 : 01071613; +02D2 : 00B898B3; +02D3 : 01065613; +02D4 : 0117E7B3; +02D5 : 02A6F6B3; +02D6 : 026608B3; +02D7 : 01069593; +02D8 : 0107D693; +02D9 : 00B6E6B3; +02DA : 00030593; +02DB : 0116FE63; +02DC : 00E686B3; +02DD : FFF30593; +02DE : 00E6E863; +02DF : 0116F663; +02E0 : FFE30593; +02E1 : 00E686B3; +02E2 : 411686B3; +02E3 : 02A6D8B3; +02E4 : 01079793; +02E5 : 0107D793; +02E6 : 02A6F6B3; +02E7 : 03160633; +02E8 : 01069693; +02E9 : 00D7E7B3; +02EA : 00088693; +02EB : 00C7FE63; +02EC : 00E787B3; +02ED : FFF88693; +02EE : 00E7E863; +02EF : 00C7F663; +02F0 : FFE88693; +02F1 : 00E787B3; +02F2 : 01059593; +02F3 : 40C787B3; +02F4 : 00D5E5B3; +02F5 : EB9FF06F; +02F6 : 18D5E663; +02F7 : 000107B7; +02F8 : 04F6F463; +02F9 : 1006B713; +02FA : 00174713; +02FB : 00371713; +02FC : 000017B7; +02FD : 00E6D5B3; +02FE : 1C478793; +02FF : 00B787B3; +0300 : 0007C783; +0301 : 00E787B3; +0302 : 02000713; +0303 : 40F705B3; +0304 : 02F71663; +0305 : 00100513; +0306 : E116EEE3; +0307 : 00C33533; +0308 : 00154513; +0309 : 00008067; +030A : 010007B7; +030B : 01000713; +030C : FCF6E0E3; +030D : 01800713; +030E : FB9FF06F; +030F : 00F65733; +0310 : 00B696B3; +0311 : 00D766B3; +0312 : 00F8D733; +0313 : 00B898B3; +0314 : 00F357B3; +0315 : 0117E7B3; +0316 : 0106D893; +0317 : 03175EB3; +0318 : 01069813; +0319 : 01085813; +031A : 00B61633; +031B : 03177733; +031C : 03D80E33; +031D : 01071513; +031E : 0107D713; +031F : 00A76733; +0320 : 000E8513; +0321 : 01C77E63; +0322 : 00D70733; +0323 : FFFE8513; +0324 : 00D76863; +0325 : 01C77663; +0326 : FFEE8513; +0327 : 00D70733; +0328 : 41C70733; +0329 : 03175E33; +032A : 01079793; +032B : 0107D793; +032C : 03177733; +032D : 03C80833; +032E : 01071713; +032F : 00E7E7B3; +0330 : 000E0713; +0331 : 0107FE63; +0332 : 00D787B3; +0333 : FFFE0713; +0334 : 00D7E863; +0335 : 0107F663; +0336 : FFEE0713; +0337 : 00D787B3; +0338 : 01051513; +0339 : 00010E37; +033A : 00E56533; +033B : FFFE0693; +033C : 00D57733; +033D : 410787B3; +033E : 00D676B3; +033F : 01055813; +0340 : 01065613; +0341 : 02D708B3; +0342 : 02D806B3; +0343 : 02C70733; +0344 : 02C80833; +0345 : 00D70633; +0346 : 0108D713; +0347 : 00C70733; +0348 : 00D77463; +0349 : 01C80833; +034A : 01075693; +034B : 010686B3; +034C : 02D7E663; +034D : CED79EE3; +034E : 000107B7; +034F : FFF78793; +0350 : 00F77733; +0351 : 01071713; +0352 : 00F8F8B3; +0353 : 00B31333; +0354 : 01170733; +0355 : 00000593; +0356 : CCE37EE3; +0357 : FFF50513; +0358 : CD1FF06F; +0359 : 00000593; +035A : 00000513; +035B : 00008067; +035C : FF010113; +035D : 00000593; +035E : 00812423; +035F : 00112623; +0360 : 00050413; +0361 : 194000EF; +0362 : C281A503; +0363 : 03C52783; +0364 : 00078463; +0365 : 000780E7; +0366 : 00040513; +0367 : 3A4000EF; +0368 : FF010113; +0369 : 00812423; +036A : 01212023; +036B : 00002437; +036C : 00002937; +036D : 2F040793; +036E : 2F090913; +036F : 40F90933; +0370 : 00112623; +0371 : 00912223; +0372 : 40295913; +0373 : 02090063; +0374 : 2F040413; +0375 : 00000493; +0376 : 00042783; +0377 : 00148493; +0378 : 00440413; +0379 : 000780E7; +037A : FE9918E3; +037B : 00002437; +037C : 00002937; +037D : 2F040793; +037E : 2F890913; +037F : 40F90933; +0380 : 40295913; +0381 : 02090063; +0382 : 2F040413; +0383 : 00000493; +0384 : 00042783; +0385 : 00148493; +0386 : 00440413; +0387 : 000780E7; +0388 : FE9918E3; +0389 : 00C12083; +038A : 00812403; +038B : 00412483; +038C : 00012903; +038D : 01010113; +038E : 00008067; +038F : 00F00313; +0390 : 00050713; +0391 : 02C37E63; +0392 : 00F77793; +0393 : 0A079063; +0394 : 08059263; +0395 : FF067693; +0396 : 00F67613; +0397 : 00E686B3; +0398 : 00B72023; +0399 : 00B72223; +039A : 00B72423; +039B : 00B72623; +039C : 01070713; +039D : FED766E3; +039E : 00061463; +039F : 00008067; +03A0 : 40C306B3; +03A1 : 00269693; +03A2 : 00000297; +03A3 : 005686B3; +03A4 : 00C68067; +03A5 : 00B70723; +03A6 : 00B706A3; +03A7 : 00B70623; +03A8 : 00B705A3; +03A9 : 00B70523; +03AA : 00B704A3; +03AB : 00B70423; +03AC : 00B703A3; +03AD : 00B70323; +03AE : 00B702A3; +03AF : 00B70223; +03B0 : 00B701A3; +03B1 : 00B70123; +03B2 : 00B700A3; +03B3 : 00B70023; +03B4 : 00008067; +03B5 : 0FF5F593; +03B6 : 00859693; +03B7 : 00D5E5B3; +03B8 : 01059693; +03B9 : 00D5E5B3; +03BA : F6DFF06F; +03BB : 00279693; +03BC : 00000297; +03BD : 005686B3; +03BE : 00008293; +03BF : FA0680E7; +03C0 : 00028093; +03C1 : FF078793; +03C2 : 40F70733; +03C3 : 00F60633; +03C4 : F6C378E3; +03C5 : F3DFF06F; +03C6 : FD010113; +03C7 : 01412C23; +03C8 : C281AA03; +03C9 : 03212023; +03CA : 02112623; +03CB : 148A2903; +03CC : 02812423; +03CD : 02912223; +03CE : 01312E23; +03CF : 01512A23; +03D0 : 01612823; +03D1 : 01712623; +03D2 : 01812423; +03D3 : 04090063; +03D4 : 00050B13; +03D5 : 00058B93; +03D6 : 00100A93; +03D7 : FFF00993; +03D8 : 00492483; +03D9 : FFF48413; +03DA : 02044263; +03DB : 00249493; +03DC : 009904B3; +03DD : 040B8463; +03DE : 1044A783; +03DF : 05778063; +03E0 : FFF40413; +03E1 : FFC48493; +03E2 : FF3416E3; +03E3 : 02C12083; +03E4 : 02812403; +03E5 : 02412483; +03E6 : 02012903; +03E7 : 01C12983; +03E8 : 01812A03; +03E9 : 01412A83; +03EA : 01012B03; +03EB : 00C12B83; +03EC : 00812C03; +03ED : 03010113; +03EE : 00008067; +03EF : 00492783; +03F0 : 0044A683; +03F1 : FFF78793; +03F2 : 04878E63; +03F3 : 0004A223; +03F4 : FA0688E3; +03F5 : 18892783; +03F6 : 008A9733; +03F7 : 00492C03; +03F8 : 00F777B3; +03F9 : 02079263; +03FA : 000680E7; +03FB : 00492703; +03FC : 148A2783; +03FD : 01871463; +03FE : F92784E3; +03FF : F80788E3; +0400 : 00078913; +0401 : F5DFF06F; +0402 : 18C92783; +0403 : 0844A583; +0404 : 00F77733; +0405 : 00071C63; +0406 : 000B0513; +0407 : 000680E7; +0408 : FCDFF06F; +0409 : 00892223; +040A : FA9FF06F; +040B : 00058513; +040C : 000680E7; +040D : FB9FF06F; +040E : FF010113; +040F : 00812423; +0410 : 000027B7; +0411 : 00002437; +0412 : 2F878793; +0413 : 2FC40413; +0414 : 40F40433; +0415 : 00912223; +0416 : 00112623; +0417 : 40245493; +0418 : 02048063; +0419 : FFC40413; +041A : 00F40433; +041B : 00042783; +041C : FFF48493; +041D : FFC40413; +041E : 000780E7; +041F : FE0498E3; +0420 : 00C12083; +0421 : 00812403; +0422 : 00412483; +0423 : 01010113; +0424 : 00008067; +0425 : 00050593; +0426 : 00000693; +0427 : 00000613; +0428 : 00000513; +0429 : 0040006F; +042A : C281A703; +042B : 14872783; +042C : 04078C63; +042D : 0047A703; +042E : 01F00813; +042F : 06E84E63; +0430 : 00271813; +0431 : 02050663; +0432 : 01078333; +0433 : 08C32423; +0434 : 1887A883; +0435 : 00100613; +0436 : 00E61633; +0437 : 00C8E8B3; +0438 : 1917A423; +0439 : 10D32423; +043A : 00200693; +043B : 02D50463; +043C : 00170713; +043D : 00E7A223; +043E : 010787B3; +043F : 00B7A423; +0440 : 00000513; +0441 : 00008067; +0442 : 14C70793; +0443 : 14F72423; +0444 : FA5FF06F; +0445 : 18C7A683; +0446 : 00170713; +0447 : 00E7A223; +0448 : 00C6E6B3; +0449 : 18D7A623; +044A : 010787B3; +044B : 00B7A423; +044C : 00000513; +044D : 00008067; +044E : FFF00513; +044F : 00008067; +0450 : 05D00893; +0451 : 00000073; +0452 : 00054463; +0453 : 0000006F; +0454 : FF010113; +0455 : 00812423; +0456 : 00050413; +0457 : 00112623; +0458 : 40800433; +0459 : 00C000EF; +045A : 00852023; +045B : 0000006F; +045C : C301A503; +045D : 00008067; +045E : 0000003F; +045F : 00000006; +0460 : 0000005B; +0461 : 0000004F; +0462 : 00000066; +0463 : 0000006D; +0464 : 0000007D; +0465 : 00000007; +0466 : 0000007F; +0467 : 0000006F; +0468 : 6C6C6548; +0469 : 57202C6F; +046A : 646C726F; +046B : 00000021; +046C : 74796220; +046D : 72207365; +046E : 69656365; +046F : 0D646576; +0470 : 0000000A; +0471 : 02020100; +0472 : 03030303; +0473 : 04040404; +0474 : 04040404; +0475 : 05050505; +0476 : 05050505; +0477 : 05050505; +0478 : 05050505; +0479 : 06060606; +047A : 06060606; +047B : 06060606; +047C : 06060606; +047D : 06060606; +047E : 06060606; +047F : 06060606; +0480 : 06060606; +0481 : 07070707; +0482 : 07070707; +0483 : 07070707; +0484 : 07070707; +0485 : 07070707; +0486 : 07070707; +0487 : 07070707; +0488 : 07070707; +0489 : 07070707; +048A : 07070707; +048B : 07070707; +048C : 07070707; +048D : 07070707; +048E : 07070707; +048F : 07070707; +0490 : 07070707; +0491 : 08080808; +0492 : 08080808; +0493 : 08080808; +0494 : 08080808; +0495 : 08080808; +0496 : 08080808; +0497 : 08080808; +0498 : 08080808; +0499 : 08080808; +049A : 08080808; +049B : 08080808; +049C : 08080808; +049D : 08080808; +049E : 08080808; +049F : 08080808; +04A0 : 08080808; +04A1 : 08080808; +04A2 : 08080808; +04A3 : 08080808; +04A4 : 08080808; +04A5 : 08080808; +04A6 : 08080808; +04A7 : 08080808; +04A8 : 08080808; +04A9 : 08080808; +04AA : 08080808; +04AB : 08080808; +04AC : 08080808; +04AD : 08080808; +04AE : 08080808; +04AF : 08080808; +04B0 : 08080808; +04B1 : CDCDCDCD; +04B2 : CDCDCDCD; +04B3 : CDCDCDCD; +04B4 : CDCDCDCD; +04B5 : CDCDCDCD; +04B6 : CDCDCDCD; +04B7 : CDCDCDCD; +04B8 : CDCDCDCD; +04B9 : CDCDCDCD; +04BA : CDCDCDCD; +04BB : CDCDCDCD; +04BC : CDCDCDCD; +04BD : CDCDCDCD; +04BE : CDCDCDCD; +04BF : CDCDCDCD; +04C0 : CDCDCDCD; +04C1 : CDCDCDCD; +04C2 : CDCDCDCD; +04C3 : CDCDCDCD; +04C4 : CDCDCDCD; +04C5 : CDCDCDCD; +04C6 : CDCDCDCD; +04C7 : CDCDCDCD; +04C8 : CDCDCDCD; +04C9 : CDCDCDCD; +04CA : CDCDCDCD; +04CB : CDCDCDCD; +04CC : CDCDCDCD; +04CD : CDCDCDCD; +04CE : CDCDCDCD; +04CF : CDCDCDCD; +04D0 : CDCDCDCD; +04D1 : CDCDCDCD; +04D2 : CDCDCDCD; +04D3 : CDCDCDCD; +04D4 : CDCDCDCD; +04D5 : CDCDCDCD; +04D6 : CDCDCDCD; +04D7 : CDCDCDCD; +04D8 : CDCDCDCD; +04D9 : CDCDCDCD; +04DA : CDCDCDCD; +04DB : CDCDCDCD; +04DC : CDCDCDCD; +04DD : CDCDCDCD; +04DE : CDCDCDCD; +04DF : CDCDCDCD; +04E0 : CDCDCDCD; +04E1 : CDCDCDCD; +04E2 : CDCDCDCD; +04E3 : CDCDCDCD; +04E4 : CDCDCDCD; +04E5 : CDCDCDCD; +04E6 : CDCDCDCD; +04E7 : CDCDCDCD; +04E8 : CDCDCDCD; +04E9 : CDCDCDCD; +04EA : CDCDCDCD; +04EB : CDCDCDCD; +04EC : CDCDCDCD; +04ED : CDCDCDCD; +04EE : CDCDCDCD; +04EF : CDCDCDCD; +04F0 : CDCDCDCD; +04F1 : CDCDCDCD; +04F2 : CDCDCDCD; +04F3 : CDCDCDCD; +04F4 : CDCDCDCD; +04F5 : CDCDCDCD; +04F6 : CDCDCDCD; +04F7 : CDCDCDCD; +04F8 : CDCDCDCD; +04F9 : CDCDCDCD; +04FA : CDCDCDCD; +04FB : CDCDCDCD; +04FC : CDCDCDCD; +04FD : CDCDCDCD; +04FE : CDCDCDCD; +04FF : CDCDCDCD; +0500 : CDCDCDCD; +0501 : CDCDCDCD; +0502 : CDCDCDCD; +0503 : CDCDCDCD; +0504 : CDCDCDCD; +0505 : CDCDCDCD; +0506 : CDCDCDCD; +0507 : CDCDCDCD; +0508 : CDCDCDCD; +0509 : CDCDCDCD; +050A : CDCDCDCD; +050B : CDCDCDCD; +050C : CDCDCDCD; +050D : CDCDCDCD; +050E : CDCDCDCD; +050F : CDCDCDCD; +0510 : CDCDCDCD; +0511 : CDCDCDCD; +0512 : CDCDCDCD; +0513 : CDCDCDCD; +0514 : CDCDCDCD; +0515 : CDCDCDCD; +0516 : CDCDCDCD; +0517 : CDCDCDCD; +0518 : CDCDCDCD; +0519 : CDCDCDCD; +051A : CDCDCDCD; +051B : CDCDCDCD; +051C : CDCDCDCD; +051D : CDCDCDCD; +051E : CDCDCDCD; +051F : CDCDCDCD; +0520 : CDCDCDCD; +0521 : CDCDCDCD; +0522 : CDCDCDCD; 0523 : CDCDCDCD; 0524 : CDCDCDCD; 0525 : CDCDCDCD; @@ -2052,4 +2052,30724 @@ BEGIN 07FD : CDCDCDCD; 07FE : CDCDCDCD; 07FF : CDCDCDCD; +0800 : CDCDCDCD; +0801 : CDCDCDCD; +0802 : CDCDCDCD; +0803 : CDCDCDCD; +0804 : CDCDCDCD; +0805 : CDCDCDCD; +0806 : CDCDCDCD; +0807 : CDCDCDCD; +0808 : CDCDCDCD; +0809 : CDCDCDCD; +080A : CDCDCDCD; +080B : CDCDCDCD; +080C : CDCDCDCD; +080D : CDCDCDCD; +080E : CDCDCDCD; +080F : CDCDCDCD; +0810 : CDCDCDCD; +0811 : CDCDCDCD; +0812 : CDCDCDCD; +0813 : CDCDCDCD; +0814 : CDCDCDCD; +0815 : CDCDCDCD; +0816 : CDCDCDCD; +0817 : CDCDCDCD; +0818 : CDCDCDCD; +0819 : CDCDCDCD; +081A : CDCDCDCD; +081B : CDCDCDCD; +081C : CDCDCDCD; +081D : CDCDCDCD; +081E : CDCDCDCD; +081F : CDCDCDCD; +0820 : CDCDCDCD; +0821 : CDCDCDCD; +0822 : CDCDCDCD; +0823 : CDCDCDCD; +0824 : CDCDCDCD; +0825 : CDCDCDCD; +0826 : CDCDCDCD; +0827 : CDCDCDCD; +0828 : CDCDCDCD; +0829 : CDCDCDCD; +082A : CDCDCDCD; +082B : CDCDCDCD; +082C : CDCDCDCD; +082D : CDCDCDCD; +082E : CDCDCDCD; +082F : CDCDCDCD; +0830 : CDCDCDCD; +0831 : CDCDCDCD; +0832 : CDCDCDCD; +0833 : CDCDCDCD; +0834 : CDCDCDCD; +0835 : CDCDCDCD; +0836 : CDCDCDCD; +0837 : CDCDCDCD; +0838 : CDCDCDCD; +0839 : CDCDCDCD; +083A : CDCDCDCD; +083B : CDCDCDCD; +083C : CDCDCDCD; +083D : CDCDCDCD; +083E : CDCDCDCD; +083F : CDCDCDCD; +0840 : CDCDCDCD; +0841 : CDCDCDCD; +0842 : CDCDCDCD; +0843 : CDCDCDCD; +0844 : CDCDCDCD; +0845 : CDCDCDCD; +0846 : CDCDCDCD; +0847 : CDCDCDCD; +0848 : CDCDCDCD; +0849 : CDCDCDCD; +084A : CDCDCDCD; +084B : CDCDCDCD; +084C : CDCDCDCD; +084D : CDCDCDCD; +084E : CDCDCDCD; +084F : CDCDCDCD; +0850 : CDCDCDCD; +0851 : CDCDCDCD; +0852 : CDCDCDCD; +0853 : CDCDCDCD; +0854 : CDCDCDCD; +0855 : CDCDCDCD; +0856 : CDCDCDCD; +0857 : CDCDCDCD; +0858 : CDCDCDCD; +0859 : CDCDCDCD; +085A : CDCDCDCD; +085B : CDCDCDCD; +085C : CDCDCDCD; +085D : CDCDCDCD; +085E : CDCDCDCD; +085F : CDCDCDCD; +0860 : CDCDCDCD; +0861 : CDCDCDCD; +0862 : CDCDCDCD; +0863 : CDCDCDCD; +0864 : CDCDCDCD; +0865 : CDCDCDCD; +0866 : CDCDCDCD; +0867 : CDCDCDCD; +0868 : CDCDCDCD; +0869 : CDCDCDCD; +086A : CDCDCDCD; +086B : CDCDCDCD; +086C : CDCDCDCD; +086D : CDCDCDCD; +086E : CDCDCDCD; +086F : CDCDCDCD; +0870 : CDCDCDCD; +0871 : CDCDCDCD; +0872 : CDCDCDCD; +0873 : CDCDCDCD; +0874 : CDCDCDCD; +0875 : CDCDCDCD; +0876 : CDCDCDCD; +0877 : CDCDCDCD; +0878 : CDCDCDCD; +0879 : CDCDCDCD; +087A : CDCDCDCD; +087B : CDCDCDCD; +087C : CDCDCDCD; +087D : CDCDCDCD; +087E : CDCDCDCD; +087F : CDCDCDCD; +0880 : CDCDCDCD; +0881 : CDCDCDCD; +0882 : CDCDCDCD; +0883 : CDCDCDCD; +0884 : CDCDCDCD; +0885 : CDCDCDCD; +0886 : CDCDCDCD; +0887 : CDCDCDCD; +0888 : CDCDCDCD; +0889 : CDCDCDCD; +088A : CDCDCDCD; +088B : CDCDCDCD; +088C : CDCDCDCD; +088D : CDCDCDCD; +088E : CDCDCDCD; +088F : CDCDCDCD; +0890 : CDCDCDCD; +0891 : CDCDCDCD; +0892 : CDCDCDCD; +0893 : CDCDCDCD; +0894 : CDCDCDCD; +0895 : CDCDCDCD; +0896 : CDCDCDCD; +0897 : CDCDCDCD; +0898 : CDCDCDCD; +0899 : CDCDCDCD; +089A : CDCDCDCD; +089B : CDCDCDCD; +089C : CDCDCDCD; +089D : CDCDCDCD; +089E : CDCDCDCD; +089F : CDCDCDCD; +08A0 : CDCDCDCD; +08A1 : CDCDCDCD; +08A2 : CDCDCDCD; +08A3 : CDCDCDCD; +08A4 : CDCDCDCD; +08A5 : CDCDCDCD; +08A6 : CDCDCDCD; +08A7 : CDCDCDCD; +08A8 : CDCDCDCD; +08A9 : CDCDCDCD; +08AA : CDCDCDCD; +08AB : CDCDCDCD; +08AC : CDCDCDCD; +08AD : CDCDCDCD; +08AE : CDCDCDCD; +08AF : CDCDCDCD; +08B0 : CDCDCDCD; +08B1 : 00000010; +08B2 : 00000000; +08B3 : 00527A03; +08B4 : 01017C01; +08B5 : 00020D1B; +08B6 : 00000010; +08B7 : 00000018; +08B8 : FFFFE660; +08B9 : 00000430; +08BA : 00000000; +08BB : 00000000; +08BC : 00000074; +08BD : 0000011C; +08BE : 000000D8; +08BF : CDCDCDCD; +08C0 : 00000000; +08C1 : 000025EC; +08C2 : 00002654; +08C3 : 000026BC; +08C4 : 00000000; +08C5 : 00000000; +08C6 : 00000000; +08C7 : 00000000; +08C8 : 00000000; +08C9 : 00000000; +08CA : 00000000; +08CB : 00000000; +08CC : 00000000; +08CD : 00000000; +08CE : 00000000; +08CF : 00000000; +08D0 : 00000000; +08D1 : 00000000; +08D2 : 00000000; +08D3 : 00000000; +08D4 : 00000000; +08D5 : 00000000; +08D6 : 00000000; +08D7 : 00000000; +08D8 : 00000000; +08D9 : 00000000; +08DA : 00000000; +08DB : 00000000; +08DC : 00000000; +08DD : 00000000; +08DE : 00000000; +08DF : 00000000; +08E0 : 00000000; +08E1 : 00000000; +08E2 : 00000000; +08E3 : 00000000; +08E4 : 00000000; +08E5 : 00000000; +08E6 : 00000000; +08E7 : 00000000; +08E8 : 00000000; +08E9 : 00000000; +08EA : 00000001; +08EB : 00000000; +08EC : ABCD330E; +08ED : E66D1234; +08EE : 0005DEEC; +08EF : 0000000B; +08F0 : 00000000; +08F1 : 00000000; +08F2 : 00000000; +08F3 : 00000000; +08F4 : 00000000; +08F5 : 00000000; +08F6 : 00000000; +08F7 : 00000000; +08F8 : 00000000; +08F9 : 00000000; +08FA : 00000000; +08FB : 00000000; +08FC : 00000000; +08FD : 00000000; +08FE : 00000000; +08FF : 00000000; +0900 : 00000000; +0901 : 00000000; +0902 : 00000000; +0903 : 00000000; +0904 : 00000000; +0905 : 00000000; +0906 : 00000000; +0907 : 00000000; +0908 : 00000000; +0909 : 00000000; +090A : 00000000; +090B : 00000000; +090C : 00000000; +090D : 00000000; +090E : 00000000; +090F : 00000000; +0910 : 00000000; +0911 : 00000000; +0912 : 00000000; +0913 : 00000000; +0914 : 00000000; +0915 : 00000000; +0916 : 00000000; +0917 : 00000000; +0918 : 00000000; +0919 : 00000000; +091A : 00000000; +091B : 00000000; +091C : 00000000; +091D : 00000000; +091E : 00000000; +091F : 00000000; +0920 : 00000000; +0921 : 00000000; +0922 : 00000000; +0923 : 00000000; +0924 : 00000000; +0925 : 00000000; +0926 : 00000000; +0927 : 00000000; +0928 : 00000000; +0929 : 00000000; +092A : 00000000; +092B : 00000000; +092C : 00000000; +092D : 00000000; +092E : 00000000; +092F : 00000000; +0930 : 00000000; +0931 : 00000000; +0932 : 00000000; +0933 : 00000000; +0934 : 00000000; +0935 : 00000000; +0936 : 00000000; +0937 : 00000000; +0938 : 00000000; +0939 : 00000000; +093A : 00000000; +093B : 00000000; +093C : 00000000; +093D : 00000000; +093E : 00000000; +093F : 00000000; +0940 : 00000000; +0941 : 00000000; +0942 : 00000000; +0943 : 00000000; +0944 : 00000000; +0945 : 00000000; +0946 : 00000000; +0947 : 00000000; +0948 : 00000000; +0949 : 00000000; +094A : 00000000; +094B : 00000000; +094C : 00000000; +094D : 00000000; +094E : 00000000; +094F : 00000000; +0950 : 00000000; +0951 : 00000000; +0952 : 00000000; +0953 : 00000000; +0954 : 00000000; +0955 : 00000000; +0956 : 00000000; +0957 : 00000000; +0958 : 00000000; +0959 : 00000000; +095A : 00000000; +095B : 00000000; +095C : 00000000; +095D : 00000000; +095E : 00000000; +095F : 00000000; +0960 : 00000000; +0961 : 00000000; +0962 : 00000000; +0963 : 00000000; +0964 : 00000000; +0965 : 00000000; +0966 : 00000000; +0967 : 00000000; +0968 : 00000000; +0969 : 00000000; +096A : 00000000; +096B : 00000000; +096C : 00000000; +096D : 00000000; +096E : 00000000; +096F : 00000000; +0970 : 00000000; +0971 : 00000000; +0972 : 00000000; +0973 : 00000000; +0974 : 00000000; +0975 : 00000000; +0976 : 00000000; +0977 : 00000000; +0978 : 00000000; +0979 : 00000000; +097A : 00000000; +097B : 00000000; +097C : 00000000; +097D : 00000000; +097E : 00000000; +097F : 00000000; +0980 : 00000000; +0981 : 00000000; +0982 : 00000000; +0983 : 00000000; +0984 : 00000000; +0985 : 00000000; +0986 : 00000000; +0987 : 00000000; +0988 : 00000000; +0989 : 00000000; +098A : 00000000; +098B : 00000000; +098C : 00000000; +098D : 00000000; +098E : 00000000; +098F : 00000000; +0990 : 00000000; +0991 : 00000000; +0992 : 00000000; +0993 : 00000000; +0994 : 00000000; +0995 : 00000000; +0996 : 00000000; +0997 : 00000000; +0998 : 00000000; +0999 : 00000000; +099A : 00000000; +099B : 00000000; +099C : 00000000; +099D : 00000000; +099E : 00000000; +099F : 00000000; +09A0 : 00000000; +09A1 : 00000000; +09A2 : 00000000; +09A3 : 00000000; +09A4 : 00000000; +09A5 : 00000000; +09A6 : 00000000; +09A7 : 00000000; +09A8 : 00000000; +09A9 : 00000000; +09AA : 00000000; +09AB : 00000000; +09AC : 00000000; +09AD : 00000000; +09AE : 00000000; +09AF : 00000000; +09B0 : 00000000; +09B1 : 00000000; +09B2 : 00000000; +09B3 : 00000000; +09B4 : 00000000; +09B5 : 00000000; +09B6 : 00000000; +09B7 : 00000000; +09B8 : 00000000; +09B9 : 00000000; +09BA : 00000000; +09BB : 00000000; +09BC : 00000000; +09BD : 00000000; +09BE : 00000000; +09BF : 00000000; +09C0 : 00000000; +09C1 : 00000000; +09C2 : 00000000; +09C3 : 00000000; +09C4 : 00000000; +09C5 : 00000000; +09C6 : 00000000; +09C7 : 00000000; +09C8 : 00000000; +09C9 : 00000000; +09CA : 00002300; +09CB : 00000000; +09CC : 00002300; +09CD : 00002300; +09CE : 00000000; +09CF : 00002300; +09D0 : CDCDCDCD; +09D1 : CDCDCDCD; +09D2 : CDCDCDCD; +09D3 : CDCDCDCD; +09D4 : CDCDCDCD; +09D5 : CDCDCDCD; +09D6 : CDCDCDCD; +09D7 : CDCDCDCD; +09D8 : CDCDCDCD; +09D9 : CDCDCDCD; +09DA : CDCDCDCD; +09DB : CDCDCDCD; +09DC : CDCDCDCD; +09DD : CDCDCDCD; +09DE : CDCDCDCD; +09DF : CDCDCDCD; +09E0 : CDCDCDCD; +09E1 : CDCDCDCD; +09E2 : CDCDCDCD; +09E3 : CDCDCDCD; +09E4 : CDCDCDCD; +09E5 : CDCDCDCD; +09E6 : CDCDCDCD; +09E7 : CDCDCDCD; +09E8 : CDCDCDCD; +09E9 : CDCDCDCD; +09EA : CDCDCDCD; +09EB : CDCDCDCD; +09EC : CDCDCDCD; +09ED : CDCDCDCD; +09EE : CDCDCDCD; +09EF : CDCDCDCD; +09F0 : CDCDCDCD; +09F1 : CDCDCDCD; +09F2 : CDCDCDCD; +09F3 : CDCDCDCD; +09F4 : CDCDCDCD; +09F5 : CDCDCDCD; +09F6 : CDCDCDCD; +09F7 : CDCDCDCD; +09F8 : CDCDCDCD; +09F9 : CDCDCDCD; +09FA : CDCDCDCD; +09FB : CDCDCDCD; +09FC : CDCDCDCD; +09FD : CDCDCDCD; +09FE : CDCDCDCD; +09FF : CDCDCDCD; +0A00 : CDCDCDCD; +0A01 : CDCDCDCD; +0A02 : CDCDCDCD; +0A03 : CDCDCDCD; +0A04 : CDCDCDCD; +0A05 : CDCDCDCD; +0A06 : CDCDCDCD; +0A07 : CDCDCDCD; +0A08 : CDCDCDCD; +0A09 : CDCDCDCD; +0A0A : CDCDCDCD; +0A0B : CDCDCDCD; +0A0C : CDCDCDCD; +0A0D : CDCDCDCD; +0A0E : CDCDCDCD; +0A0F : CDCDCDCD; +0A10 : CDCDCDCD; +0A11 : CDCDCDCD; +0A12 : CDCDCDCD; +0A13 : CDCDCDCD; +0A14 : CDCDCDCD; +0A15 : CDCDCDCD; +0A16 : CDCDCDCD; +0A17 : CDCDCDCD; +0A18 : CDCDCDCD; +0A19 : CDCDCDCD; +0A1A : CDCDCDCD; +0A1B : CDCDCDCD; +0A1C : CDCDCDCD; +0A1D : CDCDCDCD; +0A1E : CDCDCDCD; +0A1F : CDCDCDCD; +0A20 : CDCDCDCD; +0A21 : CDCDCDCD; +0A22 : CDCDCDCD; +0A23 : CDCDCDCD; +0A24 : CDCDCDCD; +0A25 : CDCDCDCD; +0A26 : CDCDCDCD; +0A27 : CDCDCDCD; +0A28 : CDCDCDCD; +0A29 : CDCDCDCD; +0A2A : CDCDCDCD; +0A2B : CDCDCDCD; +0A2C : CDCDCDCD; +0A2D : CDCDCDCD; +0A2E : CDCDCDCD; +0A2F : CDCDCDCD; +0A30 : CDCDCDCD; +0A31 : CDCDCDCD; +0A32 : CDCDCDCD; +0A33 : CDCDCDCD; +0A34 : CDCDCDCD; +0A35 : CDCDCDCD; +0A36 : CDCDCDCD; +0A37 : CDCDCDCD; +0A38 : CDCDCDCD; +0A39 : CDCDCDCD; +0A3A : CDCDCDCD; +0A3B : CDCDCDCD; +0A3C : CDCDCDCD; +0A3D : CDCDCDCD; +0A3E : CDCDCDCD; +0A3F : CDCDCDCD; +0A40 : CDCDCDCD; +0A41 : CDCDCDCD; +0A42 : CDCDCDCD; +0A43 : CDCDCDCD; +0A44 : CDCDCDCD; +0A45 : CDCDCDCD; +0A46 : CDCDCDCD; +0A47 : CDCDCDCD; +0A48 : CDCDCDCD; +0A49 : CDCDCDCD; +0A4A : CDCDCDCD; +0A4B : CDCDCDCD; +0A4C : CDCDCDCD; +0A4D : CDCDCDCD; +0A4E : CDCDCDCD; +0A4F : CDCDCDCD; +0A50 : CDCDCDCD; +0A51 : CDCDCDCD; +0A52 : CDCDCDCD; +0A53 : CDCDCDCD; +0A54 : CDCDCDCD; +0A55 : CDCDCDCD; +0A56 : CDCDCDCD; +0A57 : CDCDCDCD; +0A58 : CDCDCDCD; +0A59 : CDCDCDCD; +0A5A : CDCDCDCD; +0A5B : CDCDCDCD; +0A5C : CDCDCDCD; +0A5D : CDCDCDCD; +0A5E : CDCDCDCD; +0A5F : CDCDCDCD; +0A60 : CDCDCDCD; +0A61 : CDCDCDCD; +0A62 : CDCDCDCD; +0A63 : CDCDCDCD; +0A64 : CDCDCDCD; +0A65 : CDCDCDCD; +0A66 : CDCDCDCD; +0A67 : CDCDCDCD; +0A68 : CDCDCDCD; +0A69 : CDCDCDCD; +0A6A : CDCDCDCD; +0A6B : CDCDCDCD; +0A6C : CDCDCDCD; +0A6D : CDCDCDCD; +0A6E : CDCDCDCD; +0A6F : CDCDCDCD; +0A70 : CDCDCDCD; +0A71 : CDCDCDCD; +0A72 : CDCDCDCD; +0A73 : CDCDCDCD; +0A74 : CDCDCDCD; +0A75 : CDCDCDCD; +0A76 : CDCDCDCD; +0A77 : CDCDCDCD; +0A78 : CDCDCDCD; +0A79 : CDCDCDCD; +0A7A : CDCDCDCD; +0A7B : CDCDCDCD; +0A7C : CDCDCDCD; +0A7D : CDCDCDCD; +0A7E : CDCDCDCD; +0A7F : CDCDCDCD; +0A80 : CDCDCDCD; +0A81 : CDCDCDCD; +0A82 : CDCDCDCD; +0A83 : CDCDCDCD; +0A84 : CDCDCDCD; +0A85 : CDCDCDCD; +0A86 : CDCDCDCD; +0A87 : CDCDCDCD; +0A88 : CDCDCDCD; +0A89 : CDCDCDCD; +0A8A : CDCDCDCD; +0A8B : CDCDCDCD; +0A8C : CDCDCDCD; +0A8D : CDCDCDCD; +0A8E : CDCDCDCD; +0A8F : CDCDCDCD; +0A90 : CDCDCDCD; +0A91 : CDCDCDCD; +0A92 : CDCDCDCD; +0A93 : CDCDCDCD; +0A94 : CDCDCDCD; +0A95 : CDCDCDCD; +0A96 : CDCDCDCD; +0A97 : CDCDCDCD; +0A98 : CDCDCDCD; +0A99 : CDCDCDCD; +0A9A : CDCDCDCD; +0A9B : CDCDCDCD; +0A9C : CDCDCDCD; +0A9D : CDCDCDCD; +0A9E : CDCDCDCD; +0A9F : CDCDCDCD; +0AA0 : CDCDCDCD; +0AA1 : CDCDCDCD; +0AA2 : CDCDCDCD; +0AA3 : CDCDCDCD; +0AA4 : CDCDCDCD; +0AA5 : CDCDCDCD; +0AA6 : CDCDCDCD; +0AA7 : CDCDCDCD; +0AA8 : CDCDCDCD; +0AA9 : CDCDCDCD; +0AAA : CDCDCDCD; +0AAB : CDCDCDCD; +0AAC : CDCDCDCD; +0AAD : CDCDCDCD; +0AAE : CDCDCDCD; +0AAF : CDCDCDCD; +0AB0 : CDCDCDCD; +0AB1 : CDCDCDCD; +0AB2 : CDCDCDCD; +0AB3 : CDCDCDCD; +0AB4 : CDCDCDCD; +0AB5 : CDCDCDCD; +0AB6 : CDCDCDCD; +0AB7 : CDCDCDCD; +0AB8 : CDCDCDCD; +0AB9 : CDCDCDCD; +0ABA : CDCDCDCD; +0ABB : CDCDCDCD; +0ABC : CDCDCDCD; +0ABD : CDCDCDCD; +0ABE : CDCDCDCD; +0ABF : CDCDCDCD; +0AC0 : CDCDCDCD; +0AC1 : CDCDCDCD; +0AC2 : CDCDCDCD; +0AC3 : CDCDCDCD; +0AC4 : CDCDCDCD; +0AC5 : CDCDCDCD; +0AC6 : CDCDCDCD; +0AC7 : CDCDCDCD; +0AC8 : CDCDCDCD; +0AC9 : CDCDCDCD; +0ACA : CDCDCDCD; +0ACB : CDCDCDCD; +0ACC : CDCDCDCD; +0ACD : CDCDCDCD; +0ACE : CDCDCDCD; +0ACF : CDCDCDCD; +0AD0 : CDCDCDCD; +0AD1 : CDCDCDCD; +0AD2 : CDCDCDCD; +0AD3 : CDCDCDCD; +0AD4 : CDCDCDCD; +0AD5 : CDCDCDCD; +0AD6 : CDCDCDCD; +0AD7 : CDCDCDCD; +0AD8 : CDCDCDCD; +0AD9 : CDCDCDCD; +0ADA : CDCDCDCD; +0ADB : CDCDCDCD; +0ADC : CDCDCDCD; +0ADD : CDCDCDCD; +0ADE : CDCDCDCD; +0ADF : CDCDCDCD; +0AE0 : CDCDCDCD; +0AE1 : CDCDCDCD; +0AE2 : CDCDCDCD; +0AE3 : CDCDCDCD; +0AE4 : CDCDCDCD; +0AE5 : CDCDCDCD; +0AE6 : CDCDCDCD; +0AE7 : CDCDCDCD; +0AE8 : CDCDCDCD; +0AE9 : CDCDCDCD; +0AEA : CDCDCDCD; +0AEB : CDCDCDCD; +0AEC : CDCDCDCD; +0AED : CDCDCDCD; +0AEE : CDCDCDCD; +0AEF : CDCDCDCD; +0AF0 : CDCDCDCD; +0AF1 : CDCDCDCD; +0AF2 : CDCDCDCD; +0AF3 : CDCDCDCD; +0AF4 : CDCDCDCD; +0AF5 : CDCDCDCD; +0AF6 : CDCDCDCD; +0AF7 : CDCDCDCD; +0AF8 : CDCDCDCD; +0AF9 : CDCDCDCD; +0AFA : CDCDCDCD; +0AFB : CDCDCDCD; +0AFC : CDCDCDCD; +0AFD : CDCDCDCD; +0AFE : CDCDCDCD; +0AFF : CDCDCDCD; +0B00 : CDCDCDCD; +0B01 : CDCDCDCD; +0B02 : CDCDCDCD; +0B03 : CDCDCDCD; +0B04 : CDCDCDCD; +0B05 : CDCDCDCD; +0B06 : CDCDCDCD; +0B07 : CDCDCDCD; +0B08 : CDCDCDCD; +0B09 : CDCDCDCD; +0B0A : CDCDCDCD; +0B0B : CDCDCDCD; +0B0C : CDCDCDCD; +0B0D : CDCDCDCD; +0B0E : CDCDCDCD; +0B0F : CDCDCDCD; +0B10 : CDCDCDCD; +0B11 : CDCDCDCD; +0B12 : CDCDCDCD; +0B13 : CDCDCDCD; +0B14 : CDCDCDCD; +0B15 : CDCDCDCD; +0B16 : CDCDCDCD; +0B17 : CDCDCDCD; +0B18 : CDCDCDCD; +0B19 : CDCDCDCD; +0B1A : CDCDCDCD; +0B1B : CDCDCDCD; +0B1C : CDCDCDCD; +0B1D : CDCDCDCD; +0B1E : CDCDCDCD; +0B1F : CDCDCDCD; +0B20 : CDCDCDCD; +0B21 : CDCDCDCD; +0B22 : CDCDCDCD; +0B23 : CDCDCDCD; +0B24 : CDCDCDCD; +0B25 : CDCDCDCD; +0B26 : CDCDCDCD; +0B27 : CDCDCDCD; +0B28 : CDCDCDCD; +0B29 : CDCDCDCD; +0B2A : CDCDCDCD; +0B2B : CDCDCDCD; +0B2C : CDCDCDCD; +0B2D : CDCDCDCD; +0B2E : CDCDCDCD; +0B2F : CDCDCDCD; +0B30 : CDCDCDCD; +0B31 : CDCDCDCD; +0B32 : CDCDCDCD; +0B33 : CDCDCDCD; +0B34 : CDCDCDCD; +0B35 : CDCDCDCD; +0B36 : CDCDCDCD; +0B37 : CDCDCDCD; +0B38 : CDCDCDCD; +0B39 : CDCDCDCD; +0B3A : CDCDCDCD; +0B3B : CDCDCDCD; +0B3C : CDCDCDCD; +0B3D : CDCDCDCD; +0B3E : CDCDCDCD; +0B3F : CDCDCDCD; +0B40 : CDCDCDCD; +0B41 : CDCDCDCD; +0B42 : CDCDCDCD; +0B43 : CDCDCDCD; +0B44 : CDCDCDCD; +0B45 : CDCDCDCD; +0B46 : CDCDCDCD; +0B47 : CDCDCDCD; +0B48 : CDCDCDCD; +0B49 : CDCDCDCD; +0B4A : CDCDCDCD; +0B4B : CDCDCDCD; +0B4C : CDCDCDCD; +0B4D : CDCDCDCD; +0B4E : CDCDCDCD; +0B4F : CDCDCDCD; +0B50 : CDCDCDCD; +0B51 : CDCDCDCD; +0B52 : CDCDCDCD; +0B53 : CDCDCDCD; +0B54 : CDCDCDCD; +0B55 : CDCDCDCD; +0B56 : CDCDCDCD; +0B57 : CDCDCDCD; +0B58 : CDCDCDCD; +0B59 : CDCDCDCD; +0B5A : CDCDCDCD; +0B5B : CDCDCDCD; +0B5C : CDCDCDCD; +0B5D : CDCDCDCD; +0B5E : CDCDCDCD; +0B5F : CDCDCDCD; +0B60 : CDCDCDCD; +0B61 : CDCDCDCD; +0B62 : CDCDCDCD; +0B63 : CDCDCDCD; +0B64 : CDCDCDCD; +0B65 : CDCDCDCD; +0B66 : CDCDCDCD; +0B67 : CDCDCDCD; +0B68 : CDCDCDCD; +0B69 : CDCDCDCD; +0B6A : CDCDCDCD; +0B6B : CDCDCDCD; +0B6C : CDCDCDCD; +0B6D : CDCDCDCD; +0B6E : CDCDCDCD; +0B6F : CDCDCDCD; +0B70 : CDCDCDCD; +0B71 : CDCDCDCD; +0B72 : CDCDCDCD; +0B73 : CDCDCDCD; +0B74 : CDCDCDCD; +0B75 : CDCDCDCD; +0B76 : CDCDCDCD; +0B77 : CDCDCDCD; +0B78 : CDCDCDCD; +0B79 : CDCDCDCD; +0B7A : CDCDCDCD; +0B7B : CDCDCDCD; +0B7C : CDCDCDCD; +0B7D : CDCDCDCD; +0B7E : CDCDCDCD; +0B7F : CDCDCDCD; +0B80 : CDCDCDCD; +0B81 : CDCDCDCD; +0B82 : CDCDCDCD; +0B83 : CDCDCDCD; +0B84 : CDCDCDCD; +0B85 : CDCDCDCD; +0B86 : CDCDCDCD; +0B87 : CDCDCDCD; +0B88 : CDCDCDCD; +0B89 : CDCDCDCD; +0B8A : CDCDCDCD; +0B8B : CDCDCDCD; +0B8C : CDCDCDCD; +0B8D : CDCDCDCD; +0B8E : CDCDCDCD; +0B8F : CDCDCDCD; +0B90 : CDCDCDCD; +0B91 : CDCDCDCD; +0B92 : CDCDCDCD; +0B93 : CDCDCDCD; +0B94 : CDCDCDCD; +0B95 : CDCDCDCD; +0B96 : CDCDCDCD; +0B97 : CDCDCDCD; +0B98 : CDCDCDCD; +0B99 : CDCDCDCD; +0B9A : CDCDCDCD; +0B9B : CDCDCDCD; +0B9C : CDCDCDCD; +0B9D : CDCDCDCD; +0B9E : CDCDCDCD; +0B9F : CDCDCDCD; +0BA0 : CDCDCDCD; +0BA1 : CDCDCDCD; +0BA2 : CDCDCDCD; +0BA3 : CDCDCDCD; +0BA4 : CDCDCDCD; +0BA5 : CDCDCDCD; +0BA6 : CDCDCDCD; +0BA7 : CDCDCDCD; +0BA8 : CDCDCDCD; +0BA9 : CDCDCDCD; +0BAA : CDCDCDCD; +0BAB : CDCDCDCD; +0BAC : CDCDCDCD; +0BAD : CDCDCDCD; +0BAE : CDCDCDCD; +0BAF : CDCDCDCD; +0BB0 : CDCDCDCD; +0BB1 : CDCDCDCD; +0BB2 : CDCDCDCD; +0BB3 : CDCDCDCD; +0BB4 : CDCDCDCD; +0BB5 : CDCDCDCD; +0BB6 : CDCDCDCD; +0BB7 : CDCDCDCD; +0BB8 : CDCDCDCD; +0BB9 : CDCDCDCD; +0BBA : CDCDCDCD; +0BBB : CDCDCDCD; +0BBC : CDCDCDCD; +0BBD : CDCDCDCD; +0BBE : CDCDCDCD; +0BBF : CDCDCDCD; +0BC0 : CDCDCDCD; +0BC1 : CDCDCDCD; +0BC2 : CDCDCDCD; +0BC3 : CDCDCDCD; +0BC4 : CDCDCDCD; +0BC5 : CDCDCDCD; +0BC6 : CDCDCDCD; +0BC7 : CDCDCDCD; +0BC8 : CDCDCDCD; +0BC9 : CDCDCDCD; +0BCA : CDCDCDCD; +0BCB : CDCDCDCD; +0BCC : CDCDCDCD; +0BCD : CDCDCDCD; +0BCE : CDCDCDCD; +0BCF : CDCDCDCD; +0BD0 : CDCDCDCD; +0BD1 : CDCDCDCD; +0BD2 : CDCDCDCD; +0BD3 : CDCDCDCD; +0BD4 : CDCDCDCD; +0BD5 : CDCDCDCD; +0BD6 : CDCDCDCD; +0BD7 : CDCDCDCD; +0BD8 : CDCDCDCD; +0BD9 : CDCDCDCD; +0BDA : CDCDCDCD; +0BDB : CDCDCDCD; +0BDC : CDCDCDCD; +0BDD : CDCDCDCD; +0BDE : CDCDCDCD; +0BDF : CDCDCDCD; +0BE0 : CDCDCDCD; +0BE1 : CDCDCDCD; +0BE2 : CDCDCDCD; +0BE3 : CDCDCDCD; +0BE4 : CDCDCDCD; +0BE5 : CDCDCDCD; +0BE6 : CDCDCDCD; +0BE7 : CDCDCDCD; +0BE8 : CDCDCDCD; +0BE9 : CDCDCDCD; +0BEA : CDCDCDCD; +0BEB : CDCDCDCD; +0BEC : CDCDCDCD; +0BED : CDCDCDCD; +0BEE : CDCDCDCD; +0BEF : CDCDCDCD; +0BF0 : CDCDCDCD; +0BF1 : CDCDCDCD; +0BF2 : CDCDCDCD; +0BF3 : CDCDCDCD; +0BF4 : CDCDCDCD; +0BF5 : CDCDCDCD; +0BF6 : CDCDCDCD; +0BF7 : CDCDCDCD; +0BF8 : CDCDCDCD; +0BF9 : CDCDCDCD; +0BFA : CDCDCDCD; +0BFB : CDCDCDCD; +0BFC : CDCDCDCD; +0BFD : CDCDCDCD; +0BFE : CDCDCDCD; +0BFF : CDCDCDCD; +0C00 : CDCDCDCD; +0C01 : CDCDCDCD; +0C02 : CDCDCDCD; +0C03 : CDCDCDCD; +0C04 : CDCDCDCD; +0C05 : CDCDCDCD; +0C06 : CDCDCDCD; +0C07 : CDCDCDCD; +0C08 : CDCDCDCD; +0C09 : CDCDCDCD; +0C0A : CDCDCDCD; +0C0B : CDCDCDCD; +0C0C : CDCDCDCD; +0C0D : CDCDCDCD; +0C0E : CDCDCDCD; +0C0F : CDCDCDCD; +0C10 : CDCDCDCD; +0C11 : CDCDCDCD; +0C12 : CDCDCDCD; +0C13 : CDCDCDCD; +0C14 : CDCDCDCD; +0C15 : CDCDCDCD; +0C16 : CDCDCDCD; +0C17 : CDCDCDCD; +0C18 : CDCDCDCD; +0C19 : CDCDCDCD; +0C1A : CDCDCDCD; +0C1B : CDCDCDCD; +0C1C : CDCDCDCD; +0C1D : CDCDCDCD; +0C1E : CDCDCDCD; +0C1F : CDCDCDCD; +0C20 : CDCDCDCD; +0C21 : CDCDCDCD; +0C22 : CDCDCDCD; +0C23 : CDCDCDCD; +0C24 : CDCDCDCD; +0C25 : CDCDCDCD; +0C26 : CDCDCDCD; +0C27 : CDCDCDCD; +0C28 : CDCDCDCD; +0C29 : CDCDCDCD; +0C2A : CDCDCDCD; +0C2B : CDCDCDCD; +0C2C : CDCDCDCD; +0C2D : CDCDCDCD; +0C2E : CDCDCDCD; +0C2F : CDCDCDCD; +0C30 : CDCDCDCD; +0C31 : CDCDCDCD; +0C32 : CDCDCDCD; +0C33 : CDCDCDCD; +0C34 : CDCDCDCD; +0C35 : CDCDCDCD; +0C36 : CDCDCDCD; +0C37 : CDCDCDCD; +0C38 : CDCDCDCD; +0C39 : CDCDCDCD; +0C3A : CDCDCDCD; +0C3B : CDCDCDCD; +0C3C : CDCDCDCD; +0C3D : CDCDCDCD; +0C3E : CDCDCDCD; +0C3F : CDCDCDCD; +0C40 : CDCDCDCD; +0C41 : CDCDCDCD; +0C42 : CDCDCDCD; +0C43 : CDCDCDCD; +0C44 : CDCDCDCD; +0C45 : CDCDCDCD; +0C46 : CDCDCDCD; +0C47 : CDCDCDCD; +0C48 : CDCDCDCD; +0C49 : CDCDCDCD; +0C4A : CDCDCDCD; +0C4B : CDCDCDCD; +0C4C : CDCDCDCD; +0C4D : CDCDCDCD; +0C4E : CDCDCDCD; +0C4F : CDCDCDCD; +0C50 : CDCDCDCD; +0C51 : CDCDCDCD; +0C52 : CDCDCDCD; +0C53 : CDCDCDCD; +0C54 : CDCDCDCD; +0C55 : CDCDCDCD; +0C56 : CDCDCDCD; +0C57 : CDCDCDCD; +0C58 : CDCDCDCD; +0C59 : CDCDCDCD; +0C5A : CDCDCDCD; +0C5B : CDCDCDCD; +0C5C : CDCDCDCD; +0C5D : CDCDCDCD; +0C5E : CDCDCDCD; +0C5F : CDCDCDCD; +0C60 : CDCDCDCD; +0C61 : CDCDCDCD; +0C62 : CDCDCDCD; +0C63 : CDCDCDCD; +0C64 : CDCDCDCD; +0C65 : CDCDCDCD; +0C66 : CDCDCDCD; +0C67 : CDCDCDCD; +0C68 : CDCDCDCD; +0C69 : CDCDCDCD; +0C6A : CDCDCDCD; +0C6B : CDCDCDCD; +0C6C : CDCDCDCD; +0C6D : CDCDCDCD; +0C6E : CDCDCDCD; +0C6F : CDCDCDCD; +0C70 : CDCDCDCD; +0C71 : CDCDCDCD; +0C72 : CDCDCDCD; +0C73 : CDCDCDCD; +0C74 : CDCDCDCD; +0C75 : CDCDCDCD; +0C76 : CDCDCDCD; +0C77 : CDCDCDCD; +0C78 : CDCDCDCD; +0C79 : CDCDCDCD; +0C7A : CDCDCDCD; +0C7B : CDCDCDCD; +0C7C : CDCDCDCD; +0C7D : CDCDCDCD; +0C7E : CDCDCDCD; +0C7F : CDCDCDCD; +0C80 : CDCDCDCD; +0C81 : CDCDCDCD; +0C82 : CDCDCDCD; +0C83 : CDCDCDCD; +0C84 : CDCDCDCD; +0C85 : CDCDCDCD; +0C86 : CDCDCDCD; +0C87 : CDCDCDCD; +0C88 : CDCDCDCD; +0C89 : CDCDCDCD; +0C8A : CDCDCDCD; +0C8B : CDCDCDCD; +0C8C : CDCDCDCD; +0C8D : CDCDCDCD; +0C8E : CDCDCDCD; +0C8F : CDCDCDCD; +0C90 : CDCDCDCD; +0C91 : CDCDCDCD; +0C92 : CDCDCDCD; +0C93 : CDCDCDCD; +0C94 : CDCDCDCD; +0C95 : CDCDCDCD; +0C96 : CDCDCDCD; +0C97 : CDCDCDCD; +0C98 : CDCDCDCD; +0C99 : CDCDCDCD; +0C9A : CDCDCDCD; +0C9B : CDCDCDCD; +0C9C : CDCDCDCD; +0C9D : CDCDCDCD; +0C9E : CDCDCDCD; +0C9F : CDCDCDCD; +0CA0 : CDCDCDCD; +0CA1 : CDCDCDCD; +0CA2 : CDCDCDCD; +0CA3 : CDCDCDCD; +0CA4 : CDCDCDCD; +0CA5 : CDCDCDCD; +0CA6 : CDCDCDCD; +0CA7 : CDCDCDCD; +0CA8 : CDCDCDCD; +0CA9 : CDCDCDCD; +0CAA : CDCDCDCD; +0CAB : CDCDCDCD; +0CAC : CDCDCDCD; +0CAD : CDCDCDCD; +0CAE : CDCDCDCD; +0CAF : CDCDCDCD; +0CB0 : CDCDCDCD; +0CB1 : CDCDCDCD; +0CB2 : CDCDCDCD; +0CB3 : CDCDCDCD; +0CB4 : CDCDCDCD; +0CB5 : CDCDCDCD; +0CB6 : CDCDCDCD; +0CB7 : CDCDCDCD; +0CB8 : CDCDCDCD; +0CB9 : CDCDCDCD; +0CBA : CDCDCDCD; +0CBB : CDCDCDCD; +0CBC : CDCDCDCD; +0CBD : CDCDCDCD; +0CBE : CDCDCDCD; +0CBF : CDCDCDCD; +0CC0 : CDCDCDCD; +0CC1 : CDCDCDCD; +0CC2 : CDCDCDCD; +0CC3 : CDCDCDCD; +0CC4 : CDCDCDCD; +0CC5 : CDCDCDCD; +0CC6 : CDCDCDCD; +0CC7 : CDCDCDCD; +0CC8 : CDCDCDCD; +0CC9 : CDCDCDCD; +0CCA : CDCDCDCD; +0CCB : CDCDCDCD; +0CCC : CDCDCDCD; +0CCD : CDCDCDCD; +0CCE : CDCDCDCD; +0CCF : CDCDCDCD; +0CD0 : CDCDCDCD; +0CD1 : CDCDCDCD; +0CD2 : CDCDCDCD; +0CD3 : CDCDCDCD; +0CD4 : CDCDCDCD; +0CD5 : CDCDCDCD; +0CD6 : CDCDCDCD; +0CD7 : CDCDCDCD; +0CD8 : CDCDCDCD; +0CD9 : CDCDCDCD; +0CDA : CDCDCDCD; +0CDB : CDCDCDCD; +0CDC : CDCDCDCD; +0CDD : CDCDCDCD; +0CDE : CDCDCDCD; +0CDF : CDCDCDCD; +0CE0 : CDCDCDCD; +0CE1 : CDCDCDCD; +0CE2 : CDCDCDCD; +0CE3 : CDCDCDCD; +0CE4 : CDCDCDCD; +0CE5 : CDCDCDCD; +0CE6 : CDCDCDCD; +0CE7 : CDCDCDCD; +0CE8 : CDCDCDCD; +0CE9 : CDCDCDCD; +0CEA : CDCDCDCD; +0CEB : CDCDCDCD; +0CEC : CDCDCDCD; +0CED : CDCDCDCD; +0CEE : CDCDCDCD; +0CEF : CDCDCDCD; +0CF0 : CDCDCDCD; +0CF1 : CDCDCDCD; +0CF2 : CDCDCDCD; +0CF3 : CDCDCDCD; +0CF4 : CDCDCDCD; +0CF5 : CDCDCDCD; +0CF6 : CDCDCDCD; +0CF7 : CDCDCDCD; +0CF8 : CDCDCDCD; +0CF9 : CDCDCDCD; +0CFA : CDCDCDCD; +0CFB : CDCDCDCD; +0CFC : CDCDCDCD; +0CFD : CDCDCDCD; +0CFE : CDCDCDCD; +0CFF : CDCDCDCD; +0D00 : CDCDCDCD; +0D01 : CDCDCDCD; +0D02 : CDCDCDCD; +0D03 : CDCDCDCD; +0D04 : CDCDCDCD; +0D05 : CDCDCDCD; +0D06 : CDCDCDCD; +0D07 : CDCDCDCD; +0D08 : CDCDCDCD; +0D09 : CDCDCDCD; +0D0A : CDCDCDCD; +0D0B : CDCDCDCD; +0D0C : CDCDCDCD; +0D0D : CDCDCDCD; +0D0E : CDCDCDCD; +0D0F : CDCDCDCD; +0D10 : CDCDCDCD; +0D11 : CDCDCDCD; +0D12 : CDCDCDCD; +0D13 : CDCDCDCD; +0D14 : CDCDCDCD; +0D15 : CDCDCDCD; +0D16 : CDCDCDCD; +0D17 : CDCDCDCD; +0D18 : CDCDCDCD; +0D19 : CDCDCDCD; +0D1A : CDCDCDCD; +0D1B : CDCDCDCD; +0D1C : CDCDCDCD; +0D1D : CDCDCDCD; +0D1E : CDCDCDCD; +0D1F : CDCDCDCD; +0D20 : CDCDCDCD; +0D21 : CDCDCDCD; +0D22 : CDCDCDCD; +0D23 : CDCDCDCD; +0D24 : CDCDCDCD; +0D25 : CDCDCDCD; +0D26 : CDCDCDCD; +0D27 : CDCDCDCD; +0D28 : CDCDCDCD; +0D29 : CDCDCDCD; +0D2A : CDCDCDCD; +0D2B : CDCDCDCD; +0D2C : CDCDCDCD; +0D2D : CDCDCDCD; +0D2E : CDCDCDCD; +0D2F : CDCDCDCD; +0D30 : CDCDCDCD; +0D31 : CDCDCDCD; +0D32 : CDCDCDCD; +0D33 : CDCDCDCD; +0D34 : CDCDCDCD; +0D35 : CDCDCDCD; +0D36 : CDCDCDCD; +0D37 : CDCDCDCD; +0D38 : CDCDCDCD; +0D39 : CDCDCDCD; +0D3A : CDCDCDCD; +0D3B : CDCDCDCD; +0D3C : CDCDCDCD; +0D3D : CDCDCDCD; +0D3E : CDCDCDCD; +0D3F : CDCDCDCD; +0D40 : CDCDCDCD; +0D41 : CDCDCDCD; +0D42 : CDCDCDCD; +0D43 : CDCDCDCD; +0D44 : CDCDCDCD; +0D45 : CDCDCDCD; +0D46 : CDCDCDCD; +0D47 : CDCDCDCD; +0D48 : CDCDCDCD; +0D49 : CDCDCDCD; +0D4A : CDCDCDCD; +0D4B : CDCDCDCD; +0D4C : CDCDCDCD; +0D4D : CDCDCDCD; +0D4E : CDCDCDCD; +0D4F : CDCDCDCD; +0D50 : CDCDCDCD; +0D51 : CDCDCDCD; +0D52 : CDCDCDCD; +0D53 : CDCDCDCD; +0D54 : CDCDCDCD; +0D55 : CDCDCDCD; +0D56 : CDCDCDCD; +0D57 : CDCDCDCD; +0D58 : CDCDCDCD; +0D59 : CDCDCDCD; +0D5A : CDCDCDCD; +0D5B : CDCDCDCD; +0D5C : CDCDCDCD; +0D5D : CDCDCDCD; +0D5E : CDCDCDCD; +0D5F : CDCDCDCD; +0D60 : CDCDCDCD; +0D61 : CDCDCDCD; +0D62 : CDCDCDCD; +0D63 : CDCDCDCD; +0D64 : CDCDCDCD; +0D65 : CDCDCDCD; +0D66 : CDCDCDCD; +0D67 : CDCDCDCD; +0D68 : CDCDCDCD; +0D69 : CDCDCDCD; +0D6A : CDCDCDCD; +0D6B : CDCDCDCD; +0D6C : CDCDCDCD; +0D6D : CDCDCDCD; +0D6E : CDCDCDCD; +0D6F : CDCDCDCD; +0D70 : CDCDCDCD; +0D71 : CDCDCDCD; +0D72 : CDCDCDCD; +0D73 : CDCDCDCD; +0D74 : CDCDCDCD; +0D75 : CDCDCDCD; +0D76 : CDCDCDCD; +0D77 : CDCDCDCD; +0D78 : CDCDCDCD; +0D79 : CDCDCDCD; +0D7A : CDCDCDCD; +0D7B : CDCDCDCD; +0D7C : CDCDCDCD; +0D7D : CDCDCDCD; +0D7E : CDCDCDCD; +0D7F : CDCDCDCD; +0D80 : CDCDCDCD; +0D81 : CDCDCDCD; +0D82 : CDCDCDCD; +0D83 : CDCDCDCD; +0D84 : CDCDCDCD; +0D85 : CDCDCDCD; +0D86 : CDCDCDCD; +0D87 : CDCDCDCD; +0D88 : CDCDCDCD; +0D89 : CDCDCDCD; +0D8A : CDCDCDCD; +0D8B : CDCDCDCD; +0D8C : CDCDCDCD; +0D8D : CDCDCDCD; +0D8E : CDCDCDCD; +0D8F : CDCDCDCD; +0D90 : CDCDCDCD; +0D91 : CDCDCDCD; +0D92 : CDCDCDCD; +0D93 : CDCDCDCD; +0D94 : CDCDCDCD; +0D95 : CDCDCDCD; +0D96 : CDCDCDCD; +0D97 : CDCDCDCD; +0D98 : CDCDCDCD; +0D99 : CDCDCDCD; +0D9A : CDCDCDCD; +0D9B : CDCDCDCD; +0D9C : CDCDCDCD; +0D9D : CDCDCDCD; +0D9E : CDCDCDCD; +0D9F : CDCDCDCD; +0DA0 : CDCDCDCD; +0DA1 : CDCDCDCD; +0DA2 : CDCDCDCD; +0DA3 : CDCDCDCD; +0DA4 : CDCDCDCD; +0DA5 : CDCDCDCD; +0DA6 : CDCDCDCD; +0DA7 : CDCDCDCD; +0DA8 : CDCDCDCD; +0DA9 : CDCDCDCD; +0DAA : CDCDCDCD; +0DAB : CDCDCDCD; +0DAC : CDCDCDCD; +0DAD : CDCDCDCD; +0DAE : CDCDCDCD; +0DAF : CDCDCDCD; +0DB0 : CDCDCDCD; +0DB1 : CDCDCDCD; +0DB2 : CDCDCDCD; +0DB3 : CDCDCDCD; +0DB4 : CDCDCDCD; +0DB5 : CDCDCDCD; +0DB6 : CDCDCDCD; +0DB7 : CDCDCDCD; +0DB8 : CDCDCDCD; +0DB9 : CDCDCDCD; +0DBA : CDCDCDCD; +0DBB : CDCDCDCD; +0DBC : CDCDCDCD; +0DBD : CDCDCDCD; +0DBE : CDCDCDCD; +0DBF : CDCDCDCD; +0DC0 : CDCDCDCD; +0DC1 : CDCDCDCD; +0DC2 : CDCDCDCD; +0DC3 : CDCDCDCD; +0DC4 : CDCDCDCD; +0DC5 : CDCDCDCD; +0DC6 : CDCDCDCD; +0DC7 : CDCDCDCD; +0DC8 : CDCDCDCD; +0DC9 : CDCDCDCD; +0DCA : CDCDCDCD; +0DCB : CDCDCDCD; +0DCC : CDCDCDCD; +0DCD : CDCDCDCD; +0DCE : CDCDCDCD; +0DCF : CDCDCDCD; +0DD0 : CDCDCDCD; +0DD1 : CDCDCDCD; +0DD2 : CDCDCDCD; +0DD3 : CDCDCDCD; +0DD4 : CDCDCDCD; +0DD5 : CDCDCDCD; +0DD6 : CDCDCDCD; +0DD7 : CDCDCDCD; +0DD8 : CDCDCDCD; +0DD9 : CDCDCDCD; +0DDA : CDCDCDCD; +0DDB : CDCDCDCD; +0DDC : CDCDCDCD; +0DDD : CDCDCDCD; +0DDE : CDCDCDCD; +0DDF : CDCDCDCD; +0DE0 : CDCDCDCD; +0DE1 : CDCDCDCD; +0DE2 : CDCDCDCD; +0DE3 : CDCDCDCD; +0DE4 : CDCDCDCD; +0DE5 : CDCDCDCD; +0DE6 : CDCDCDCD; +0DE7 : CDCDCDCD; +0DE8 : CDCDCDCD; +0DE9 : CDCDCDCD; +0DEA : CDCDCDCD; +0DEB : CDCDCDCD; +0DEC : CDCDCDCD; +0DED : CDCDCDCD; +0DEE : CDCDCDCD; +0DEF : CDCDCDCD; +0DF0 : CDCDCDCD; +0DF1 : CDCDCDCD; +0DF2 : CDCDCDCD; +0DF3 : CDCDCDCD; +0DF4 : CDCDCDCD; +0DF5 : CDCDCDCD; +0DF6 : CDCDCDCD; +0DF7 : CDCDCDCD; +0DF8 : CDCDCDCD; +0DF9 : CDCDCDCD; +0DFA : CDCDCDCD; +0DFB : CDCDCDCD; +0DFC : CDCDCDCD; +0DFD : CDCDCDCD; +0DFE : CDCDCDCD; +0DFF : CDCDCDCD; +0E00 : CDCDCDCD; +0E01 : CDCDCDCD; +0E02 : CDCDCDCD; +0E03 : CDCDCDCD; +0E04 : CDCDCDCD; +0E05 : CDCDCDCD; +0E06 : CDCDCDCD; +0E07 : CDCDCDCD; +0E08 : CDCDCDCD; +0E09 : CDCDCDCD; +0E0A : CDCDCDCD; +0E0B : CDCDCDCD; +0E0C : CDCDCDCD; +0E0D : CDCDCDCD; +0E0E : CDCDCDCD; +0E0F : CDCDCDCD; +0E10 : CDCDCDCD; +0E11 : CDCDCDCD; +0E12 : CDCDCDCD; +0E13 : CDCDCDCD; +0E14 : CDCDCDCD; +0E15 : CDCDCDCD; +0E16 : CDCDCDCD; +0E17 : CDCDCDCD; +0E18 : CDCDCDCD; +0E19 : CDCDCDCD; +0E1A : CDCDCDCD; +0E1B : CDCDCDCD; +0E1C : CDCDCDCD; +0E1D : CDCDCDCD; +0E1E : CDCDCDCD; +0E1F : CDCDCDCD; +0E20 : CDCDCDCD; +0E21 : CDCDCDCD; +0E22 : CDCDCDCD; +0E23 : CDCDCDCD; +0E24 : CDCDCDCD; +0E25 : CDCDCDCD; +0E26 : CDCDCDCD; +0E27 : CDCDCDCD; +0E28 : CDCDCDCD; +0E29 : CDCDCDCD; +0E2A : CDCDCDCD; +0E2B : CDCDCDCD; +0E2C : CDCDCDCD; +0E2D : CDCDCDCD; +0E2E : CDCDCDCD; +0E2F : CDCDCDCD; +0E30 : CDCDCDCD; +0E31 : CDCDCDCD; +0E32 : CDCDCDCD; +0E33 : CDCDCDCD; +0E34 : CDCDCDCD; +0E35 : CDCDCDCD; +0E36 : CDCDCDCD; +0E37 : CDCDCDCD; +0E38 : CDCDCDCD; +0E39 : CDCDCDCD; +0E3A : CDCDCDCD; +0E3B : CDCDCDCD; +0E3C : CDCDCDCD; +0E3D : CDCDCDCD; +0E3E : CDCDCDCD; +0E3F : CDCDCDCD; +0E40 : CDCDCDCD; +0E41 : CDCDCDCD; +0E42 : CDCDCDCD; +0E43 : CDCDCDCD; +0E44 : CDCDCDCD; +0E45 : CDCDCDCD; +0E46 : CDCDCDCD; +0E47 : CDCDCDCD; +0E48 : CDCDCDCD; +0E49 : CDCDCDCD; +0E4A : CDCDCDCD; +0E4B : CDCDCDCD; +0E4C : CDCDCDCD; +0E4D : CDCDCDCD; +0E4E : CDCDCDCD; +0E4F : CDCDCDCD; +0E50 : CDCDCDCD; +0E51 : CDCDCDCD; +0E52 : CDCDCDCD; +0E53 : CDCDCDCD; +0E54 : CDCDCDCD; +0E55 : CDCDCDCD; +0E56 : CDCDCDCD; +0E57 : CDCDCDCD; +0E58 : CDCDCDCD; +0E59 : CDCDCDCD; +0E5A : CDCDCDCD; +0E5B : CDCDCDCD; +0E5C : CDCDCDCD; +0E5D : CDCDCDCD; +0E5E : CDCDCDCD; +0E5F : CDCDCDCD; +0E60 : CDCDCDCD; +0E61 : CDCDCDCD; +0E62 : CDCDCDCD; +0E63 : CDCDCDCD; +0E64 : CDCDCDCD; +0E65 : CDCDCDCD; +0E66 : CDCDCDCD; +0E67 : CDCDCDCD; +0E68 : CDCDCDCD; +0E69 : CDCDCDCD; +0E6A : CDCDCDCD; +0E6B : CDCDCDCD; +0E6C : CDCDCDCD; +0E6D : CDCDCDCD; +0E6E : CDCDCDCD; +0E6F : CDCDCDCD; +0E70 : CDCDCDCD; +0E71 : CDCDCDCD; +0E72 : CDCDCDCD; +0E73 : CDCDCDCD; +0E74 : CDCDCDCD; +0E75 : CDCDCDCD; +0E76 : CDCDCDCD; +0E77 : CDCDCDCD; +0E78 : CDCDCDCD; +0E79 : CDCDCDCD; +0E7A : CDCDCDCD; +0E7B : CDCDCDCD; +0E7C : CDCDCDCD; +0E7D : CDCDCDCD; +0E7E : CDCDCDCD; +0E7F : CDCDCDCD; +0E80 : CDCDCDCD; +0E81 : CDCDCDCD; +0E82 : CDCDCDCD; +0E83 : CDCDCDCD; +0E84 : CDCDCDCD; +0E85 : CDCDCDCD; +0E86 : CDCDCDCD; +0E87 : CDCDCDCD; +0E88 : CDCDCDCD; +0E89 : CDCDCDCD; +0E8A : CDCDCDCD; +0E8B : CDCDCDCD; +0E8C : CDCDCDCD; +0E8D : CDCDCDCD; +0E8E : CDCDCDCD; +0E8F : CDCDCDCD; +0E90 : CDCDCDCD; +0E91 : CDCDCDCD; +0E92 : CDCDCDCD; +0E93 : CDCDCDCD; +0E94 : CDCDCDCD; +0E95 : CDCDCDCD; +0E96 : CDCDCDCD; +0E97 : CDCDCDCD; +0E98 : CDCDCDCD; +0E99 : CDCDCDCD; +0E9A : CDCDCDCD; +0E9B : CDCDCDCD; +0E9C : CDCDCDCD; +0E9D : CDCDCDCD; +0E9E : CDCDCDCD; +0E9F : CDCDCDCD; +0EA0 : CDCDCDCD; +0EA1 : CDCDCDCD; +0EA2 : CDCDCDCD; +0EA3 : CDCDCDCD; +0EA4 : CDCDCDCD; +0EA5 : CDCDCDCD; +0EA6 : CDCDCDCD; +0EA7 : CDCDCDCD; +0EA8 : CDCDCDCD; +0EA9 : CDCDCDCD; +0EAA : CDCDCDCD; +0EAB : CDCDCDCD; +0EAC : CDCDCDCD; +0EAD : CDCDCDCD; +0EAE : CDCDCDCD; +0EAF : CDCDCDCD; +0EB0 : CDCDCDCD; +0EB1 : CDCDCDCD; +0EB2 : CDCDCDCD; +0EB3 : CDCDCDCD; +0EB4 : CDCDCDCD; +0EB5 : CDCDCDCD; +0EB6 : CDCDCDCD; +0EB7 : CDCDCDCD; +0EB8 : CDCDCDCD; +0EB9 : CDCDCDCD; +0EBA : CDCDCDCD; +0EBB : CDCDCDCD; +0EBC : CDCDCDCD; +0EBD : CDCDCDCD; +0EBE : CDCDCDCD; +0EBF : CDCDCDCD; +0EC0 : CDCDCDCD; +0EC1 : CDCDCDCD; +0EC2 : CDCDCDCD; +0EC3 : CDCDCDCD; +0EC4 : CDCDCDCD; +0EC5 : CDCDCDCD; +0EC6 : CDCDCDCD; +0EC7 : CDCDCDCD; +0EC8 : CDCDCDCD; +0EC9 : CDCDCDCD; +0ECA : CDCDCDCD; +0ECB : CDCDCDCD; +0ECC : CDCDCDCD; +0ECD : CDCDCDCD; +0ECE : CDCDCDCD; +0ECF : CDCDCDCD; +0ED0 : CDCDCDCD; +0ED1 : CDCDCDCD; +0ED2 : CDCDCDCD; +0ED3 : CDCDCDCD; +0ED4 : CDCDCDCD; +0ED5 : CDCDCDCD; +0ED6 : CDCDCDCD; +0ED7 : CDCDCDCD; +0ED8 : CDCDCDCD; +0ED9 : CDCDCDCD; +0EDA : CDCDCDCD; +0EDB : CDCDCDCD; +0EDC : CDCDCDCD; +0EDD : CDCDCDCD; +0EDE : CDCDCDCD; +0EDF : CDCDCDCD; +0EE0 : CDCDCDCD; +0EE1 : CDCDCDCD; +0EE2 : CDCDCDCD; +0EE3 : CDCDCDCD; +0EE4 : CDCDCDCD; +0EE5 : CDCDCDCD; +0EE6 : CDCDCDCD; +0EE7 : CDCDCDCD; +0EE8 : CDCDCDCD; +0EE9 : CDCDCDCD; +0EEA : CDCDCDCD; +0EEB : CDCDCDCD; +0EEC : CDCDCDCD; +0EED : CDCDCDCD; +0EEE : CDCDCDCD; +0EEF : CDCDCDCD; +0EF0 : CDCDCDCD; +0EF1 : CDCDCDCD; +0EF2 : CDCDCDCD; +0EF3 : CDCDCDCD; +0EF4 : CDCDCDCD; +0EF5 : CDCDCDCD; +0EF6 : CDCDCDCD; +0EF7 : CDCDCDCD; +0EF8 : CDCDCDCD; +0EF9 : CDCDCDCD; +0EFA : CDCDCDCD; +0EFB : CDCDCDCD; +0EFC : CDCDCDCD; +0EFD : CDCDCDCD; +0EFE : CDCDCDCD; +0EFF : CDCDCDCD; +0F00 : CDCDCDCD; +0F01 : CDCDCDCD; +0F02 : CDCDCDCD; +0F03 : CDCDCDCD; +0F04 : CDCDCDCD; +0F05 : CDCDCDCD; +0F06 : CDCDCDCD; +0F07 : CDCDCDCD; +0F08 : CDCDCDCD; +0F09 : CDCDCDCD; +0F0A : CDCDCDCD; +0F0B : CDCDCDCD; +0F0C : CDCDCDCD; +0F0D : CDCDCDCD; +0F0E : CDCDCDCD; +0F0F : CDCDCDCD; +0F10 : CDCDCDCD; +0F11 : CDCDCDCD; +0F12 : CDCDCDCD; +0F13 : CDCDCDCD; +0F14 : CDCDCDCD; +0F15 : CDCDCDCD; +0F16 : CDCDCDCD; +0F17 : CDCDCDCD; +0F18 : CDCDCDCD; +0F19 : CDCDCDCD; +0F1A : CDCDCDCD; +0F1B : CDCDCDCD; +0F1C : CDCDCDCD; +0F1D : CDCDCDCD; +0F1E : CDCDCDCD; +0F1F : CDCDCDCD; +0F20 : CDCDCDCD; +0F21 : CDCDCDCD; +0F22 : CDCDCDCD; +0F23 : CDCDCDCD; +0F24 : CDCDCDCD; +0F25 : CDCDCDCD; +0F26 : CDCDCDCD; +0F27 : CDCDCDCD; +0F28 : CDCDCDCD; +0F29 : CDCDCDCD; +0F2A : CDCDCDCD; +0F2B : CDCDCDCD; +0F2C : CDCDCDCD; +0F2D : CDCDCDCD; +0F2E : CDCDCDCD; +0F2F : CDCDCDCD; +0F30 : CDCDCDCD; +0F31 : CDCDCDCD; +0F32 : CDCDCDCD; +0F33 : CDCDCDCD; +0F34 : CDCDCDCD; +0F35 : CDCDCDCD; +0F36 : CDCDCDCD; +0F37 : CDCDCDCD; +0F38 : CDCDCDCD; +0F39 : CDCDCDCD; +0F3A : CDCDCDCD; +0F3B : CDCDCDCD; +0F3C : CDCDCDCD; +0F3D : CDCDCDCD; +0F3E : CDCDCDCD; +0F3F : CDCDCDCD; +0F40 : CDCDCDCD; +0F41 : CDCDCDCD; +0F42 : CDCDCDCD; +0F43 : CDCDCDCD; +0F44 : CDCDCDCD; +0F45 : CDCDCDCD; +0F46 : CDCDCDCD; +0F47 : CDCDCDCD; +0F48 : CDCDCDCD; +0F49 : CDCDCDCD; +0F4A : CDCDCDCD; +0F4B : CDCDCDCD; +0F4C : CDCDCDCD; +0F4D : CDCDCDCD; +0F4E : CDCDCDCD; +0F4F : CDCDCDCD; +0F50 : CDCDCDCD; +0F51 : CDCDCDCD; +0F52 : CDCDCDCD; +0F53 : CDCDCDCD; +0F54 : CDCDCDCD; +0F55 : CDCDCDCD; +0F56 : CDCDCDCD; +0F57 : CDCDCDCD; +0F58 : CDCDCDCD; +0F59 : CDCDCDCD; +0F5A : CDCDCDCD; +0F5B : CDCDCDCD; +0F5C : CDCDCDCD; +0F5D : CDCDCDCD; +0F5E : CDCDCDCD; +0F5F : CDCDCDCD; +0F60 : CDCDCDCD; +0F61 : CDCDCDCD; +0F62 : CDCDCDCD; +0F63 : CDCDCDCD; +0F64 : CDCDCDCD; +0F65 : CDCDCDCD; +0F66 : CDCDCDCD; +0F67 : CDCDCDCD; +0F68 : CDCDCDCD; +0F69 : CDCDCDCD; +0F6A : CDCDCDCD; +0F6B : CDCDCDCD; +0F6C : CDCDCDCD; +0F6D : CDCDCDCD; +0F6E : CDCDCDCD; +0F6F : CDCDCDCD; +0F70 : CDCDCDCD; +0F71 : CDCDCDCD; +0F72 : CDCDCDCD; +0F73 : CDCDCDCD; +0F74 : CDCDCDCD; +0F75 : CDCDCDCD; +0F76 : CDCDCDCD; +0F77 : CDCDCDCD; +0F78 : CDCDCDCD; +0F79 : CDCDCDCD; +0F7A : CDCDCDCD; +0F7B : CDCDCDCD; +0F7C : CDCDCDCD; +0F7D : CDCDCDCD; +0F7E : CDCDCDCD; +0F7F : CDCDCDCD; +0F80 : CDCDCDCD; +0F81 : CDCDCDCD; +0F82 : CDCDCDCD; +0F83 : CDCDCDCD; +0F84 : CDCDCDCD; +0F85 : CDCDCDCD; +0F86 : CDCDCDCD; +0F87 : CDCDCDCD; +0F88 : CDCDCDCD; +0F89 : CDCDCDCD; +0F8A : CDCDCDCD; +0F8B : CDCDCDCD; +0F8C : CDCDCDCD; +0F8D : CDCDCDCD; +0F8E : CDCDCDCD; +0F8F : CDCDCDCD; +0F90 : CDCDCDCD; +0F91 : CDCDCDCD; +0F92 : CDCDCDCD; +0F93 : CDCDCDCD; +0F94 : CDCDCDCD; +0F95 : CDCDCDCD; +0F96 : CDCDCDCD; +0F97 : CDCDCDCD; +0F98 : CDCDCDCD; +0F99 : CDCDCDCD; +0F9A : CDCDCDCD; +0F9B : CDCDCDCD; +0F9C : CDCDCDCD; +0F9D : CDCDCDCD; +0F9E : CDCDCDCD; +0F9F : CDCDCDCD; +0FA0 : CDCDCDCD; +0FA1 : CDCDCDCD; +0FA2 : CDCDCDCD; +0FA3 : CDCDCDCD; +0FA4 : CDCDCDCD; +0FA5 : CDCDCDCD; +0FA6 : CDCDCDCD; +0FA7 : CDCDCDCD; +0FA8 : CDCDCDCD; +0FA9 : CDCDCDCD; +0FAA : CDCDCDCD; +0FAB : CDCDCDCD; +0FAC : CDCDCDCD; +0FAD : CDCDCDCD; +0FAE : CDCDCDCD; +0FAF : CDCDCDCD; +0FB0 : CDCDCDCD; +0FB1 : CDCDCDCD; +0FB2 : CDCDCDCD; +0FB3 : CDCDCDCD; +0FB4 : CDCDCDCD; +0FB5 : CDCDCDCD; +0FB6 : CDCDCDCD; +0FB7 : CDCDCDCD; +0FB8 : CDCDCDCD; +0FB9 : CDCDCDCD; +0FBA : CDCDCDCD; +0FBB : CDCDCDCD; +0FBC : CDCDCDCD; +0FBD : CDCDCDCD; +0FBE : CDCDCDCD; +0FBF : CDCDCDCD; +0FC0 : CDCDCDCD; +0FC1 : CDCDCDCD; +0FC2 : CDCDCDCD; +0FC3 : CDCDCDCD; +0FC4 : CDCDCDCD; +0FC5 : CDCDCDCD; +0FC6 : CDCDCDCD; +0FC7 : CDCDCDCD; +0FC8 : CDCDCDCD; +0FC9 : CDCDCDCD; +0FCA : CDCDCDCD; +0FCB : CDCDCDCD; +0FCC : CDCDCDCD; +0FCD : CDCDCDCD; +0FCE : CDCDCDCD; +0FCF : CDCDCDCD; +0FD0 : CDCDCDCD; +0FD1 : CDCDCDCD; +0FD2 : CDCDCDCD; +0FD3 : CDCDCDCD; +0FD4 : CDCDCDCD; +0FD5 : CDCDCDCD; +0FD6 : CDCDCDCD; +0FD7 : CDCDCDCD; +0FD8 : CDCDCDCD; +0FD9 : CDCDCDCD; +0FDA : CDCDCDCD; +0FDB : CDCDCDCD; +0FDC : CDCDCDCD; +0FDD : CDCDCDCD; +0FDE : CDCDCDCD; +0FDF : CDCDCDCD; +0FE0 : CDCDCDCD; +0FE1 : CDCDCDCD; +0FE2 : CDCDCDCD; +0FE3 : CDCDCDCD; +0FE4 : CDCDCDCD; +0FE5 : CDCDCDCD; +0FE6 : CDCDCDCD; +0FE7 : CDCDCDCD; +0FE8 : CDCDCDCD; +0FE9 : CDCDCDCD; +0FEA : CDCDCDCD; +0FEB : CDCDCDCD; +0FEC : CDCDCDCD; +0FED : CDCDCDCD; +0FEE : CDCDCDCD; +0FEF : CDCDCDCD; +0FF0 : CDCDCDCD; +0FF1 : CDCDCDCD; +0FF2 : CDCDCDCD; +0FF3 : CDCDCDCD; +0FF4 : CDCDCDCD; +0FF5 : CDCDCDCD; +0FF6 : CDCDCDCD; +0FF7 : CDCDCDCD; +0FF8 : CDCDCDCD; +0FF9 : CDCDCDCD; +0FFA : CDCDCDCD; +0FFB : CDCDCDCD; +0FFC : CDCDCDCD; +0FFD : CDCDCDCD; +0FFE : CDCDCDCD; +0FFF : CDCDCDCD; +1000 : CDCDCDCD; +1001 : CDCDCDCD; +1002 : CDCDCDCD; +1003 : CDCDCDCD; +1004 : CDCDCDCD; +1005 : CDCDCDCD; +1006 : CDCDCDCD; +1007 : CDCDCDCD; +1008 : CDCDCDCD; +1009 : CDCDCDCD; +100A : CDCDCDCD; +100B : CDCDCDCD; +100C : CDCDCDCD; +100D : CDCDCDCD; +100E : CDCDCDCD; +100F : CDCDCDCD; +1010 : CDCDCDCD; +1011 : CDCDCDCD; +1012 : CDCDCDCD; +1013 : CDCDCDCD; +1014 : CDCDCDCD; +1015 : CDCDCDCD; +1016 : CDCDCDCD; +1017 : CDCDCDCD; +1018 : CDCDCDCD; +1019 : CDCDCDCD; +101A : CDCDCDCD; +101B : CDCDCDCD; +101C : CDCDCDCD; +101D : CDCDCDCD; +101E : CDCDCDCD; +101F : CDCDCDCD; +1020 : CDCDCDCD; +1021 : CDCDCDCD; +1022 : CDCDCDCD; +1023 : CDCDCDCD; +1024 : CDCDCDCD; +1025 : CDCDCDCD; +1026 : CDCDCDCD; +1027 : CDCDCDCD; +1028 : CDCDCDCD; +1029 : CDCDCDCD; +102A : CDCDCDCD; +102B : CDCDCDCD; +102C : CDCDCDCD; +102D : CDCDCDCD; +102E : CDCDCDCD; +102F : CDCDCDCD; +1030 : CDCDCDCD; +1031 : CDCDCDCD; +1032 : CDCDCDCD; +1033 : CDCDCDCD; +1034 : CDCDCDCD; +1035 : CDCDCDCD; +1036 : CDCDCDCD; +1037 : CDCDCDCD; +1038 : CDCDCDCD; +1039 : CDCDCDCD; +103A : CDCDCDCD; +103B : CDCDCDCD; +103C : CDCDCDCD; +103D : CDCDCDCD; +103E : CDCDCDCD; +103F : CDCDCDCD; +1040 : CDCDCDCD; +1041 : CDCDCDCD; +1042 : CDCDCDCD; +1043 : CDCDCDCD; +1044 : CDCDCDCD; +1045 : CDCDCDCD; +1046 : CDCDCDCD; +1047 : CDCDCDCD; +1048 : CDCDCDCD; +1049 : CDCDCDCD; +104A : CDCDCDCD; +104B : CDCDCDCD; +104C : CDCDCDCD; +104D : CDCDCDCD; +104E : CDCDCDCD; +104F : CDCDCDCD; +1050 : CDCDCDCD; +1051 : CDCDCDCD; +1052 : CDCDCDCD; +1053 : CDCDCDCD; +1054 : CDCDCDCD; +1055 : CDCDCDCD; +1056 : CDCDCDCD; +1057 : CDCDCDCD; +1058 : CDCDCDCD; +1059 : CDCDCDCD; +105A : CDCDCDCD; +105B : CDCDCDCD; +105C : CDCDCDCD; +105D : CDCDCDCD; +105E : CDCDCDCD; +105F : CDCDCDCD; +1060 : CDCDCDCD; +1061 : CDCDCDCD; +1062 : CDCDCDCD; +1063 : CDCDCDCD; +1064 : CDCDCDCD; +1065 : CDCDCDCD; +1066 : CDCDCDCD; +1067 : CDCDCDCD; +1068 : CDCDCDCD; +1069 : CDCDCDCD; +106A : CDCDCDCD; +106B : CDCDCDCD; +106C : CDCDCDCD; +106D : CDCDCDCD; +106E : CDCDCDCD; +106F : CDCDCDCD; +1070 : CDCDCDCD; +1071 : CDCDCDCD; +1072 : CDCDCDCD; +1073 : CDCDCDCD; +1074 : CDCDCDCD; +1075 : CDCDCDCD; +1076 : CDCDCDCD; +1077 : CDCDCDCD; +1078 : CDCDCDCD; +1079 : CDCDCDCD; +107A : CDCDCDCD; +107B : CDCDCDCD; +107C : CDCDCDCD; +107D : CDCDCDCD; +107E : CDCDCDCD; +107F : CDCDCDCD; +1080 : CDCDCDCD; +1081 : CDCDCDCD; +1082 : CDCDCDCD; +1083 : CDCDCDCD; +1084 : CDCDCDCD; +1085 : CDCDCDCD; +1086 : CDCDCDCD; +1087 : CDCDCDCD; +1088 : CDCDCDCD; +1089 : CDCDCDCD; +108A : CDCDCDCD; +108B : CDCDCDCD; +108C : CDCDCDCD; +108D : CDCDCDCD; +108E : CDCDCDCD; +108F : CDCDCDCD; +1090 : CDCDCDCD; +1091 : CDCDCDCD; +1092 : CDCDCDCD; +1093 : CDCDCDCD; +1094 : CDCDCDCD; +1095 : CDCDCDCD; +1096 : CDCDCDCD; +1097 : CDCDCDCD; +1098 : CDCDCDCD; +1099 : CDCDCDCD; +109A : CDCDCDCD; +109B : CDCDCDCD; +109C : CDCDCDCD; +109D : CDCDCDCD; +109E : CDCDCDCD; +109F : CDCDCDCD; +10A0 : CDCDCDCD; +10A1 : CDCDCDCD; +10A2 : CDCDCDCD; +10A3 : CDCDCDCD; +10A4 : CDCDCDCD; +10A5 : CDCDCDCD; +10A6 : CDCDCDCD; +10A7 : CDCDCDCD; +10A8 : CDCDCDCD; +10A9 : CDCDCDCD; +10AA : CDCDCDCD; +10AB : CDCDCDCD; +10AC : CDCDCDCD; +10AD : CDCDCDCD; +10AE : CDCDCDCD; +10AF : CDCDCDCD; +10B0 : CDCDCDCD; +10B1 : CDCDCDCD; +10B2 : CDCDCDCD; +10B3 : CDCDCDCD; +10B4 : CDCDCDCD; +10B5 : CDCDCDCD; +10B6 : CDCDCDCD; +10B7 : CDCDCDCD; +10B8 : CDCDCDCD; +10B9 : CDCDCDCD; +10BA : CDCDCDCD; +10BB : CDCDCDCD; +10BC : CDCDCDCD; +10BD : CDCDCDCD; +10BE : CDCDCDCD; +10BF : CDCDCDCD; +10C0 : CDCDCDCD; +10C1 : CDCDCDCD; +10C2 : CDCDCDCD; +10C3 : CDCDCDCD; +10C4 : CDCDCDCD; +10C5 : CDCDCDCD; +10C6 : CDCDCDCD; +10C7 : CDCDCDCD; +10C8 : CDCDCDCD; +10C9 : CDCDCDCD; +10CA : CDCDCDCD; +10CB : CDCDCDCD; +10CC : CDCDCDCD; +10CD : CDCDCDCD; +10CE : CDCDCDCD; +10CF : CDCDCDCD; +10D0 : CDCDCDCD; +10D1 : CDCDCDCD; +10D2 : CDCDCDCD; +10D3 : CDCDCDCD; +10D4 : CDCDCDCD; +10D5 : CDCDCDCD; +10D6 : CDCDCDCD; +10D7 : CDCDCDCD; +10D8 : CDCDCDCD; +10D9 : CDCDCDCD; +10DA : CDCDCDCD; +10DB : CDCDCDCD; +10DC : CDCDCDCD; +10DD : CDCDCDCD; +10DE : CDCDCDCD; +10DF : CDCDCDCD; +10E0 : CDCDCDCD; +10E1 : CDCDCDCD; +10E2 : CDCDCDCD; +10E3 : CDCDCDCD; +10E4 : CDCDCDCD; +10E5 : CDCDCDCD; +10E6 : CDCDCDCD; +10E7 : CDCDCDCD; +10E8 : CDCDCDCD; +10E9 : CDCDCDCD; +10EA : CDCDCDCD; +10EB : CDCDCDCD; +10EC : CDCDCDCD; +10ED : CDCDCDCD; +10EE : CDCDCDCD; +10EF : CDCDCDCD; +10F0 : CDCDCDCD; +10F1 : CDCDCDCD; +10F2 : CDCDCDCD; +10F3 : CDCDCDCD; +10F4 : CDCDCDCD; +10F5 : CDCDCDCD; +10F6 : CDCDCDCD; +10F7 : CDCDCDCD; +10F8 : CDCDCDCD; +10F9 : CDCDCDCD; +10FA : CDCDCDCD; +10FB : CDCDCDCD; +10FC : CDCDCDCD; +10FD : CDCDCDCD; +10FE : CDCDCDCD; +10FF : CDCDCDCD; +1100 : CDCDCDCD; +1101 : CDCDCDCD; +1102 : CDCDCDCD; +1103 : CDCDCDCD; +1104 : CDCDCDCD; +1105 : CDCDCDCD; +1106 : CDCDCDCD; +1107 : CDCDCDCD; +1108 : CDCDCDCD; +1109 : CDCDCDCD; +110A : CDCDCDCD; +110B : CDCDCDCD; +110C : CDCDCDCD; +110D : CDCDCDCD; +110E : CDCDCDCD; +110F : CDCDCDCD; +1110 : CDCDCDCD; +1111 : CDCDCDCD; +1112 : CDCDCDCD; +1113 : CDCDCDCD; +1114 : CDCDCDCD; +1115 : CDCDCDCD; +1116 : CDCDCDCD; +1117 : CDCDCDCD; +1118 : CDCDCDCD; +1119 : CDCDCDCD; +111A : CDCDCDCD; +111B : CDCDCDCD; +111C : CDCDCDCD; +111D : CDCDCDCD; +111E : CDCDCDCD; +111F : CDCDCDCD; +1120 : CDCDCDCD; +1121 : CDCDCDCD; +1122 : CDCDCDCD; +1123 : CDCDCDCD; +1124 : CDCDCDCD; +1125 : CDCDCDCD; +1126 : CDCDCDCD; +1127 : CDCDCDCD; +1128 : CDCDCDCD; +1129 : CDCDCDCD; +112A : CDCDCDCD; +112B : CDCDCDCD; +112C : CDCDCDCD; +112D : CDCDCDCD; +112E : CDCDCDCD; +112F : CDCDCDCD; +1130 : CDCDCDCD; +1131 : CDCDCDCD; +1132 : CDCDCDCD; +1133 : CDCDCDCD; +1134 : CDCDCDCD; +1135 : CDCDCDCD; +1136 : CDCDCDCD; +1137 : CDCDCDCD; +1138 : CDCDCDCD; +1139 : CDCDCDCD; +113A : CDCDCDCD; +113B : CDCDCDCD; +113C : CDCDCDCD; +113D : CDCDCDCD; +113E : CDCDCDCD; +113F : CDCDCDCD; +1140 : CDCDCDCD; +1141 : CDCDCDCD; +1142 : CDCDCDCD; +1143 : CDCDCDCD; +1144 : CDCDCDCD; +1145 : CDCDCDCD; +1146 : CDCDCDCD; +1147 : CDCDCDCD; +1148 : CDCDCDCD; +1149 : CDCDCDCD; +114A : CDCDCDCD; +114B : CDCDCDCD; +114C : CDCDCDCD; +114D : CDCDCDCD; +114E : CDCDCDCD; +114F : CDCDCDCD; +1150 : CDCDCDCD; +1151 : CDCDCDCD; +1152 : CDCDCDCD; +1153 : CDCDCDCD; +1154 : CDCDCDCD; +1155 : CDCDCDCD; +1156 : CDCDCDCD; +1157 : CDCDCDCD; +1158 : CDCDCDCD; +1159 : CDCDCDCD; +115A : CDCDCDCD; +115B : CDCDCDCD; +115C : CDCDCDCD; +115D : CDCDCDCD; +115E : CDCDCDCD; +115F : CDCDCDCD; +1160 : CDCDCDCD; +1161 : CDCDCDCD; +1162 : CDCDCDCD; +1163 : CDCDCDCD; +1164 : CDCDCDCD; +1165 : CDCDCDCD; +1166 : CDCDCDCD; +1167 : CDCDCDCD; +1168 : CDCDCDCD; +1169 : CDCDCDCD; +116A : CDCDCDCD; +116B : CDCDCDCD; +116C : CDCDCDCD; +116D : CDCDCDCD; +116E : CDCDCDCD; +116F : CDCDCDCD; +1170 : CDCDCDCD; +1171 : CDCDCDCD; +1172 : CDCDCDCD; +1173 : CDCDCDCD; +1174 : CDCDCDCD; +1175 : CDCDCDCD; +1176 : CDCDCDCD; +1177 : CDCDCDCD; +1178 : CDCDCDCD; +1179 : CDCDCDCD; +117A : CDCDCDCD; +117B : CDCDCDCD; +117C : CDCDCDCD; +117D : CDCDCDCD; +117E : CDCDCDCD; +117F : CDCDCDCD; +1180 : CDCDCDCD; +1181 : CDCDCDCD; +1182 : CDCDCDCD; +1183 : CDCDCDCD; +1184 : CDCDCDCD; +1185 : CDCDCDCD; +1186 : CDCDCDCD; +1187 : CDCDCDCD; +1188 : CDCDCDCD; +1189 : CDCDCDCD; +118A : CDCDCDCD; +118B : CDCDCDCD; +118C : CDCDCDCD; +118D : CDCDCDCD; +118E : CDCDCDCD; +118F : CDCDCDCD; +1190 : CDCDCDCD; +1191 : CDCDCDCD; +1192 : CDCDCDCD; +1193 : CDCDCDCD; +1194 : CDCDCDCD; +1195 : CDCDCDCD; +1196 : CDCDCDCD; +1197 : CDCDCDCD; +1198 : CDCDCDCD; +1199 : CDCDCDCD; +119A : CDCDCDCD; +119B : CDCDCDCD; +119C : CDCDCDCD; +119D : CDCDCDCD; +119E : CDCDCDCD; +119F : CDCDCDCD; +11A0 : CDCDCDCD; +11A1 : CDCDCDCD; +11A2 : CDCDCDCD; +11A3 : CDCDCDCD; +11A4 : CDCDCDCD; +11A5 : CDCDCDCD; +11A6 : CDCDCDCD; +11A7 : CDCDCDCD; +11A8 : CDCDCDCD; +11A9 : CDCDCDCD; +11AA : CDCDCDCD; +11AB : CDCDCDCD; +11AC : CDCDCDCD; +11AD : CDCDCDCD; +11AE : CDCDCDCD; +11AF : CDCDCDCD; +11B0 : CDCDCDCD; +11B1 : CDCDCDCD; +11B2 : CDCDCDCD; +11B3 : CDCDCDCD; +11B4 : CDCDCDCD; +11B5 : CDCDCDCD; +11B6 : CDCDCDCD; +11B7 : CDCDCDCD; +11B8 : CDCDCDCD; +11B9 : CDCDCDCD; +11BA : CDCDCDCD; +11BB : CDCDCDCD; +11BC : CDCDCDCD; +11BD : CDCDCDCD; +11BE : CDCDCDCD; +11BF : CDCDCDCD; +11C0 : CDCDCDCD; +11C1 : CDCDCDCD; +11C2 : CDCDCDCD; +11C3 : CDCDCDCD; +11C4 : CDCDCDCD; +11C5 : CDCDCDCD; +11C6 : CDCDCDCD; +11C7 : CDCDCDCD; +11C8 : CDCDCDCD; +11C9 : CDCDCDCD; +11CA : CDCDCDCD; +11CB : CDCDCDCD; +11CC : CDCDCDCD; +11CD : CDCDCDCD; +11CE : CDCDCDCD; +11CF : CDCDCDCD; +11D0 : CDCDCDCD; +11D1 : CDCDCDCD; +11D2 : CDCDCDCD; +11D3 : CDCDCDCD; +11D4 : CDCDCDCD; +11D5 : CDCDCDCD; +11D6 : CDCDCDCD; +11D7 : CDCDCDCD; +11D8 : CDCDCDCD; +11D9 : CDCDCDCD; +11DA : CDCDCDCD; +11DB : CDCDCDCD; +11DC : CDCDCDCD; +11DD : CDCDCDCD; +11DE : CDCDCDCD; +11DF : CDCDCDCD; +11E0 : CDCDCDCD; +11E1 : CDCDCDCD; +11E2 : CDCDCDCD; +11E3 : CDCDCDCD; +11E4 : CDCDCDCD; +11E5 : CDCDCDCD; +11E6 : CDCDCDCD; +11E7 : CDCDCDCD; +11E8 : CDCDCDCD; +11E9 : CDCDCDCD; +11EA : CDCDCDCD; +11EB : CDCDCDCD; +11EC : CDCDCDCD; +11ED : CDCDCDCD; +11EE : CDCDCDCD; +11EF : CDCDCDCD; +11F0 : CDCDCDCD; +11F1 : CDCDCDCD; +11F2 : CDCDCDCD; +11F3 : CDCDCDCD; +11F4 : CDCDCDCD; +11F5 : CDCDCDCD; +11F6 : CDCDCDCD; +11F7 : CDCDCDCD; +11F8 : CDCDCDCD; +11F9 : CDCDCDCD; +11FA : CDCDCDCD; +11FB : CDCDCDCD; +11FC : CDCDCDCD; +11FD : CDCDCDCD; +11FE : CDCDCDCD; +11FF : CDCDCDCD; +1200 : CDCDCDCD; +1201 : CDCDCDCD; +1202 : CDCDCDCD; +1203 : CDCDCDCD; +1204 : CDCDCDCD; +1205 : CDCDCDCD; +1206 : CDCDCDCD; +1207 : CDCDCDCD; +1208 : CDCDCDCD; +1209 : CDCDCDCD; +120A : CDCDCDCD; +120B : CDCDCDCD; +120C : CDCDCDCD; +120D : CDCDCDCD; +120E : CDCDCDCD; +120F : CDCDCDCD; +1210 : CDCDCDCD; +1211 : CDCDCDCD; +1212 : CDCDCDCD; +1213 : CDCDCDCD; +1214 : CDCDCDCD; +1215 : CDCDCDCD; +1216 : CDCDCDCD; +1217 : CDCDCDCD; +1218 : CDCDCDCD; +1219 : CDCDCDCD; +121A : CDCDCDCD; +121B : CDCDCDCD; +121C : CDCDCDCD; +121D : CDCDCDCD; +121E : CDCDCDCD; +121F : CDCDCDCD; +1220 : CDCDCDCD; +1221 : CDCDCDCD; +1222 : CDCDCDCD; +1223 : CDCDCDCD; +1224 : CDCDCDCD; +1225 : CDCDCDCD; +1226 : CDCDCDCD; +1227 : CDCDCDCD; +1228 : CDCDCDCD; +1229 : CDCDCDCD; +122A : CDCDCDCD; +122B : CDCDCDCD; +122C : CDCDCDCD; +122D : CDCDCDCD; +122E : CDCDCDCD; +122F : CDCDCDCD; +1230 : CDCDCDCD; +1231 : CDCDCDCD; +1232 : CDCDCDCD; +1233 : CDCDCDCD; +1234 : CDCDCDCD; +1235 : CDCDCDCD; +1236 : CDCDCDCD; +1237 : CDCDCDCD; +1238 : CDCDCDCD; +1239 : CDCDCDCD; +123A : CDCDCDCD; +123B : CDCDCDCD; +123C : CDCDCDCD; +123D : CDCDCDCD; +123E : CDCDCDCD; +123F : CDCDCDCD; +1240 : CDCDCDCD; +1241 : CDCDCDCD; +1242 : CDCDCDCD; +1243 : CDCDCDCD; +1244 : CDCDCDCD; +1245 : CDCDCDCD; +1246 : CDCDCDCD; +1247 : CDCDCDCD; +1248 : CDCDCDCD; +1249 : CDCDCDCD; +124A : CDCDCDCD; +124B : CDCDCDCD; +124C : CDCDCDCD; +124D : CDCDCDCD; +124E : CDCDCDCD; +124F : CDCDCDCD; +1250 : CDCDCDCD; +1251 : CDCDCDCD; +1252 : CDCDCDCD; +1253 : CDCDCDCD; +1254 : CDCDCDCD; +1255 : CDCDCDCD; +1256 : CDCDCDCD; +1257 : CDCDCDCD; +1258 : CDCDCDCD; +1259 : CDCDCDCD; +125A : CDCDCDCD; +125B : CDCDCDCD; +125C : CDCDCDCD; +125D : CDCDCDCD; +125E : CDCDCDCD; +125F : CDCDCDCD; +1260 : CDCDCDCD; +1261 : CDCDCDCD; +1262 : CDCDCDCD; +1263 : CDCDCDCD; +1264 : CDCDCDCD; +1265 : CDCDCDCD; +1266 : CDCDCDCD; +1267 : CDCDCDCD; +1268 : CDCDCDCD; +1269 : CDCDCDCD; +126A : CDCDCDCD; +126B : CDCDCDCD; +126C : CDCDCDCD; +126D : CDCDCDCD; +126E : CDCDCDCD; +126F : CDCDCDCD; +1270 : CDCDCDCD; +1271 : CDCDCDCD; +1272 : CDCDCDCD; +1273 : CDCDCDCD; +1274 : CDCDCDCD; +1275 : CDCDCDCD; +1276 : CDCDCDCD; +1277 : CDCDCDCD; +1278 : CDCDCDCD; +1279 : CDCDCDCD; +127A : CDCDCDCD; +127B : CDCDCDCD; +127C : CDCDCDCD; +127D : CDCDCDCD; +127E : CDCDCDCD; +127F : CDCDCDCD; +1280 : CDCDCDCD; +1281 : CDCDCDCD; +1282 : CDCDCDCD; +1283 : CDCDCDCD; +1284 : CDCDCDCD; +1285 : CDCDCDCD; +1286 : CDCDCDCD; +1287 : CDCDCDCD; +1288 : CDCDCDCD; +1289 : CDCDCDCD; +128A : CDCDCDCD; +128B : CDCDCDCD; +128C : CDCDCDCD; +128D : CDCDCDCD; +128E : CDCDCDCD; +128F : CDCDCDCD; +1290 : CDCDCDCD; +1291 : CDCDCDCD; +1292 : CDCDCDCD; +1293 : CDCDCDCD; +1294 : CDCDCDCD; +1295 : CDCDCDCD; +1296 : CDCDCDCD; +1297 : CDCDCDCD; +1298 : CDCDCDCD; +1299 : CDCDCDCD; +129A : CDCDCDCD; +129B : CDCDCDCD; +129C : CDCDCDCD; +129D : CDCDCDCD; +129E : CDCDCDCD; +129F : CDCDCDCD; +12A0 : CDCDCDCD; +12A1 : CDCDCDCD; +12A2 : CDCDCDCD; +12A3 : CDCDCDCD; +12A4 : CDCDCDCD; +12A5 : CDCDCDCD; +12A6 : CDCDCDCD; +12A7 : CDCDCDCD; +12A8 : CDCDCDCD; +12A9 : CDCDCDCD; +12AA : CDCDCDCD; +12AB : CDCDCDCD; +12AC : CDCDCDCD; +12AD : CDCDCDCD; +12AE : CDCDCDCD; +12AF : CDCDCDCD; +12B0 : CDCDCDCD; +12B1 : CDCDCDCD; +12B2 : CDCDCDCD; +12B3 : CDCDCDCD; +12B4 : CDCDCDCD; +12B5 : CDCDCDCD; +12B6 : CDCDCDCD; +12B7 : CDCDCDCD; +12B8 : CDCDCDCD; +12B9 : CDCDCDCD; +12BA : CDCDCDCD; +12BB : CDCDCDCD; +12BC : CDCDCDCD; +12BD : CDCDCDCD; +12BE : CDCDCDCD; +12BF : CDCDCDCD; +12C0 : CDCDCDCD; +12C1 : CDCDCDCD; +12C2 : CDCDCDCD; +12C3 : CDCDCDCD; +12C4 : CDCDCDCD; +12C5 : CDCDCDCD; +12C6 : CDCDCDCD; +12C7 : CDCDCDCD; +12C8 : CDCDCDCD; +12C9 : CDCDCDCD; +12CA : CDCDCDCD; +12CB : CDCDCDCD; +12CC : CDCDCDCD; +12CD : CDCDCDCD; +12CE : CDCDCDCD; +12CF : CDCDCDCD; +12D0 : CDCDCDCD; +12D1 : CDCDCDCD; +12D2 : CDCDCDCD; +12D3 : CDCDCDCD; +12D4 : CDCDCDCD; +12D5 : CDCDCDCD; +12D6 : CDCDCDCD; +12D7 : CDCDCDCD; +12D8 : CDCDCDCD; +12D9 : CDCDCDCD; +12DA : CDCDCDCD; +12DB : CDCDCDCD; +12DC : CDCDCDCD; +12DD : CDCDCDCD; +12DE : CDCDCDCD; +12DF : CDCDCDCD; +12E0 : CDCDCDCD; +12E1 : CDCDCDCD; +12E2 : CDCDCDCD; +12E3 : CDCDCDCD; +12E4 : CDCDCDCD; +12E5 : CDCDCDCD; +12E6 : CDCDCDCD; +12E7 : CDCDCDCD; +12E8 : CDCDCDCD; +12E9 : CDCDCDCD; +12EA : CDCDCDCD; +12EB : CDCDCDCD; +12EC : CDCDCDCD; +12ED : CDCDCDCD; +12EE : CDCDCDCD; +12EF : CDCDCDCD; +12F0 : CDCDCDCD; +12F1 : CDCDCDCD; +12F2 : CDCDCDCD; +12F3 : CDCDCDCD; +12F4 : CDCDCDCD; +12F5 : CDCDCDCD; +12F6 : CDCDCDCD; +12F7 : CDCDCDCD; +12F8 : CDCDCDCD; +12F9 : CDCDCDCD; +12FA : CDCDCDCD; +12FB : CDCDCDCD; +12FC : CDCDCDCD; +12FD : CDCDCDCD; +12FE : CDCDCDCD; +12FF : CDCDCDCD; +1300 : CDCDCDCD; +1301 : CDCDCDCD; +1302 : CDCDCDCD; +1303 : CDCDCDCD; +1304 : CDCDCDCD; +1305 : CDCDCDCD; +1306 : CDCDCDCD; +1307 : CDCDCDCD; +1308 : CDCDCDCD; +1309 : CDCDCDCD; +130A : CDCDCDCD; +130B : CDCDCDCD; +130C : CDCDCDCD; +130D : CDCDCDCD; +130E : CDCDCDCD; +130F : CDCDCDCD; +1310 : CDCDCDCD; +1311 : CDCDCDCD; +1312 : CDCDCDCD; +1313 : CDCDCDCD; +1314 : CDCDCDCD; +1315 : CDCDCDCD; +1316 : CDCDCDCD; +1317 : CDCDCDCD; +1318 : CDCDCDCD; +1319 : CDCDCDCD; +131A : CDCDCDCD; +131B : CDCDCDCD; +131C : CDCDCDCD; +131D : CDCDCDCD; +131E : CDCDCDCD; +131F : CDCDCDCD; +1320 : CDCDCDCD; +1321 : CDCDCDCD; +1322 : CDCDCDCD; +1323 : CDCDCDCD; +1324 : CDCDCDCD; +1325 : CDCDCDCD; +1326 : CDCDCDCD; +1327 : CDCDCDCD; +1328 : CDCDCDCD; +1329 : CDCDCDCD; +132A : CDCDCDCD; +132B : CDCDCDCD; +132C : CDCDCDCD; +132D : CDCDCDCD; +132E : CDCDCDCD; +132F : CDCDCDCD; +1330 : CDCDCDCD; +1331 : CDCDCDCD; +1332 : CDCDCDCD; +1333 : CDCDCDCD; +1334 : CDCDCDCD; +1335 : CDCDCDCD; +1336 : CDCDCDCD; +1337 : CDCDCDCD; +1338 : CDCDCDCD; +1339 : CDCDCDCD; +133A : CDCDCDCD; +133B : CDCDCDCD; +133C : CDCDCDCD; +133D : CDCDCDCD; +133E : CDCDCDCD; +133F : CDCDCDCD; +1340 : CDCDCDCD; +1341 : CDCDCDCD; +1342 : CDCDCDCD; +1343 : CDCDCDCD; +1344 : CDCDCDCD; +1345 : CDCDCDCD; +1346 : CDCDCDCD; +1347 : CDCDCDCD; +1348 : CDCDCDCD; +1349 : CDCDCDCD; +134A : CDCDCDCD; +134B : CDCDCDCD; +134C : CDCDCDCD; +134D : CDCDCDCD; +134E : CDCDCDCD; +134F : CDCDCDCD; +1350 : CDCDCDCD; +1351 : CDCDCDCD; +1352 : CDCDCDCD; +1353 : CDCDCDCD; +1354 : CDCDCDCD; +1355 : CDCDCDCD; +1356 : CDCDCDCD; +1357 : CDCDCDCD; +1358 : CDCDCDCD; +1359 : CDCDCDCD; +135A : CDCDCDCD; +135B : CDCDCDCD; +135C : CDCDCDCD; +135D : CDCDCDCD; +135E : CDCDCDCD; +135F : CDCDCDCD; +1360 : CDCDCDCD; +1361 : CDCDCDCD; +1362 : CDCDCDCD; +1363 : CDCDCDCD; +1364 : CDCDCDCD; +1365 : CDCDCDCD; +1366 : CDCDCDCD; +1367 : CDCDCDCD; +1368 : CDCDCDCD; +1369 : CDCDCDCD; +136A : CDCDCDCD; +136B : CDCDCDCD; +136C : CDCDCDCD; +136D : CDCDCDCD; +136E : CDCDCDCD; +136F : CDCDCDCD; +1370 : CDCDCDCD; +1371 : CDCDCDCD; +1372 : CDCDCDCD; +1373 : CDCDCDCD; +1374 : CDCDCDCD; +1375 : CDCDCDCD; +1376 : CDCDCDCD; +1377 : CDCDCDCD; +1378 : CDCDCDCD; +1379 : CDCDCDCD; +137A : CDCDCDCD; +137B : CDCDCDCD; +137C : CDCDCDCD; +137D : CDCDCDCD; +137E : CDCDCDCD; +137F : CDCDCDCD; +1380 : CDCDCDCD; +1381 : CDCDCDCD; +1382 : CDCDCDCD; +1383 : CDCDCDCD; +1384 : CDCDCDCD; +1385 : CDCDCDCD; +1386 : CDCDCDCD; +1387 : CDCDCDCD; +1388 : CDCDCDCD; +1389 : CDCDCDCD; +138A : CDCDCDCD; +138B : CDCDCDCD; +138C : CDCDCDCD; +138D : CDCDCDCD; +138E : CDCDCDCD; +138F : CDCDCDCD; +1390 : CDCDCDCD; +1391 : CDCDCDCD; +1392 : CDCDCDCD; +1393 : CDCDCDCD; +1394 : CDCDCDCD; +1395 : CDCDCDCD; +1396 : CDCDCDCD; +1397 : CDCDCDCD; +1398 : CDCDCDCD; +1399 : CDCDCDCD; +139A : CDCDCDCD; +139B : CDCDCDCD; +139C : CDCDCDCD; +139D : CDCDCDCD; +139E : CDCDCDCD; +139F : CDCDCDCD; +13A0 : CDCDCDCD; +13A1 : CDCDCDCD; +13A2 : CDCDCDCD; +13A3 : CDCDCDCD; +13A4 : CDCDCDCD; +13A5 : CDCDCDCD; +13A6 : CDCDCDCD; +13A7 : CDCDCDCD; +13A8 : CDCDCDCD; +13A9 : CDCDCDCD; +13AA : CDCDCDCD; +13AB : CDCDCDCD; +13AC : CDCDCDCD; +13AD : CDCDCDCD; +13AE : CDCDCDCD; +13AF : CDCDCDCD; +13B0 : CDCDCDCD; +13B1 : CDCDCDCD; +13B2 : CDCDCDCD; +13B3 : CDCDCDCD; +13B4 : CDCDCDCD; +13B5 : CDCDCDCD; +13B6 : CDCDCDCD; +13B7 : CDCDCDCD; +13B8 : CDCDCDCD; +13B9 : CDCDCDCD; +13BA : CDCDCDCD; +13BB : CDCDCDCD; +13BC : CDCDCDCD; +13BD : CDCDCDCD; +13BE : CDCDCDCD; +13BF : CDCDCDCD; +13C0 : CDCDCDCD; +13C1 : CDCDCDCD; +13C2 : CDCDCDCD; +13C3 : CDCDCDCD; +13C4 : CDCDCDCD; +13C5 : CDCDCDCD; +13C6 : CDCDCDCD; +13C7 : CDCDCDCD; +13C8 : CDCDCDCD; +13C9 : CDCDCDCD; +13CA : CDCDCDCD; +13CB : CDCDCDCD; +13CC : CDCDCDCD; +13CD : CDCDCDCD; +13CE : CDCDCDCD; +13CF : CDCDCDCD; +13D0 : CDCDCDCD; +13D1 : CDCDCDCD; +13D2 : CDCDCDCD; +13D3 : CDCDCDCD; +13D4 : CDCDCDCD; +13D5 : CDCDCDCD; +13D6 : CDCDCDCD; +13D7 : CDCDCDCD; +13D8 : CDCDCDCD; +13D9 : CDCDCDCD; +13DA : CDCDCDCD; +13DB : CDCDCDCD; +13DC : CDCDCDCD; +13DD : CDCDCDCD; +13DE : CDCDCDCD; +13DF : CDCDCDCD; +13E0 : CDCDCDCD; +13E1 : CDCDCDCD; +13E2 : CDCDCDCD; +13E3 : CDCDCDCD; +13E4 : CDCDCDCD; +13E5 : CDCDCDCD; +13E6 : CDCDCDCD; +13E7 : CDCDCDCD; +13E8 : CDCDCDCD; +13E9 : CDCDCDCD; +13EA : CDCDCDCD; +13EB : CDCDCDCD; +13EC : CDCDCDCD; +13ED : CDCDCDCD; +13EE : CDCDCDCD; +13EF : CDCDCDCD; +13F0 : CDCDCDCD; +13F1 : CDCDCDCD; +13F2 : CDCDCDCD; +13F3 : CDCDCDCD; +13F4 : CDCDCDCD; +13F5 : CDCDCDCD; +13F6 : CDCDCDCD; +13F7 : CDCDCDCD; +13F8 : CDCDCDCD; +13F9 : CDCDCDCD; +13FA : CDCDCDCD; +13FB : CDCDCDCD; +13FC : CDCDCDCD; +13FD : CDCDCDCD; +13FE : CDCDCDCD; +13FF : CDCDCDCD; +1400 : CDCDCDCD; +1401 : CDCDCDCD; +1402 : CDCDCDCD; +1403 : CDCDCDCD; +1404 : CDCDCDCD; +1405 : CDCDCDCD; +1406 : CDCDCDCD; +1407 : CDCDCDCD; +1408 : CDCDCDCD; +1409 : CDCDCDCD; +140A : CDCDCDCD; +140B : CDCDCDCD; +140C : CDCDCDCD; +140D : CDCDCDCD; +140E : CDCDCDCD; +140F : CDCDCDCD; +1410 : CDCDCDCD; +1411 : CDCDCDCD; +1412 : CDCDCDCD; +1413 : CDCDCDCD; +1414 : CDCDCDCD; +1415 : CDCDCDCD; +1416 : CDCDCDCD; +1417 : CDCDCDCD; +1418 : CDCDCDCD; +1419 : CDCDCDCD; +141A : CDCDCDCD; +141B : CDCDCDCD; +141C : CDCDCDCD; +141D : CDCDCDCD; +141E : CDCDCDCD; +141F : CDCDCDCD; +1420 : CDCDCDCD; +1421 : CDCDCDCD; +1422 : CDCDCDCD; +1423 : CDCDCDCD; +1424 : CDCDCDCD; +1425 : CDCDCDCD; +1426 : CDCDCDCD; +1427 : CDCDCDCD; +1428 : CDCDCDCD; +1429 : CDCDCDCD; +142A : CDCDCDCD; +142B : CDCDCDCD; +142C : CDCDCDCD; +142D : CDCDCDCD; +142E : CDCDCDCD; +142F : CDCDCDCD; +1430 : CDCDCDCD; +1431 : CDCDCDCD; +1432 : CDCDCDCD; +1433 : CDCDCDCD; +1434 : CDCDCDCD; +1435 : CDCDCDCD; +1436 : CDCDCDCD; +1437 : CDCDCDCD; +1438 : CDCDCDCD; +1439 : CDCDCDCD; +143A : CDCDCDCD; +143B : CDCDCDCD; +143C : CDCDCDCD; +143D : CDCDCDCD; +143E : CDCDCDCD; +143F : CDCDCDCD; +1440 : CDCDCDCD; +1441 : CDCDCDCD; +1442 : CDCDCDCD; +1443 : CDCDCDCD; +1444 : CDCDCDCD; +1445 : CDCDCDCD; +1446 : CDCDCDCD; +1447 : CDCDCDCD; +1448 : CDCDCDCD; +1449 : CDCDCDCD; +144A : CDCDCDCD; +144B : CDCDCDCD; +144C : CDCDCDCD; +144D : CDCDCDCD; +144E : CDCDCDCD; +144F : CDCDCDCD; +1450 : CDCDCDCD; +1451 : CDCDCDCD; +1452 : CDCDCDCD; +1453 : CDCDCDCD; +1454 : CDCDCDCD; +1455 : CDCDCDCD; +1456 : CDCDCDCD; +1457 : CDCDCDCD; +1458 : CDCDCDCD; +1459 : CDCDCDCD; +145A : CDCDCDCD; +145B : CDCDCDCD; +145C : CDCDCDCD; +145D : CDCDCDCD; +145E : CDCDCDCD; +145F : CDCDCDCD; +1460 : CDCDCDCD; +1461 : CDCDCDCD; +1462 : CDCDCDCD; +1463 : CDCDCDCD; +1464 : CDCDCDCD; +1465 : CDCDCDCD; +1466 : CDCDCDCD; +1467 : CDCDCDCD; +1468 : CDCDCDCD; +1469 : CDCDCDCD; +146A : CDCDCDCD; +146B : CDCDCDCD; +146C : CDCDCDCD; +146D : CDCDCDCD; +146E : CDCDCDCD; +146F : CDCDCDCD; +1470 : CDCDCDCD; +1471 : CDCDCDCD; +1472 : CDCDCDCD; +1473 : CDCDCDCD; +1474 : CDCDCDCD; +1475 : CDCDCDCD; +1476 : CDCDCDCD; +1477 : CDCDCDCD; +1478 : CDCDCDCD; +1479 : CDCDCDCD; +147A : CDCDCDCD; +147B : CDCDCDCD; +147C : CDCDCDCD; +147D : CDCDCDCD; +147E : CDCDCDCD; +147F : CDCDCDCD; +1480 : CDCDCDCD; +1481 : CDCDCDCD; +1482 : CDCDCDCD; +1483 : CDCDCDCD; +1484 : CDCDCDCD; +1485 : CDCDCDCD; +1486 : CDCDCDCD; +1487 : CDCDCDCD; +1488 : CDCDCDCD; +1489 : CDCDCDCD; +148A : CDCDCDCD; +148B : CDCDCDCD; +148C : CDCDCDCD; +148D : CDCDCDCD; +148E : CDCDCDCD; +148F : CDCDCDCD; +1490 : CDCDCDCD; +1491 : CDCDCDCD; +1492 : CDCDCDCD; +1493 : CDCDCDCD; +1494 : CDCDCDCD; +1495 : CDCDCDCD; +1496 : CDCDCDCD; +1497 : CDCDCDCD; +1498 : CDCDCDCD; +1499 : CDCDCDCD; +149A : CDCDCDCD; +149B : CDCDCDCD; +149C : CDCDCDCD; +149D : CDCDCDCD; +149E : CDCDCDCD; +149F : CDCDCDCD; +14A0 : CDCDCDCD; +14A1 : CDCDCDCD; +14A2 : CDCDCDCD; +14A3 : CDCDCDCD; +14A4 : CDCDCDCD; +14A5 : CDCDCDCD; +14A6 : CDCDCDCD; +14A7 : CDCDCDCD; +14A8 : CDCDCDCD; +14A9 : CDCDCDCD; +14AA : CDCDCDCD; +14AB : CDCDCDCD; +14AC : CDCDCDCD; +14AD : CDCDCDCD; +14AE : CDCDCDCD; +14AF : CDCDCDCD; +14B0 : CDCDCDCD; +14B1 : CDCDCDCD; +14B2 : CDCDCDCD; +14B3 : CDCDCDCD; +14B4 : CDCDCDCD; +14B5 : CDCDCDCD; +14B6 : CDCDCDCD; +14B7 : CDCDCDCD; +14B8 : CDCDCDCD; +14B9 : CDCDCDCD; +14BA : CDCDCDCD; +14BB : CDCDCDCD; +14BC : CDCDCDCD; +14BD : CDCDCDCD; +14BE : CDCDCDCD; +14BF : CDCDCDCD; +14C0 : CDCDCDCD; +14C1 : CDCDCDCD; +14C2 : CDCDCDCD; +14C3 : CDCDCDCD; +14C4 : CDCDCDCD; +14C5 : CDCDCDCD; +14C6 : CDCDCDCD; +14C7 : CDCDCDCD; +14C8 : CDCDCDCD; +14C9 : CDCDCDCD; +14CA : CDCDCDCD; +14CB : CDCDCDCD; +14CC : CDCDCDCD; +14CD : CDCDCDCD; +14CE : CDCDCDCD; +14CF : CDCDCDCD; +14D0 : CDCDCDCD; +14D1 : CDCDCDCD; +14D2 : CDCDCDCD; +14D3 : CDCDCDCD; +14D4 : CDCDCDCD; +14D5 : CDCDCDCD; +14D6 : CDCDCDCD; +14D7 : CDCDCDCD; +14D8 : CDCDCDCD; +14D9 : CDCDCDCD; +14DA : CDCDCDCD; +14DB : CDCDCDCD; +14DC : CDCDCDCD; +14DD : CDCDCDCD; +14DE : CDCDCDCD; +14DF : CDCDCDCD; +14E0 : CDCDCDCD; +14E1 : CDCDCDCD; +14E2 : CDCDCDCD; +14E3 : CDCDCDCD; +14E4 : CDCDCDCD; +14E5 : CDCDCDCD; +14E6 : CDCDCDCD; +14E7 : CDCDCDCD; +14E8 : CDCDCDCD; +14E9 : CDCDCDCD; +14EA : CDCDCDCD; +14EB : CDCDCDCD; +14EC : CDCDCDCD; +14ED : CDCDCDCD; +14EE : CDCDCDCD; +14EF : CDCDCDCD; +14F0 : CDCDCDCD; +14F1 : CDCDCDCD; +14F2 : CDCDCDCD; +14F3 : CDCDCDCD; +14F4 : CDCDCDCD; +14F5 : CDCDCDCD; +14F6 : CDCDCDCD; +14F7 : CDCDCDCD; +14F8 : CDCDCDCD; +14F9 : CDCDCDCD; +14FA : CDCDCDCD; +14FB : CDCDCDCD; +14FC : CDCDCDCD; +14FD : CDCDCDCD; +14FE : CDCDCDCD; +14FF : CDCDCDCD; +1500 : CDCDCDCD; +1501 : CDCDCDCD; +1502 : CDCDCDCD; +1503 : CDCDCDCD; +1504 : CDCDCDCD; +1505 : CDCDCDCD; +1506 : CDCDCDCD; +1507 : CDCDCDCD; +1508 : CDCDCDCD; +1509 : CDCDCDCD; +150A : CDCDCDCD; +150B : CDCDCDCD; +150C : CDCDCDCD; +150D : CDCDCDCD; +150E : CDCDCDCD; +150F : CDCDCDCD; +1510 : CDCDCDCD; +1511 : CDCDCDCD; +1512 : CDCDCDCD; +1513 : CDCDCDCD; +1514 : CDCDCDCD; +1515 : CDCDCDCD; +1516 : CDCDCDCD; +1517 : CDCDCDCD; +1518 : CDCDCDCD; +1519 : CDCDCDCD; +151A : CDCDCDCD; +151B : CDCDCDCD; +151C : CDCDCDCD; +151D : CDCDCDCD; +151E : CDCDCDCD; +151F : CDCDCDCD; +1520 : CDCDCDCD; +1521 : CDCDCDCD; +1522 : CDCDCDCD; +1523 : CDCDCDCD; +1524 : CDCDCDCD; +1525 : CDCDCDCD; +1526 : CDCDCDCD; +1527 : CDCDCDCD; +1528 : CDCDCDCD; +1529 : CDCDCDCD; +152A : CDCDCDCD; +152B : CDCDCDCD; +152C : CDCDCDCD; +152D : CDCDCDCD; +152E : CDCDCDCD; +152F : CDCDCDCD; +1530 : CDCDCDCD; +1531 : CDCDCDCD; +1532 : CDCDCDCD; +1533 : CDCDCDCD; +1534 : CDCDCDCD; +1535 : CDCDCDCD; +1536 : CDCDCDCD; +1537 : CDCDCDCD; +1538 : CDCDCDCD; +1539 : CDCDCDCD; +153A : CDCDCDCD; +153B : CDCDCDCD; +153C : CDCDCDCD; +153D : CDCDCDCD; +153E : CDCDCDCD; +153F : CDCDCDCD; +1540 : CDCDCDCD; +1541 : CDCDCDCD; +1542 : CDCDCDCD; +1543 : CDCDCDCD; +1544 : CDCDCDCD; +1545 : CDCDCDCD; +1546 : CDCDCDCD; +1547 : CDCDCDCD; +1548 : CDCDCDCD; +1549 : CDCDCDCD; +154A : CDCDCDCD; +154B : CDCDCDCD; +154C : CDCDCDCD; +154D : CDCDCDCD; +154E : CDCDCDCD; +154F : CDCDCDCD; +1550 : CDCDCDCD; +1551 : CDCDCDCD; +1552 : CDCDCDCD; +1553 : CDCDCDCD; +1554 : CDCDCDCD; +1555 : CDCDCDCD; +1556 : CDCDCDCD; +1557 : CDCDCDCD; +1558 : CDCDCDCD; +1559 : CDCDCDCD; +155A : CDCDCDCD; +155B : CDCDCDCD; +155C : CDCDCDCD; +155D : CDCDCDCD; +155E : CDCDCDCD; +155F : CDCDCDCD; +1560 : CDCDCDCD; +1561 : CDCDCDCD; +1562 : CDCDCDCD; +1563 : CDCDCDCD; +1564 : CDCDCDCD; +1565 : CDCDCDCD; +1566 : CDCDCDCD; +1567 : CDCDCDCD; +1568 : CDCDCDCD; +1569 : CDCDCDCD; +156A : CDCDCDCD; +156B : CDCDCDCD; +156C : CDCDCDCD; +156D : CDCDCDCD; +156E : CDCDCDCD; +156F : CDCDCDCD; +1570 : CDCDCDCD; +1571 : CDCDCDCD; +1572 : CDCDCDCD; +1573 : CDCDCDCD; +1574 : CDCDCDCD; +1575 : CDCDCDCD; +1576 : CDCDCDCD; +1577 : CDCDCDCD; +1578 : CDCDCDCD; +1579 : CDCDCDCD; +157A : CDCDCDCD; +157B : CDCDCDCD; +157C : CDCDCDCD; +157D : CDCDCDCD; +157E : CDCDCDCD; +157F : CDCDCDCD; +1580 : CDCDCDCD; +1581 : CDCDCDCD; +1582 : CDCDCDCD; +1583 : CDCDCDCD; +1584 : CDCDCDCD; +1585 : CDCDCDCD; +1586 : CDCDCDCD; +1587 : CDCDCDCD; +1588 : CDCDCDCD; +1589 : CDCDCDCD; +158A : CDCDCDCD; +158B : CDCDCDCD; +158C : CDCDCDCD; +158D : CDCDCDCD; +158E : CDCDCDCD; +158F : CDCDCDCD; +1590 : CDCDCDCD; +1591 : CDCDCDCD; +1592 : CDCDCDCD; +1593 : CDCDCDCD; +1594 : CDCDCDCD; +1595 : CDCDCDCD; +1596 : CDCDCDCD; +1597 : CDCDCDCD; +1598 : CDCDCDCD; +1599 : CDCDCDCD; +159A : CDCDCDCD; +159B : CDCDCDCD; +159C : CDCDCDCD; +159D : CDCDCDCD; +159E : CDCDCDCD; +159F : CDCDCDCD; +15A0 : CDCDCDCD; +15A1 : CDCDCDCD; +15A2 : CDCDCDCD; +15A3 : CDCDCDCD; +15A4 : CDCDCDCD; +15A5 : CDCDCDCD; +15A6 : CDCDCDCD; +15A7 : CDCDCDCD; +15A8 : CDCDCDCD; +15A9 : CDCDCDCD; +15AA : CDCDCDCD; +15AB : CDCDCDCD; +15AC : CDCDCDCD; +15AD : CDCDCDCD; +15AE : CDCDCDCD; +15AF : CDCDCDCD; +15B0 : CDCDCDCD; +15B1 : CDCDCDCD; +15B2 : CDCDCDCD; +15B3 : CDCDCDCD; +15B4 : CDCDCDCD; +15B5 : CDCDCDCD; +15B6 : CDCDCDCD; +15B7 : CDCDCDCD; +15B8 : CDCDCDCD; +15B9 : CDCDCDCD; +15BA : CDCDCDCD; +15BB : CDCDCDCD; +15BC : CDCDCDCD; +15BD : CDCDCDCD; +15BE : CDCDCDCD; +15BF : CDCDCDCD; +15C0 : CDCDCDCD; +15C1 : CDCDCDCD; +15C2 : CDCDCDCD; +15C3 : CDCDCDCD; +15C4 : CDCDCDCD; +15C5 : CDCDCDCD; +15C6 : CDCDCDCD; +15C7 : CDCDCDCD; +15C8 : CDCDCDCD; +15C9 : CDCDCDCD; +15CA : CDCDCDCD; +15CB : CDCDCDCD; +15CC : CDCDCDCD; +15CD : CDCDCDCD; +15CE : CDCDCDCD; +15CF : CDCDCDCD; +15D0 : CDCDCDCD; +15D1 : CDCDCDCD; +15D2 : CDCDCDCD; +15D3 : CDCDCDCD; +15D4 : CDCDCDCD; +15D5 : CDCDCDCD; +15D6 : CDCDCDCD; +15D7 : CDCDCDCD; +15D8 : CDCDCDCD; +15D9 : CDCDCDCD; +15DA : CDCDCDCD; +15DB : CDCDCDCD; +15DC : CDCDCDCD; +15DD : CDCDCDCD; +15DE : CDCDCDCD; +15DF : CDCDCDCD; +15E0 : CDCDCDCD; +15E1 : CDCDCDCD; +15E2 : CDCDCDCD; +15E3 : CDCDCDCD; +15E4 : CDCDCDCD; +15E5 : CDCDCDCD; +15E6 : CDCDCDCD; +15E7 : CDCDCDCD; +15E8 : CDCDCDCD; +15E9 : CDCDCDCD; +15EA : CDCDCDCD; +15EB : CDCDCDCD; +15EC : CDCDCDCD; +15ED : CDCDCDCD; +15EE : CDCDCDCD; +15EF : CDCDCDCD; +15F0 : CDCDCDCD; +15F1 : CDCDCDCD; +15F2 : CDCDCDCD; +15F3 : CDCDCDCD; +15F4 : CDCDCDCD; +15F5 : CDCDCDCD; +15F6 : CDCDCDCD; +15F7 : CDCDCDCD; +15F8 : CDCDCDCD; +15F9 : CDCDCDCD; +15FA : CDCDCDCD; +15FB : CDCDCDCD; +15FC : CDCDCDCD; +15FD : CDCDCDCD; +15FE : CDCDCDCD; +15FF : CDCDCDCD; +1600 : CDCDCDCD; +1601 : CDCDCDCD; +1602 : CDCDCDCD; +1603 : CDCDCDCD; +1604 : CDCDCDCD; +1605 : CDCDCDCD; +1606 : CDCDCDCD; +1607 : CDCDCDCD; +1608 : CDCDCDCD; +1609 : CDCDCDCD; +160A : CDCDCDCD; +160B : CDCDCDCD; +160C : CDCDCDCD; +160D : CDCDCDCD; +160E : CDCDCDCD; +160F : CDCDCDCD; +1610 : CDCDCDCD; +1611 : CDCDCDCD; +1612 : CDCDCDCD; +1613 : CDCDCDCD; +1614 : CDCDCDCD; +1615 : CDCDCDCD; +1616 : CDCDCDCD; +1617 : CDCDCDCD; +1618 : CDCDCDCD; +1619 : CDCDCDCD; +161A : CDCDCDCD; +161B : CDCDCDCD; +161C : CDCDCDCD; +161D : CDCDCDCD; +161E : CDCDCDCD; +161F : CDCDCDCD; +1620 : CDCDCDCD; +1621 : CDCDCDCD; +1622 : CDCDCDCD; +1623 : CDCDCDCD; +1624 : CDCDCDCD; +1625 : CDCDCDCD; +1626 : CDCDCDCD; +1627 : CDCDCDCD; +1628 : CDCDCDCD; +1629 : CDCDCDCD; +162A : CDCDCDCD; +162B : CDCDCDCD; +162C : CDCDCDCD; +162D : CDCDCDCD; +162E : CDCDCDCD; +162F : CDCDCDCD; +1630 : CDCDCDCD; +1631 : CDCDCDCD; +1632 : CDCDCDCD; +1633 : CDCDCDCD; +1634 : CDCDCDCD; +1635 : CDCDCDCD; +1636 : CDCDCDCD; +1637 : CDCDCDCD; +1638 : CDCDCDCD; +1639 : CDCDCDCD; +163A : CDCDCDCD; +163B : CDCDCDCD; +163C : CDCDCDCD; +163D : CDCDCDCD; +163E : CDCDCDCD; +163F : CDCDCDCD; +1640 : CDCDCDCD; +1641 : CDCDCDCD; +1642 : CDCDCDCD; +1643 : CDCDCDCD; +1644 : CDCDCDCD; +1645 : CDCDCDCD; +1646 : CDCDCDCD; +1647 : CDCDCDCD; +1648 : CDCDCDCD; +1649 : CDCDCDCD; +164A : CDCDCDCD; +164B : CDCDCDCD; +164C : CDCDCDCD; +164D : CDCDCDCD; +164E : CDCDCDCD; +164F : CDCDCDCD; +1650 : CDCDCDCD; +1651 : CDCDCDCD; +1652 : CDCDCDCD; +1653 : CDCDCDCD; +1654 : CDCDCDCD; +1655 : CDCDCDCD; +1656 : CDCDCDCD; +1657 : CDCDCDCD; +1658 : CDCDCDCD; +1659 : CDCDCDCD; +165A : CDCDCDCD; +165B : CDCDCDCD; +165C : CDCDCDCD; +165D : CDCDCDCD; +165E : CDCDCDCD; +165F : CDCDCDCD; +1660 : CDCDCDCD; +1661 : CDCDCDCD; +1662 : CDCDCDCD; +1663 : CDCDCDCD; +1664 : CDCDCDCD; +1665 : CDCDCDCD; +1666 : CDCDCDCD; +1667 : CDCDCDCD; +1668 : CDCDCDCD; +1669 : CDCDCDCD; +166A : CDCDCDCD; +166B : CDCDCDCD; +166C : CDCDCDCD; +166D : CDCDCDCD; +166E : CDCDCDCD; +166F : CDCDCDCD; +1670 : CDCDCDCD; +1671 : CDCDCDCD; +1672 : CDCDCDCD; +1673 : CDCDCDCD; +1674 : CDCDCDCD; +1675 : CDCDCDCD; +1676 : CDCDCDCD; +1677 : CDCDCDCD; +1678 : CDCDCDCD; +1679 : CDCDCDCD; +167A : CDCDCDCD; +167B : CDCDCDCD; +167C : CDCDCDCD; +167D : CDCDCDCD; +167E : CDCDCDCD; +167F : CDCDCDCD; +1680 : CDCDCDCD; +1681 : CDCDCDCD; +1682 : CDCDCDCD; +1683 : CDCDCDCD; +1684 : CDCDCDCD; +1685 : CDCDCDCD; +1686 : CDCDCDCD; +1687 : CDCDCDCD; +1688 : CDCDCDCD; +1689 : CDCDCDCD; +168A : CDCDCDCD; +168B : CDCDCDCD; +168C : CDCDCDCD; +168D : CDCDCDCD; +168E : CDCDCDCD; +168F : CDCDCDCD; +1690 : CDCDCDCD; +1691 : CDCDCDCD; +1692 : CDCDCDCD; +1693 : CDCDCDCD; +1694 : CDCDCDCD; +1695 : CDCDCDCD; +1696 : CDCDCDCD; +1697 : CDCDCDCD; +1698 : CDCDCDCD; +1699 : CDCDCDCD; +169A : CDCDCDCD; +169B : CDCDCDCD; +169C : CDCDCDCD; +169D : CDCDCDCD; +169E : CDCDCDCD; +169F : CDCDCDCD; +16A0 : CDCDCDCD; +16A1 : CDCDCDCD; +16A2 : CDCDCDCD; +16A3 : CDCDCDCD; +16A4 : CDCDCDCD; +16A5 : CDCDCDCD; +16A6 : CDCDCDCD; +16A7 : CDCDCDCD; +16A8 : CDCDCDCD; +16A9 : CDCDCDCD; +16AA : CDCDCDCD; +16AB : CDCDCDCD; +16AC : CDCDCDCD; +16AD : CDCDCDCD; +16AE : CDCDCDCD; +16AF : CDCDCDCD; +16B0 : CDCDCDCD; +16B1 : CDCDCDCD; +16B2 : CDCDCDCD; +16B3 : CDCDCDCD; +16B4 : CDCDCDCD; +16B5 : CDCDCDCD; +16B6 : CDCDCDCD; +16B7 : CDCDCDCD; +16B8 : CDCDCDCD; +16B9 : CDCDCDCD; +16BA : CDCDCDCD; +16BB : CDCDCDCD; +16BC : CDCDCDCD; +16BD : CDCDCDCD; +16BE : CDCDCDCD; +16BF : CDCDCDCD; +16C0 : CDCDCDCD; +16C1 : CDCDCDCD; +16C2 : CDCDCDCD; +16C3 : CDCDCDCD; +16C4 : CDCDCDCD; +16C5 : CDCDCDCD; +16C6 : CDCDCDCD; +16C7 : CDCDCDCD; +16C8 : CDCDCDCD; +16C9 : CDCDCDCD; +16CA : CDCDCDCD; +16CB : CDCDCDCD; +16CC : CDCDCDCD; +16CD : CDCDCDCD; +16CE : CDCDCDCD; +16CF : CDCDCDCD; +16D0 : CDCDCDCD; +16D1 : CDCDCDCD; +16D2 : CDCDCDCD; +16D3 : CDCDCDCD; +16D4 : CDCDCDCD; +16D5 : CDCDCDCD; +16D6 : CDCDCDCD; +16D7 : CDCDCDCD; +16D8 : CDCDCDCD; +16D9 : CDCDCDCD; +16DA : CDCDCDCD; +16DB : CDCDCDCD; +16DC : CDCDCDCD; +16DD : CDCDCDCD; +16DE : CDCDCDCD; +16DF : CDCDCDCD; +16E0 : CDCDCDCD; +16E1 : CDCDCDCD; +16E2 : CDCDCDCD; +16E3 : CDCDCDCD; +16E4 : CDCDCDCD; +16E5 : CDCDCDCD; +16E6 : CDCDCDCD; +16E7 : CDCDCDCD; +16E8 : CDCDCDCD; +16E9 : CDCDCDCD; +16EA : CDCDCDCD; +16EB : CDCDCDCD; +16EC : CDCDCDCD; +16ED : CDCDCDCD; +16EE : CDCDCDCD; +16EF : CDCDCDCD; +16F0 : CDCDCDCD; +16F1 : CDCDCDCD; +16F2 : CDCDCDCD; +16F3 : CDCDCDCD; +16F4 : CDCDCDCD; +16F5 : CDCDCDCD; +16F6 : CDCDCDCD; +16F7 : CDCDCDCD; +16F8 : CDCDCDCD; +16F9 : CDCDCDCD; +16FA : CDCDCDCD; +16FB : CDCDCDCD; +16FC : CDCDCDCD; +16FD : CDCDCDCD; +16FE : CDCDCDCD; +16FF : CDCDCDCD; +1700 : CDCDCDCD; +1701 : CDCDCDCD; +1702 : CDCDCDCD; +1703 : CDCDCDCD; +1704 : CDCDCDCD; +1705 : CDCDCDCD; +1706 : CDCDCDCD; +1707 : CDCDCDCD; +1708 : CDCDCDCD; +1709 : CDCDCDCD; +170A : CDCDCDCD; +170B : CDCDCDCD; +170C : CDCDCDCD; +170D : CDCDCDCD; +170E : CDCDCDCD; +170F : CDCDCDCD; +1710 : CDCDCDCD; +1711 : CDCDCDCD; +1712 : CDCDCDCD; +1713 : CDCDCDCD; +1714 : CDCDCDCD; +1715 : CDCDCDCD; +1716 : CDCDCDCD; +1717 : CDCDCDCD; +1718 : CDCDCDCD; +1719 : CDCDCDCD; +171A : CDCDCDCD; +171B : CDCDCDCD; +171C : CDCDCDCD; +171D : CDCDCDCD; +171E : CDCDCDCD; +171F : CDCDCDCD; +1720 : CDCDCDCD; +1721 : CDCDCDCD; +1722 : CDCDCDCD; +1723 : CDCDCDCD; +1724 : CDCDCDCD; +1725 : CDCDCDCD; +1726 : CDCDCDCD; +1727 : CDCDCDCD; +1728 : CDCDCDCD; +1729 : CDCDCDCD; +172A : CDCDCDCD; +172B : CDCDCDCD; +172C : CDCDCDCD; +172D : CDCDCDCD; +172E : CDCDCDCD; +172F : CDCDCDCD; +1730 : CDCDCDCD; +1731 : CDCDCDCD; +1732 : CDCDCDCD; +1733 : CDCDCDCD; +1734 : CDCDCDCD; +1735 : CDCDCDCD; +1736 : CDCDCDCD; +1737 : CDCDCDCD; +1738 : CDCDCDCD; +1739 : CDCDCDCD; +173A : CDCDCDCD; +173B : CDCDCDCD; +173C : CDCDCDCD; +173D : CDCDCDCD; +173E : CDCDCDCD; +173F : CDCDCDCD; +1740 : CDCDCDCD; +1741 : CDCDCDCD; +1742 : CDCDCDCD; +1743 : CDCDCDCD; +1744 : CDCDCDCD; +1745 : CDCDCDCD; +1746 : CDCDCDCD; +1747 : CDCDCDCD; +1748 : CDCDCDCD; +1749 : CDCDCDCD; +174A : CDCDCDCD; +174B : CDCDCDCD; +174C : CDCDCDCD; +174D : CDCDCDCD; +174E : CDCDCDCD; +174F : CDCDCDCD; +1750 : CDCDCDCD; +1751 : CDCDCDCD; +1752 : CDCDCDCD; +1753 : CDCDCDCD; +1754 : CDCDCDCD; +1755 : CDCDCDCD; +1756 : CDCDCDCD; +1757 : CDCDCDCD; +1758 : CDCDCDCD; +1759 : CDCDCDCD; +175A : CDCDCDCD; +175B : CDCDCDCD; +175C : CDCDCDCD; +175D : CDCDCDCD; +175E : CDCDCDCD; +175F : CDCDCDCD; +1760 : CDCDCDCD; +1761 : CDCDCDCD; +1762 : CDCDCDCD; +1763 : CDCDCDCD; +1764 : CDCDCDCD; +1765 : CDCDCDCD; +1766 : CDCDCDCD; +1767 : CDCDCDCD; +1768 : CDCDCDCD; +1769 : CDCDCDCD; +176A : CDCDCDCD; +176B : CDCDCDCD; +176C : CDCDCDCD; +176D : CDCDCDCD; +176E : CDCDCDCD; +176F : CDCDCDCD; +1770 : CDCDCDCD; +1771 : CDCDCDCD; +1772 : CDCDCDCD; +1773 : CDCDCDCD; +1774 : CDCDCDCD; +1775 : CDCDCDCD; +1776 : CDCDCDCD; +1777 : CDCDCDCD; +1778 : CDCDCDCD; +1779 : CDCDCDCD; +177A : CDCDCDCD; +177B : CDCDCDCD; +177C : CDCDCDCD; +177D : CDCDCDCD; +177E : CDCDCDCD; +177F : CDCDCDCD; +1780 : CDCDCDCD; +1781 : CDCDCDCD; +1782 : CDCDCDCD; +1783 : CDCDCDCD; +1784 : CDCDCDCD; +1785 : CDCDCDCD; +1786 : CDCDCDCD; +1787 : CDCDCDCD; +1788 : CDCDCDCD; +1789 : CDCDCDCD; +178A : CDCDCDCD; +178B : CDCDCDCD; +178C : CDCDCDCD; +178D : CDCDCDCD; +178E : CDCDCDCD; +178F : CDCDCDCD; +1790 : CDCDCDCD; +1791 : CDCDCDCD; +1792 : CDCDCDCD; +1793 : CDCDCDCD; +1794 : CDCDCDCD; +1795 : CDCDCDCD; +1796 : CDCDCDCD; +1797 : CDCDCDCD; +1798 : CDCDCDCD; +1799 : CDCDCDCD; +179A : CDCDCDCD; +179B : CDCDCDCD; +179C : CDCDCDCD; +179D : CDCDCDCD; +179E : CDCDCDCD; +179F : CDCDCDCD; +17A0 : CDCDCDCD; +17A1 : CDCDCDCD; +17A2 : CDCDCDCD; +17A3 : CDCDCDCD; +17A4 : CDCDCDCD; +17A5 : CDCDCDCD; +17A6 : CDCDCDCD; +17A7 : CDCDCDCD; +17A8 : CDCDCDCD; +17A9 : CDCDCDCD; +17AA : CDCDCDCD; +17AB : CDCDCDCD; +17AC : CDCDCDCD; +17AD : CDCDCDCD; +17AE : CDCDCDCD; +17AF : CDCDCDCD; +17B0 : CDCDCDCD; +17B1 : CDCDCDCD; +17B2 : CDCDCDCD; +17B3 : CDCDCDCD; +17B4 : CDCDCDCD; +17B5 : CDCDCDCD; +17B6 : CDCDCDCD; +17B7 : CDCDCDCD; +17B8 : CDCDCDCD; +17B9 : CDCDCDCD; +17BA : CDCDCDCD; +17BB : CDCDCDCD; +17BC : CDCDCDCD; +17BD : CDCDCDCD; +17BE : CDCDCDCD; +17BF : CDCDCDCD; +17C0 : CDCDCDCD; +17C1 : CDCDCDCD; +17C2 : CDCDCDCD; +17C3 : CDCDCDCD; +17C4 : CDCDCDCD; +17C5 : CDCDCDCD; +17C6 : CDCDCDCD; +17C7 : CDCDCDCD; +17C8 : CDCDCDCD; +17C9 : CDCDCDCD; +17CA : CDCDCDCD; +17CB : CDCDCDCD; +17CC : CDCDCDCD; +17CD : CDCDCDCD; +17CE : CDCDCDCD; +17CF : CDCDCDCD; +17D0 : CDCDCDCD; +17D1 : CDCDCDCD; +17D2 : CDCDCDCD; +17D3 : CDCDCDCD; +17D4 : CDCDCDCD; +17D5 : CDCDCDCD; +17D6 : CDCDCDCD; +17D7 : CDCDCDCD; +17D8 : CDCDCDCD; +17D9 : CDCDCDCD; +17DA : CDCDCDCD; +17DB : CDCDCDCD; +17DC : CDCDCDCD; +17DD : CDCDCDCD; +17DE : CDCDCDCD; +17DF : CDCDCDCD; +17E0 : CDCDCDCD; +17E1 : CDCDCDCD; +17E2 : CDCDCDCD; +17E3 : CDCDCDCD; +17E4 : CDCDCDCD; +17E5 : CDCDCDCD; +17E6 : CDCDCDCD; +17E7 : CDCDCDCD; +17E8 : CDCDCDCD; +17E9 : CDCDCDCD; +17EA : CDCDCDCD; +17EB : CDCDCDCD; +17EC : CDCDCDCD; +17ED : CDCDCDCD; +17EE : CDCDCDCD; +17EF : CDCDCDCD; +17F0 : CDCDCDCD; +17F1 : CDCDCDCD; +17F2 : CDCDCDCD; +17F3 : CDCDCDCD; +17F4 : CDCDCDCD; +17F5 : CDCDCDCD; +17F6 : CDCDCDCD; +17F7 : CDCDCDCD; +17F8 : CDCDCDCD; +17F9 : CDCDCDCD; +17FA : CDCDCDCD; +17FB : CDCDCDCD; +17FC : CDCDCDCD; +17FD : CDCDCDCD; +17FE : CDCDCDCD; +17FF : CDCDCDCD; +1800 : CDCDCDCD; +1801 : CDCDCDCD; +1802 : CDCDCDCD; +1803 : CDCDCDCD; +1804 : CDCDCDCD; +1805 : CDCDCDCD; +1806 : CDCDCDCD; +1807 : CDCDCDCD; +1808 : CDCDCDCD; +1809 : CDCDCDCD; +180A : CDCDCDCD; +180B : CDCDCDCD; +180C : CDCDCDCD; +180D : CDCDCDCD; +180E : CDCDCDCD; +180F : CDCDCDCD; +1810 : CDCDCDCD; +1811 : CDCDCDCD; +1812 : CDCDCDCD; +1813 : CDCDCDCD; +1814 : CDCDCDCD; +1815 : CDCDCDCD; +1816 : CDCDCDCD; +1817 : CDCDCDCD; +1818 : CDCDCDCD; +1819 : CDCDCDCD; +181A : CDCDCDCD; +181B : CDCDCDCD; +181C : CDCDCDCD; +181D : CDCDCDCD; +181E : CDCDCDCD; +181F : CDCDCDCD; +1820 : CDCDCDCD; +1821 : CDCDCDCD; +1822 : CDCDCDCD; +1823 : CDCDCDCD; +1824 : CDCDCDCD; +1825 : CDCDCDCD; +1826 : CDCDCDCD; +1827 : CDCDCDCD; +1828 : CDCDCDCD; +1829 : CDCDCDCD; +182A : CDCDCDCD; +182B : CDCDCDCD; +182C : CDCDCDCD; +182D : CDCDCDCD; +182E : CDCDCDCD; +182F : CDCDCDCD; +1830 : CDCDCDCD; +1831 : CDCDCDCD; +1832 : CDCDCDCD; +1833 : CDCDCDCD; +1834 : CDCDCDCD; +1835 : CDCDCDCD; +1836 : CDCDCDCD; +1837 : CDCDCDCD; +1838 : CDCDCDCD; +1839 : CDCDCDCD; +183A : CDCDCDCD; +183B : CDCDCDCD; +183C : CDCDCDCD; +183D : CDCDCDCD; +183E : CDCDCDCD; +183F : CDCDCDCD; +1840 : CDCDCDCD; +1841 : CDCDCDCD; +1842 : CDCDCDCD; +1843 : CDCDCDCD; +1844 : CDCDCDCD; +1845 : CDCDCDCD; +1846 : CDCDCDCD; +1847 : CDCDCDCD; +1848 : CDCDCDCD; +1849 : CDCDCDCD; +184A : CDCDCDCD; +184B : CDCDCDCD; +184C : CDCDCDCD; +184D : CDCDCDCD; +184E : CDCDCDCD; +184F : CDCDCDCD; +1850 : CDCDCDCD; +1851 : CDCDCDCD; +1852 : CDCDCDCD; +1853 : CDCDCDCD; +1854 : CDCDCDCD; +1855 : CDCDCDCD; +1856 : CDCDCDCD; +1857 : CDCDCDCD; +1858 : CDCDCDCD; +1859 : CDCDCDCD; +185A : CDCDCDCD; +185B : CDCDCDCD; +185C : CDCDCDCD; +185D : CDCDCDCD; +185E : CDCDCDCD; +185F : CDCDCDCD; +1860 : CDCDCDCD; +1861 : CDCDCDCD; +1862 : CDCDCDCD; +1863 : CDCDCDCD; +1864 : CDCDCDCD; +1865 : CDCDCDCD; +1866 : CDCDCDCD; +1867 : CDCDCDCD; +1868 : CDCDCDCD; +1869 : CDCDCDCD; +186A : CDCDCDCD; +186B : CDCDCDCD; +186C : CDCDCDCD; +186D : CDCDCDCD; +186E : CDCDCDCD; +186F : CDCDCDCD; +1870 : CDCDCDCD; +1871 : CDCDCDCD; +1872 : CDCDCDCD; +1873 : CDCDCDCD; +1874 : CDCDCDCD; +1875 : CDCDCDCD; +1876 : CDCDCDCD; +1877 : CDCDCDCD; +1878 : CDCDCDCD; +1879 : CDCDCDCD; +187A : CDCDCDCD; +187B : CDCDCDCD; +187C : CDCDCDCD; +187D : CDCDCDCD; +187E : CDCDCDCD; +187F : CDCDCDCD; +1880 : CDCDCDCD; +1881 : CDCDCDCD; +1882 : CDCDCDCD; +1883 : CDCDCDCD; +1884 : CDCDCDCD; +1885 : CDCDCDCD; +1886 : CDCDCDCD; +1887 : CDCDCDCD; +1888 : CDCDCDCD; +1889 : CDCDCDCD; +188A : CDCDCDCD; +188B : CDCDCDCD; +188C : CDCDCDCD; +188D : CDCDCDCD; +188E : CDCDCDCD; +188F : CDCDCDCD; +1890 : CDCDCDCD; +1891 : CDCDCDCD; +1892 : CDCDCDCD; +1893 : CDCDCDCD; +1894 : CDCDCDCD; +1895 : CDCDCDCD; +1896 : CDCDCDCD; +1897 : CDCDCDCD; +1898 : CDCDCDCD; +1899 : CDCDCDCD; +189A : CDCDCDCD; +189B : CDCDCDCD; +189C : CDCDCDCD; +189D : CDCDCDCD; +189E : CDCDCDCD; +189F : CDCDCDCD; +18A0 : CDCDCDCD; +18A1 : CDCDCDCD; +18A2 : CDCDCDCD; +18A3 : CDCDCDCD; +18A4 : CDCDCDCD; +18A5 : CDCDCDCD; +18A6 : CDCDCDCD; +18A7 : CDCDCDCD; +18A8 : CDCDCDCD; +18A9 : CDCDCDCD; +18AA : CDCDCDCD; +18AB : CDCDCDCD; +18AC : CDCDCDCD; +18AD : CDCDCDCD; +18AE : CDCDCDCD; +18AF : CDCDCDCD; +18B0 : CDCDCDCD; +18B1 : CDCDCDCD; +18B2 : CDCDCDCD; +18B3 : CDCDCDCD; +18B4 : CDCDCDCD; +18B5 : CDCDCDCD; +18B6 : CDCDCDCD; +18B7 : CDCDCDCD; +18B8 : CDCDCDCD; +18B9 : CDCDCDCD; +18BA : CDCDCDCD; +18BB : CDCDCDCD; +18BC : CDCDCDCD; +18BD : CDCDCDCD; +18BE : CDCDCDCD; +18BF : CDCDCDCD; +18C0 : CDCDCDCD; +18C1 : CDCDCDCD; +18C2 : CDCDCDCD; +18C3 : CDCDCDCD; +18C4 : CDCDCDCD; +18C5 : CDCDCDCD; +18C6 : CDCDCDCD; +18C7 : CDCDCDCD; +18C8 : CDCDCDCD; +18C9 : CDCDCDCD; +18CA : CDCDCDCD; +18CB : CDCDCDCD; +18CC : CDCDCDCD; +18CD : CDCDCDCD; +18CE : CDCDCDCD; +18CF : CDCDCDCD; +18D0 : CDCDCDCD; +18D1 : CDCDCDCD; +18D2 : CDCDCDCD; +18D3 : CDCDCDCD; +18D4 : CDCDCDCD; +18D5 : CDCDCDCD; +18D6 : CDCDCDCD; +18D7 : CDCDCDCD; +18D8 : CDCDCDCD; +18D9 : CDCDCDCD; +18DA : CDCDCDCD; +18DB : CDCDCDCD; +18DC : CDCDCDCD; +18DD : CDCDCDCD; +18DE : CDCDCDCD; +18DF : CDCDCDCD; +18E0 : CDCDCDCD; +18E1 : CDCDCDCD; +18E2 : CDCDCDCD; +18E3 : CDCDCDCD; +18E4 : CDCDCDCD; +18E5 : CDCDCDCD; +18E6 : CDCDCDCD; +18E7 : CDCDCDCD; +18E8 : CDCDCDCD; +18E9 : CDCDCDCD; +18EA : CDCDCDCD; +18EB : CDCDCDCD; +18EC : CDCDCDCD; +18ED : CDCDCDCD; +18EE : CDCDCDCD; +18EF : CDCDCDCD; +18F0 : CDCDCDCD; +18F1 : CDCDCDCD; +18F2 : CDCDCDCD; +18F3 : CDCDCDCD; +18F4 : CDCDCDCD; +18F5 : CDCDCDCD; +18F6 : CDCDCDCD; +18F7 : CDCDCDCD; +18F8 : CDCDCDCD; +18F9 : CDCDCDCD; +18FA : CDCDCDCD; +18FB : CDCDCDCD; +18FC : CDCDCDCD; +18FD : CDCDCDCD; +18FE : CDCDCDCD; +18FF : CDCDCDCD; +1900 : CDCDCDCD; +1901 : CDCDCDCD; +1902 : CDCDCDCD; +1903 : CDCDCDCD; +1904 : CDCDCDCD; +1905 : CDCDCDCD; +1906 : CDCDCDCD; +1907 : CDCDCDCD; +1908 : CDCDCDCD; +1909 : CDCDCDCD; +190A : CDCDCDCD; +190B : CDCDCDCD; +190C : CDCDCDCD; +190D : CDCDCDCD; +190E : CDCDCDCD; +190F : CDCDCDCD; +1910 : CDCDCDCD; +1911 : CDCDCDCD; +1912 : CDCDCDCD; +1913 : CDCDCDCD; +1914 : CDCDCDCD; +1915 : CDCDCDCD; +1916 : CDCDCDCD; +1917 : CDCDCDCD; +1918 : CDCDCDCD; +1919 : CDCDCDCD; +191A : CDCDCDCD; +191B : CDCDCDCD; +191C : CDCDCDCD; +191D : CDCDCDCD; +191E : CDCDCDCD; +191F : CDCDCDCD; +1920 : CDCDCDCD; +1921 : CDCDCDCD; +1922 : CDCDCDCD; +1923 : CDCDCDCD; +1924 : CDCDCDCD; +1925 : CDCDCDCD; +1926 : CDCDCDCD; +1927 : CDCDCDCD; +1928 : CDCDCDCD; +1929 : CDCDCDCD; +192A : CDCDCDCD; +192B : CDCDCDCD; +192C : CDCDCDCD; +192D : CDCDCDCD; +192E : CDCDCDCD; +192F : CDCDCDCD; +1930 : CDCDCDCD; +1931 : CDCDCDCD; +1932 : CDCDCDCD; +1933 : CDCDCDCD; +1934 : CDCDCDCD; +1935 : CDCDCDCD; +1936 : CDCDCDCD; +1937 : CDCDCDCD; +1938 : CDCDCDCD; +1939 : CDCDCDCD; +193A : CDCDCDCD; +193B : CDCDCDCD; +193C : CDCDCDCD; +193D : CDCDCDCD; +193E : CDCDCDCD; +193F : CDCDCDCD; +1940 : CDCDCDCD; +1941 : CDCDCDCD; +1942 : CDCDCDCD; +1943 : CDCDCDCD; +1944 : CDCDCDCD; +1945 : CDCDCDCD; +1946 : CDCDCDCD; +1947 : CDCDCDCD; +1948 : CDCDCDCD; +1949 : CDCDCDCD; +194A : CDCDCDCD; +194B : CDCDCDCD; +194C : CDCDCDCD; +194D : CDCDCDCD; +194E : CDCDCDCD; +194F : CDCDCDCD; +1950 : CDCDCDCD; +1951 : CDCDCDCD; +1952 : CDCDCDCD; +1953 : CDCDCDCD; +1954 : CDCDCDCD; +1955 : CDCDCDCD; +1956 : CDCDCDCD; +1957 : CDCDCDCD; +1958 : CDCDCDCD; +1959 : CDCDCDCD; +195A : CDCDCDCD; +195B : CDCDCDCD; +195C : CDCDCDCD; +195D : CDCDCDCD; +195E : CDCDCDCD; +195F : CDCDCDCD; +1960 : CDCDCDCD; +1961 : CDCDCDCD; +1962 : CDCDCDCD; +1963 : CDCDCDCD; +1964 : CDCDCDCD; +1965 : CDCDCDCD; +1966 : CDCDCDCD; +1967 : CDCDCDCD; +1968 : CDCDCDCD; +1969 : CDCDCDCD; +196A : CDCDCDCD; +196B : CDCDCDCD; +196C : CDCDCDCD; +196D : CDCDCDCD; +196E : CDCDCDCD; +196F : CDCDCDCD; +1970 : CDCDCDCD; +1971 : CDCDCDCD; +1972 : CDCDCDCD; +1973 : CDCDCDCD; +1974 : CDCDCDCD; +1975 : CDCDCDCD; +1976 : CDCDCDCD; +1977 : CDCDCDCD; +1978 : CDCDCDCD; +1979 : CDCDCDCD; +197A : CDCDCDCD; +197B : CDCDCDCD; +197C : CDCDCDCD; +197D : CDCDCDCD; +197E : CDCDCDCD; +197F : CDCDCDCD; +1980 : CDCDCDCD; +1981 : CDCDCDCD; +1982 : CDCDCDCD; +1983 : CDCDCDCD; +1984 : CDCDCDCD; +1985 : CDCDCDCD; +1986 : CDCDCDCD; +1987 : CDCDCDCD; +1988 : CDCDCDCD; +1989 : CDCDCDCD; +198A : CDCDCDCD; +198B : CDCDCDCD; +198C : CDCDCDCD; +198D : CDCDCDCD; +198E : CDCDCDCD; +198F : CDCDCDCD; +1990 : CDCDCDCD; +1991 : CDCDCDCD; +1992 : CDCDCDCD; +1993 : CDCDCDCD; +1994 : CDCDCDCD; +1995 : CDCDCDCD; +1996 : CDCDCDCD; +1997 : CDCDCDCD; +1998 : CDCDCDCD; +1999 : CDCDCDCD; +199A : CDCDCDCD; +199B : CDCDCDCD; +199C : CDCDCDCD; +199D : CDCDCDCD; +199E : CDCDCDCD; +199F : CDCDCDCD; +19A0 : CDCDCDCD; +19A1 : CDCDCDCD; +19A2 : CDCDCDCD; +19A3 : CDCDCDCD; +19A4 : CDCDCDCD; +19A5 : CDCDCDCD; +19A6 : CDCDCDCD; +19A7 : CDCDCDCD; +19A8 : CDCDCDCD; +19A9 : CDCDCDCD; +19AA : CDCDCDCD; +19AB : CDCDCDCD; +19AC : CDCDCDCD; +19AD : CDCDCDCD; +19AE : CDCDCDCD; +19AF : CDCDCDCD; +19B0 : CDCDCDCD; +19B1 : CDCDCDCD; +19B2 : CDCDCDCD; +19B3 : CDCDCDCD; +19B4 : CDCDCDCD; +19B5 : CDCDCDCD; +19B6 : CDCDCDCD; +19B7 : CDCDCDCD; +19B8 : CDCDCDCD; +19B9 : CDCDCDCD; +19BA : CDCDCDCD; +19BB : CDCDCDCD; +19BC : CDCDCDCD; +19BD : CDCDCDCD; +19BE : CDCDCDCD; +19BF : CDCDCDCD; +19C0 : CDCDCDCD; +19C1 : CDCDCDCD; +19C2 : CDCDCDCD; +19C3 : CDCDCDCD; +19C4 : CDCDCDCD; +19C5 : CDCDCDCD; +19C6 : CDCDCDCD; +19C7 : CDCDCDCD; +19C8 : CDCDCDCD; +19C9 : CDCDCDCD; +19CA : CDCDCDCD; +19CB : CDCDCDCD; +19CC : CDCDCDCD; +19CD : CDCDCDCD; +19CE : CDCDCDCD; +19CF : CDCDCDCD; +19D0 : CDCDCDCD; +19D1 : CDCDCDCD; +19D2 : CDCDCDCD; +19D3 : CDCDCDCD; +19D4 : CDCDCDCD; +19D5 : CDCDCDCD; +19D6 : CDCDCDCD; +19D7 : CDCDCDCD; +19D8 : CDCDCDCD; +19D9 : CDCDCDCD; +19DA : CDCDCDCD; +19DB : CDCDCDCD; +19DC : CDCDCDCD; +19DD : CDCDCDCD; +19DE : CDCDCDCD; +19DF : CDCDCDCD; +19E0 : CDCDCDCD; +19E1 : CDCDCDCD; +19E2 : CDCDCDCD; +19E3 : CDCDCDCD; +19E4 : CDCDCDCD; +19E5 : CDCDCDCD; +19E6 : CDCDCDCD; +19E7 : CDCDCDCD; +19E8 : CDCDCDCD; +19E9 : CDCDCDCD; +19EA : CDCDCDCD; +19EB : CDCDCDCD; +19EC : CDCDCDCD; +19ED : CDCDCDCD; +19EE : CDCDCDCD; +19EF : CDCDCDCD; +19F0 : CDCDCDCD; +19F1 : CDCDCDCD; +19F2 : CDCDCDCD; +19F3 : CDCDCDCD; +19F4 : CDCDCDCD; +19F5 : CDCDCDCD; +19F6 : CDCDCDCD; +19F7 : CDCDCDCD; +19F8 : CDCDCDCD; +19F9 : CDCDCDCD; +19FA : CDCDCDCD; +19FB : CDCDCDCD; +19FC : CDCDCDCD; +19FD : CDCDCDCD; +19FE : CDCDCDCD; +19FF : CDCDCDCD; +1A00 : CDCDCDCD; +1A01 : CDCDCDCD; +1A02 : CDCDCDCD; +1A03 : CDCDCDCD; +1A04 : CDCDCDCD; +1A05 : CDCDCDCD; +1A06 : CDCDCDCD; +1A07 : CDCDCDCD; +1A08 : CDCDCDCD; +1A09 : CDCDCDCD; +1A0A : CDCDCDCD; +1A0B : CDCDCDCD; +1A0C : CDCDCDCD; +1A0D : CDCDCDCD; +1A0E : CDCDCDCD; +1A0F : CDCDCDCD; +1A10 : CDCDCDCD; +1A11 : CDCDCDCD; +1A12 : CDCDCDCD; +1A13 : CDCDCDCD; +1A14 : CDCDCDCD; +1A15 : CDCDCDCD; +1A16 : CDCDCDCD; +1A17 : CDCDCDCD; +1A18 : CDCDCDCD; +1A19 : CDCDCDCD; +1A1A : CDCDCDCD; +1A1B : CDCDCDCD; +1A1C : CDCDCDCD; +1A1D : CDCDCDCD; +1A1E : CDCDCDCD; +1A1F : CDCDCDCD; +1A20 : CDCDCDCD; +1A21 : CDCDCDCD; +1A22 : CDCDCDCD; +1A23 : CDCDCDCD; +1A24 : CDCDCDCD; +1A25 : CDCDCDCD; +1A26 : CDCDCDCD; +1A27 : CDCDCDCD; +1A28 : CDCDCDCD; +1A29 : CDCDCDCD; +1A2A : CDCDCDCD; +1A2B : CDCDCDCD; +1A2C : CDCDCDCD; +1A2D : CDCDCDCD; +1A2E : CDCDCDCD; +1A2F : CDCDCDCD; +1A30 : CDCDCDCD; +1A31 : CDCDCDCD; +1A32 : CDCDCDCD; +1A33 : CDCDCDCD; +1A34 : CDCDCDCD; +1A35 : CDCDCDCD; +1A36 : CDCDCDCD; +1A37 : CDCDCDCD; +1A38 : CDCDCDCD; +1A39 : CDCDCDCD; +1A3A : CDCDCDCD; +1A3B : CDCDCDCD; +1A3C : CDCDCDCD; +1A3D : CDCDCDCD; +1A3E : CDCDCDCD; +1A3F : CDCDCDCD; +1A40 : CDCDCDCD; +1A41 : CDCDCDCD; +1A42 : CDCDCDCD; +1A43 : CDCDCDCD; +1A44 : CDCDCDCD; +1A45 : CDCDCDCD; +1A46 : CDCDCDCD; +1A47 : CDCDCDCD; +1A48 : CDCDCDCD; +1A49 : CDCDCDCD; +1A4A : CDCDCDCD; +1A4B : CDCDCDCD; +1A4C : CDCDCDCD; +1A4D : CDCDCDCD; +1A4E : CDCDCDCD; +1A4F : CDCDCDCD; +1A50 : CDCDCDCD; +1A51 : CDCDCDCD; +1A52 : CDCDCDCD; +1A53 : CDCDCDCD; +1A54 : CDCDCDCD; +1A55 : CDCDCDCD; +1A56 : CDCDCDCD; +1A57 : CDCDCDCD; +1A58 : CDCDCDCD; +1A59 : CDCDCDCD; +1A5A : CDCDCDCD; +1A5B : CDCDCDCD; +1A5C : CDCDCDCD; +1A5D : CDCDCDCD; +1A5E : CDCDCDCD; +1A5F : CDCDCDCD; +1A60 : CDCDCDCD; +1A61 : CDCDCDCD; +1A62 : CDCDCDCD; +1A63 : CDCDCDCD; +1A64 : CDCDCDCD; +1A65 : CDCDCDCD; +1A66 : CDCDCDCD; +1A67 : CDCDCDCD; +1A68 : CDCDCDCD; +1A69 : CDCDCDCD; +1A6A : CDCDCDCD; +1A6B : CDCDCDCD; +1A6C : CDCDCDCD; +1A6D : CDCDCDCD; +1A6E : CDCDCDCD; +1A6F : CDCDCDCD; +1A70 : CDCDCDCD; +1A71 : CDCDCDCD; +1A72 : CDCDCDCD; +1A73 : CDCDCDCD; +1A74 : CDCDCDCD; +1A75 : CDCDCDCD; +1A76 : CDCDCDCD; +1A77 : CDCDCDCD; +1A78 : CDCDCDCD; +1A79 : CDCDCDCD; +1A7A : CDCDCDCD; +1A7B : CDCDCDCD; +1A7C : CDCDCDCD; +1A7D : CDCDCDCD; +1A7E : CDCDCDCD; +1A7F : CDCDCDCD; +1A80 : CDCDCDCD; +1A81 : CDCDCDCD; +1A82 : CDCDCDCD; +1A83 : CDCDCDCD; +1A84 : CDCDCDCD; +1A85 : CDCDCDCD; +1A86 : CDCDCDCD; +1A87 : CDCDCDCD; +1A88 : CDCDCDCD; +1A89 : CDCDCDCD; +1A8A : CDCDCDCD; +1A8B : CDCDCDCD; +1A8C : CDCDCDCD; +1A8D : CDCDCDCD; +1A8E : CDCDCDCD; +1A8F : CDCDCDCD; +1A90 : CDCDCDCD; +1A91 : CDCDCDCD; +1A92 : CDCDCDCD; +1A93 : CDCDCDCD; +1A94 : CDCDCDCD; +1A95 : CDCDCDCD; +1A96 : CDCDCDCD; +1A97 : CDCDCDCD; +1A98 : CDCDCDCD; +1A99 : CDCDCDCD; +1A9A : CDCDCDCD; +1A9B : CDCDCDCD; +1A9C : CDCDCDCD; +1A9D : CDCDCDCD; +1A9E : CDCDCDCD; +1A9F : CDCDCDCD; +1AA0 : CDCDCDCD; +1AA1 : CDCDCDCD; +1AA2 : CDCDCDCD; +1AA3 : CDCDCDCD; +1AA4 : CDCDCDCD; +1AA5 : CDCDCDCD; +1AA6 : CDCDCDCD; +1AA7 : CDCDCDCD; +1AA8 : CDCDCDCD; +1AA9 : CDCDCDCD; +1AAA : CDCDCDCD; +1AAB : CDCDCDCD; +1AAC : CDCDCDCD; +1AAD : CDCDCDCD; +1AAE : CDCDCDCD; +1AAF : CDCDCDCD; +1AB0 : CDCDCDCD; +1AB1 : CDCDCDCD; +1AB2 : CDCDCDCD; +1AB3 : CDCDCDCD; +1AB4 : CDCDCDCD; +1AB5 : CDCDCDCD; +1AB6 : CDCDCDCD; +1AB7 : CDCDCDCD; +1AB8 : CDCDCDCD; +1AB9 : CDCDCDCD; +1ABA : CDCDCDCD; +1ABB : CDCDCDCD; +1ABC : CDCDCDCD; +1ABD : CDCDCDCD; +1ABE : CDCDCDCD; +1ABF : CDCDCDCD; +1AC0 : CDCDCDCD; +1AC1 : CDCDCDCD; +1AC2 : CDCDCDCD; +1AC3 : CDCDCDCD; +1AC4 : CDCDCDCD; +1AC5 : CDCDCDCD; +1AC6 : CDCDCDCD; +1AC7 : CDCDCDCD; +1AC8 : CDCDCDCD; +1AC9 : CDCDCDCD; +1ACA : CDCDCDCD; +1ACB : CDCDCDCD; +1ACC : CDCDCDCD; +1ACD : CDCDCDCD; +1ACE : CDCDCDCD; +1ACF : CDCDCDCD; +1AD0 : CDCDCDCD; +1AD1 : CDCDCDCD; +1AD2 : CDCDCDCD; +1AD3 : CDCDCDCD; +1AD4 : CDCDCDCD; +1AD5 : CDCDCDCD; +1AD6 : CDCDCDCD; +1AD7 : CDCDCDCD; +1AD8 : CDCDCDCD; +1AD9 : CDCDCDCD; +1ADA : CDCDCDCD; +1ADB : CDCDCDCD; +1ADC : CDCDCDCD; +1ADD : CDCDCDCD; +1ADE : CDCDCDCD; +1ADF : CDCDCDCD; +1AE0 : CDCDCDCD; +1AE1 : CDCDCDCD; +1AE2 : CDCDCDCD; +1AE3 : CDCDCDCD; +1AE4 : CDCDCDCD; +1AE5 : CDCDCDCD; +1AE6 : CDCDCDCD; +1AE7 : CDCDCDCD; +1AE8 : CDCDCDCD; +1AE9 : CDCDCDCD; +1AEA : CDCDCDCD; +1AEB : CDCDCDCD; +1AEC : CDCDCDCD; +1AED : CDCDCDCD; +1AEE : CDCDCDCD; +1AEF : CDCDCDCD; +1AF0 : CDCDCDCD; +1AF1 : CDCDCDCD; +1AF2 : CDCDCDCD; +1AF3 : CDCDCDCD; +1AF4 : CDCDCDCD; +1AF5 : CDCDCDCD; +1AF6 : CDCDCDCD; +1AF7 : CDCDCDCD; +1AF8 : CDCDCDCD; +1AF9 : CDCDCDCD; +1AFA : CDCDCDCD; +1AFB : CDCDCDCD; +1AFC : CDCDCDCD; +1AFD : CDCDCDCD; +1AFE : CDCDCDCD; +1AFF : CDCDCDCD; +1B00 : CDCDCDCD; +1B01 : CDCDCDCD; +1B02 : CDCDCDCD; +1B03 : CDCDCDCD; +1B04 : CDCDCDCD; +1B05 : CDCDCDCD; +1B06 : CDCDCDCD; +1B07 : CDCDCDCD; +1B08 : CDCDCDCD; +1B09 : CDCDCDCD; +1B0A : CDCDCDCD; +1B0B : CDCDCDCD; +1B0C : CDCDCDCD; +1B0D : CDCDCDCD; +1B0E : CDCDCDCD; +1B0F : CDCDCDCD; +1B10 : CDCDCDCD; +1B11 : CDCDCDCD; +1B12 : CDCDCDCD; +1B13 : CDCDCDCD; +1B14 : CDCDCDCD; +1B15 : CDCDCDCD; +1B16 : CDCDCDCD; +1B17 : CDCDCDCD; +1B18 : CDCDCDCD; +1B19 : CDCDCDCD; +1B1A : CDCDCDCD; +1B1B : CDCDCDCD; +1B1C : CDCDCDCD; +1B1D : CDCDCDCD; +1B1E : CDCDCDCD; +1B1F : CDCDCDCD; +1B20 : CDCDCDCD; +1B21 : CDCDCDCD; +1B22 : CDCDCDCD; +1B23 : CDCDCDCD; +1B24 : CDCDCDCD; +1B25 : CDCDCDCD; +1B26 : CDCDCDCD; +1B27 : CDCDCDCD; +1B28 : CDCDCDCD; +1B29 : CDCDCDCD; +1B2A : CDCDCDCD; +1B2B : CDCDCDCD; +1B2C : CDCDCDCD; +1B2D : CDCDCDCD; +1B2E : CDCDCDCD; +1B2F : CDCDCDCD; +1B30 : CDCDCDCD; +1B31 : CDCDCDCD; +1B32 : CDCDCDCD; +1B33 : CDCDCDCD; +1B34 : CDCDCDCD; +1B35 : CDCDCDCD; +1B36 : CDCDCDCD; +1B37 : CDCDCDCD; +1B38 : CDCDCDCD; +1B39 : CDCDCDCD; +1B3A : CDCDCDCD; +1B3B : CDCDCDCD; +1B3C : CDCDCDCD; +1B3D : CDCDCDCD; +1B3E : CDCDCDCD; +1B3F : CDCDCDCD; +1B40 : CDCDCDCD; +1B41 : CDCDCDCD; +1B42 : CDCDCDCD; +1B43 : CDCDCDCD; +1B44 : CDCDCDCD; +1B45 : CDCDCDCD; +1B46 : CDCDCDCD; +1B47 : CDCDCDCD; +1B48 : CDCDCDCD; +1B49 : CDCDCDCD; +1B4A : CDCDCDCD; +1B4B : CDCDCDCD; +1B4C : CDCDCDCD; +1B4D : CDCDCDCD; +1B4E : CDCDCDCD; +1B4F : CDCDCDCD; +1B50 : CDCDCDCD; +1B51 : CDCDCDCD; +1B52 : CDCDCDCD; +1B53 : CDCDCDCD; +1B54 : CDCDCDCD; +1B55 : CDCDCDCD; +1B56 : CDCDCDCD; +1B57 : CDCDCDCD; +1B58 : CDCDCDCD; +1B59 : CDCDCDCD; +1B5A : CDCDCDCD; +1B5B : CDCDCDCD; +1B5C : CDCDCDCD; +1B5D : CDCDCDCD; +1B5E : CDCDCDCD; +1B5F : CDCDCDCD; +1B60 : CDCDCDCD; +1B61 : CDCDCDCD; +1B62 : CDCDCDCD; +1B63 : CDCDCDCD; +1B64 : CDCDCDCD; +1B65 : CDCDCDCD; +1B66 : CDCDCDCD; +1B67 : CDCDCDCD; +1B68 : CDCDCDCD; +1B69 : CDCDCDCD; +1B6A : CDCDCDCD; +1B6B : CDCDCDCD; +1B6C : CDCDCDCD; +1B6D : CDCDCDCD; +1B6E : CDCDCDCD; +1B6F : CDCDCDCD; +1B70 : CDCDCDCD; +1B71 : CDCDCDCD; +1B72 : CDCDCDCD; +1B73 : CDCDCDCD; +1B74 : CDCDCDCD; +1B75 : CDCDCDCD; +1B76 : CDCDCDCD; +1B77 : CDCDCDCD; +1B78 : CDCDCDCD; +1B79 : CDCDCDCD; +1B7A : CDCDCDCD; +1B7B : CDCDCDCD; +1B7C : CDCDCDCD; +1B7D : CDCDCDCD; +1B7E : CDCDCDCD; +1B7F : CDCDCDCD; +1B80 : CDCDCDCD; +1B81 : CDCDCDCD; +1B82 : CDCDCDCD; +1B83 : CDCDCDCD; +1B84 : CDCDCDCD; +1B85 : CDCDCDCD; +1B86 : CDCDCDCD; +1B87 : CDCDCDCD; +1B88 : CDCDCDCD; +1B89 : CDCDCDCD; +1B8A : CDCDCDCD; +1B8B : CDCDCDCD; +1B8C : CDCDCDCD; +1B8D : CDCDCDCD; +1B8E : CDCDCDCD; +1B8F : CDCDCDCD; +1B90 : CDCDCDCD; +1B91 : CDCDCDCD; +1B92 : CDCDCDCD; +1B93 : CDCDCDCD; +1B94 : CDCDCDCD; +1B95 : CDCDCDCD; +1B96 : CDCDCDCD; +1B97 : CDCDCDCD; +1B98 : CDCDCDCD; +1B99 : CDCDCDCD; +1B9A : CDCDCDCD; +1B9B : CDCDCDCD; +1B9C : CDCDCDCD; +1B9D : CDCDCDCD; +1B9E : CDCDCDCD; +1B9F : CDCDCDCD; +1BA0 : CDCDCDCD; +1BA1 : CDCDCDCD; +1BA2 : CDCDCDCD; +1BA3 : CDCDCDCD; +1BA4 : CDCDCDCD; +1BA5 : CDCDCDCD; +1BA6 : CDCDCDCD; +1BA7 : CDCDCDCD; +1BA8 : CDCDCDCD; +1BA9 : CDCDCDCD; +1BAA : CDCDCDCD; +1BAB : CDCDCDCD; +1BAC : CDCDCDCD; +1BAD : CDCDCDCD; +1BAE : CDCDCDCD; +1BAF : CDCDCDCD; +1BB0 : CDCDCDCD; +1BB1 : CDCDCDCD; +1BB2 : CDCDCDCD; +1BB3 : CDCDCDCD; +1BB4 : CDCDCDCD; +1BB5 : CDCDCDCD; +1BB6 : CDCDCDCD; +1BB7 : CDCDCDCD; +1BB8 : CDCDCDCD; +1BB9 : CDCDCDCD; +1BBA : CDCDCDCD; +1BBB : CDCDCDCD; +1BBC : CDCDCDCD; +1BBD : CDCDCDCD; +1BBE : CDCDCDCD; +1BBF : CDCDCDCD; +1BC0 : CDCDCDCD; +1BC1 : CDCDCDCD; +1BC2 : CDCDCDCD; +1BC3 : CDCDCDCD; +1BC4 : CDCDCDCD; +1BC5 : CDCDCDCD; +1BC6 : CDCDCDCD; +1BC7 : CDCDCDCD; +1BC8 : CDCDCDCD; +1BC9 : CDCDCDCD; +1BCA : CDCDCDCD; +1BCB : CDCDCDCD; +1BCC : CDCDCDCD; +1BCD : CDCDCDCD; +1BCE : CDCDCDCD; +1BCF : CDCDCDCD; +1BD0 : CDCDCDCD; +1BD1 : CDCDCDCD; +1BD2 : CDCDCDCD; +1BD3 : CDCDCDCD; +1BD4 : CDCDCDCD; +1BD5 : CDCDCDCD; +1BD6 : CDCDCDCD; +1BD7 : CDCDCDCD; +1BD8 : CDCDCDCD; +1BD9 : CDCDCDCD; +1BDA : CDCDCDCD; +1BDB : CDCDCDCD; +1BDC : CDCDCDCD; +1BDD : CDCDCDCD; +1BDE : CDCDCDCD; +1BDF : CDCDCDCD; +1BE0 : CDCDCDCD; +1BE1 : CDCDCDCD; +1BE2 : CDCDCDCD; +1BE3 : CDCDCDCD; +1BE4 : CDCDCDCD; +1BE5 : CDCDCDCD; +1BE6 : CDCDCDCD; +1BE7 : CDCDCDCD; +1BE8 : CDCDCDCD; +1BE9 : CDCDCDCD; +1BEA : CDCDCDCD; +1BEB : CDCDCDCD; +1BEC : CDCDCDCD; +1BED : CDCDCDCD; +1BEE : CDCDCDCD; +1BEF : CDCDCDCD; +1BF0 : CDCDCDCD; +1BF1 : CDCDCDCD; +1BF2 : CDCDCDCD; +1BF3 : CDCDCDCD; +1BF4 : CDCDCDCD; +1BF5 : CDCDCDCD; +1BF6 : CDCDCDCD; +1BF7 : CDCDCDCD; +1BF8 : CDCDCDCD; +1BF9 : CDCDCDCD; +1BFA : CDCDCDCD; +1BFB : CDCDCDCD; +1BFC : CDCDCDCD; +1BFD : CDCDCDCD; +1BFE : CDCDCDCD; +1BFF : CDCDCDCD; +1C00 : CDCDCDCD; +1C01 : CDCDCDCD; +1C02 : CDCDCDCD; +1C03 : CDCDCDCD; +1C04 : CDCDCDCD; +1C05 : CDCDCDCD; +1C06 : CDCDCDCD; +1C07 : CDCDCDCD; +1C08 : CDCDCDCD; +1C09 : CDCDCDCD; +1C0A : CDCDCDCD; +1C0B : CDCDCDCD; +1C0C : CDCDCDCD; +1C0D : CDCDCDCD; +1C0E : CDCDCDCD; +1C0F : CDCDCDCD; +1C10 : CDCDCDCD; +1C11 : CDCDCDCD; +1C12 : CDCDCDCD; +1C13 : CDCDCDCD; +1C14 : CDCDCDCD; +1C15 : CDCDCDCD; +1C16 : CDCDCDCD; +1C17 : CDCDCDCD; +1C18 : CDCDCDCD; +1C19 : CDCDCDCD; +1C1A : CDCDCDCD; +1C1B : CDCDCDCD; +1C1C : CDCDCDCD; +1C1D : CDCDCDCD; +1C1E : CDCDCDCD; +1C1F : CDCDCDCD; +1C20 : CDCDCDCD; +1C21 : CDCDCDCD; +1C22 : CDCDCDCD; +1C23 : CDCDCDCD; +1C24 : CDCDCDCD; +1C25 : CDCDCDCD; +1C26 : CDCDCDCD; +1C27 : CDCDCDCD; +1C28 : CDCDCDCD; +1C29 : CDCDCDCD; +1C2A : CDCDCDCD; +1C2B : CDCDCDCD; +1C2C : CDCDCDCD; +1C2D : CDCDCDCD; +1C2E : CDCDCDCD; +1C2F : CDCDCDCD; +1C30 : CDCDCDCD; +1C31 : CDCDCDCD; +1C32 : CDCDCDCD; +1C33 : CDCDCDCD; +1C34 : CDCDCDCD; +1C35 : CDCDCDCD; +1C36 : CDCDCDCD; +1C37 : CDCDCDCD; +1C38 : CDCDCDCD; +1C39 : CDCDCDCD; +1C3A : CDCDCDCD; +1C3B : CDCDCDCD; +1C3C : CDCDCDCD; +1C3D : CDCDCDCD; +1C3E : CDCDCDCD; +1C3F : CDCDCDCD; +1C40 : CDCDCDCD; +1C41 : CDCDCDCD; +1C42 : CDCDCDCD; +1C43 : CDCDCDCD; +1C44 : CDCDCDCD; +1C45 : CDCDCDCD; +1C46 : CDCDCDCD; +1C47 : CDCDCDCD; +1C48 : CDCDCDCD; +1C49 : CDCDCDCD; +1C4A : CDCDCDCD; +1C4B : CDCDCDCD; +1C4C : CDCDCDCD; +1C4D : CDCDCDCD; +1C4E : CDCDCDCD; +1C4F : CDCDCDCD; +1C50 : CDCDCDCD; +1C51 : CDCDCDCD; +1C52 : CDCDCDCD; +1C53 : CDCDCDCD; +1C54 : CDCDCDCD; +1C55 : CDCDCDCD; +1C56 : CDCDCDCD; +1C57 : CDCDCDCD; +1C58 : CDCDCDCD; +1C59 : CDCDCDCD; +1C5A : CDCDCDCD; +1C5B : CDCDCDCD; +1C5C : CDCDCDCD; +1C5D : CDCDCDCD; +1C5E : CDCDCDCD; +1C5F : CDCDCDCD; +1C60 : CDCDCDCD; +1C61 : CDCDCDCD; +1C62 : CDCDCDCD; +1C63 : CDCDCDCD; +1C64 : CDCDCDCD; +1C65 : CDCDCDCD; +1C66 : CDCDCDCD; +1C67 : CDCDCDCD; +1C68 : CDCDCDCD; +1C69 : CDCDCDCD; +1C6A : CDCDCDCD; +1C6B : CDCDCDCD; +1C6C : CDCDCDCD; +1C6D : CDCDCDCD; +1C6E : CDCDCDCD; +1C6F : CDCDCDCD; +1C70 : CDCDCDCD; +1C71 : CDCDCDCD; +1C72 : CDCDCDCD; +1C73 : CDCDCDCD; +1C74 : CDCDCDCD; +1C75 : CDCDCDCD; +1C76 : CDCDCDCD; +1C77 : CDCDCDCD; +1C78 : CDCDCDCD; +1C79 : CDCDCDCD; +1C7A : CDCDCDCD; +1C7B : CDCDCDCD; +1C7C : CDCDCDCD; +1C7D : CDCDCDCD; +1C7E : CDCDCDCD; +1C7F : CDCDCDCD; +1C80 : CDCDCDCD; +1C81 : CDCDCDCD; +1C82 : CDCDCDCD; +1C83 : CDCDCDCD; +1C84 : CDCDCDCD; +1C85 : CDCDCDCD; +1C86 : CDCDCDCD; +1C87 : CDCDCDCD; +1C88 : CDCDCDCD; +1C89 : CDCDCDCD; +1C8A : CDCDCDCD; +1C8B : CDCDCDCD; +1C8C : CDCDCDCD; +1C8D : CDCDCDCD; +1C8E : CDCDCDCD; +1C8F : CDCDCDCD; +1C90 : CDCDCDCD; +1C91 : CDCDCDCD; +1C92 : CDCDCDCD; +1C93 : CDCDCDCD; +1C94 : CDCDCDCD; +1C95 : CDCDCDCD; +1C96 : CDCDCDCD; +1C97 : CDCDCDCD; +1C98 : CDCDCDCD; +1C99 : CDCDCDCD; +1C9A : CDCDCDCD; +1C9B : CDCDCDCD; +1C9C : CDCDCDCD; +1C9D : CDCDCDCD; +1C9E : CDCDCDCD; +1C9F : CDCDCDCD; +1CA0 : CDCDCDCD; +1CA1 : CDCDCDCD; +1CA2 : CDCDCDCD; +1CA3 : CDCDCDCD; +1CA4 : CDCDCDCD; +1CA5 : CDCDCDCD; +1CA6 : CDCDCDCD; +1CA7 : CDCDCDCD; +1CA8 : CDCDCDCD; +1CA9 : CDCDCDCD; +1CAA : CDCDCDCD; +1CAB : CDCDCDCD; +1CAC : CDCDCDCD; +1CAD : CDCDCDCD; +1CAE : CDCDCDCD; +1CAF : CDCDCDCD; +1CB0 : CDCDCDCD; +1CB1 : CDCDCDCD; +1CB2 : CDCDCDCD; +1CB3 : CDCDCDCD; +1CB4 : CDCDCDCD; +1CB5 : CDCDCDCD; +1CB6 : CDCDCDCD; +1CB7 : CDCDCDCD; +1CB8 : CDCDCDCD; +1CB9 : CDCDCDCD; +1CBA : CDCDCDCD; +1CBB : CDCDCDCD; +1CBC : CDCDCDCD; +1CBD : CDCDCDCD; +1CBE : CDCDCDCD; +1CBF : CDCDCDCD; +1CC0 : CDCDCDCD; +1CC1 : CDCDCDCD; +1CC2 : CDCDCDCD; +1CC3 : CDCDCDCD; +1CC4 : CDCDCDCD; +1CC5 : CDCDCDCD; +1CC6 : CDCDCDCD; +1CC7 : CDCDCDCD; +1CC8 : CDCDCDCD; +1CC9 : CDCDCDCD; +1CCA : CDCDCDCD; +1CCB : CDCDCDCD; +1CCC : CDCDCDCD; +1CCD : CDCDCDCD; +1CCE : CDCDCDCD; +1CCF : CDCDCDCD; +1CD0 : CDCDCDCD; +1CD1 : CDCDCDCD; +1CD2 : CDCDCDCD; +1CD3 : CDCDCDCD; +1CD4 : CDCDCDCD; +1CD5 : CDCDCDCD; +1CD6 : CDCDCDCD; +1CD7 : CDCDCDCD; +1CD8 : CDCDCDCD; +1CD9 : CDCDCDCD; +1CDA : CDCDCDCD; +1CDB : CDCDCDCD; +1CDC : CDCDCDCD; +1CDD : CDCDCDCD; +1CDE : CDCDCDCD; +1CDF : CDCDCDCD; +1CE0 : CDCDCDCD; +1CE1 : CDCDCDCD; +1CE2 : CDCDCDCD; +1CE3 : CDCDCDCD; +1CE4 : CDCDCDCD; +1CE5 : CDCDCDCD; +1CE6 : CDCDCDCD; +1CE7 : CDCDCDCD; +1CE8 : CDCDCDCD; +1CE9 : CDCDCDCD; +1CEA : CDCDCDCD; +1CEB : CDCDCDCD; +1CEC : CDCDCDCD; +1CED : CDCDCDCD; +1CEE : CDCDCDCD; +1CEF : CDCDCDCD; +1CF0 : CDCDCDCD; +1CF1 : CDCDCDCD; +1CF2 : CDCDCDCD; +1CF3 : CDCDCDCD; +1CF4 : CDCDCDCD; +1CF5 : CDCDCDCD; +1CF6 : CDCDCDCD; +1CF7 : CDCDCDCD; +1CF8 : CDCDCDCD; +1CF9 : CDCDCDCD; +1CFA : CDCDCDCD; +1CFB : CDCDCDCD; +1CFC : CDCDCDCD; +1CFD : CDCDCDCD; +1CFE : CDCDCDCD; +1CFF : CDCDCDCD; +1D00 : CDCDCDCD; +1D01 : CDCDCDCD; +1D02 : CDCDCDCD; +1D03 : CDCDCDCD; +1D04 : CDCDCDCD; +1D05 : CDCDCDCD; +1D06 : CDCDCDCD; +1D07 : CDCDCDCD; +1D08 : CDCDCDCD; +1D09 : CDCDCDCD; +1D0A : CDCDCDCD; +1D0B : CDCDCDCD; +1D0C : CDCDCDCD; +1D0D : CDCDCDCD; +1D0E : CDCDCDCD; +1D0F : CDCDCDCD; +1D10 : CDCDCDCD; +1D11 : CDCDCDCD; +1D12 : CDCDCDCD; +1D13 : CDCDCDCD; +1D14 : CDCDCDCD; +1D15 : CDCDCDCD; +1D16 : CDCDCDCD; +1D17 : CDCDCDCD; +1D18 : CDCDCDCD; +1D19 : CDCDCDCD; +1D1A : CDCDCDCD; +1D1B : CDCDCDCD; +1D1C : CDCDCDCD; +1D1D : CDCDCDCD; +1D1E : CDCDCDCD; +1D1F : CDCDCDCD; +1D20 : CDCDCDCD; +1D21 : CDCDCDCD; +1D22 : CDCDCDCD; +1D23 : CDCDCDCD; +1D24 : CDCDCDCD; +1D25 : CDCDCDCD; +1D26 : CDCDCDCD; +1D27 : CDCDCDCD; +1D28 : CDCDCDCD; +1D29 : CDCDCDCD; +1D2A : CDCDCDCD; +1D2B : CDCDCDCD; +1D2C : CDCDCDCD; +1D2D : CDCDCDCD; +1D2E : CDCDCDCD; +1D2F : CDCDCDCD; +1D30 : CDCDCDCD; +1D31 : CDCDCDCD; +1D32 : CDCDCDCD; +1D33 : CDCDCDCD; +1D34 : CDCDCDCD; +1D35 : CDCDCDCD; +1D36 : CDCDCDCD; +1D37 : CDCDCDCD; +1D38 : CDCDCDCD; +1D39 : CDCDCDCD; +1D3A : CDCDCDCD; +1D3B : CDCDCDCD; +1D3C : CDCDCDCD; +1D3D : CDCDCDCD; +1D3E : CDCDCDCD; +1D3F : CDCDCDCD; +1D40 : CDCDCDCD; +1D41 : CDCDCDCD; +1D42 : CDCDCDCD; +1D43 : CDCDCDCD; +1D44 : CDCDCDCD; +1D45 : CDCDCDCD; +1D46 : CDCDCDCD; +1D47 : CDCDCDCD; +1D48 : CDCDCDCD; +1D49 : CDCDCDCD; +1D4A : CDCDCDCD; +1D4B : CDCDCDCD; +1D4C : CDCDCDCD; +1D4D : CDCDCDCD; +1D4E : CDCDCDCD; +1D4F : CDCDCDCD; +1D50 : CDCDCDCD; +1D51 : CDCDCDCD; +1D52 : CDCDCDCD; +1D53 : CDCDCDCD; +1D54 : CDCDCDCD; +1D55 : CDCDCDCD; +1D56 : CDCDCDCD; +1D57 : CDCDCDCD; +1D58 : CDCDCDCD; +1D59 : CDCDCDCD; +1D5A : CDCDCDCD; +1D5B : CDCDCDCD; +1D5C : CDCDCDCD; +1D5D : CDCDCDCD; +1D5E : CDCDCDCD; +1D5F : CDCDCDCD; +1D60 : CDCDCDCD; +1D61 : CDCDCDCD; +1D62 : CDCDCDCD; +1D63 : CDCDCDCD; +1D64 : CDCDCDCD; +1D65 : CDCDCDCD; +1D66 : CDCDCDCD; +1D67 : CDCDCDCD; +1D68 : CDCDCDCD; +1D69 : CDCDCDCD; +1D6A : CDCDCDCD; +1D6B : CDCDCDCD; +1D6C : CDCDCDCD; +1D6D : CDCDCDCD; +1D6E : CDCDCDCD; +1D6F : CDCDCDCD; +1D70 : CDCDCDCD; +1D71 : CDCDCDCD; +1D72 : CDCDCDCD; +1D73 : CDCDCDCD; +1D74 : CDCDCDCD; +1D75 : CDCDCDCD; +1D76 : CDCDCDCD; +1D77 : CDCDCDCD; +1D78 : CDCDCDCD; +1D79 : CDCDCDCD; +1D7A : CDCDCDCD; +1D7B : CDCDCDCD; +1D7C : CDCDCDCD; +1D7D : CDCDCDCD; +1D7E : CDCDCDCD; +1D7F : CDCDCDCD; +1D80 : CDCDCDCD; +1D81 : CDCDCDCD; +1D82 : CDCDCDCD; +1D83 : CDCDCDCD; +1D84 : CDCDCDCD; +1D85 : CDCDCDCD; +1D86 : CDCDCDCD; +1D87 : CDCDCDCD; +1D88 : CDCDCDCD; +1D89 : CDCDCDCD; +1D8A : CDCDCDCD; +1D8B : CDCDCDCD; +1D8C : CDCDCDCD; +1D8D : CDCDCDCD; +1D8E : CDCDCDCD; +1D8F : CDCDCDCD; +1D90 : CDCDCDCD; +1D91 : CDCDCDCD; +1D92 : CDCDCDCD; +1D93 : CDCDCDCD; +1D94 : CDCDCDCD; +1D95 : CDCDCDCD; +1D96 : CDCDCDCD; +1D97 : CDCDCDCD; +1D98 : CDCDCDCD; +1D99 : CDCDCDCD; +1D9A : CDCDCDCD; +1D9B : CDCDCDCD; +1D9C : CDCDCDCD; +1D9D : CDCDCDCD; +1D9E : CDCDCDCD; +1D9F : CDCDCDCD; +1DA0 : CDCDCDCD; +1DA1 : CDCDCDCD; +1DA2 : CDCDCDCD; +1DA3 : CDCDCDCD; +1DA4 : CDCDCDCD; +1DA5 : CDCDCDCD; +1DA6 : CDCDCDCD; +1DA7 : CDCDCDCD; +1DA8 : CDCDCDCD; +1DA9 : CDCDCDCD; +1DAA : CDCDCDCD; +1DAB : CDCDCDCD; +1DAC : CDCDCDCD; +1DAD : CDCDCDCD; +1DAE : CDCDCDCD; +1DAF : CDCDCDCD; +1DB0 : CDCDCDCD; +1DB1 : CDCDCDCD; +1DB2 : CDCDCDCD; +1DB3 : CDCDCDCD; +1DB4 : CDCDCDCD; +1DB5 : CDCDCDCD; +1DB6 : CDCDCDCD; +1DB7 : CDCDCDCD; +1DB8 : CDCDCDCD; +1DB9 : CDCDCDCD; +1DBA : CDCDCDCD; +1DBB : CDCDCDCD; +1DBC : CDCDCDCD; +1DBD : CDCDCDCD; +1DBE : CDCDCDCD; +1DBF : CDCDCDCD; +1DC0 : CDCDCDCD; +1DC1 : CDCDCDCD; +1DC2 : CDCDCDCD; +1DC3 : CDCDCDCD; +1DC4 : CDCDCDCD; +1DC5 : CDCDCDCD; +1DC6 : CDCDCDCD; +1DC7 : CDCDCDCD; +1DC8 : CDCDCDCD; +1DC9 : CDCDCDCD; +1DCA : CDCDCDCD; +1DCB : CDCDCDCD; +1DCC : CDCDCDCD; +1DCD : CDCDCDCD; +1DCE : CDCDCDCD; +1DCF : CDCDCDCD; +1DD0 : CDCDCDCD; +1DD1 : CDCDCDCD; +1DD2 : CDCDCDCD; +1DD3 : CDCDCDCD; +1DD4 : CDCDCDCD; +1DD5 : CDCDCDCD; +1DD6 : CDCDCDCD; +1DD7 : CDCDCDCD; +1DD8 : CDCDCDCD; +1DD9 : CDCDCDCD; +1DDA : CDCDCDCD; +1DDB : CDCDCDCD; +1DDC : CDCDCDCD; +1DDD : CDCDCDCD; +1DDE : CDCDCDCD; +1DDF : CDCDCDCD; +1DE0 : CDCDCDCD; +1DE1 : CDCDCDCD; +1DE2 : CDCDCDCD; +1DE3 : CDCDCDCD; +1DE4 : CDCDCDCD; +1DE5 : CDCDCDCD; +1DE6 : CDCDCDCD; +1DE7 : CDCDCDCD; +1DE8 : CDCDCDCD; +1DE9 : CDCDCDCD; +1DEA : CDCDCDCD; +1DEB : CDCDCDCD; +1DEC : CDCDCDCD; +1DED : CDCDCDCD; +1DEE : CDCDCDCD; +1DEF : CDCDCDCD; +1DF0 : CDCDCDCD; +1DF1 : CDCDCDCD; +1DF2 : CDCDCDCD; +1DF3 : CDCDCDCD; +1DF4 : CDCDCDCD; +1DF5 : CDCDCDCD; +1DF6 : CDCDCDCD; +1DF7 : CDCDCDCD; +1DF8 : CDCDCDCD; +1DF9 : CDCDCDCD; +1DFA : CDCDCDCD; +1DFB : CDCDCDCD; +1DFC : CDCDCDCD; +1DFD : CDCDCDCD; +1DFE : CDCDCDCD; +1DFF : CDCDCDCD; +1E00 : CDCDCDCD; +1E01 : CDCDCDCD; +1E02 : CDCDCDCD; +1E03 : CDCDCDCD; +1E04 : CDCDCDCD; +1E05 : CDCDCDCD; +1E06 : CDCDCDCD; +1E07 : CDCDCDCD; +1E08 : CDCDCDCD; +1E09 : CDCDCDCD; +1E0A : CDCDCDCD; +1E0B : CDCDCDCD; +1E0C : CDCDCDCD; +1E0D : CDCDCDCD; +1E0E : CDCDCDCD; +1E0F : CDCDCDCD; +1E10 : CDCDCDCD; +1E11 : CDCDCDCD; +1E12 : CDCDCDCD; +1E13 : CDCDCDCD; +1E14 : CDCDCDCD; +1E15 : CDCDCDCD; +1E16 : CDCDCDCD; +1E17 : CDCDCDCD; +1E18 : CDCDCDCD; +1E19 : CDCDCDCD; +1E1A : CDCDCDCD; +1E1B : CDCDCDCD; +1E1C : CDCDCDCD; +1E1D : CDCDCDCD; +1E1E : CDCDCDCD; +1E1F : CDCDCDCD; +1E20 : CDCDCDCD; +1E21 : CDCDCDCD; +1E22 : CDCDCDCD; +1E23 : CDCDCDCD; +1E24 : CDCDCDCD; +1E25 : CDCDCDCD; +1E26 : CDCDCDCD; +1E27 : CDCDCDCD; +1E28 : CDCDCDCD; +1E29 : CDCDCDCD; +1E2A : CDCDCDCD; +1E2B : CDCDCDCD; +1E2C : CDCDCDCD; +1E2D : CDCDCDCD; +1E2E : CDCDCDCD; +1E2F : CDCDCDCD; +1E30 : CDCDCDCD; +1E31 : CDCDCDCD; +1E32 : CDCDCDCD; +1E33 : CDCDCDCD; +1E34 : CDCDCDCD; +1E35 : CDCDCDCD; +1E36 : CDCDCDCD; +1E37 : CDCDCDCD; +1E38 : CDCDCDCD; +1E39 : CDCDCDCD; +1E3A : CDCDCDCD; +1E3B : CDCDCDCD; +1E3C : CDCDCDCD; +1E3D : CDCDCDCD; +1E3E : CDCDCDCD; +1E3F : CDCDCDCD; +1E40 : CDCDCDCD; +1E41 : CDCDCDCD; +1E42 : CDCDCDCD; +1E43 : CDCDCDCD; +1E44 : CDCDCDCD; +1E45 : CDCDCDCD; +1E46 : CDCDCDCD; +1E47 : CDCDCDCD; +1E48 : CDCDCDCD; +1E49 : CDCDCDCD; +1E4A : CDCDCDCD; +1E4B : CDCDCDCD; +1E4C : CDCDCDCD; +1E4D : CDCDCDCD; +1E4E : CDCDCDCD; +1E4F : CDCDCDCD; +1E50 : CDCDCDCD; +1E51 : CDCDCDCD; +1E52 : CDCDCDCD; +1E53 : CDCDCDCD; +1E54 : CDCDCDCD; +1E55 : CDCDCDCD; +1E56 : CDCDCDCD; +1E57 : CDCDCDCD; +1E58 : CDCDCDCD; +1E59 : CDCDCDCD; +1E5A : CDCDCDCD; +1E5B : CDCDCDCD; +1E5C : CDCDCDCD; +1E5D : CDCDCDCD; +1E5E : CDCDCDCD; +1E5F : CDCDCDCD; +1E60 : CDCDCDCD; +1E61 : CDCDCDCD; +1E62 : CDCDCDCD; +1E63 : CDCDCDCD; +1E64 : CDCDCDCD; +1E65 : CDCDCDCD; +1E66 : CDCDCDCD; +1E67 : CDCDCDCD; +1E68 : CDCDCDCD; +1E69 : CDCDCDCD; +1E6A : CDCDCDCD; +1E6B : CDCDCDCD; +1E6C : CDCDCDCD; +1E6D : CDCDCDCD; +1E6E : CDCDCDCD; +1E6F : CDCDCDCD; +1E70 : CDCDCDCD; +1E71 : CDCDCDCD; +1E72 : CDCDCDCD; +1E73 : CDCDCDCD; +1E74 : CDCDCDCD; +1E75 : CDCDCDCD; +1E76 : CDCDCDCD; +1E77 : CDCDCDCD; +1E78 : CDCDCDCD; +1E79 : CDCDCDCD; +1E7A : CDCDCDCD; +1E7B : CDCDCDCD; +1E7C : CDCDCDCD; +1E7D : CDCDCDCD; +1E7E : CDCDCDCD; +1E7F : CDCDCDCD; +1E80 : CDCDCDCD; +1E81 : CDCDCDCD; +1E82 : CDCDCDCD; +1E83 : CDCDCDCD; +1E84 : CDCDCDCD; +1E85 : CDCDCDCD; +1E86 : CDCDCDCD; +1E87 : CDCDCDCD; +1E88 : CDCDCDCD; +1E89 : CDCDCDCD; +1E8A : CDCDCDCD; +1E8B : CDCDCDCD; +1E8C : CDCDCDCD; +1E8D : CDCDCDCD; +1E8E : CDCDCDCD; +1E8F : CDCDCDCD; +1E90 : CDCDCDCD; +1E91 : CDCDCDCD; +1E92 : CDCDCDCD; +1E93 : CDCDCDCD; +1E94 : CDCDCDCD; +1E95 : CDCDCDCD; +1E96 : CDCDCDCD; +1E97 : CDCDCDCD; +1E98 : CDCDCDCD; +1E99 : CDCDCDCD; +1E9A : CDCDCDCD; +1E9B : CDCDCDCD; +1E9C : CDCDCDCD; +1E9D : CDCDCDCD; +1E9E : CDCDCDCD; +1E9F : CDCDCDCD; +1EA0 : CDCDCDCD; +1EA1 : CDCDCDCD; +1EA2 : CDCDCDCD; +1EA3 : CDCDCDCD; +1EA4 : CDCDCDCD; +1EA5 : CDCDCDCD; +1EA6 : CDCDCDCD; +1EA7 : CDCDCDCD; +1EA8 : CDCDCDCD; +1EA9 : CDCDCDCD; +1EAA : CDCDCDCD; +1EAB : CDCDCDCD; +1EAC : CDCDCDCD; +1EAD : CDCDCDCD; +1EAE : CDCDCDCD; +1EAF : CDCDCDCD; +1EB0 : CDCDCDCD; +1EB1 : CDCDCDCD; +1EB2 : CDCDCDCD; +1EB3 : CDCDCDCD; +1EB4 : CDCDCDCD; +1EB5 : CDCDCDCD; +1EB6 : CDCDCDCD; +1EB7 : CDCDCDCD; +1EB8 : CDCDCDCD; +1EB9 : CDCDCDCD; +1EBA : CDCDCDCD; +1EBB : CDCDCDCD; +1EBC : CDCDCDCD; +1EBD : CDCDCDCD; +1EBE : CDCDCDCD; +1EBF : CDCDCDCD; +1EC0 : CDCDCDCD; +1EC1 : CDCDCDCD; +1EC2 : CDCDCDCD; +1EC3 : CDCDCDCD; +1EC4 : CDCDCDCD; +1EC5 : CDCDCDCD; +1EC6 : CDCDCDCD; +1EC7 : CDCDCDCD; +1EC8 : CDCDCDCD; +1EC9 : CDCDCDCD; +1ECA : CDCDCDCD; +1ECB : CDCDCDCD; +1ECC : CDCDCDCD; +1ECD : CDCDCDCD; +1ECE : CDCDCDCD; +1ECF : CDCDCDCD; +1ED0 : CDCDCDCD; +1ED1 : CDCDCDCD; +1ED2 : CDCDCDCD; +1ED3 : CDCDCDCD; +1ED4 : CDCDCDCD; +1ED5 : CDCDCDCD; +1ED6 : CDCDCDCD; +1ED7 : CDCDCDCD; +1ED8 : CDCDCDCD; +1ED9 : CDCDCDCD; +1EDA : CDCDCDCD; +1EDB : CDCDCDCD; +1EDC : CDCDCDCD; +1EDD : CDCDCDCD; +1EDE : CDCDCDCD; +1EDF : CDCDCDCD; +1EE0 : CDCDCDCD; +1EE1 : CDCDCDCD; +1EE2 : CDCDCDCD; +1EE3 : CDCDCDCD; +1EE4 : CDCDCDCD; +1EE5 : CDCDCDCD; +1EE6 : CDCDCDCD; +1EE7 : CDCDCDCD; +1EE8 : CDCDCDCD; +1EE9 : CDCDCDCD; +1EEA : CDCDCDCD; +1EEB : CDCDCDCD; +1EEC : CDCDCDCD; +1EED : CDCDCDCD; +1EEE : CDCDCDCD; +1EEF : CDCDCDCD; +1EF0 : CDCDCDCD; +1EF1 : CDCDCDCD; +1EF2 : CDCDCDCD; +1EF3 : CDCDCDCD; +1EF4 : CDCDCDCD; +1EF5 : CDCDCDCD; +1EF6 : CDCDCDCD; +1EF7 : CDCDCDCD; +1EF8 : CDCDCDCD; +1EF9 : CDCDCDCD; +1EFA : CDCDCDCD; +1EFB : CDCDCDCD; +1EFC : CDCDCDCD; +1EFD : CDCDCDCD; +1EFE : CDCDCDCD; +1EFF : CDCDCDCD; +1F00 : CDCDCDCD; +1F01 : CDCDCDCD; +1F02 : CDCDCDCD; +1F03 : CDCDCDCD; +1F04 : CDCDCDCD; +1F05 : CDCDCDCD; +1F06 : CDCDCDCD; +1F07 : CDCDCDCD; +1F08 : CDCDCDCD; +1F09 : CDCDCDCD; +1F0A : CDCDCDCD; +1F0B : CDCDCDCD; +1F0C : CDCDCDCD; +1F0D : CDCDCDCD; +1F0E : CDCDCDCD; +1F0F : CDCDCDCD; +1F10 : CDCDCDCD; +1F11 : CDCDCDCD; +1F12 : CDCDCDCD; +1F13 : CDCDCDCD; +1F14 : CDCDCDCD; +1F15 : CDCDCDCD; +1F16 : CDCDCDCD; +1F17 : CDCDCDCD; +1F18 : CDCDCDCD; +1F19 : CDCDCDCD; +1F1A : CDCDCDCD; +1F1B : CDCDCDCD; +1F1C : CDCDCDCD; +1F1D : CDCDCDCD; +1F1E : CDCDCDCD; +1F1F : CDCDCDCD; +1F20 : CDCDCDCD; +1F21 : CDCDCDCD; +1F22 : CDCDCDCD; +1F23 : CDCDCDCD; +1F24 : CDCDCDCD; +1F25 : CDCDCDCD; +1F26 : CDCDCDCD; +1F27 : CDCDCDCD; +1F28 : CDCDCDCD; +1F29 : CDCDCDCD; +1F2A : CDCDCDCD; +1F2B : CDCDCDCD; +1F2C : CDCDCDCD; +1F2D : CDCDCDCD; +1F2E : CDCDCDCD; +1F2F : CDCDCDCD; +1F30 : CDCDCDCD; +1F31 : CDCDCDCD; +1F32 : CDCDCDCD; +1F33 : CDCDCDCD; +1F34 : CDCDCDCD; +1F35 : CDCDCDCD; +1F36 : CDCDCDCD; +1F37 : CDCDCDCD; +1F38 : CDCDCDCD; +1F39 : CDCDCDCD; +1F3A : CDCDCDCD; +1F3B : CDCDCDCD; +1F3C : CDCDCDCD; +1F3D : CDCDCDCD; +1F3E : CDCDCDCD; +1F3F : CDCDCDCD; +1F40 : CDCDCDCD; +1F41 : CDCDCDCD; +1F42 : CDCDCDCD; +1F43 : CDCDCDCD; +1F44 : CDCDCDCD; +1F45 : CDCDCDCD; +1F46 : CDCDCDCD; +1F47 : CDCDCDCD; +1F48 : CDCDCDCD; +1F49 : CDCDCDCD; +1F4A : CDCDCDCD; +1F4B : CDCDCDCD; +1F4C : CDCDCDCD; +1F4D : CDCDCDCD; +1F4E : CDCDCDCD; +1F4F : CDCDCDCD; +1F50 : CDCDCDCD; +1F51 : CDCDCDCD; +1F52 : CDCDCDCD; +1F53 : CDCDCDCD; +1F54 : CDCDCDCD; +1F55 : CDCDCDCD; +1F56 : CDCDCDCD; +1F57 : CDCDCDCD; +1F58 : CDCDCDCD; +1F59 : CDCDCDCD; +1F5A : CDCDCDCD; +1F5B : CDCDCDCD; +1F5C : CDCDCDCD; +1F5D : CDCDCDCD; +1F5E : CDCDCDCD; +1F5F : CDCDCDCD; +1F60 : CDCDCDCD; +1F61 : CDCDCDCD; +1F62 : CDCDCDCD; +1F63 : CDCDCDCD; +1F64 : CDCDCDCD; +1F65 : CDCDCDCD; +1F66 : CDCDCDCD; +1F67 : CDCDCDCD; +1F68 : CDCDCDCD; +1F69 : CDCDCDCD; +1F6A : CDCDCDCD; +1F6B : CDCDCDCD; +1F6C : CDCDCDCD; +1F6D : CDCDCDCD; +1F6E : CDCDCDCD; +1F6F : CDCDCDCD; +1F70 : CDCDCDCD; +1F71 : CDCDCDCD; +1F72 : CDCDCDCD; +1F73 : CDCDCDCD; +1F74 : CDCDCDCD; +1F75 : CDCDCDCD; +1F76 : CDCDCDCD; +1F77 : CDCDCDCD; +1F78 : CDCDCDCD; +1F79 : CDCDCDCD; +1F7A : CDCDCDCD; +1F7B : CDCDCDCD; +1F7C : CDCDCDCD; +1F7D : CDCDCDCD; +1F7E : CDCDCDCD; +1F7F : CDCDCDCD; +1F80 : CDCDCDCD; +1F81 : CDCDCDCD; +1F82 : CDCDCDCD; +1F83 : CDCDCDCD; +1F84 : CDCDCDCD; +1F85 : CDCDCDCD; +1F86 : CDCDCDCD; +1F87 : CDCDCDCD; +1F88 : CDCDCDCD; +1F89 : CDCDCDCD; +1F8A : CDCDCDCD; +1F8B : CDCDCDCD; +1F8C : CDCDCDCD; +1F8D : CDCDCDCD; +1F8E : CDCDCDCD; +1F8F : CDCDCDCD; +1F90 : CDCDCDCD; +1F91 : CDCDCDCD; +1F92 : CDCDCDCD; +1F93 : CDCDCDCD; +1F94 : CDCDCDCD; +1F95 : CDCDCDCD; +1F96 : CDCDCDCD; +1F97 : CDCDCDCD; +1F98 : CDCDCDCD; +1F99 : CDCDCDCD; +1F9A : CDCDCDCD; +1F9B : CDCDCDCD; +1F9C : CDCDCDCD; +1F9D : CDCDCDCD; +1F9E : CDCDCDCD; +1F9F : CDCDCDCD; +1FA0 : CDCDCDCD; +1FA1 : CDCDCDCD; +1FA2 : CDCDCDCD; +1FA3 : CDCDCDCD; +1FA4 : CDCDCDCD; +1FA5 : CDCDCDCD; +1FA6 : CDCDCDCD; +1FA7 : CDCDCDCD; +1FA8 : CDCDCDCD; +1FA9 : CDCDCDCD; +1FAA : CDCDCDCD; +1FAB : CDCDCDCD; +1FAC : CDCDCDCD; +1FAD : CDCDCDCD; +1FAE : CDCDCDCD; +1FAF : CDCDCDCD; +1FB0 : CDCDCDCD; +1FB1 : CDCDCDCD; +1FB2 : CDCDCDCD; +1FB3 : CDCDCDCD; +1FB4 : CDCDCDCD; +1FB5 : CDCDCDCD; +1FB6 : CDCDCDCD; +1FB7 : CDCDCDCD; +1FB8 : CDCDCDCD; +1FB9 : CDCDCDCD; +1FBA : CDCDCDCD; +1FBB : CDCDCDCD; +1FBC : CDCDCDCD; +1FBD : CDCDCDCD; +1FBE : CDCDCDCD; +1FBF : CDCDCDCD; +1FC0 : CDCDCDCD; +1FC1 : CDCDCDCD; +1FC2 : CDCDCDCD; +1FC3 : CDCDCDCD; +1FC4 : CDCDCDCD; +1FC5 : CDCDCDCD; +1FC6 : CDCDCDCD; +1FC7 : CDCDCDCD; +1FC8 : CDCDCDCD; +1FC9 : CDCDCDCD; +1FCA : CDCDCDCD; +1FCB : CDCDCDCD; +1FCC : CDCDCDCD; +1FCD : CDCDCDCD; +1FCE : CDCDCDCD; +1FCF : CDCDCDCD; +1FD0 : CDCDCDCD; +1FD1 : CDCDCDCD; +1FD2 : CDCDCDCD; +1FD3 : CDCDCDCD; +1FD4 : CDCDCDCD; +1FD5 : CDCDCDCD; +1FD6 : CDCDCDCD; +1FD7 : CDCDCDCD; +1FD8 : CDCDCDCD; +1FD9 : CDCDCDCD; +1FDA : CDCDCDCD; +1FDB : CDCDCDCD; +1FDC : CDCDCDCD; +1FDD : CDCDCDCD; +1FDE : CDCDCDCD; +1FDF : CDCDCDCD; +1FE0 : CDCDCDCD; +1FE1 : CDCDCDCD; +1FE2 : CDCDCDCD; +1FE3 : CDCDCDCD; +1FE4 : CDCDCDCD; +1FE5 : CDCDCDCD; +1FE6 : CDCDCDCD; +1FE7 : CDCDCDCD; +1FE8 : CDCDCDCD; +1FE9 : CDCDCDCD; +1FEA : CDCDCDCD; +1FEB : CDCDCDCD; +1FEC : CDCDCDCD; +1FED : CDCDCDCD; +1FEE : CDCDCDCD; +1FEF : CDCDCDCD; +1FF0 : CDCDCDCD; +1FF1 : CDCDCDCD; +1FF2 : CDCDCDCD; +1FF3 : CDCDCDCD; +1FF4 : CDCDCDCD; +1FF5 : CDCDCDCD; +1FF6 : CDCDCDCD; +1FF7 : CDCDCDCD; +1FF8 : CDCDCDCD; +1FF9 : CDCDCDCD; +1FFA : CDCDCDCD; +1FFB : CDCDCDCD; +1FFC : CDCDCDCD; +1FFD : CDCDCDCD; +1FFE : CDCDCDCD; +1FFF : CDCDCDCD; +2000 : CDCDCDCD; +2001 : CDCDCDCD; +2002 : CDCDCDCD; +2003 : CDCDCDCD; +2004 : CDCDCDCD; +2005 : CDCDCDCD; +2006 : CDCDCDCD; +2007 : CDCDCDCD; +2008 : CDCDCDCD; +2009 : CDCDCDCD; +200A : CDCDCDCD; +200B : CDCDCDCD; +200C : CDCDCDCD; +200D : CDCDCDCD; +200E : CDCDCDCD; +200F : CDCDCDCD; +2010 : CDCDCDCD; +2011 : CDCDCDCD; +2012 : CDCDCDCD; +2013 : CDCDCDCD; +2014 : CDCDCDCD; +2015 : CDCDCDCD; +2016 : CDCDCDCD; +2017 : CDCDCDCD; +2018 : CDCDCDCD; +2019 : CDCDCDCD; +201A : CDCDCDCD; +201B : CDCDCDCD; +201C : CDCDCDCD; +201D : CDCDCDCD; +201E : CDCDCDCD; +201F : CDCDCDCD; +2020 : CDCDCDCD; +2021 : CDCDCDCD; +2022 : CDCDCDCD; +2023 : CDCDCDCD; +2024 : CDCDCDCD; +2025 : CDCDCDCD; +2026 : CDCDCDCD; +2027 : CDCDCDCD; +2028 : CDCDCDCD; +2029 : CDCDCDCD; +202A : CDCDCDCD; +202B : CDCDCDCD; +202C : CDCDCDCD; +202D : CDCDCDCD; +202E : CDCDCDCD; +202F : CDCDCDCD; +2030 : CDCDCDCD; +2031 : CDCDCDCD; +2032 : CDCDCDCD; +2033 : CDCDCDCD; +2034 : CDCDCDCD; +2035 : CDCDCDCD; +2036 : CDCDCDCD; +2037 : CDCDCDCD; +2038 : CDCDCDCD; +2039 : CDCDCDCD; +203A : CDCDCDCD; +203B : CDCDCDCD; +203C : CDCDCDCD; +203D : CDCDCDCD; +203E : CDCDCDCD; +203F : CDCDCDCD; +2040 : CDCDCDCD; +2041 : CDCDCDCD; +2042 : CDCDCDCD; +2043 : CDCDCDCD; +2044 : CDCDCDCD; +2045 : CDCDCDCD; +2046 : CDCDCDCD; +2047 : CDCDCDCD; +2048 : CDCDCDCD; +2049 : CDCDCDCD; +204A : CDCDCDCD; +204B : CDCDCDCD; +204C : CDCDCDCD; +204D : CDCDCDCD; +204E : CDCDCDCD; +204F : CDCDCDCD; +2050 : CDCDCDCD; +2051 : CDCDCDCD; +2052 : CDCDCDCD; +2053 : CDCDCDCD; +2054 : CDCDCDCD; +2055 : CDCDCDCD; +2056 : CDCDCDCD; +2057 : CDCDCDCD; +2058 : CDCDCDCD; +2059 : CDCDCDCD; +205A : CDCDCDCD; +205B : CDCDCDCD; +205C : CDCDCDCD; +205D : CDCDCDCD; +205E : CDCDCDCD; +205F : CDCDCDCD; +2060 : CDCDCDCD; +2061 : CDCDCDCD; +2062 : CDCDCDCD; +2063 : CDCDCDCD; +2064 : CDCDCDCD; +2065 : CDCDCDCD; +2066 : CDCDCDCD; +2067 : CDCDCDCD; +2068 : CDCDCDCD; +2069 : CDCDCDCD; +206A : CDCDCDCD; +206B : CDCDCDCD; +206C : CDCDCDCD; +206D : CDCDCDCD; +206E : CDCDCDCD; +206F : CDCDCDCD; +2070 : CDCDCDCD; +2071 : CDCDCDCD; +2072 : CDCDCDCD; +2073 : CDCDCDCD; +2074 : CDCDCDCD; +2075 : CDCDCDCD; +2076 : CDCDCDCD; +2077 : CDCDCDCD; +2078 : CDCDCDCD; +2079 : CDCDCDCD; +207A : CDCDCDCD; +207B : CDCDCDCD; +207C : CDCDCDCD; +207D : CDCDCDCD; +207E : CDCDCDCD; +207F : CDCDCDCD; +2080 : CDCDCDCD; +2081 : CDCDCDCD; +2082 : CDCDCDCD; +2083 : CDCDCDCD; +2084 : CDCDCDCD; +2085 : CDCDCDCD; +2086 : CDCDCDCD; +2087 : CDCDCDCD; +2088 : CDCDCDCD; +2089 : CDCDCDCD; +208A : CDCDCDCD; +208B : CDCDCDCD; +208C : CDCDCDCD; +208D : CDCDCDCD; +208E : CDCDCDCD; +208F : CDCDCDCD; +2090 : CDCDCDCD; +2091 : CDCDCDCD; +2092 : CDCDCDCD; +2093 : CDCDCDCD; +2094 : CDCDCDCD; +2095 : CDCDCDCD; +2096 : CDCDCDCD; +2097 : CDCDCDCD; +2098 : CDCDCDCD; +2099 : CDCDCDCD; +209A : CDCDCDCD; +209B : CDCDCDCD; +209C : CDCDCDCD; +209D : CDCDCDCD; +209E : CDCDCDCD; +209F : CDCDCDCD; +20A0 : CDCDCDCD; +20A1 : CDCDCDCD; +20A2 : CDCDCDCD; +20A3 : CDCDCDCD; +20A4 : CDCDCDCD; +20A5 : CDCDCDCD; +20A6 : CDCDCDCD; +20A7 : CDCDCDCD; +20A8 : CDCDCDCD; +20A9 : CDCDCDCD; +20AA : CDCDCDCD; +20AB : CDCDCDCD; +20AC : CDCDCDCD; +20AD : CDCDCDCD; +20AE : CDCDCDCD; +20AF : CDCDCDCD; +20B0 : CDCDCDCD; +20B1 : CDCDCDCD; +20B2 : CDCDCDCD; +20B3 : CDCDCDCD; +20B4 : CDCDCDCD; +20B5 : CDCDCDCD; +20B6 : CDCDCDCD; +20B7 : CDCDCDCD; +20B8 : CDCDCDCD; +20B9 : CDCDCDCD; +20BA : CDCDCDCD; +20BB : CDCDCDCD; +20BC : CDCDCDCD; +20BD : CDCDCDCD; +20BE : CDCDCDCD; +20BF : CDCDCDCD; +20C0 : CDCDCDCD; +20C1 : CDCDCDCD; +20C2 : CDCDCDCD; +20C3 : CDCDCDCD; +20C4 : CDCDCDCD; +20C5 : CDCDCDCD; +20C6 : CDCDCDCD; +20C7 : CDCDCDCD; +20C8 : CDCDCDCD; +20C9 : CDCDCDCD; +20CA : CDCDCDCD; +20CB : CDCDCDCD; +20CC : CDCDCDCD; +20CD : CDCDCDCD; +20CE : CDCDCDCD; +20CF : CDCDCDCD; +20D0 : CDCDCDCD; +20D1 : CDCDCDCD; +20D2 : CDCDCDCD; +20D3 : CDCDCDCD; +20D4 : CDCDCDCD; +20D5 : CDCDCDCD; +20D6 : CDCDCDCD; +20D7 : CDCDCDCD; +20D8 : CDCDCDCD; +20D9 : CDCDCDCD; +20DA : CDCDCDCD; +20DB : CDCDCDCD; +20DC : CDCDCDCD; +20DD : CDCDCDCD; +20DE : CDCDCDCD; +20DF : CDCDCDCD; +20E0 : CDCDCDCD; +20E1 : CDCDCDCD; +20E2 : CDCDCDCD; +20E3 : CDCDCDCD; +20E4 : CDCDCDCD; +20E5 : CDCDCDCD; +20E6 : CDCDCDCD; +20E7 : CDCDCDCD; +20E8 : CDCDCDCD; +20E9 : CDCDCDCD; +20EA : CDCDCDCD; +20EB : CDCDCDCD; +20EC : CDCDCDCD; +20ED : CDCDCDCD; +20EE : CDCDCDCD; +20EF : CDCDCDCD; +20F0 : CDCDCDCD; +20F1 : CDCDCDCD; +20F2 : CDCDCDCD; +20F3 : CDCDCDCD; +20F4 : CDCDCDCD; +20F5 : CDCDCDCD; +20F6 : CDCDCDCD; +20F7 : CDCDCDCD; +20F8 : CDCDCDCD; +20F9 : CDCDCDCD; +20FA : CDCDCDCD; +20FB : CDCDCDCD; +20FC : CDCDCDCD; +20FD : CDCDCDCD; +20FE : CDCDCDCD; +20FF : CDCDCDCD; +2100 : CDCDCDCD; +2101 : CDCDCDCD; +2102 : CDCDCDCD; +2103 : CDCDCDCD; +2104 : CDCDCDCD; +2105 : CDCDCDCD; +2106 : CDCDCDCD; +2107 : CDCDCDCD; +2108 : CDCDCDCD; +2109 : CDCDCDCD; +210A : CDCDCDCD; +210B : CDCDCDCD; +210C : CDCDCDCD; +210D : CDCDCDCD; +210E : CDCDCDCD; +210F : CDCDCDCD; +2110 : CDCDCDCD; +2111 : CDCDCDCD; +2112 : CDCDCDCD; +2113 : CDCDCDCD; +2114 : CDCDCDCD; +2115 : CDCDCDCD; +2116 : CDCDCDCD; +2117 : CDCDCDCD; +2118 : CDCDCDCD; +2119 : CDCDCDCD; +211A : CDCDCDCD; +211B : CDCDCDCD; +211C : CDCDCDCD; +211D : CDCDCDCD; +211E : CDCDCDCD; +211F : CDCDCDCD; +2120 : CDCDCDCD; +2121 : CDCDCDCD; +2122 : CDCDCDCD; +2123 : CDCDCDCD; +2124 : CDCDCDCD; +2125 : CDCDCDCD; +2126 : CDCDCDCD; +2127 : CDCDCDCD; +2128 : CDCDCDCD; +2129 : CDCDCDCD; +212A : CDCDCDCD; +212B : CDCDCDCD; +212C : CDCDCDCD; +212D : CDCDCDCD; +212E : CDCDCDCD; +212F : CDCDCDCD; +2130 : CDCDCDCD; +2131 : CDCDCDCD; +2132 : CDCDCDCD; +2133 : CDCDCDCD; +2134 : CDCDCDCD; +2135 : CDCDCDCD; +2136 : CDCDCDCD; +2137 : CDCDCDCD; +2138 : CDCDCDCD; +2139 : CDCDCDCD; +213A : CDCDCDCD; +213B : CDCDCDCD; +213C : CDCDCDCD; +213D : CDCDCDCD; +213E : CDCDCDCD; +213F : CDCDCDCD; +2140 : CDCDCDCD; +2141 : CDCDCDCD; +2142 : CDCDCDCD; +2143 : CDCDCDCD; +2144 : CDCDCDCD; +2145 : CDCDCDCD; +2146 : CDCDCDCD; +2147 : CDCDCDCD; +2148 : CDCDCDCD; +2149 : CDCDCDCD; +214A : CDCDCDCD; +214B : CDCDCDCD; +214C : CDCDCDCD; +214D : CDCDCDCD; +214E : CDCDCDCD; +214F : CDCDCDCD; +2150 : CDCDCDCD; +2151 : CDCDCDCD; +2152 : CDCDCDCD; +2153 : CDCDCDCD; +2154 : CDCDCDCD; +2155 : CDCDCDCD; +2156 : CDCDCDCD; +2157 : CDCDCDCD; +2158 : CDCDCDCD; +2159 : CDCDCDCD; +215A : CDCDCDCD; +215B : CDCDCDCD; +215C : CDCDCDCD; +215D : CDCDCDCD; +215E : CDCDCDCD; +215F : CDCDCDCD; +2160 : CDCDCDCD; +2161 : CDCDCDCD; +2162 : CDCDCDCD; +2163 : CDCDCDCD; +2164 : CDCDCDCD; +2165 : CDCDCDCD; +2166 : CDCDCDCD; +2167 : CDCDCDCD; +2168 : CDCDCDCD; +2169 : CDCDCDCD; +216A : CDCDCDCD; +216B : CDCDCDCD; +216C : CDCDCDCD; +216D : CDCDCDCD; +216E : CDCDCDCD; +216F : CDCDCDCD; +2170 : CDCDCDCD; +2171 : CDCDCDCD; +2172 : CDCDCDCD; +2173 : CDCDCDCD; +2174 : CDCDCDCD; +2175 : CDCDCDCD; +2176 : CDCDCDCD; +2177 : CDCDCDCD; +2178 : CDCDCDCD; +2179 : CDCDCDCD; +217A : CDCDCDCD; +217B : CDCDCDCD; +217C : CDCDCDCD; +217D : CDCDCDCD; +217E : CDCDCDCD; +217F : CDCDCDCD; +2180 : CDCDCDCD; +2181 : CDCDCDCD; +2182 : CDCDCDCD; +2183 : CDCDCDCD; +2184 : CDCDCDCD; +2185 : CDCDCDCD; +2186 : CDCDCDCD; +2187 : CDCDCDCD; +2188 : CDCDCDCD; +2189 : CDCDCDCD; +218A : CDCDCDCD; +218B : CDCDCDCD; +218C : CDCDCDCD; +218D : CDCDCDCD; +218E : CDCDCDCD; +218F : CDCDCDCD; +2190 : CDCDCDCD; +2191 : CDCDCDCD; +2192 : CDCDCDCD; +2193 : CDCDCDCD; +2194 : CDCDCDCD; +2195 : CDCDCDCD; +2196 : CDCDCDCD; +2197 : CDCDCDCD; +2198 : CDCDCDCD; +2199 : CDCDCDCD; +219A : CDCDCDCD; +219B : CDCDCDCD; +219C : CDCDCDCD; +219D : CDCDCDCD; +219E : CDCDCDCD; +219F : CDCDCDCD; +21A0 : CDCDCDCD; +21A1 : CDCDCDCD; +21A2 : CDCDCDCD; +21A3 : CDCDCDCD; +21A4 : CDCDCDCD; +21A5 : CDCDCDCD; +21A6 : CDCDCDCD; +21A7 : CDCDCDCD; +21A8 : CDCDCDCD; +21A9 : CDCDCDCD; +21AA : CDCDCDCD; +21AB : CDCDCDCD; +21AC : CDCDCDCD; +21AD : CDCDCDCD; +21AE : CDCDCDCD; +21AF : CDCDCDCD; +21B0 : CDCDCDCD; +21B1 : CDCDCDCD; +21B2 : CDCDCDCD; +21B3 : CDCDCDCD; +21B4 : CDCDCDCD; +21B5 : CDCDCDCD; +21B6 : CDCDCDCD; +21B7 : CDCDCDCD; +21B8 : CDCDCDCD; +21B9 : CDCDCDCD; +21BA : CDCDCDCD; +21BB : CDCDCDCD; +21BC : CDCDCDCD; +21BD : CDCDCDCD; +21BE : CDCDCDCD; +21BF : CDCDCDCD; +21C0 : CDCDCDCD; +21C1 : CDCDCDCD; +21C2 : CDCDCDCD; +21C3 : CDCDCDCD; +21C4 : CDCDCDCD; +21C5 : CDCDCDCD; +21C6 : CDCDCDCD; +21C7 : CDCDCDCD; +21C8 : CDCDCDCD; +21C9 : CDCDCDCD; +21CA : CDCDCDCD; +21CB : CDCDCDCD; +21CC : CDCDCDCD; +21CD : CDCDCDCD; +21CE : CDCDCDCD; +21CF : CDCDCDCD; +21D0 : CDCDCDCD; +21D1 : CDCDCDCD; +21D2 : CDCDCDCD; +21D3 : CDCDCDCD; +21D4 : CDCDCDCD; +21D5 : CDCDCDCD; +21D6 : CDCDCDCD; +21D7 : CDCDCDCD; +21D8 : CDCDCDCD; +21D9 : CDCDCDCD; +21DA : CDCDCDCD; +21DB : CDCDCDCD; +21DC : CDCDCDCD; +21DD : CDCDCDCD; +21DE : CDCDCDCD; +21DF : CDCDCDCD; +21E0 : CDCDCDCD; +21E1 : CDCDCDCD; +21E2 : CDCDCDCD; +21E3 : CDCDCDCD; +21E4 : CDCDCDCD; +21E5 : CDCDCDCD; +21E6 : CDCDCDCD; +21E7 : CDCDCDCD; +21E8 : CDCDCDCD; +21E9 : CDCDCDCD; +21EA : CDCDCDCD; +21EB : CDCDCDCD; +21EC : CDCDCDCD; +21ED : CDCDCDCD; +21EE : CDCDCDCD; +21EF : CDCDCDCD; +21F0 : CDCDCDCD; +21F1 : CDCDCDCD; +21F2 : CDCDCDCD; +21F3 : CDCDCDCD; +21F4 : CDCDCDCD; +21F5 : CDCDCDCD; +21F6 : CDCDCDCD; +21F7 : CDCDCDCD; +21F8 : CDCDCDCD; +21F9 : CDCDCDCD; +21FA : CDCDCDCD; +21FB : CDCDCDCD; +21FC : CDCDCDCD; +21FD : CDCDCDCD; +21FE : CDCDCDCD; +21FF : CDCDCDCD; +2200 : CDCDCDCD; +2201 : CDCDCDCD; +2202 : CDCDCDCD; +2203 : CDCDCDCD; +2204 : CDCDCDCD; +2205 : CDCDCDCD; +2206 : CDCDCDCD; +2207 : CDCDCDCD; +2208 : CDCDCDCD; +2209 : CDCDCDCD; +220A : CDCDCDCD; +220B : CDCDCDCD; +220C : CDCDCDCD; +220D : CDCDCDCD; +220E : CDCDCDCD; +220F : CDCDCDCD; +2210 : CDCDCDCD; +2211 : CDCDCDCD; +2212 : CDCDCDCD; +2213 : CDCDCDCD; +2214 : CDCDCDCD; +2215 : CDCDCDCD; +2216 : CDCDCDCD; +2217 : CDCDCDCD; +2218 : CDCDCDCD; +2219 : CDCDCDCD; +221A : CDCDCDCD; +221B : CDCDCDCD; +221C : CDCDCDCD; +221D : CDCDCDCD; +221E : CDCDCDCD; +221F : CDCDCDCD; +2220 : CDCDCDCD; +2221 : CDCDCDCD; +2222 : CDCDCDCD; +2223 : CDCDCDCD; +2224 : CDCDCDCD; +2225 : CDCDCDCD; +2226 : CDCDCDCD; +2227 : CDCDCDCD; +2228 : CDCDCDCD; +2229 : CDCDCDCD; +222A : CDCDCDCD; +222B : CDCDCDCD; +222C : CDCDCDCD; +222D : CDCDCDCD; +222E : CDCDCDCD; +222F : CDCDCDCD; +2230 : CDCDCDCD; +2231 : CDCDCDCD; +2232 : CDCDCDCD; +2233 : CDCDCDCD; +2234 : CDCDCDCD; +2235 : CDCDCDCD; +2236 : CDCDCDCD; +2237 : CDCDCDCD; +2238 : CDCDCDCD; +2239 : CDCDCDCD; +223A : CDCDCDCD; +223B : CDCDCDCD; +223C : CDCDCDCD; +223D : CDCDCDCD; +223E : CDCDCDCD; +223F : CDCDCDCD; +2240 : CDCDCDCD; +2241 : CDCDCDCD; +2242 : CDCDCDCD; +2243 : CDCDCDCD; +2244 : CDCDCDCD; +2245 : CDCDCDCD; +2246 : CDCDCDCD; +2247 : CDCDCDCD; +2248 : CDCDCDCD; +2249 : CDCDCDCD; +224A : CDCDCDCD; +224B : CDCDCDCD; +224C : CDCDCDCD; +224D : CDCDCDCD; +224E : CDCDCDCD; +224F : CDCDCDCD; +2250 : CDCDCDCD; +2251 : CDCDCDCD; +2252 : CDCDCDCD; +2253 : CDCDCDCD; +2254 : CDCDCDCD; +2255 : CDCDCDCD; +2256 : CDCDCDCD; +2257 : CDCDCDCD; +2258 : CDCDCDCD; +2259 : CDCDCDCD; +225A : CDCDCDCD; +225B : CDCDCDCD; +225C : CDCDCDCD; +225D : CDCDCDCD; +225E : CDCDCDCD; +225F : CDCDCDCD; +2260 : CDCDCDCD; +2261 : CDCDCDCD; +2262 : CDCDCDCD; +2263 : CDCDCDCD; +2264 : CDCDCDCD; +2265 : CDCDCDCD; +2266 : CDCDCDCD; +2267 : CDCDCDCD; +2268 : CDCDCDCD; +2269 : CDCDCDCD; +226A : CDCDCDCD; +226B : CDCDCDCD; +226C : CDCDCDCD; +226D : CDCDCDCD; +226E : CDCDCDCD; +226F : CDCDCDCD; +2270 : CDCDCDCD; +2271 : CDCDCDCD; +2272 : CDCDCDCD; +2273 : CDCDCDCD; +2274 : CDCDCDCD; +2275 : CDCDCDCD; +2276 : CDCDCDCD; +2277 : CDCDCDCD; +2278 : CDCDCDCD; +2279 : CDCDCDCD; +227A : CDCDCDCD; +227B : CDCDCDCD; +227C : CDCDCDCD; +227D : CDCDCDCD; +227E : CDCDCDCD; +227F : CDCDCDCD; +2280 : CDCDCDCD; +2281 : CDCDCDCD; +2282 : CDCDCDCD; +2283 : CDCDCDCD; +2284 : CDCDCDCD; +2285 : CDCDCDCD; +2286 : CDCDCDCD; +2287 : CDCDCDCD; +2288 : CDCDCDCD; +2289 : CDCDCDCD; +228A : CDCDCDCD; +228B : CDCDCDCD; +228C : CDCDCDCD; +228D : CDCDCDCD; +228E : CDCDCDCD; +228F : CDCDCDCD; +2290 : CDCDCDCD; +2291 : CDCDCDCD; +2292 : CDCDCDCD; +2293 : CDCDCDCD; +2294 : CDCDCDCD; +2295 : CDCDCDCD; +2296 : CDCDCDCD; +2297 : CDCDCDCD; +2298 : CDCDCDCD; +2299 : CDCDCDCD; +229A : CDCDCDCD; +229B : CDCDCDCD; +229C : CDCDCDCD; +229D : CDCDCDCD; +229E : CDCDCDCD; +229F : CDCDCDCD; +22A0 : CDCDCDCD; +22A1 : CDCDCDCD; +22A2 : CDCDCDCD; +22A3 : CDCDCDCD; +22A4 : CDCDCDCD; +22A5 : CDCDCDCD; +22A6 : CDCDCDCD; +22A7 : CDCDCDCD; +22A8 : CDCDCDCD; +22A9 : CDCDCDCD; +22AA : CDCDCDCD; +22AB : CDCDCDCD; +22AC : CDCDCDCD; +22AD : CDCDCDCD; +22AE : CDCDCDCD; +22AF : CDCDCDCD; +22B0 : CDCDCDCD; +22B1 : CDCDCDCD; +22B2 : CDCDCDCD; +22B3 : CDCDCDCD; +22B4 : CDCDCDCD; +22B5 : CDCDCDCD; +22B6 : CDCDCDCD; +22B7 : CDCDCDCD; +22B8 : CDCDCDCD; +22B9 : CDCDCDCD; +22BA : CDCDCDCD; +22BB : CDCDCDCD; +22BC : CDCDCDCD; +22BD : CDCDCDCD; +22BE : CDCDCDCD; +22BF : CDCDCDCD; +22C0 : CDCDCDCD; +22C1 : CDCDCDCD; +22C2 : CDCDCDCD; +22C3 : CDCDCDCD; +22C4 : CDCDCDCD; +22C5 : CDCDCDCD; +22C6 : CDCDCDCD; +22C7 : CDCDCDCD; +22C8 : CDCDCDCD; +22C9 : CDCDCDCD; +22CA : CDCDCDCD; +22CB : CDCDCDCD; +22CC : CDCDCDCD; +22CD : CDCDCDCD; +22CE : CDCDCDCD; +22CF : CDCDCDCD; +22D0 : CDCDCDCD; +22D1 : CDCDCDCD; +22D2 : CDCDCDCD; +22D3 : CDCDCDCD; +22D4 : CDCDCDCD; +22D5 : CDCDCDCD; +22D6 : CDCDCDCD; +22D7 : CDCDCDCD; +22D8 : CDCDCDCD; +22D9 : CDCDCDCD; +22DA : CDCDCDCD; +22DB : CDCDCDCD; +22DC : CDCDCDCD; +22DD : CDCDCDCD; +22DE : CDCDCDCD; +22DF : CDCDCDCD; +22E0 : CDCDCDCD; +22E1 : CDCDCDCD; +22E2 : CDCDCDCD; +22E3 : CDCDCDCD; +22E4 : CDCDCDCD; +22E5 : CDCDCDCD; +22E6 : CDCDCDCD; +22E7 : CDCDCDCD; +22E8 : CDCDCDCD; +22E9 : CDCDCDCD; +22EA : CDCDCDCD; +22EB : CDCDCDCD; +22EC : CDCDCDCD; +22ED : CDCDCDCD; +22EE : CDCDCDCD; +22EF : CDCDCDCD; +22F0 : CDCDCDCD; +22F1 : CDCDCDCD; +22F2 : CDCDCDCD; +22F3 : CDCDCDCD; +22F4 : CDCDCDCD; +22F5 : CDCDCDCD; +22F6 : CDCDCDCD; +22F7 : CDCDCDCD; +22F8 : CDCDCDCD; +22F9 : CDCDCDCD; +22FA : CDCDCDCD; +22FB : CDCDCDCD; +22FC : CDCDCDCD; +22FD : CDCDCDCD; +22FE : CDCDCDCD; +22FF : CDCDCDCD; +2300 : CDCDCDCD; +2301 : CDCDCDCD; +2302 : CDCDCDCD; +2303 : CDCDCDCD; +2304 : CDCDCDCD; +2305 : CDCDCDCD; +2306 : CDCDCDCD; +2307 : CDCDCDCD; +2308 : CDCDCDCD; +2309 : CDCDCDCD; +230A : CDCDCDCD; +230B : CDCDCDCD; +230C : CDCDCDCD; +230D : CDCDCDCD; +230E : CDCDCDCD; +230F : CDCDCDCD; +2310 : CDCDCDCD; +2311 : CDCDCDCD; +2312 : CDCDCDCD; +2313 : CDCDCDCD; +2314 : CDCDCDCD; +2315 : CDCDCDCD; +2316 : CDCDCDCD; +2317 : CDCDCDCD; +2318 : CDCDCDCD; +2319 : CDCDCDCD; +231A : CDCDCDCD; +231B : CDCDCDCD; +231C : CDCDCDCD; +231D : CDCDCDCD; +231E : CDCDCDCD; +231F : CDCDCDCD; +2320 : CDCDCDCD; +2321 : CDCDCDCD; +2322 : CDCDCDCD; +2323 : CDCDCDCD; +2324 : CDCDCDCD; +2325 : CDCDCDCD; +2326 : CDCDCDCD; +2327 : CDCDCDCD; +2328 : CDCDCDCD; +2329 : CDCDCDCD; +232A : CDCDCDCD; +232B : CDCDCDCD; +232C : CDCDCDCD; +232D : CDCDCDCD; +232E : CDCDCDCD; +232F : CDCDCDCD; +2330 : CDCDCDCD; +2331 : CDCDCDCD; +2332 : CDCDCDCD; +2333 : CDCDCDCD; +2334 : CDCDCDCD; +2335 : CDCDCDCD; +2336 : CDCDCDCD; +2337 : CDCDCDCD; +2338 : CDCDCDCD; +2339 : CDCDCDCD; +233A : CDCDCDCD; +233B : CDCDCDCD; +233C : CDCDCDCD; +233D : CDCDCDCD; +233E : CDCDCDCD; +233F : CDCDCDCD; +2340 : CDCDCDCD; +2341 : CDCDCDCD; +2342 : CDCDCDCD; +2343 : CDCDCDCD; +2344 : CDCDCDCD; +2345 : CDCDCDCD; +2346 : CDCDCDCD; +2347 : CDCDCDCD; +2348 : CDCDCDCD; +2349 : CDCDCDCD; +234A : CDCDCDCD; +234B : CDCDCDCD; +234C : CDCDCDCD; +234D : CDCDCDCD; +234E : CDCDCDCD; +234F : CDCDCDCD; +2350 : CDCDCDCD; +2351 : CDCDCDCD; +2352 : CDCDCDCD; +2353 : CDCDCDCD; +2354 : CDCDCDCD; +2355 : CDCDCDCD; +2356 : CDCDCDCD; +2357 : CDCDCDCD; +2358 : CDCDCDCD; +2359 : CDCDCDCD; +235A : CDCDCDCD; +235B : CDCDCDCD; +235C : CDCDCDCD; +235D : CDCDCDCD; +235E : CDCDCDCD; +235F : CDCDCDCD; +2360 : CDCDCDCD; +2361 : CDCDCDCD; +2362 : CDCDCDCD; +2363 : CDCDCDCD; +2364 : CDCDCDCD; +2365 : CDCDCDCD; +2366 : CDCDCDCD; +2367 : CDCDCDCD; +2368 : CDCDCDCD; +2369 : CDCDCDCD; +236A : CDCDCDCD; +236B : CDCDCDCD; +236C : CDCDCDCD; +236D : CDCDCDCD; +236E : CDCDCDCD; +236F : CDCDCDCD; +2370 : CDCDCDCD; +2371 : CDCDCDCD; +2372 : CDCDCDCD; +2373 : CDCDCDCD; +2374 : CDCDCDCD; +2375 : CDCDCDCD; +2376 : CDCDCDCD; +2377 : CDCDCDCD; +2378 : CDCDCDCD; +2379 : CDCDCDCD; +237A : CDCDCDCD; +237B : CDCDCDCD; +237C : CDCDCDCD; +237D : CDCDCDCD; +237E : CDCDCDCD; +237F : CDCDCDCD; +2380 : CDCDCDCD; +2381 : CDCDCDCD; +2382 : CDCDCDCD; +2383 : CDCDCDCD; +2384 : CDCDCDCD; +2385 : CDCDCDCD; +2386 : CDCDCDCD; +2387 : CDCDCDCD; +2388 : CDCDCDCD; +2389 : CDCDCDCD; +238A : CDCDCDCD; +238B : CDCDCDCD; +238C : CDCDCDCD; +238D : CDCDCDCD; +238E : CDCDCDCD; +238F : CDCDCDCD; +2390 : CDCDCDCD; +2391 : CDCDCDCD; +2392 : CDCDCDCD; +2393 : CDCDCDCD; +2394 : CDCDCDCD; +2395 : CDCDCDCD; +2396 : CDCDCDCD; +2397 : CDCDCDCD; +2398 : CDCDCDCD; +2399 : CDCDCDCD; +239A : CDCDCDCD; +239B : CDCDCDCD; +239C : CDCDCDCD; +239D : CDCDCDCD; +239E : CDCDCDCD; +239F : CDCDCDCD; +23A0 : CDCDCDCD; +23A1 : CDCDCDCD; +23A2 : CDCDCDCD; +23A3 : CDCDCDCD; +23A4 : CDCDCDCD; +23A5 : CDCDCDCD; +23A6 : CDCDCDCD; +23A7 : CDCDCDCD; +23A8 : CDCDCDCD; +23A9 : CDCDCDCD; +23AA : CDCDCDCD; +23AB : CDCDCDCD; +23AC : CDCDCDCD; +23AD : CDCDCDCD; +23AE : CDCDCDCD; +23AF : CDCDCDCD; +23B0 : CDCDCDCD; +23B1 : CDCDCDCD; +23B2 : CDCDCDCD; +23B3 : CDCDCDCD; +23B4 : CDCDCDCD; +23B5 : CDCDCDCD; +23B6 : CDCDCDCD; +23B7 : CDCDCDCD; +23B8 : CDCDCDCD; +23B9 : CDCDCDCD; +23BA : CDCDCDCD; +23BB : CDCDCDCD; +23BC : CDCDCDCD; +23BD : CDCDCDCD; +23BE : CDCDCDCD; +23BF : CDCDCDCD; +23C0 : CDCDCDCD; +23C1 : CDCDCDCD; +23C2 : CDCDCDCD; +23C3 : CDCDCDCD; +23C4 : CDCDCDCD; +23C5 : CDCDCDCD; +23C6 : CDCDCDCD; +23C7 : CDCDCDCD; +23C8 : CDCDCDCD; +23C9 : CDCDCDCD; +23CA : CDCDCDCD; +23CB : CDCDCDCD; +23CC : CDCDCDCD; +23CD : CDCDCDCD; +23CE : CDCDCDCD; +23CF : CDCDCDCD; +23D0 : CDCDCDCD; +23D1 : CDCDCDCD; +23D2 : CDCDCDCD; +23D3 : CDCDCDCD; +23D4 : CDCDCDCD; +23D5 : CDCDCDCD; +23D6 : CDCDCDCD; +23D7 : CDCDCDCD; +23D8 : CDCDCDCD; +23D9 : CDCDCDCD; +23DA : CDCDCDCD; +23DB : CDCDCDCD; +23DC : CDCDCDCD; +23DD : CDCDCDCD; +23DE : CDCDCDCD; +23DF : CDCDCDCD; +23E0 : CDCDCDCD; +23E1 : CDCDCDCD; +23E2 : CDCDCDCD; +23E3 : CDCDCDCD; +23E4 : CDCDCDCD; +23E5 : CDCDCDCD; +23E6 : CDCDCDCD; +23E7 : CDCDCDCD; +23E8 : CDCDCDCD; +23E9 : CDCDCDCD; +23EA : CDCDCDCD; +23EB : CDCDCDCD; +23EC : CDCDCDCD; +23ED : CDCDCDCD; +23EE : CDCDCDCD; +23EF : CDCDCDCD; +23F0 : CDCDCDCD; +23F1 : CDCDCDCD; +23F2 : CDCDCDCD; +23F3 : CDCDCDCD; +23F4 : CDCDCDCD; +23F5 : CDCDCDCD; +23F6 : CDCDCDCD; +23F7 : CDCDCDCD; +23F8 : CDCDCDCD; +23F9 : CDCDCDCD; +23FA : CDCDCDCD; +23FB : CDCDCDCD; +23FC : CDCDCDCD; +23FD : CDCDCDCD; +23FE : CDCDCDCD; +23FF : CDCDCDCD; +2400 : CDCDCDCD; +2401 : CDCDCDCD; +2402 : CDCDCDCD; +2403 : CDCDCDCD; +2404 : CDCDCDCD; +2405 : CDCDCDCD; +2406 : CDCDCDCD; +2407 : CDCDCDCD; +2408 : CDCDCDCD; +2409 : CDCDCDCD; +240A : CDCDCDCD; +240B : CDCDCDCD; +240C : CDCDCDCD; +240D : CDCDCDCD; +240E : CDCDCDCD; +240F : CDCDCDCD; +2410 : CDCDCDCD; +2411 : CDCDCDCD; +2412 : CDCDCDCD; +2413 : CDCDCDCD; +2414 : CDCDCDCD; +2415 : CDCDCDCD; +2416 : CDCDCDCD; +2417 : CDCDCDCD; +2418 : CDCDCDCD; +2419 : CDCDCDCD; +241A : CDCDCDCD; +241B : CDCDCDCD; +241C : CDCDCDCD; +241D : CDCDCDCD; +241E : CDCDCDCD; +241F : CDCDCDCD; +2420 : CDCDCDCD; +2421 : CDCDCDCD; +2422 : CDCDCDCD; +2423 : CDCDCDCD; +2424 : CDCDCDCD; +2425 : CDCDCDCD; +2426 : CDCDCDCD; +2427 : CDCDCDCD; +2428 : CDCDCDCD; +2429 : CDCDCDCD; +242A : CDCDCDCD; +242B : CDCDCDCD; +242C : CDCDCDCD; +242D : CDCDCDCD; +242E : CDCDCDCD; +242F : CDCDCDCD; +2430 : CDCDCDCD; +2431 : CDCDCDCD; +2432 : CDCDCDCD; +2433 : CDCDCDCD; +2434 : CDCDCDCD; +2435 : CDCDCDCD; +2436 : CDCDCDCD; +2437 : CDCDCDCD; +2438 : CDCDCDCD; +2439 : CDCDCDCD; +243A : CDCDCDCD; +243B : CDCDCDCD; +243C : CDCDCDCD; +243D : CDCDCDCD; +243E : CDCDCDCD; +243F : CDCDCDCD; +2440 : CDCDCDCD; +2441 : CDCDCDCD; +2442 : CDCDCDCD; +2443 : CDCDCDCD; +2444 : CDCDCDCD; +2445 : CDCDCDCD; +2446 : CDCDCDCD; +2447 : CDCDCDCD; +2448 : CDCDCDCD; +2449 : CDCDCDCD; +244A : CDCDCDCD; +244B : CDCDCDCD; +244C : CDCDCDCD; +244D : CDCDCDCD; +244E : CDCDCDCD; +244F : CDCDCDCD; +2450 : CDCDCDCD; +2451 : CDCDCDCD; +2452 : CDCDCDCD; +2453 : CDCDCDCD; +2454 : CDCDCDCD; +2455 : CDCDCDCD; +2456 : CDCDCDCD; +2457 : CDCDCDCD; +2458 : CDCDCDCD; +2459 : CDCDCDCD; +245A : CDCDCDCD; +245B : CDCDCDCD; +245C : CDCDCDCD; +245D : CDCDCDCD; +245E : CDCDCDCD; +245F : CDCDCDCD; +2460 : CDCDCDCD; +2461 : CDCDCDCD; +2462 : CDCDCDCD; +2463 : CDCDCDCD; +2464 : CDCDCDCD; +2465 : CDCDCDCD; +2466 : CDCDCDCD; +2467 : CDCDCDCD; +2468 : CDCDCDCD; +2469 : CDCDCDCD; +246A : CDCDCDCD; +246B : CDCDCDCD; +246C : CDCDCDCD; +246D : CDCDCDCD; +246E : CDCDCDCD; +246F : CDCDCDCD; +2470 : CDCDCDCD; +2471 : CDCDCDCD; +2472 : CDCDCDCD; +2473 : CDCDCDCD; +2474 : CDCDCDCD; +2475 : CDCDCDCD; +2476 : CDCDCDCD; +2477 : CDCDCDCD; +2478 : CDCDCDCD; +2479 : CDCDCDCD; +247A : CDCDCDCD; +247B : CDCDCDCD; +247C : CDCDCDCD; +247D : CDCDCDCD; +247E : CDCDCDCD; +247F : CDCDCDCD; +2480 : CDCDCDCD; +2481 : CDCDCDCD; +2482 : CDCDCDCD; +2483 : CDCDCDCD; +2484 : CDCDCDCD; +2485 : CDCDCDCD; +2486 : CDCDCDCD; +2487 : CDCDCDCD; +2488 : CDCDCDCD; +2489 : CDCDCDCD; +248A : CDCDCDCD; +248B : CDCDCDCD; +248C : CDCDCDCD; +248D : CDCDCDCD; +248E : CDCDCDCD; +248F : CDCDCDCD; +2490 : CDCDCDCD; +2491 : CDCDCDCD; +2492 : CDCDCDCD; +2493 : CDCDCDCD; +2494 : CDCDCDCD; +2495 : CDCDCDCD; +2496 : CDCDCDCD; +2497 : CDCDCDCD; +2498 : CDCDCDCD; +2499 : CDCDCDCD; +249A : CDCDCDCD; +249B : CDCDCDCD; +249C : CDCDCDCD; +249D : CDCDCDCD; +249E : CDCDCDCD; +249F : CDCDCDCD; +24A0 : CDCDCDCD; +24A1 : CDCDCDCD; +24A2 : CDCDCDCD; +24A3 : CDCDCDCD; +24A4 : CDCDCDCD; +24A5 : CDCDCDCD; +24A6 : CDCDCDCD; +24A7 : CDCDCDCD; +24A8 : CDCDCDCD; +24A9 : CDCDCDCD; +24AA : CDCDCDCD; +24AB : CDCDCDCD; +24AC : CDCDCDCD; +24AD : CDCDCDCD; +24AE : CDCDCDCD; +24AF : CDCDCDCD; +24B0 : CDCDCDCD; +24B1 : CDCDCDCD; +24B2 : CDCDCDCD; +24B3 : CDCDCDCD; +24B4 : CDCDCDCD; +24B5 : CDCDCDCD; +24B6 : CDCDCDCD; +24B7 : CDCDCDCD; +24B8 : CDCDCDCD; +24B9 : CDCDCDCD; +24BA : CDCDCDCD; +24BB : CDCDCDCD; +24BC : CDCDCDCD; +24BD : CDCDCDCD; +24BE : CDCDCDCD; +24BF : CDCDCDCD; +24C0 : CDCDCDCD; +24C1 : CDCDCDCD; +24C2 : CDCDCDCD; +24C3 : CDCDCDCD; +24C4 : CDCDCDCD; +24C5 : CDCDCDCD; +24C6 : CDCDCDCD; +24C7 : CDCDCDCD; +24C8 : CDCDCDCD; +24C9 : CDCDCDCD; +24CA : CDCDCDCD; +24CB : CDCDCDCD; +24CC : CDCDCDCD; +24CD : CDCDCDCD; +24CE : CDCDCDCD; +24CF : CDCDCDCD; +24D0 : CDCDCDCD; +24D1 : CDCDCDCD; +24D2 : CDCDCDCD; +24D3 : CDCDCDCD; +24D4 : CDCDCDCD; +24D5 : CDCDCDCD; +24D6 : CDCDCDCD; +24D7 : CDCDCDCD; +24D8 : CDCDCDCD; +24D9 : CDCDCDCD; +24DA : CDCDCDCD; +24DB : CDCDCDCD; +24DC : CDCDCDCD; +24DD : CDCDCDCD; +24DE : CDCDCDCD; +24DF : CDCDCDCD; +24E0 : CDCDCDCD; +24E1 : CDCDCDCD; +24E2 : CDCDCDCD; +24E3 : CDCDCDCD; +24E4 : CDCDCDCD; +24E5 : CDCDCDCD; +24E6 : CDCDCDCD; +24E7 : CDCDCDCD; +24E8 : CDCDCDCD; +24E9 : CDCDCDCD; +24EA : CDCDCDCD; +24EB : CDCDCDCD; +24EC : CDCDCDCD; +24ED : CDCDCDCD; +24EE : CDCDCDCD; +24EF : CDCDCDCD; +24F0 : CDCDCDCD; +24F1 : CDCDCDCD; +24F2 : CDCDCDCD; +24F3 : CDCDCDCD; +24F4 : CDCDCDCD; +24F5 : CDCDCDCD; +24F6 : CDCDCDCD; +24F7 : CDCDCDCD; +24F8 : CDCDCDCD; +24F9 : CDCDCDCD; +24FA : CDCDCDCD; +24FB : CDCDCDCD; +24FC : CDCDCDCD; +24FD : CDCDCDCD; +24FE : CDCDCDCD; +24FF : CDCDCDCD; +2500 : CDCDCDCD; +2501 : CDCDCDCD; +2502 : CDCDCDCD; +2503 : CDCDCDCD; +2504 : CDCDCDCD; +2505 : CDCDCDCD; +2506 : CDCDCDCD; +2507 : CDCDCDCD; +2508 : CDCDCDCD; +2509 : CDCDCDCD; +250A : CDCDCDCD; +250B : CDCDCDCD; +250C : CDCDCDCD; +250D : CDCDCDCD; +250E : CDCDCDCD; +250F : CDCDCDCD; +2510 : CDCDCDCD; +2511 : CDCDCDCD; +2512 : CDCDCDCD; +2513 : CDCDCDCD; +2514 : CDCDCDCD; +2515 : CDCDCDCD; +2516 : CDCDCDCD; +2517 : CDCDCDCD; +2518 : CDCDCDCD; +2519 : CDCDCDCD; +251A : CDCDCDCD; +251B : CDCDCDCD; +251C : CDCDCDCD; +251D : CDCDCDCD; +251E : CDCDCDCD; +251F : CDCDCDCD; +2520 : CDCDCDCD; +2521 : CDCDCDCD; +2522 : CDCDCDCD; +2523 : CDCDCDCD; +2524 : CDCDCDCD; +2525 : CDCDCDCD; +2526 : CDCDCDCD; +2527 : CDCDCDCD; +2528 : CDCDCDCD; +2529 : CDCDCDCD; +252A : CDCDCDCD; +252B : CDCDCDCD; +252C : CDCDCDCD; +252D : CDCDCDCD; +252E : CDCDCDCD; +252F : CDCDCDCD; +2530 : CDCDCDCD; +2531 : CDCDCDCD; +2532 : CDCDCDCD; +2533 : CDCDCDCD; +2534 : CDCDCDCD; +2535 : CDCDCDCD; +2536 : CDCDCDCD; +2537 : CDCDCDCD; +2538 : CDCDCDCD; +2539 : CDCDCDCD; +253A : CDCDCDCD; +253B : CDCDCDCD; +253C : CDCDCDCD; +253D : CDCDCDCD; +253E : CDCDCDCD; +253F : CDCDCDCD; +2540 : CDCDCDCD; +2541 : CDCDCDCD; +2542 : CDCDCDCD; +2543 : CDCDCDCD; +2544 : CDCDCDCD; +2545 : CDCDCDCD; +2546 : CDCDCDCD; +2547 : CDCDCDCD; +2548 : CDCDCDCD; +2549 : CDCDCDCD; +254A : CDCDCDCD; +254B : CDCDCDCD; +254C : CDCDCDCD; +254D : CDCDCDCD; +254E : CDCDCDCD; +254F : CDCDCDCD; +2550 : CDCDCDCD; +2551 : CDCDCDCD; +2552 : CDCDCDCD; +2553 : CDCDCDCD; +2554 : CDCDCDCD; +2555 : CDCDCDCD; +2556 : CDCDCDCD; +2557 : CDCDCDCD; +2558 : CDCDCDCD; +2559 : CDCDCDCD; +255A : CDCDCDCD; +255B : CDCDCDCD; +255C : CDCDCDCD; +255D : CDCDCDCD; +255E : CDCDCDCD; +255F : CDCDCDCD; +2560 : CDCDCDCD; +2561 : CDCDCDCD; +2562 : CDCDCDCD; +2563 : CDCDCDCD; +2564 : CDCDCDCD; +2565 : CDCDCDCD; +2566 : CDCDCDCD; +2567 : CDCDCDCD; +2568 : CDCDCDCD; +2569 : CDCDCDCD; +256A : CDCDCDCD; +256B : CDCDCDCD; +256C : CDCDCDCD; +256D : CDCDCDCD; +256E : CDCDCDCD; +256F : CDCDCDCD; +2570 : CDCDCDCD; +2571 : CDCDCDCD; +2572 : CDCDCDCD; +2573 : CDCDCDCD; +2574 : CDCDCDCD; +2575 : CDCDCDCD; +2576 : CDCDCDCD; +2577 : CDCDCDCD; +2578 : CDCDCDCD; +2579 : CDCDCDCD; +257A : CDCDCDCD; +257B : CDCDCDCD; +257C : CDCDCDCD; +257D : CDCDCDCD; +257E : CDCDCDCD; +257F : CDCDCDCD; +2580 : CDCDCDCD; +2581 : CDCDCDCD; +2582 : CDCDCDCD; +2583 : CDCDCDCD; +2584 : CDCDCDCD; +2585 : CDCDCDCD; +2586 : CDCDCDCD; +2587 : CDCDCDCD; +2588 : CDCDCDCD; +2589 : CDCDCDCD; +258A : CDCDCDCD; +258B : CDCDCDCD; +258C : CDCDCDCD; +258D : CDCDCDCD; +258E : CDCDCDCD; +258F : CDCDCDCD; +2590 : CDCDCDCD; +2591 : CDCDCDCD; +2592 : CDCDCDCD; +2593 : CDCDCDCD; +2594 : CDCDCDCD; +2595 : CDCDCDCD; +2596 : CDCDCDCD; +2597 : CDCDCDCD; +2598 : CDCDCDCD; +2599 : CDCDCDCD; +259A : CDCDCDCD; +259B : CDCDCDCD; +259C : CDCDCDCD; +259D : CDCDCDCD; +259E : CDCDCDCD; +259F : CDCDCDCD; +25A0 : CDCDCDCD; +25A1 : CDCDCDCD; +25A2 : CDCDCDCD; +25A3 : CDCDCDCD; +25A4 : CDCDCDCD; +25A5 : CDCDCDCD; +25A6 : CDCDCDCD; +25A7 : CDCDCDCD; +25A8 : CDCDCDCD; +25A9 : CDCDCDCD; +25AA : CDCDCDCD; +25AB : CDCDCDCD; +25AC : CDCDCDCD; +25AD : CDCDCDCD; +25AE : CDCDCDCD; +25AF : CDCDCDCD; +25B0 : CDCDCDCD; +25B1 : CDCDCDCD; +25B2 : CDCDCDCD; +25B3 : CDCDCDCD; +25B4 : CDCDCDCD; +25B5 : CDCDCDCD; +25B6 : CDCDCDCD; +25B7 : CDCDCDCD; +25B8 : CDCDCDCD; +25B9 : CDCDCDCD; +25BA : CDCDCDCD; +25BB : CDCDCDCD; +25BC : CDCDCDCD; +25BD : CDCDCDCD; +25BE : CDCDCDCD; +25BF : CDCDCDCD; +25C0 : CDCDCDCD; +25C1 : CDCDCDCD; +25C2 : CDCDCDCD; +25C3 : CDCDCDCD; +25C4 : CDCDCDCD; +25C5 : CDCDCDCD; +25C6 : CDCDCDCD; +25C7 : CDCDCDCD; +25C8 : CDCDCDCD; +25C9 : CDCDCDCD; +25CA : CDCDCDCD; +25CB : CDCDCDCD; +25CC : CDCDCDCD; +25CD : CDCDCDCD; +25CE : CDCDCDCD; +25CF : CDCDCDCD; +25D0 : CDCDCDCD; +25D1 : CDCDCDCD; +25D2 : CDCDCDCD; +25D3 : CDCDCDCD; +25D4 : CDCDCDCD; +25D5 : CDCDCDCD; +25D6 : CDCDCDCD; +25D7 : CDCDCDCD; +25D8 : CDCDCDCD; +25D9 : CDCDCDCD; +25DA : CDCDCDCD; +25DB : CDCDCDCD; +25DC : CDCDCDCD; +25DD : CDCDCDCD; +25DE : CDCDCDCD; +25DF : CDCDCDCD; +25E0 : CDCDCDCD; +25E1 : CDCDCDCD; +25E2 : CDCDCDCD; +25E3 : CDCDCDCD; +25E4 : CDCDCDCD; +25E5 : CDCDCDCD; +25E6 : CDCDCDCD; +25E7 : CDCDCDCD; +25E8 : CDCDCDCD; +25E9 : CDCDCDCD; +25EA : CDCDCDCD; +25EB : CDCDCDCD; +25EC : CDCDCDCD; +25ED : CDCDCDCD; +25EE : CDCDCDCD; +25EF : CDCDCDCD; +25F0 : CDCDCDCD; +25F1 : CDCDCDCD; +25F2 : CDCDCDCD; +25F3 : CDCDCDCD; +25F4 : CDCDCDCD; +25F5 : CDCDCDCD; +25F6 : CDCDCDCD; +25F7 : CDCDCDCD; +25F8 : CDCDCDCD; +25F9 : CDCDCDCD; +25FA : CDCDCDCD; +25FB : CDCDCDCD; +25FC : CDCDCDCD; +25FD : CDCDCDCD; +25FE : CDCDCDCD; +25FF : CDCDCDCD; +2600 : CDCDCDCD; +2601 : CDCDCDCD; +2602 : CDCDCDCD; +2603 : CDCDCDCD; +2604 : CDCDCDCD; +2605 : CDCDCDCD; +2606 : CDCDCDCD; +2607 : CDCDCDCD; +2608 : CDCDCDCD; +2609 : CDCDCDCD; +260A : CDCDCDCD; +260B : CDCDCDCD; +260C : CDCDCDCD; +260D : CDCDCDCD; +260E : CDCDCDCD; +260F : CDCDCDCD; +2610 : CDCDCDCD; +2611 : CDCDCDCD; +2612 : CDCDCDCD; +2613 : CDCDCDCD; +2614 : CDCDCDCD; +2615 : CDCDCDCD; +2616 : CDCDCDCD; +2617 : CDCDCDCD; +2618 : CDCDCDCD; +2619 : CDCDCDCD; +261A : CDCDCDCD; +261B : CDCDCDCD; +261C : CDCDCDCD; +261D : CDCDCDCD; +261E : CDCDCDCD; +261F : CDCDCDCD; +2620 : CDCDCDCD; +2621 : CDCDCDCD; +2622 : CDCDCDCD; +2623 : CDCDCDCD; +2624 : CDCDCDCD; +2625 : CDCDCDCD; +2626 : CDCDCDCD; +2627 : CDCDCDCD; +2628 : CDCDCDCD; +2629 : CDCDCDCD; +262A : CDCDCDCD; +262B : CDCDCDCD; +262C : CDCDCDCD; +262D : CDCDCDCD; +262E : CDCDCDCD; +262F : CDCDCDCD; +2630 : CDCDCDCD; +2631 : CDCDCDCD; +2632 : CDCDCDCD; +2633 : CDCDCDCD; +2634 : CDCDCDCD; +2635 : CDCDCDCD; +2636 : CDCDCDCD; +2637 : CDCDCDCD; +2638 : CDCDCDCD; +2639 : CDCDCDCD; +263A : CDCDCDCD; +263B : CDCDCDCD; +263C : CDCDCDCD; +263D : CDCDCDCD; +263E : CDCDCDCD; +263F : CDCDCDCD; +2640 : CDCDCDCD; +2641 : CDCDCDCD; +2642 : CDCDCDCD; +2643 : CDCDCDCD; +2644 : CDCDCDCD; +2645 : CDCDCDCD; +2646 : CDCDCDCD; +2647 : CDCDCDCD; +2648 : CDCDCDCD; +2649 : CDCDCDCD; +264A : CDCDCDCD; +264B : CDCDCDCD; +264C : CDCDCDCD; +264D : CDCDCDCD; +264E : CDCDCDCD; +264F : CDCDCDCD; +2650 : CDCDCDCD; +2651 : CDCDCDCD; +2652 : CDCDCDCD; +2653 : CDCDCDCD; +2654 : CDCDCDCD; +2655 : CDCDCDCD; +2656 : CDCDCDCD; +2657 : CDCDCDCD; +2658 : CDCDCDCD; +2659 : CDCDCDCD; +265A : CDCDCDCD; +265B : CDCDCDCD; +265C : CDCDCDCD; +265D : CDCDCDCD; +265E : CDCDCDCD; +265F : CDCDCDCD; +2660 : CDCDCDCD; +2661 : CDCDCDCD; +2662 : CDCDCDCD; +2663 : CDCDCDCD; +2664 : CDCDCDCD; +2665 : CDCDCDCD; +2666 : CDCDCDCD; +2667 : CDCDCDCD; +2668 : CDCDCDCD; +2669 : CDCDCDCD; +266A : CDCDCDCD; +266B : CDCDCDCD; +266C : CDCDCDCD; +266D : CDCDCDCD; +266E : CDCDCDCD; +266F : CDCDCDCD; +2670 : CDCDCDCD; +2671 : CDCDCDCD; +2672 : CDCDCDCD; +2673 : CDCDCDCD; +2674 : CDCDCDCD; +2675 : CDCDCDCD; +2676 : CDCDCDCD; +2677 : CDCDCDCD; +2678 : CDCDCDCD; +2679 : CDCDCDCD; +267A : CDCDCDCD; +267B : CDCDCDCD; +267C : CDCDCDCD; +267D : CDCDCDCD; +267E : CDCDCDCD; +267F : CDCDCDCD; +2680 : CDCDCDCD; +2681 : CDCDCDCD; +2682 : CDCDCDCD; +2683 : CDCDCDCD; +2684 : CDCDCDCD; +2685 : CDCDCDCD; +2686 : CDCDCDCD; +2687 : CDCDCDCD; +2688 : CDCDCDCD; +2689 : CDCDCDCD; +268A : CDCDCDCD; +268B : CDCDCDCD; +268C : CDCDCDCD; +268D : CDCDCDCD; +268E : CDCDCDCD; +268F : CDCDCDCD; +2690 : CDCDCDCD; +2691 : CDCDCDCD; +2692 : CDCDCDCD; +2693 : CDCDCDCD; +2694 : CDCDCDCD; +2695 : CDCDCDCD; +2696 : CDCDCDCD; +2697 : CDCDCDCD; +2698 : CDCDCDCD; +2699 : CDCDCDCD; +269A : CDCDCDCD; +269B : CDCDCDCD; +269C : CDCDCDCD; +269D : CDCDCDCD; +269E : CDCDCDCD; +269F : CDCDCDCD; +26A0 : CDCDCDCD; +26A1 : CDCDCDCD; +26A2 : CDCDCDCD; +26A3 : CDCDCDCD; +26A4 : CDCDCDCD; +26A5 : CDCDCDCD; +26A6 : CDCDCDCD; +26A7 : CDCDCDCD; +26A8 : CDCDCDCD; +26A9 : CDCDCDCD; +26AA : CDCDCDCD; +26AB : CDCDCDCD; +26AC : CDCDCDCD; +26AD : CDCDCDCD; +26AE : CDCDCDCD; +26AF : CDCDCDCD; +26B0 : CDCDCDCD; +26B1 : CDCDCDCD; +26B2 : CDCDCDCD; +26B3 : CDCDCDCD; +26B4 : CDCDCDCD; +26B5 : CDCDCDCD; +26B6 : CDCDCDCD; +26B7 : CDCDCDCD; +26B8 : CDCDCDCD; +26B9 : CDCDCDCD; +26BA : CDCDCDCD; +26BB : CDCDCDCD; +26BC : CDCDCDCD; +26BD : CDCDCDCD; +26BE : CDCDCDCD; +26BF : CDCDCDCD; +26C0 : CDCDCDCD; +26C1 : CDCDCDCD; +26C2 : CDCDCDCD; +26C3 : CDCDCDCD; +26C4 : CDCDCDCD; +26C5 : CDCDCDCD; +26C6 : CDCDCDCD; +26C7 : CDCDCDCD; +26C8 : CDCDCDCD; +26C9 : CDCDCDCD; +26CA : CDCDCDCD; +26CB : CDCDCDCD; +26CC : CDCDCDCD; +26CD : CDCDCDCD; +26CE : CDCDCDCD; +26CF : CDCDCDCD; +26D0 : CDCDCDCD; +26D1 : CDCDCDCD; +26D2 : CDCDCDCD; +26D3 : CDCDCDCD; +26D4 : CDCDCDCD; +26D5 : CDCDCDCD; +26D6 : CDCDCDCD; +26D7 : CDCDCDCD; +26D8 : CDCDCDCD; +26D9 : CDCDCDCD; +26DA : CDCDCDCD; +26DB : CDCDCDCD; +26DC : CDCDCDCD; +26DD : CDCDCDCD; +26DE : CDCDCDCD; +26DF : CDCDCDCD; +26E0 : CDCDCDCD; +26E1 : CDCDCDCD; +26E2 : CDCDCDCD; +26E3 : CDCDCDCD; +26E4 : CDCDCDCD; +26E5 : CDCDCDCD; +26E6 : CDCDCDCD; +26E7 : CDCDCDCD; +26E8 : CDCDCDCD; +26E9 : CDCDCDCD; +26EA : CDCDCDCD; +26EB : CDCDCDCD; +26EC : CDCDCDCD; +26ED : CDCDCDCD; +26EE : CDCDCDCD; +26EF : CDCDCDCD; +26F0 : CDCDCDCD; +26F1 : CDCDCDCD; +26F2 : CDCDCDCD; +26F3 : CDCDCDCD; +26F4 : CDCDCDCD; +26F5 : CDCDCDCD; +26F6 : CDCDCDCD; +26F7 : CDCDCDCD; +26F8 : CDCDCDCD; +26F9 : CDCDCDCD; +26FA : CDCDCDCD; +26FB : CDCDCDCD; +26FC : CDCDCDCD; +26FD : CDCDCDCD; +26FE : CDCDCDCD; +26FF : CDCDCDCD; +2700 : CDCDCDCD; +2701 : CDCDCDCD; +2702 : CDCDCDCD; +2703 : CDCDCDCD; +2704 : CDCDCDCD; +2705 : CDCDCDCD; +2706 : CDCDCDCD; +2707 : CDCDCDCD; +2708 : CDCDCDCD; +2709 : CDCDCDCD; +270A : CDCDCDCD; +270B : CDCDCDCD; +270C : CDCDCDCD; +270D : CDCDCDCD; +270E : CDCDCDCD; +270F : CDCDCDCD; +2710 : CDCDCDCD; +2711 : CDCDCDCD; +2712 : CDCDCDCD; +2713 : CDCDCDCD; +2714 : CDCDCDCD; +2715 : CDCDCDCD; +2716 : CDCDCDCD; +2717 : CDCDCDCD; +2718 : CDCDCDCD; +2719 : CDCDCDCD; +271A : CDCDCDCD; +271B : CDCDCDCD; +271C : CDCDCDCD; +271D : CDCDCDCD; +271E : CDCDCDCD; +271F : CDCDCDCD; +2720 : CDCDCDCD; +2721 : CDCDCDCD; +2722 : CDCDCDCD; +2723 : CDCDCDCD; +2724 : CDCDCDCD; +2725 : CDCDCDCD; +2726 : CDCDCDCD; +2727 : CDCDCDCD; +2728 : CDCDCDCD; +2729 : CDCDCDCD; +272A : CDCDCDCD; +272B : CDCDCDCD; +272C : CDCDCDCD; +272D : CDCDCDCD; +272E : CDCDCDCD; +272F : CDCDCDCD; +2730 : CDCDCDCD; +2731 : CDCDCDCD; +2732 : CDCDCDCD; +2733 : CDCDCDCD; +2734 : CDCDCDCD; +2735 : CDCDCDCD; +2736 : CDCDCDCD; +2737 : CDCDCDCD; +2738 : CDCDCDCD; +2739 : CDCDCDCD; +273A : CDCDCDCD; +273B : CDCDCDCD; +273C : CDCDCDCD; +273D : CDCDCDCD; +273E : CDCDCDCD; +273F : CDCDCDCD; +2740 : CDCDCDCD; +2741 : CDCDCDCD; +2742 : CDCDCDCD; +2743 : CDCDCDCD; +2744 : CDCDCDCD; +2745 : CDCDCDCD; +2746 : CDCDCDCD; +2747 : CDCDCDCD; +2748 : CDCDCDCD; +2749 : CDCDCDCD; +274A : CDCDCDCD; +274B : CDCDCDCD; +274C : CDCDCDCD; +274D : CDCDCDCD; +274E : CDCDCDCD; +274F : CDCDCDCD; +2750 : CDCDCDCD; +2751 : CDCDCDCD; +2752 : CDCDCDCD; +2753 : CDCDCDCD; +2754 : CDCDCDCD; +2755 : CDCDCDCD; +2756 : CDCDCDCD; +2757 : CDCDCDCD; +2758 : CDCDCDCD; +2759 : CDCDCDCD; +275A : CDCDCDCD; +275B : CDCDCDCD; +275C : CDCDCDCD; +275D : CDCDCDCD; +275E : CDCDCDCD; +275F : CDCDCDCD; +2760 : CDCDCDCD; +2761 : CDCDCDCD; +2762 : CDCDCDCD; +2763 : CDCDCDCD; +2764 : CDCDCDCD; +2765 : CDCDCDCD; +2766 : CDCDCDCD; +2767 : CDCDCDCD; +2768 : CDCDCDCD; +2769 : CDCDCDCD; +276A : CDCDCDCD; +276B : CDCDCDCD; +276C : CDCDCDCD; +276D : CDCDCDCD; +276E : CDCDCDCD; +276F : CDCDCDCD; +2770 : CDCDCDCD; +2771 : CDCDCDCD; +2772 : CDCDCDCD; +2773 : CDCDCDCD; +2774 : CDCDCDCD; +2775 : CDCDCDCD; +2776 : CDCDCDCD; +2777 : CDCDCDCD; +2778 : CDCDCDCD; +2779 : CDCDCDCD; +277A : CDCDCDCD; +277B : CDCDCDCD; +277C : CDCDCDCD; +277D : CDCDCDCD; +277E : CDCDCDCD; +277F : CDCDCDCD; +2780 : CDCDCDCD; +2781 : CDCDCDCD; +2782 : CDCDCDCD; +2783 : CDCDCDCD; +2784 : CDCDCDCD; +2785 : CDCDCDCD; +2786 : CDCDCDCD; +2787 : CDCDCDCD; +2788 : CDCDCDCD; +2789 : CDCDCDCD; +278A : CDCDCDCD; +278B : CDCDCDCD; +278C : CDCDCDCD; +278D : CDCDCDCD; +278E : CDCDCDCD; +278F : CDCDCDCD; +2790 : CDCDCDCD; +2791 : CDCDCDCD; +2792 : CDCDCDCD; +2793 : CDCDCDCD; +2794 : CDCDCDCD; +2795 : CDCDCDCD; +2796 : CDCDCDCD; +2797 : CDCDCDCD; +2798 : CDCDCDCD; +2799 : CDCDCDCD; +279A : CDCDCDCD; +279B : CDCDCDCD; +279C : CDCDCDCD; +279D : CDCDCDCD; +279E : CDCDCDCD; +279F : CDCDCDCD; +27A0 : CDCDCDCD; +27A1 : CDCDCDCD; +27A2 : CDCDCDCD; +27A3 : CDCDCDCD; +27A4 : CDCDCDCD; +27A5 : CDCDCDCD; +27A6 : CDCDCDCD; +27A7 : CDCDCDCD; +27A8 : CDCDCDCD; +27A9 : CDCDCDCD; +27AA : CDCDCDCD; +27AB : CDCDCDCD; +27AC : CDCDCDCD; +27AD : CDCDCDCD; +27AE : CDCDCDCD; +27AF : CDCDCDCD; +27B0 : CDCDCDCD; +27B1 : CDCDCDCD; +27B2 : CDCDCDCD; +27B3 : CDCDCDCD; +27B4 : CDCDCDCD; +27B5 : CDCDCDCD; +27B6 : CDCDCDCD; +27B7 : CDCDCDCD; +27B8 : CDCDCDCD; +27B9 : CDCDCDCD; +27BA : CDCDCDCD; +27BB : CDCDCDCD; +27BC : CDCDCDCD; +27BD : CDCDCDCD; +27BE : CDCDCDCD; +27BF : CDCDCDCD; +27C0 : CDCDCDCD; +27C1 : CDCDCDCD; +27C2 : CDCDCDCD; +27C3 : CDCDCDCD; +27C4 : CDCDCDCD; +27C5 : CDCDCDCD; +27C6 : CDCDCDCD; +27C7 : CDCDCDCD; +27C8 : CDCDCDCD; +27C9 : CDCDCDCD; +27CA : CDCDCDCD; +27CB : CDCDCDCD; +27CC : CDCDCDCD; +27CD : CDCDCDCD; +27CE : CDCDCDCD; +27CF : CDCDCDCD; +27D0 : CDCDCDCD; +27D1 : CDCDCDCD; +27D2 : CDCDCDCD; +27D3 : CDCDCDCD; +27D4 : CDCDCDCD; +27D5 : CDCDCDCD; +27D6 : CDCDCDCD; +27D7 : CDCDCDCD; +27D8 : CDCDCDCD; +27D9 : CDCDCDCD; +27DA : CDCDCDCD; +27DB : CDCDCDCD; +27DC : CDCDCDCD; +27DD : CDCDCDCD; +27DE : CDCDCDCD; +27DF : CDCDCDCD; +27E0 : CDCDCDCD; +27E1 : CDCDCDCD; +27E2 : CDCDCDCD; +27E3 : CDCDCDCD; +27E4 : CDCDCDCD; +27E5 : CDCDCDCD; +27E6 : CDCDCDCD; +27E7 : CDCDCDCD; +27E8 : CDCDCDCD; +27E9 : CDCDCDCD; +27EA : CDCDCDCD; +27EB : CDCDCDCD; +27EC : CDCDCDCD; +27ED : CDCDCDCD; +27EE : CDCDCDCD; +27EF : CDCDCDCD; +27F0 : CDCDCDCD; +27F1 : CDCDCDCD; +27F2 : CDCDCDCD; +27F3 : CDCDCDCD; +27F4 : CDCDCDCD; +27F5 : CDCDCDCD; +27F6 : CDCDCDCD; +27F7 : CDCDCDCD; +27F8 : CDCDCDCD; +27F9 : CDCDCDCD; +27FA : CDCDCDCD; +27FB : CDCDCDCD; +27FC : CDCDCDCD; +27FD : CDCDCDCD; +27FE : CDCDCDCD; +27FF : CDCDCDCD; +2800 : CDCDCDCD; +2801 : CDCDCDCD; +2802 : CDCDCDCD; +2803 : CDCDCDCD; +2804 : CDCDCDCD; +2805 : CDCDCDCD; +2806 : CDCDCDCD; +2807 : CDCDCDCD; +2808 : CDCDCDCD; +2809 : CDCDCDCD; +280A : CDCDCDCD; +280B : CDCDCDCD; +280C : CDCDCDCD; +280D : CDCDCDCD; +280E : CDCDCDCD; +280F : CDCDCDCD; +2810 : CDCDCDCD; +2811 : CDCDCDCD; +2812 : CDCDCDCD; +2813 : CDCDCDCD; +2814 : CDCDCDCD; +2815 : CDCDCDCD; +2816 : CDCDCDCD; +2817 : CDCDCDCD; +2818 : CDCDCDCD; +2819 : CDCDCDCD; +281A : CDCDCDCD; +281B : CDCDCDCD; +281C : CDCDCDCD; +281D : CDCDCDCD; +281E : CDCDCDCD; +281F : CDCDCDCD; +2820 : CDCDCDCD; +2821 : CDCDCDCD; +2822 : CDCDCDCD; +2823 : CDCDCDCD; +2824 : CDCDCDCD; +2825 : CDCDCDCD; +2826 : CDCDCDCD; +2827 : CDCDCDCD; +2828 : CDCDCDCD; +2829 : CDCDCDCD; +282A : CDCDCDCD; +282B : CDCDCDCD; +282C : CDCDCDCD; +282D : CDCDCDCD; +282E : CDCDCDCD; +282F : CDCDCDCD; +2830 : CDCDCDCD; +2831 : CDCDCDCD; +2832 : CDCDCDCD; +2833 : CDCDCDCD; +2834 : CDCDCDCD; +2835 : CDCDCDCD; +2836 : CDCDCDCD; +2837 : CDCDCDCD; +2838 : CDCDCDCD; +2839 : CDCDCDCD; +283A : CDCDCDCD; +283B : CDCDCDCD; +283C : CDCDCDCD; +283D : CDCDCDCD; +283E : CDCDCDCD; +283F : CDCDCDCD; +2840 : CDCDCDCD; +2841 : CDCDCDCD; +2842 : CDCDCDCD; +2843 : CDCDCDCD; +2844 : CDCDCDCD; +2845 : CDCDCDCD; +2846 : CDCDCDCD; +2847 : CDCDCDCD; +2848 : CDCDCDCD; +2849 : CDCDCDCD; +284A : CDCDCDCD; +284B : CDCDCDCD; +284C : CDCDCDCD; +284D : CDCDCDCD; +284E : CDCDCDCD; +284F : CDCDCDCD; +2850 : CDCDCDCD; +2851 : CDCDCDCD; +2852 : CDCDCDCD; +2853 : CDCDCDCD; +2854 : CDCDCDCD; +2855 : CDCDCDCD; +2856 : CDCDCDCD; +2857 : CDCDCDCD; +2858 : CDCDCDCD; +2859 : CDCDCDCD; +285A : CDCDCDCD; +285B : CDCDCDCD; +285C : CDCDCDCD; +285D : CDCDCDCD; +285E : CDCDCDCD; +285F : CDCDCDCD; +2860 : CDCDCDCD; +2861 : CDCDCDCD; +2862 : CDCDCDCD; +2863 : CDCDCDCD; +2864 : CDCDCDCD; +2865 : CDCDCDCD; +2866 : CDCDCDCD; +2867 : CDCDCDCD; +2868 : CDCDCDCD; +2869 : CDCDCDCD; +286A : CDCDCDCD; +286B : CDCDCDCD; +286C : CDCDCDCD; +286D : CDCDCDCD; +286E : CDCDCDCD; +286F : CDCDCDCD; +2870 : CDCDCDCD; +2871 : CDCDCDCD; +2872 : CDCDCDCD; +2873 : CDCDCDCD; +2874 : CDCDCDCD; +2875 : CDCDCDCD; +2876 : CDCDCDCD; +2877 : CDCDCDCD; +2878 : CDCDCDCD; +2879 : CDCDCDCD; +287A : CDCDCDCD; +287B : CDCDCDCD; +287C : CDCDCDCD; +287D : CDCDCDCD; +287E : CDCDCDCD; +287F : CDCDCDCD; +2880 : CDCDCDCD; +2881 : CDCDCDCD; +2882 : CDCDCDCD; +2883 : CDCDCDCD; +2884 : CDCDCDCD; +2885 : CDCDCDCD; +2886 : CDCDCDCD; +2887 : CDCDCDCD; +2888 : CDCDCDCD; +2889 : CDCDCDCD; +288A : CDCDCDCD; +288B : CDCDCDCD; +288C : CDCDCDCD; +288D : CDCDCDCD; +288E : CDCDCDCD; +288F : CDCDCDCD; +2890 : CDCDCDCD; +2891 : CDCDCDCD; +2892 : CDCDCDCD; +2893 : CDCDCDCD; +2894 : CDCDCDCD; +2895 : CDCDCDCD; +2896 : CDCDCDCD; +2897 : CDCDCDCD; +2898 : CDCDCDCD; +2899 : CDCDCDCD; +289A : CDCDCDCD; +289B : CDCDCDCD; +289C : CDCDCDCD; +289D : CDCDCDCD; +289E : CDCDCDCD; +289F : CDCDCDCD; +28A0 : CDCDCDCD; +28A1 : CDCDCDCD; +28A2 : CDCDCDCD; +28A3 : CDCDCDCD; +28A4 : CDCDCDCD; +28A5 : CDCDCDCD; +28A6 : CDCDCDCD; +28A7 : CDCDCDCD; +28A8 : CDCDCDCD; +28A9 : CDCDCDCD; +28AA : CDCDCDCD; +28AB : CDCDCDCD; +28AC : CDCDCDCD; +28AD : CDCDCDCD; +28AE : CDCDCDCD; +28AF : CDCDCDCD; +28B0 : CDCDCDCD; +28B1 : CDCDCDCD; +28B2 : CDCDCDCD; +28B3 : CDCDCDCD; +28B4 : CDCDCDCD; +28B5 : CDCDCDCD; +28B6 : CDCDCDCD; +28B7 : CDCDCDCD; +28B8 : CDCDCDCD; +28B9 : CDCDCDCD; +28BA : CDCDCDCD; +28BB : CDCDCDCD; +28BC : CDCDCDCD; +28BD : CDCDCDCD; +28BE : CDCDCDCD; +28BF : CDCDCDCD; +28C0 : CDCDCDCD; +28C1 : CDCDCDCD; +28C2 : CDCDCDCD; +28C3 : CDCDCDCD; +28C4 : CDCDCDCD; +28C5 : CDCDCDCD; +28C6 : CDCDCDCD; +28C7 : CDCDCDCD; +28C8 : CDCDCDCD; +28C9 : CDCDCDCD; +28CA : CDCDCDCD; +28CB : CDCDCDCD; +28CC : CDCDCDCD; +28CD : CDCDCDCD; +28CE : CDCDCDCD; +28CF : CDCDCDCD; +28D0 : CDCDCDCD; +28D1 : CDCDCDCD; +28D2 : CDCDCDCD; +28D3 : CDCDCDCD; +28D4 : CDCDCDCD; +28D5 : CDCDCDCD; +28D6 : CDCDCDCD; +28D7 : CDCDCDCD; +28D8 : CDCDCDCD; +28D9 : CDCDCDCD; +28DA : CDCDCDCD; +28DB : CDCDCDCD; +28DC : CDCDCDCD; +28DD : CDCDCDCD; +28DE : CDCDCDCD; +28DF : CDCDCDCD; +28E0 : CDCDCDCD; +28E1 : CDCDCDCD; +28E2 : CDCDCDCD; +28E3 : CDCDCDCD; +28E4 : CDCDCDCD; +28E5 : CDCDCDCD; +28E6 : CDCDCDCD; +28E7 : CDCDCDCD; +28E8 : CDCDCDCD; +28E9 : CDCDCDCD; +28EA : CDCDCDCD; +28EB : CDCDCDCD; +28EC : CDCDCDCD; +28ED : CDCDCDCD; +28EE : CDCDCDCD; +28EF : CDCDCDCD; +28F0 : CDCDCDCD; +28F1 : CDCDCDCD; +28F2 : CDCDCDCD; +28F3 : CDCDCDCD; +28F4 : CDCDCDCD; +28F5 : CDCDCDCD; +28F6 : CDCDCDCD; +28F7 : CDCDCDCD; +28F8 : CDCDCDCD; +28F9 : CDCDCDCD; +28FA : CDCDCDCD; +28FB : CDCDCDCD; +28FC : CDCDCDCD; +28FD : CDCDCDCD; +28FE : CDCDCDCD; +28FF : CDCDCDCD; +2900 : CDCDCDCD; +2901 : CDCDCDCD; +2902 : CDCDCDCD; +2903 : CDCDCDCD; +2904 : CDCDCDCD; +2905 : CDCDCDCD; +2906 : CDCDCDCD; +2907 : CDCDCDCD; +2908 : CDCDCDCD; +2909 : CDCDCDCD; +290A : CDCDCDCD; +290B : CDCDCDCD; +290C : CDCDCDCD; +290D : CDCDCDCD; +290E : CDCDCDCD; +290F : CDCDCDCD; +2910 : CDCDCDCD; +2911 : CDCDCDCD; +2912 : CDCDCDCD; +2913 : CDCDCDCD; +2914 : CDCDCDCD; +2915 : CDCDCDCD; +2916 : CDCDCDCD; +2917 : CDCDCDCD; +2918 : CDCDCDCD; +2919 : CDCDCDCD; +291A : CDCDCDCD; +291B : CDCDCDCD; +291C : CDCDCDCD; +291D : CDCDCDCD; +291E : CDCDCDCD; +291F : CDCDCDCD; +2920 : CDCDCDCD; +2921 : CDCDCDCD; +2922 : CDCDCDCD; +2923 : CDCDCDCD; +2924 : CDCDCDCD; +2925 : CDCDCDCD; +2926 : CDCDCDCD; +2927 : CDCDCDCD; +2928 : CDCDCDCD; +2929 : CDCDCDCD; +292A : CDCDCDCD; +292B : CDCDCDCD; +292C : CDCDCDCD; +292D : CDCDCDCD; +292E : CDCDCDCD; +292F : CDCDCDCD; +2930 : CDCDCDCD; +2931 : CDCDCDCD; +2932 : CDCDCDCD; +2933 : CDCDCDCD; +2934 : CDCDCDCD; +2935 : CDCDCDCD; +2936 : CDCDCDCD; +2937 : CDCDCDCD; +2938 : CDCDCDCD; +2939 : CDCDCDCD; +293A : CDCDCDCD; +293B : CDCDCDCD; +293C : CDCDCDCD; +293D : CDCDCDCD; +293E : CDCDCDCD; +293F : CDCDCDCD; +2940 : CDCDCDCD; +2941 : CDCDCDCD; +2942 : CDCDCDCD; +2943 : CDCDCDCD; +2944 : CDCDCDCD; +2945 : CDCDCDCD; +2946 : CDCDCDCD; +2947 : CDCDCDCD; +2948 : CDCDCDCD; +2949 : CDCDCDCD; +294A : CDCDCDCD; +294B : CDCDCDCD; +294C : CDCDCDCD; +294D : CDCDCDCD; +294E : CDCDCDCD; +294F : CDCDCDCD; +2950 : CDCDCDCD; +2951 : CDCDCDCD; +2952 : CDCDCDCD; +2953 : CDCDCDCD; +2954 : CDCDCDCD; +2955 : CDCDCDCD; +2956 : CDCDCDCD; +2957 : CDCDCDCD; +2958 : CDCDCDCD; +2959 : CDCDCDCD; +295A : CDCDCDCD; +295B : CDCDCDCD; +295C : CDCDCDCD; +295D : CDCDCDCD; +295E : CDCDCDCD; +295F : CDCDCDCD; +2960 : CDCDCDCD; +2961 : CDCDCDCD; +2962 : CDCDCDCD; +2963 : CDCDCDCD; +2964 : CDCDCDCD; +2965 : CDCDCDCD; +2966 : CDCDCDCD; +2967 : CDCDCDCD; +2968 : CDCDCDCD; +2969 : CDCDCDCD; +296A : CDCDCDCD; +296B : CDCDCDCD; +296C : CDCDCDCD; +296D : CDCDCDCD; +296E : CDCDCDCD; +296F : CDCDCDCD; +2970 : CDCDCDCD; +2971 : CDCDCDCD; +2972 : CDCDCDCD; +2973 : CDCDCDCD; +2974 : CDCDCDCD; +2975 : CDCDCDCD; +2976 : CDCDCDCD; +2977 : CDCDCDCD; +2978 : CDCDCDCD; +2979 : CDCDCDCD; +297A : CDCDCDCD; +297B : CDCDCDCD; +297C : CDCDCDCD; +297D : CDCDCDCD; +297E : CDCDCDCD; +297F : CDCDCDCD; +2980 : CDCDCDCD; +2981 : CDCDCDCD; +2982 : CDCDCDCD; +2983 : CDCDCDCD; +2984 : CDCDCDCD; +2985 : CDCDCDCD; +2986 : CDCDCDCD; +2987 : CDCDCDCD; +2988 : CDCDCDCD; +2989 : CDCDCDCD; +298A : CDCDCDCD; +298B : CDCDCDCD; +298C : CDCDCDCD; +298D : CDCDCDCD; +298E : CDCDCDCD; +298F : CDCDCDCD; +2990 : CDCDCDCD; +2991 : CDCDCDCD; +2992 : CDCDCDCD; +2993 : CDCDCDCD; +2994 : CDCDCDCD; +2995 : CDCDCDCD; +2996 : CDCDCDCD; +2997 : CDCDCDCD; +2998 : CDCDCDCD; +2999 : CDCDCDCD; +299A : CDCDCDCD; +299B : CDCDCDCD; +299C : CDCDCDCD; +299D : CDCDCDCD; +299E : CDCDCDCD; +299F : CDCDCDCD; +29A0 : CDCDCDCD; +29A1 : CDCDCDCD; +29A2 : CDCDCDCD; +29A3 : CDCDCDCD; +29A4 : CDCDCDCD; +29A5 : CDCDCDCD; +29A6 : CDCDCDCD; +29A7 : CDCDCDCD; +29A8 : CDCDCDCD; +29A9 : CDCDCDCD; +29AA : CDCDCDCD; +29AB : CDCDCDCD; +29AC : CDCDCDCD; +29AD : CDCDCDCD; +29AE : CDCDCDCD; +29AF : CDCDCDCD; +29B0 : CDCDCDCD; +29B1 : CDCDCDCD; +29B2 : CDCDCDCD; +29B3 : CDCDCDCD; +29B4 : CDCDCDCD; +29B5 : CDCDCDCD; +29B6 : CDCDCDCD; +29B7 : CDCDCDCD; +29B8 : CDCDCDCD; +29B9 : CDCDCDCD; +29BA : CDCDCDCD; +29BB : CDCDCDCD; +29BC : CDCDCDCD; +29BD : CDCDCDCD; +29BE : CDCDCDCD; +29BF : CDCDCDCD; +29C0 : CDCDCDCD; +29C1 : CDCDCDCD; +29C2 : CDCDCDCD; +29C3 : CDCDCDCD; +29C4 : CDCDCDCD; +29C5 : CDCDCDCD; +29C6 : CDCDCDCD; +29C7 : CDCDCDCD; +29C8 : CDCDCDCD; +29C9 : CDCDCDCD; +29CA : CDCDCDCD; +29CB : CDCDCDCD; +29CC : CDCDCDCD; +29CD : CDCDCDCD; +29CE : CDCDCDCD; +29CF : CDCDCDCD; +29D0 : CDCDCDCD; +29D1 : CDCDCDCD; +29D2 : CDCDCDCD; +29D3 : CDCDCDCD; +29D4 : CDCDCDCD; +29D5 : CDCDCDCD; +29D6 : CDCDCDCD; +29D7 : CDCDCDCD; +29D8 : CDCDCDCD; +29D9 : CDCDCDCD; +29DA : CDCDCDCD; +29DB : CDCDCDCD; +29DC : CDCDCDCD; +29DD : CDCDCDCD; +29DE : CDCDCDCD; +29DF : CDCDCDCD; +29E0 : CDCDCDCD; +29E1 : CDCDCDCD; +29E2 : CDCDCDCD; +29E3 : CDCDCDCD; +29E4 : CDCDCDCD; +29E5 : CDCDCDCD; +29E6 : CDCDCDCD; +29E7 : CDCDCDCD; +29E8 : CDCDCDCD; +29E9 : CDCDCDCD; +29EA : CDCDCDCD; +29EB : CDCDCDCD; +29EC : CDCDCDCD; +29ED : CDCDCDCD; +29EE : CDCDCDCD; +29EF : CDCDCDCD; +29F0 : CDCDCDCD; +29F1 : CDCDCDCD; +29F2 : CDCDCDCD; +29F3 : CDCDCDCD; +29F4 : CDCDCDCD; +29F5 : CDCDCDCD; +29F6 : CDCDCDCD; +29F7 : CDCDCDCD; +29F8 : CDCDCDCD; +29F9 : CDCDCDCD; +29FA : CDCDCDCD; +29FB : CDCDCDCD; +29FC : CDCDCDCD; +29FD : CDCDCDCD; +29FE : CDCDCDCD; +29FF : CDCDCDCD; +2A00 : CDCDCDCD; +2A01 : CDCDCDCD; +2A02 : CDCDCDCD; +2A03 : CDCDCDCD; +2A04 : CDCDCDCD; +2A05 : CDCDCDCD; +2A06 : CDCDCDCD; +2A07 : CDCDCDCD; +2A08 : CDCDCDCD; +2A09 : CDCDCDCD; +2A0A : CDCDCDCD; +2A0B : CDCDCDCD; +2A0C : CDCDCDCD; +2A0D : CDCDCDCD; +2A0E : CDCDCDCD; +2A0F : CDCDCDCD; +2A10 : CDCDCDCD; +2A11 : CDCDCDCD; +2A12 : CDCDCDCD; +2A13 : CDCDCDCD; +2A14 : CDCDCDCD; +2A15 : CDCDCDCD; +2A16 : CDCDCDCD; +2A17 : CDCDCDCD; +2A18 : CDCDCDCD; +2A19 : CDCDCDCD; +2A1A : CDCDCDCD; +2A1B : CDCDCDCD; +2A1C : CDCDCDCD; +2A1D : CDCDCDCD; +2A1E : CDCDCDCD; +2A1F : CDCDCDCD; +2A20 : CDCDCDCD; +2A21 : CDCDCDCD; +2A22 : CDCDCDCD; +2A23 : CDCDCDCD; +2A24 : CDCDCDCD; +2A25 : CDCDCDCD; +2A26 : CDCDCDCD; +2A27 : CDCDCDCD; +2A28 : CDCDCDCD; +2A29 : CDCDCDCD; +2A2A : CDCDCDCD; +2A2B : CDCDCDCD; +2A2C : CDCDCDCD; +2A2D : CDCDCDCD; +2A2E : CDCDCDCD; +2A2F : CDCDCDCD; +2A30 : CDCDCDCD; +2A31 : CDCDCDCD; +2A32 : CDCDCDCD; +2A33 : CDCDCDCD; +2A34 : CDCDCDCD; +2A35 : CDCDCDCD; +2A36 : CDCDCDCD; +2A37 : CDCDCDCD; +2A38 : CDCDCDCD; +2A39 : CDCDCDCD; +2A3A : CDCDCDCD; +2A3B : CDCDCDCD; +2A3C : CDCDCDCD; +2A3D : CDCDCDCD; +2A3E : CDCDCDCD; +2A3F : CDCDCDCD; +2A40 : CDCDCDCD; +2A41 : CDCDCDCD; +2A42 : CDCDCDCD; +2A43 : CDCDCDCD; +2A44 : CDCDCDCD; +2A45 : CDCDCDCD; +2A46 : CDCDCDCD; +2A47 : CDCDCDCD; +2A48 : CDCDCDCD; +2A49 : CDCDCDCD; +2A4A : CDCDCDCD; +2A4B : CDCDCDCD; +2A4C : CDCDCDCD; +2A4D : CDCDCDCD; +2A4E : CDCDCDCD; +2A4F : CDCDCDCD; +2A50 : CDCDCDCD; +2A51 : CDCDCDCD; +2A52 : CDCDCDCD; +2A53 : CDCDCDCD; +2A54 : CDCDCDCD; +2A55 : CDCDCDCD; +2A56 : CDCDCDCD; +2A57 : CDCDCDCD; +2A58 : CDCDCDCD; +2A59 : CDCDCDCD; +2A5A : CDCDCDCD; +2A5B : CDCDCDCD; +2A5C : CDCDCDCD; +2A5D : CDCDCDCD; +2A5E : CDCDCDCD; +2A5F : CDCDCDCD; +2A60 : CDCDCDCD; +2A61 : CDCDCDCD; +2A62 : CDCDCDCD; +2A63 : CDCDCDCD; +2A64 : CDCDCDCD; +2A65 : CDCDCDCD; +2A66 : CDCDCDCD; +2A67 : CDCDCDCD; +2A68 : CDCDCDCD; +2A69 : CDCDCDCD; +2A6A : CDCDCDCD; +2A6B : CDCDCDCD; +2A6C : CDCDCDCD; +2A6D : CDCDCDCD; +2A6E : CDCDCDCD; +2A6F : CDCDCDCD; +2A70 : CDCDCDCD; +2A71 : CDCDCDCD; +2A72 : CDCDCDCD; +2A73 : CDCDCDCD; +2A74 : CDCDCDCD; +2A75 : CDCDCDCD; +2A76 : CDCDCDCD; +2A77 : CDCDCDCD; +2A78 : CDCDCDCD; +2A79 : CDCDCDCD; +2A7A : CDCDCDCD; +2A7B : CDCDCDCD; +2A7C : CDCDCDCD; +2A7D : CDCDCDCD; +2A7E : CDCDCDCD; +2A7F : CDCDCDCD; +2A80 : CDCDCDCD; +2A81 : CDCDCDCD; +2A82 : CDCDCDCD; +2A83 : CDCDCDCD; +2A84 : CDCDCDCD; +2A85 : CDCDCDCD; +2A86 : CDCDCDCD; +2A87 : CDCDCDCD; +2A88 : CDCDCDCD; +2A89 : CDCDCDCD; +2A8A : CDCDCDCD; +2A8B : CDCDCDCD; +2A8C : CDCDCDCD; +2A8D : CDCDCDCD; +2A8E : CDCDCDCD; +2A8F : CDCDCDCD; +2A90 : CDCDCDCD; +2A91 : CDCDCDCD; +2A92 : CDCDCDCD; +2A93 : CDCDCDCD; +2A94 : CDCDCDCD; +2A95 : CDCDCDCD; +2A96 : CDCDCDCD; +2A97 : CDCDCDCD; +2A98 : CDCDCDCD; +2A99 : CDCDCDCD; +2A9A : CDCDCDCD; +2A9B : CDCDCDCD; +2A9C : CDCDCDCD; +2A9D : CDCDCDCD; +2A9E : CDCDCDCD; +2A9F : CDCDCDCD; +2AA0 : CDCDCDCD; +2AA1 : CDCDCDCD; +2AA2 : CDCDCDCD; +2AA3 : CDCDCDCD; +2AA4 : CDCDCDCD; +2AA5 : CDCDCDCD; +2AA6 : CDCDCDCD; +2AA7 : CDCDCDCD; +2AA8 : CDCDCDCD; +2AA9 : CDCDCDCD; +2AAA : CDCDCDCD; +2AAB : CDCDCDCD; +2AAC : CDCDCDCD; +2AAD : CDCDCDCD; +2AAE : CDCDCDCD; +2AAF : CDCDCDCD; +2AB0 : CDCDCDCD; +2AB1 : CDCDCDCD; +2AB2 : CDCDCDCD; +2AB3 : CDCDCDCD; +2AB4 : CDCDCDCD; +2AB5 : CDCDCDCD; +2AB6 : CDCDCDCD; +2AB7 : CDCDCDCD; +2AB8 : CDCDCDCD; +2AB9 : CDCDCDCD; +2ABA : CDCDCDCD; +2ABB : CDCDCDCD; +2ABC : CDCDCDCD; +2ABD : CDCDCDCD; +2ABE : CDCDCDCD; +2ABF : CDCDCDCD; +2AC0 : CDCDCDCD; +2AC1 : CDCDCDCD; +2AC2 : CDCDCDCD; +2AC3 : CDCDCDCD; +2AC4 : CDCDCDCD; +2AC5 : CDCDCDCD; +2AC6 : CDCDCDCD; +2AC7 : CDCDCDCD; +2AC8 : CDCDCDCD; +2AC9 : CDCDCDCD; +2ACA : CDCDCDCD; +2ACB : CDCDCDCD; +2ACC : CDCDCDCD; +2ACD : CDCDCDCD; +2ACE : CDCDCDCD; +2ACF : CDCDCDCD; +2AD0 : CDCDCDCD; +2AD1 : CDCDCDCD; +2AD2 : CDCDCDCD; +2AD3 : CDCDCDCD; +2AD4 : CDCDCDCD; +2AD5 : CDCDCDCD; +2AD6 : CDCDCDCD; +2AD7 : CDCDCDCD; +2AD8 : CDCDCDCD; +2AD9 : CDCDCDCD; +2ADA : CDCDCDCD; +2ADB : CDCDCDCD; +2ADC : CDCDCDCD; +2ADD : CDCDCDCD; +2ADE : CDCDCDCD; +2ADF : CDCDCDCD; +2AE0 : CDCDCDCD; +2AE1 : CDCDCDCD; +2AE2 : CDCDCDCD; +2AE3 : CDCDCDCD; +2AE4 : CDCDCDCD; +2AE5 : CDCDCDCD; +2AE6 : CDCDCDCD; +2AE7 : CDCDCDCD; +2AE8 : CDCDCDCD; +2AE9 : CDCDCDCD; +2AEA : CDCDCDCD; +2AEB : CDCDCDCD; +2AEC : CDCDCDCD; +2AED : CDCDCDCD; +2AEE : CDCDCDCD; +2AEF : CDCDCDCD; +2AF0 : CDCDCDCD; +2AF1 : CDCDCDCD; +2AF2 : CDCDCDCD; +2AF3 : CDCDCDCD; +2AF4 : CDCDCDCD; +2AF5 : CDCDCDCD; +2AF6 : CDCDCDCD; +2AF7 : CDCDCDCD; +2AF8 : CDCDCDCD; +2AF9 : CDCDCDCD; +2AFA : CDCDCDCD; +2AFB : CDCDCDCD; +2AFC : CDCDCDCD; +2AFD : CDCDCDCD; +2AFE : CDCDCDCD; +2AFF : CDCDCDCD; +2B00 : CDCDCDCD; +2B01 : CDCDCDCD; +2B02 : CDCDCDCD; +2B03 : CDCDCDCD; +2B04 : CDCDCDCD; +2B05 : CDCDCDCD; +2B06 : CDCDCDCD; +2B07 : CDCDCDCD; +2B08 : CDCDCDCD; +2B09 : CDCDCDCD; +2B0A : CDCDCDCD; +2B0B : CDCDCDCD; +2B0C : CDCDCDCD; +2B0D : CDCDCDCD; +2B0E : CDCDCDCD; +2B0F : CDCDCDCD; +2B10 : CDCDCDCD; +2B11 : CDCDCDCD; +2B12 : CDCDCDCD; +2B13 : CDCDCDCD; +2B14 : CDCDCDCD; +2B15 : CDCDCDCD; +2B16 : CDCDCDCD; +2B17 : CDCDCDCD; +2B18 : CDCDCDCD; +2B19 : CDCDCDCD; +2B1A : CDCDCDCD; +2B1B : CDCDCDCD; +2B1C : CDCDCDCD; +2B1D : CDCDCDCD; +2B1E : CDCDCDCD; +2B1F : CDCDCDCD; +2B20 : CDCDCDCD; +2B21 : CDCDCDCD; +2B22 : CDCDCDCD; +2B23 : CDCDCDCD; +2B24 : CDCDCDCD; +2B25 : CDCDCDCD; +2B26 : CDCDCDCD; +2B27 : CDCDCDCD; +2B28 : CDCDCDCD; +2B29 : CDCDCDCD; +2B2A : CDCDCDCD; +2B2B : CDCDCDCD; +2B2C : CDCDCDCD; +2B2D : CDCDCDCD; +2B2E : CDCDCDCD; +2B2F : CDCDCDCD; +2B30 : CDCDCDCD; +2B31 : CDCDCDCD; +2B32 : CDCDCDCD; +2B33 : CDCDCDCD; +2B34 : CDCDCDCD; +2B35 : CDCDCDCD; +2B36 : CDCDCDCD; +2B37 : CDCDCDCD; +2B38 : CDCDCDCD; +2B39 : CDCDCDCD; +2B3A : CDCDCDCD; +2B3B : CDCDCDCD; +2B3C : CDCDCDCD; +2B3D : CDCDCDCD; +2B3E : CDCDCDCD; +2B3F : CDCDCDCD; +2B40 : CDCDCDCD; +2B41 : CDCDCDCD; +2B42 : CDCDCDCD; +2B43 : CDCDCDCD; +2B44 : CDCDCDCD; +2B45 : CDCDCDCD; +2B46 : CDCDCDCD; +2B47 : CDCDCDCD; +2B48 : CDCDCDCD; +2B49 : CDCDCDCD; +2B4A : CDCDCDCD; +2B4B : CDCDCDCD; +2B4C : CDCDCDCD; +2B4D : CDCDCDCD; +2B4E : CDCDCDCD; +2B4F : CDCDCDCD; +2B50 : CDCDCDCD; +2B51 : CDCDCDCD; +2B52 : CDCDCDCD; +2B53 : CDCDCDCD; +2B54 : CDCDCDCD; +2B55 : CDCDCDCD; +2B56 : CDCDCDCD; +2B57 : CDCDCDCD; +2B58 : CDCDCDCD; +2B59 : CDCDCDCD; +2B5A : CDCDCDCD; +2B5B : CDCDCDCD; +2B5C : CDCDCDCD; +2B5D : CDCDCDCD; +2B5E : CDCDCDCD; +2B5F : CDCDCDCD; +2B60 : CDCDCDCD; +2B61 : CDCDCDCD; +2B62 : CDCDCDCD; +2B63 : CDCDCDCD; +2B64 : CDCDCDCD; +2B65 : CDCDCDCD; +2B66 : CDCDCDCD; +2B67 : CDCDCDCD; +2B68 : CDCDCDCD; +2B69 : CDCDCDCD; +2B6A : CDCDCDCD; +2B6B : CDCDCDCD; +2B6C : CDCDCDCD; +2B6D : CDCDCDCD; +2B6E : CDCDCDCD; +2B6F : CDCDCDCD; +2B70 : CDCDCDCD; +2B71 : CDCDCDCD; +2B72 : CDCDCDCD; +2B73 : CDCDCDCD; +2B74 : CDCDCDCD; +2B75 : CDCDCDCD; +2B76 : CDCDCDCD; +2B77 : CDCDCDCD; +2B78 : CDCDCDCD; +2B79 : CDCDCDCD; +2B7A : CDCDCDCD; +2B7B : CDCDCDCD; +2B7C : CDCDCDCD; +2B7D : CDCDCDCD; +2B7E : CDCDCDCD; +2B7F : CDCDCDCD; +2B80 : CDCDCDCD; +2B81 : CDCDCDCD; +2B82 : CDCDCDCD; +2B83 : CDCDCDCD; +2B84 : CDCDCDCD; +2B85 : CDCDCDCD; +2B86 : CDCDCDCD; +2B87 : CDCDCDCD; +2B88 : CDCDCDCD; +2B89 : CDCDCDCD; +2B8A : CDCDCDCD; +2B8B : CDCDCDCD; +2B8C : CDCDCDCD; +2B8D : CDCDCDCD; +2B8E : CDCDCDCD; +2B8F : CDCDCDCD; +2B90 : CDCDCDCD; +2B91 : CDCDCDCD; +2B92 : CDCDCDCD; +2B93 : CDCDCDCD; +2B94 : CDCDCDCD; +2B95 : CDCDCDCD; +2B96 : CDCDCDCD; +2B97 : CDCDCDCD; +2B98 : CDCDCDCD; +2B99 : CDCDCDCD; +2B9A : CDCDCDCD; +2B9B : CDCDCDCD; +2B9C : CDCDCDCD; +2B9D : CDCDCDCD; +2B9E : CDCDCDCD; +2B9F : CDCDCDCD; +2BA0 : CDCDCDCD; +2BA1 : CDCDCDCD; +2BA2 : CDCDCDCD; +2BA3 : CDCDCDCD; +2BA4 : CDCDCDCD; +2BA5 : CDCDCDCD; +2BA6 : CDCDCDCD; +2BA7 : CDCDCDCD; +2BA8 : CDCDCDCD; +2BA9 : CDCDCDCD; +2BAA : CDCDCDCD; +2BAB : CDCDCDCD; +2BAC : CDCDCDCD; +2BAD : CDCDCDCD; +2BAE : CDCDCDCD; +2BAF : CDCDCDCD; +2BB0 : CDCDCDCD; +2BB1 : CDCDCDCD; +2BB2 : CDCDCDCD; +2BB3 : CDCDCDCD; +2BB4 : CDCDCDCD; +2BB5 : CDCDCDCD; +2BB6 : CDCDCDCD; +2BB7 : CDCDCDCD; +2BB8 : CDCDCDCD; +2BB9 : CDCDCDCD; +2BBA : CDCDCDCD; +2BBB : CDCDCDCD; +2BBC : CDCDCDCD; +2BBD : CDCDCDCD; +2BBE : CDCDCDCD; +2BBF : CDCDCDCD; +2BC0 : CDCDCDCD; +2BC1 : CDCDCDCD; +2BC2 : CDCDCDCD; +2BC3 : CDCDCDCD; +2BC4 : CDCDCDCD; +2BC5 : CDCDCDCD; +2BC6 : CDCDCDCD; +2BC7 : CDCDCDCD; +2BC8 : CDCDCDCD; +2BC9 : CDCDCDCD; +2BCA : CDCDCDCD; +2BCB : CDCDCDCD; +2BCC : CDCDCDCD; +2BCD : CDCDCDCD; +2BCE : CDCDCDCD; +2BCF : CDCDCDCD; +2BD0 : CDCDCDCD; +2BD1 : CDCDCDCD; +2BD2 : CDCDCDCD; +2BD3 : CDCDCDCD; +2BD4 : CDCDCDCD; +2BD5 : CDCDCDCD; +2BD6 : CDCDCDCD; +2BD7 : CDCDCDCD; +2BD8 : CDCDCDCD; +2BD9 : CDCDCDCD; +2BDA : CDCDCDCD; +2BDB : CDCDCDCD; +2BDC : CDCDCDCD; +2BDD : CDCDCDCD; +2BDE : CDCDCDCD; +2BDF : CDCDCDCD; +2BE0 : CDCDCDCD; +2BE1 : CDCDCDCD; +2BE2 : CDCDCDCD; +2BE3 : CDCDCDCD; +2BE4 : CDCDCDCD; +2BE5 : CDCDCDCD; +2BE6 : CDCDCDCD; +2BE7 : CDCDCDCD; +2BE8 : CDCDCDCD; +2BE9 : CDCDCDCD; +2BEA : CDCDCDCD; +2BEB : CDCDCDCD; +2BEC : CDCDCDCD; +2BED : CDCDCDCD; +2BEE : CDCDCDCD; +2BEF : CDCDCDCD; +2BF0 : CDCDCDCD; +2BF1 : CDCDCDCD; +2BF2 : CDCDCDCD; +2BF3 : CDCDCDCD; +2BF4 : CDCDCDCD; +2BF5 : CDCDCDCD; +2BF6 : CDCDCDCD; +2BF7 : CDCDCDCD; +2BF8 : CDCDCDCD; +2BF9 : CDCDCDCD; +2BFA : CDCDCDCD; +2BFB : CDCDCDCD; +2BFC : CDCDCDCD; +2BFD : CDCDCDCD; +2BFE : CDCDCDCD; +2BFF : CDCDCDCD; +2C00 : CDCDCDCD; +2C01 : CDCDCDCD; +2C02 : CDCDCDCD; +2C03 : CDCDCDCD; +2C04 : CDCDCDCD; +2C05 : CDCDCDCD; +2C06 : CDCDCDCD; +2C07 : CDCDCDCD; +2C08 : CDCDCDCD; +2C09 : CDCDCDCD; +2C0A : CDCDCDCD; +2C0B : CDCDCDCD; +2C0C : CDCDCDCD; +2C0D : CDCDCDCD; +2C0E : CDCDCDCD; +2C0F : CDCDCDCD; +2C10 : CDCDCDCD; +2C11 : CDCDCDCD; +2C12 : CDCDCDCD; +2C13 : CDCDCDCD; +2C14 : CDCDCDCD; +2C15 : CDCDCDCD; +2C16 : CDCDCDCD; +2C17 : CDCDCDCD; +2C18 : CDCDCDCD; +2C19 : CDCDCDCD; +2C1A : CDCDCDCD; +2C1B : CDCDCDCD; +2C1C : CDCDCDCD; +2C1D : CDCDCDCD; +2C1E : CDCDCDCD; +2C1F : CDCDCDCD; +2C20 : CDCDCDCD; +2C21 : CDCDCDCD; +2C22 : CDCDCDCD; +2C23 : CDCDCDCD; +2C24 : CDCDCDCD; +2C25 : CDCDCDCD; +2C26 : CDCDCDCD; +2C27 : CDCDCDCD; +2C28 : CDCDCDCD; +2C29 : CDCDCDCD; +2C2A : CDCDCDCD; +2C2B : CDCDCDCD; +2C2C : CDCDCDCD; +2C2D : CDCDCDCD; +2C2E : CDCDCDCD; +2C2F : CDCDCDCD; +2C30 : CDCDCDCD; +2C31 : CDCDCDCD; +2C32 : CDCDCDCD; +2C33 : CDCDCDCD; +2C34 : CDCDCDCD; +2C35 : CDCDCDCD; +2C36 : CDCDCDCD; +2C37 : CDCDCDCD; +2C38 : CDCDCDCD; +2C39 : CDCDCDCD; +2C3A : CDCDCDCD; +2C3B : CDCDCDCD; +2C3C : CDCDCDCD; +2C3D : CDCDCDCD; +2C3E : CDCDCDCD; +2C3F : CDCDCDCD; +2C40 : CDCDCDCD; +2C41 : CDCDCDCD; +2C42 : CDCDCDCD; +2C43 : CDCDCDCD; +2C44 : CDCDCDCD; +2C45 : CDCDCDCD; +2C46 : CDCDCDCD; +2C47 : CDCDCDCD; +2C48 : CDCDCDCD; +2C49 : CDCDCDCD; +2C4A : CDCDCDCD; +2C4B : CDCDCDCD; +2C4C : CDCDCDCD; +2C4D : CDCDCDCD; +2C4E : CDCDCDCD; +2C4F : CDCDCDCD; +2C50 : CDCDCDCD; +2C51 : CDCDCDCD; +2C52 : CDCDCDCD; +2C53 : CDCDCDCD; +2C54 : CDCDCDCD; +2C55 : CDCDCDCD; +2C56 : CDCDCDCD; +2C57 : CDCDCDCD; +2C58 : CDCDCDCD; +2C59 : CDCDCDCD; +2C5A : CDCDCDCD; +2C5B : CDCDCDCD; +2C5C : CDCDCDCD; +2C5D : CDCDCDCD; +2C5E : CDCDCDCD; +2C5F : CDCDCDCD; +2C60 : CDCDCDCD; +2C61 : CDCDCDCD; +2C62 : CDCDCDCD; +2C63 : CDCDCDCD; +2C64 : CDCDCDCD; +2C65 : CDCDCDCD; +2C66 : CDCDCDCD; +2C67 : CDCDCDCD; +2C68 : CDCDCDCD; +2C69 : CDCDCDCD; +2C6A : CDCDCDCD; +2C6B : CDCDCDCD; +2C6C : CDCDCDCD; +2C6D : CDCDCDCD; +2C6E : CDCDCDCD; +2C6F : CDCDCDCD; +2C70 : CDCDCDCD; +2C71 : CDCDCDCD; +2C72 : CDCDCDCD; +2C73 : CDCDCDCD; +2C74 : CDCDCDCD; +2C75 : CDCDCDCD; +2C76 : CDCDCDCD; +2C77 : CDCDCDCD; +2C78 : CDCDCDCD; +2C79 : CDCDCDCD; +2C7A : CDCDCDCD; +2C7B : CDCDCDCD; +2C7C : CDCDCDCD; +2C7D : CDCDCDCD; +2C7E : CDCDCDCD; +2C7F : CDCDCDCD; +2C80 : CDCDCDCD; +2C81 : CDCDCDCD; +2C82 : CDCDCDCD; +2C83 : CDCDCDCD; +2C84 : CDCDCDCD; +2C85 : CDCDCDCD; +2C86 : CDCDCDCD; +2C87 : CDCDCDCD; +2C88 : CDCDCDCD; +2C89 : CDCDCDCD; +2C8A : CDCDCDCD; +2C8B : CDCDCDCD; +2C8C : CDCDCDCD; +2C8D : CDCDCDCD; +2C8E : CDCDCDCD; +2C8F : CDCDCDCD; +2C90 : CDCDCDCD; +2C91 : CDCDCDCD; +2C92 : CDCDCDCD; +2C93 : CDCDCDCD; +2C94 : CDCDCDCD; +2C95 : CDCDCDCD; +2C96 : CDCDCDCD; +2C97 : CDCDCDCD; +2C98 : CDCDCDCD; +2C99 : CDCDCDCD; +2C9A : CDCDCDCD; +2C9B : CDCDCDCD; +2C9C : CDCDCDCD; +2C9D : CDCDCDCD; +2C9E : CDCDCDCD; +2C9F : CDCDCDCD; +2CA0 : CDCDCDCD; +2CA1 : CDCDCDCD; +2CA2 : CDCDCDCD; +2CA3 : CDCDCDCD; +2CA4 : CDCDCDCD; +2CA5 : CDCDCDCD; +2CA6 : CDCDCDCD; +2CA7 : CDCDCDCD; +2CA8 : CDCDCDCD; +2CA9 : CDCDCDCD; +2CAA : CDCDCDCD; +2CAB : CDCDCDCD; +2CAC : CDCDCDCD; +2CAD : CDCDCDCD; +2CAE : CDCDCDCD; +2CAF : CDCDCDCD; +2CB0 : CDCDCDCD; +2CB1 : CDCDCDCD; +2CB2 : CDCDCDCD; +2CB3 : CDCDCDCD; +2CB4 : CDCDCDCD; +2CB5 : CDCDCDCD; +2CB6 : CDCDCDCD; +2CB7 : CDCDCDCD; +2CB8 : CDCDCDCD; +2CB9 : CDCDCDCD; +2CBA : CDCDCDCD; +2CBB : CDCDCDCD; +2CBC : CDCDCDCD; +2CBD : CDCDCDCD; +2CBE : CDCDCDCD; +2CBF : CDCDCDCD; +2CC0 : CDCDCDCD; +2CC1 : CDCDCDCD; +2CC2 : CDCDCDCD; +2CC3 : CDCDCDCD; +2CC4 : CDCDCDCD; +2CC5 : CDCDCDCD; +2CC6 : CDCDCDCD; +2CC7 : CDCDCDCD; +2CC8 : CDCDCDCD; +2CC9 : CDCDCDCD; +2CCA : CDCDCDCD; +2CCB : CDCDCDCD; +2CCC : CDCDCDCD; +2CCD : CDCDCDCD; +2CCE : CDCDCDCD; +2CCF : CDCDCDCD; +2CD0 : CDCDCDCD; +2CD1 : CDCDCDCD; +2CD2 : CDCDCDCD; +2CD3 : CDCDCDCD; +2CD4 : CDCDCDCD; +2CD5 : CDCDCDCD; +2CD6 : CDCDCDCD; +2CD7 : CDCDCDCD; +2CD8 : CDCDCDCD; +2CD9 : CDCDCDCD; +2CDA : CDCDCDCD; +2CDB : CDCDCDCD; +2CDC : CDCDCDCD; +2CDD : CDCDCDCD; +2CDE : CDCDCDCD; +2CDF : CDCDCDCD; +2CE0 : CDCDCDCD; +2CE1 : CDCDCDCD; +2CE2 : CDCDCDCD; +2CE3 : CDCDCDCD; +2CE4 : CDCDCDCD; +2CE5 : CDCDCDCD; +2CE6 : CDCDCDCD; +2CE7 : CDCDCDCD; +2CE8 : CDCDCDCD; +2CE9 : CDCDCDCD; +2CEA : CDCDCDCD; +2CEB : CDCDCDCD; +2CEC : CDCDCDCD; +2CED : CDCDCDCD; +2CEE : CDCDCDCD; +2CEF : CDCDCDCD; +2CF0 : CDCDCDCD; +2CF1 : CDCDCDCD; +2CF2 : CDCDCDCD; +2CF3 : CDCDCDCD; +2CF4 : CDCDCDCD; +2CF5 : CDCDCDCD; +2CF6 : CDCDCDCD; +2CF7 : CDCDCDCD; +2CF8 : CDCDCDCD; +2CF9 : CDCDCDCD; +2CFA : CDCDCDCD; +2CFB : CDCDCDCD; +2CFC : CDCDCDCD; +2CFD : CDCDCDCD; +2CFE : CDCDCDCD; +2CFF : CDCDCDCD; +2D00 : CDCDCDCD; +2D01 : CDCDCDCD; +2D02 : CDCDCDCD; +2D03 : CDCDCDCD; +2D04 : CDCDCDCD; +2D05 : CDCDCDCD; +2D06 : CDCDCDCD; +2D07 : CDCDCDCD; +2D08 : CDCDCDCD; +2D09 : CDCDCDCD; +2D0A : CDCDCDCD; +2D0B : CDCDCDCD; +2D0C : CDCDCDCD; +2D0D : CDCDCDCD; +2D0E : CDCDCDCD; +2D0F : CDCDCDCD; +2D10 : CDCDCDCD; +2D11 : CDCDCDCD; +2D12 : CDCDCDCD; +2D13 : CDCDCDCD; +2D14 : CDCDCDCD; +2D15 : CDCDCDCD; +2D16 : CDCDCDCD; +2D17 : CDCDCDCD; +2D18 : CDCDCDCD; +2D19 : CDCDCDCD; +2D1A : CDCDCDCD; +2D1B : CDCDCDCD; +2D1C : CDCDCDCD; +2D1D : CDCDCDCD; +2D1E : CDCDCDCD; +2D1F : CDCDCDCD; +2D20 : CDCDCDCD; +2D21 : CDCDCDCD; +2D22 : CDCDCDCD; +2D23 : CDCDCDCD; +2D24 : CDCDCDCD; +2D25 : CDCDCDCD; +2D26 : CDCDCDCD; +2D27 : CDCDCDCD; +2D28 : CDCDCDCD; +2D29 : CDCDCDCD; +2D2A : CDCDCDCD; +2D2B : CDCDCDCD; +2D2C : CDCDCDCD; +2D2D : CDCDCDCD; +2D2E : CDCDCDCD; +2D2F : CDCDCDCD; +2D30 : CDCDCDCD; +2D31 : CDCDCDCD; +2D32 : CDCDCDCD; +2D33 : CDCDCDCD; +2D34 : CDCDCDCD; +2D35 : CDCDCDCD; +2D36 : CDCDCDCD; +2D37 : CDCDCDCD; +2D38 : CDCDCDCD; +2D39 : CDCDCDCD; +2D3A : CDCDCDCD; +2D3B : CDCDCDCD; +2D3C : CDCDCDCD; +2D3D : CDCDCDCD; +2D3E : CDCDCDCD; +2D3F : CDCDCDCD; +2D40 : CDCDCDCD; +2D41 : CDCDCDCD; +2D42 : CDCDCDCD; +2D43 : CDCDCDCD; +2D44 : CDCDCDCD; +2D45 : CDCDCDCD; +2D46 : CDCDCDCD; +2D47 : CDCDCDCD; +2D48 : CDCDCDCD; +2D49 : CDCDCDCD; +2D4A : CDCDCDCD; +2D4B : CDCDCDCD; +2D4C : CDCDCDCD; +2D4D : CDCDCDCD; +2D4E : CDCDCDCD; +2D4F : CDCDCDCD; +2D50 : CDCDCDCD; +2D51 : CDCDCDCD; +2D52 : CDCDCDCD; +2D53 : CDCDCDCD; +2D54 : CDCDCDCD; +2D55 : CDCDCDCD; +2D56 : CDCDCDCD; +2D57 : CDCDCDCD; +2D58 : CDCDCDCD; +2D59 : CDCDCDCD; +2D5A : CDCDCDCD; +2D5B : CDCDCDCD; +2D5C : CDCDCDCD; +2D5D : CDCDCDCD; +2D5E : CDCDCDCD; +2D5F : CDCDCDCD; +2D60 : CDCDCDCD; +2D61 : CDCDCDCD; +2D62 : CDCDCDCD; +2D63 : CDCDCDCD; +2D64 : CDCDCDCD; +2D65 : CDCDCDCD; +2D66 : CDCDCDCD; +2D67 : CDCDCDCD; +2D68 : CDCDCDCD; +2D69 : CDCDCDCD; +2D6A : CDCDCDCD; +2D6B : CDCDCDCD; +2D6C : CDCDCDCD; +2D6D : CDCDCDCD; +2D6E : CDCDCDCD; +2D6F : CDCDCDCD; +2D70 : CDCDCDCD; +2D71 : CDCDCDCD; +2D72 : CDCDCDCD; +2D73 : CDCDCDCD; +2D74 : CDCDCDCD; +2D75 : CDCDCDCD; +2D76 : CDCDCDCD; +2D77 : CDCDCDCD; +2D78 : CDCDCDCD; +2D79 : CDCDCDCD; +2D7A : CDCDCDCD; +2D7B : CDCDCDCD; +2D7C : CDCDCDCD; +2D7D : CDCDCDCD; +2D7E : CDCDCDCD; +2D7F : CDCDCDCD; +2D80 : CDCDCDCD; +2D81 : CDCDCDCD; +2D82 : CDCDCDCD; +2D83 : CDCDCDCD; +2D84 : CDCDCDCD; +2D85 : CDCDCDCD; +2D86 : CDCDCDCD; +2D87 : CDCDCDCD; +2D88 : CDCDCDCD; +2D89 : CDCDCDCD; +2D8A : CDCDCDCD; +2D8B : CDCDCDCD; +2D8C : CDCDCDCD; +2D8D : CDCDCDCD; +2D8E : CDCDCDCD; +2D8F : CDCDCDCD; +2D90 : CDCDCDCD; +2D91 : CDCDCDCD; +2D92 : CDCDCDCD; +2D93 : CDCDCDCD; +2D94 : CDCDCDCD; +2D95 : CDCDCDCD; +2D96 : CDCDCDCD; +2D97 : CDCDCDCD; +2D98 : CDCDCDCD; +2D99 : CDCDCDCD; +2D9A : CDCDCDCD; +2D9B : CDCDCDCD; +2D9C : CDCDCDCD; +2D9D : CDCDCDCD; +2D9E : CDCDCDCD; +2D9F : CDCDCDCD; +2DA0 : CDCDCDCD; +2DA1 : CDCDCDCD; +2DA2 : CDCDCDCD; +2DA3 : CDCDCDCD; +2DA4 : CDCDCDCD; +2DA5 : CDCDCDCD; +2DA6 : CDCDCDCD; +2DA7 : CDCDCDCD; +2DA8 : CDCDCDCD; +2DA9 : CDCDCDCD; +2DAA : CDCDCDCD; +2DAB : CDCDCDCD; +2DAC : CDCDCDCD; +2DAD : CDCDCDCD; +2DAE : CDCDCDCD; +2DAF : CDCDCDCD; +2DB0 : CDCDCDCD; +2DB1 : CDCDCDCD; +2DB2 : CDCDCDCD; +2DB3 : CDCDCDCD; +2DB4 : CDCDCDCD; +2DB5 : CDCDCDCD; +2DB6 : CDCDCDCD; +2DB7 : CDCDCDCD; +2DB8 : CDCDCDCD; +2DB9 : CDCDCDCD; +2DBA : CDCDCDCD; +2DBB : CDCDCDCD; +2DBC : CDCDCDCD; +2DBD : CDCDCDCD; +2DBE : CDCDCDCD; +2DBF : CDCDCDCD; +2DC0 : CDCDCDCD; +2DC1 : CDCDCDCD; +2DC2 : CDCDCDCD; +2DC3 : CDCDCDCD; +2DC4 : CDCDCDCD; +2DC5 : CDCDCDCD; +2DC6 : CDCDCDCD; +2DC7 : CDCDCDCD; +2DC8 : CDCDCDCD; +2DC9 : CDCDCDCD; +2DCA : CDCDCDCD; +2DCB : CDCDCDCD; +2DCC : CDCDCDCD; +2DCD : CDCDCDCD; +2DCE : CDCDCDCD; +2DCF : CDCDCDCD; +2DD0 : CDCDCDCD; +2DD1 : CDCDCDCD; +2DD2 : CDCDCDCD; +2DD3 : CDCDCDCD; +2DD4 : CDCDCDCD; +2DD5 : CDCDCDCD; +2DD6 : CDCDCDCD; +2DD7 : CDCDCDCD; +2DD8 : CDCDCDCD; +2DD9 : CDCDCDCD; +2DDA : CDCDCDCD; +2DDB : CDCDCDCD; +2DDC : CDCDCDCD; +2DDD : CDCDCDCD; +2DDE : CDCDCDCD; +2DDF : CDCDCDCD; +2DE0 : CDCDCDCD; +2DE1 : CDCDCDCD; +2DE2 : CDCDCDCD; +2DE3 : CDCDCDCD; +2DE4 : CDCDCDCD; +2DE5 : CDCDCDCD; +2DE6 : CDCDCDCD; +2DE7 : CDCDCDCD; +2DE8 : CDCDCDCD; +2DE9 : CDCDCDCD; +2DEA : CDCDCDCD; +2DEB : CDCDCDCD; +2DEC : CDCDCDCD; +2DED : CDCDCDCD; +2DEE : CDCDCDCD; +2DEF : CDCDCDCD; +2DF0 : CDCDCDCD; +2DF1 : CDCDCDCD; +2DF2 : CDCDCDCD; +2DF3 : CDCDCDCD; +2DF4 : CDCDCDCD; +2DF5 : CDCDCDCD; +2DF6 : CDCDCDCD; +2DF7 : CDCDCDCD; +2DF8 : CDCDCDCD; +2DF9 : CDCDCDCD; +2DFA : CDCDCDCD; +2DFB : CDCDCDCD; +2DFC : CDCDCDCD; +2DFD : CDCDCDCD; +2DFE : CDCDCDCD; +2DFF : CDCDCDCD; +2E00 : CDCDCDCD; +2E01 : CDCDCDCD; +2E02 : CDCDCDCD; +2E03 : CDCDCDCD; +2E04 : CDCDCDCD; +2E05 : CDCDCDCD; +2E06 : CDCDCDCD; +2E07 : CDCDCDCD; +2E08 : CDCDCDCD; +2E09 : CDCDCDCD; +2E0A : CDCDCDCD; +2E0B : CDCDCDCD; +2E0C : CDCDCDCD; +2E0D : CDCDCDCD; +2E0E : CDCDCDCD; +2E0F : CDCDCDCD; +2E10 : CDCDCDCD; +2E11 : CDCDCDCD; +2E12 : CDCDCDCD; +2E13 : CDCDCDCD; +2E14 : CDCDCDCD; +2E15 : CDCDCDCD; +2E16 : CDCDCDCD; +2E17 : CDCDCDCD; +2E18 : CDCDCDCD; +2E19 : CDCDCDCD; +2E1A : CDCDCDCD; +2E1B : CDCDCDCD; +2E1C : CDCDCDCD; +2E1D : CDCDCDCD; +2E1E : CDCDCDCD; +2E1F : CDCDCDCD; +2E20 : CDCDCDCD; +2E21 : CDCDCDCD; +2E22 : CDCDCDCD; +2E23 : CDCDCDCD; +2E24 : CDCDCDCD; +2E25 : CDCDCDCD; +2E26 : CDCDCDCD; +2E27 : CDCDCDCD; +2E28 : CDCDCDCD; +2E29 : CDCDCDCD; +2E2A : CDCDCDCD; +2E2B : CDCDCDCD; +2E2C : CDCDCDCD; +2E2D : CDCDCDCD; +2E2E : CDCDCDCD; +2E2F : CDCDCDCD; +2E30 : CDCDCDCD; +2E31 : CDCDCDCD; +2E32 : CDCDCDCD; +2E33 : CDCDCDCD; +2E34 : CDCDCDCD; +2E35 : CDCDCDCD; +2E36 : CDCDCDCD; +2E37 : CDCDCDCD; +2E38 : CDCDCDCD; +2E39 : CDCDCDCD; +2E3A : CDCDCDCD; +2E3B : CDCDCDCD; +2E3C : CDCDCDCD; +2E3D : CDCDCDCD; +2E3E : CDCDCDCD; +2E3F : CDCDCDCD; +2E40 : CDCDCDCD; +2E41 : CDCDCDCD; +2E42 : CDCDCDCD; +2E43 : CDCDCDCD; +2E44 : CDCDCDCD; +2E45 : CDCDCDCD; +2E46 : CDCDCDCD; +2E47 : CDCDCDCD; +2E48 : CDCDCDCD; +2E49 : CDCDCDCD; +2E4A : CDCDCDCD; +2E4B : CDCDCDCD; +2E4C : CDCDCDCD; +2E4D : CDCDCDCD; +2E4E : CDCDCDCD; +2E4F : CDCDCDCD; +2E50 : CDCDCDCD; +2E51 : CDCDCDCD; +2E52 : CDCDCDCD; +2E53 : CDCDCDCD; +2E54 : CDCDCDCD; +2E55 : CDCDCDCD; +2E56 : CDCDCDCD; +2E57 : CDCDCDCD; +2E58 : CDCDCDCD; +2E59 : CDCDCDCD; +2E5A : CDCDCDCD; +2E5B : CDCDCDCD; +2E5C : CDCDCDCD; +2E5D : CDCDCDCD; +2E5E : CDCDCDCD; +2E5F : CDCDCDCD; +2E60 : CDCDCDCD; +2E61 : CDCDCDCD; +2E62 : CDCDCDCD; +2E63 : CDCDCDCD; +2E64 : CDCDCDCD; +2E65 : CDCDCDCD; +2E66 : CDCDCDCD; +2E67 : CDCDCDCD; +2E68 : CDCDCDCD; +2E69 : CDCDCDCD; +2E6A : CDCDCDCD; +2E6B : CDCDCDCD; +2E6C : CDCDCDCD; +2E6D : CDCDCDCD; +2E6E : CDCDCDCD; +2E6F : CDCDCDCD; +2E70 : CDCDCDCD; +2E71 : CDCDCDCD; +2E72 : CDCDCDCD; +2E73 : CDCDCDCD; +2E74 : CDCDCDCD; +2E75 : CDCDCDCD; +2E76 : CDCDCDCD; +2E77 : CDCDCDCD; +2E78 : CDCDCDCD; +2E79 : CDCDCDCD; +2E7A : CDCDCDCD; +2E7B : CDCDCDCD; +2E7C : CDCDCDCD; +2E7D : CDCDCDCD; +2E7E : CDCDCDCD; +2E7F : CDCDCDCD; +2E80 : CDCDCDCD; +2E81 : CDCDCDCD; +2E82 : CDCDCDCD; +2E83 : CDCDCDCD; +2E84 : CDCDCDCD; +2E85 : CDCDCDCD; +2E86 : CDCDCDCD; +2E87 : CDCDCDCD; +2E88 : CDCDCDCD; +2E89 : CDCDCDCD; +2E8A : CDCDCDCD; +2E8B : CDCDCDCD; +2E8C : CDCDCDCD; +2E8D : CDCDCDCD; +2E8E : CDCDCDCD; +2E8F : CDCDCDCD; +2E90 : CDCDCDCD; +2E91 : CDCDCDCD; +2E92 : CDCDCDCD; +2E93 : CDCDCDCD; +2E94 : CDCDCDCD; +2E95 : CDCDCDCD; +2E96 : CDCDCDCD; +2E97 : CDCDCDCD; +2E98 : CDCDCDCD; +2E99 : CDCDCDCD; +2E9A : CDCDCDCD; +2E9B : CDCDCDCD; +2E9C : CDCDCDCD; +2E9D : CDCDCDCD; +2E9E : CDCDCDCD; +2E9F : CDCDCDCD; +2EA0 : CDCDCDCD; +2EA1 : CDCDCDCD; +2EA2 : CDCDCDCD; +2EA3 : CDCDCDCD; +2EA4 : CDCDCDCD; +2EA5 : CDCDCDCD; +2EA6 : CDCDCDCD; +2EA7 : CDCDCDCD; +2EA8 : CDCDCDCD; +2EA9 : CDCDCDCD; +2EAA : CDCDCDCD; +2EAB : CDCDCDCD; +2EAC : CDCDCDCD; +2EAD : CDCDCDCD; +2EAE : CDCDCDCD; +2EAF : CDCDCDCD; +2EB0 : CDCDCDCD; +2EB1 : CDCDCDCD; +2EB2 : CDCDCDCD; +2EB3 : CDCDCDCD; +2EB4 : CDCDCDCD; +2EB5 : CDCDCDCD; +2EB6 : CDCDCDCD; +2EB7 : CDCDCDCD; +2EB8 : CDCDCDCD; +2EB9 : CDCDCDCD; +2EBA : CDCDCDCD; +2EBB : CDCDCDCD; +2EBC : CDCDCDCD; +2EBD : CDCDCDCD; +2EBE : CDCDCDCD; +2EBF : CDCDCDCD; +2EC0 : CDCDCDCD; +2EC1 : CDCDCDCD; +2EC2 : CDCDCDCD; +2EC3 : CDCDCDCD; +2EC4 : CDCDCDCD; +2EC5 : CDCDCDCD; +2EC6 : CDCDCDCD; +2EC7 : CDCDCDCD; +2EC8 : CDCDCDCD; +2EC9 : CDCDCDCD; +2ECA : CDCDCDCD; +2ECB : CDCDCDCD; +2ECC : CDCDCDCD; +2ECD : CDCDCDCD; +2ECE : CDCDCDCD; +2ECF : CDCDCDCD; +2ED0 : CDCDCDCD; +2ED1 : CDCDCDCD; +2ED2 : CDCDCDCD; +2ED3 : CDCDCDCD; +2ED4 : CDCDCDCD; +2ED5 : CDCDCDCD; +2ED6 : CDCDCDCD; +2ED7 : CDCDCDCD; +2ED8 : CDCDCDCD; +2ED9 : CDCDCDCD; +2EDA : CDCDCDCD; +2EDB : CDCDCDCD; +2EDC : CDCDCDCD; +2EDD : CDCDCDCD; +2EDE : CDCDCDCD; +2EDF : CDCDCDCD; +2EE0 : CDCDCDCD; +2EE1 : CDCDCDCD; +2EE2 : CDCDCDCD; +2EE3 : CDCDCDCD; +2EE4 : CDCDCDCD; +2EE5 : CDCDCDCD; +2EE6 : CDCDCDCD; +2EE7 : CDCDCDCD; +2EE8 : CDCDCDCD; +2EE9 : CDCDCDCD; +2EEA : CDCDCDCD; +2EEB : CDCDCDCD; +2EEC : CDCDCDCD; +2EED : CDCDCDCD; +2EEE : CDCDCDCD; +2EEF : CDCDCDCD; +2EF0 : CDCDCDCD; +2EF1 : CDCDCDCD; +2EF2 : CDCDCDCD; +2EF3 : CDCDCDCD; +2EF4 : CDCDCDCD; +2EF5 : CDCDCDCD; +2EF6 : CDCDCDCD; +2EF7 : CDCDCDCD; +2EF8 : CDCDCDCD; +2EF9 : CDCDCDCD; +2EFA : CDCDCDCD; +2EFB : CDCDCDCD; +2EFC : CDCDCDCD; +2EFD : CDCDCDCD; +2EFE : CDCDCDCD; +2EFF : CDCDCDCD; +2F00 : CDCDCDCD; +2F01 : CDCDCDCD; +2F02 : CDCDCDCD; +2F03 : CDCDCDCD; +2F04 : CDCDCDCD; +2F05 : CDCDCDCD; +2F06 : CDCDCDCD; +2F07 : CDCDCDCD; +2F08 : CDCDCDCD; +2F09 : CDCDCDCD; +2F0A : CDCDCDCD; +2F0B : CDCDCDCD; +2F0C : CDCDCDCD; +2F0D : CDCDCDCD; +2F0E : CDCDCDCD; +2F0F : CDCDCDCD; +2F10 : CDCDCDCD; +2F11 : CDCDCDCD; +2F12 : CDCDCDCD; +2F13 : CDCDCDCD; +2F14 : CDCDCDCD; +2F15 : CDCDCDCD; +2F16 : CDCDCDCD; +2F17 : CDCDCDCD; +2F18 : CDCDCDCD; +2F19 : CDCDCDCD; +2F1A : CDCDCDCD; +2F1B : CDCDCDCD; +2F1C : CDCDCDCD; +2F1D : CDCDCDCD; +2F1E : CDCDCDCD; +2F1F : CDCDCDCD; +2F20 : CDCDCDCD; +2F21 : CDCDCDCD; +2F22 : CDCDCDCD; +2F23 : CDCDCDCD; +2F24 : CDCDCDCD; +2F25 : CDCDCDCD; +2F26 : CDCDCDCD; +2F27 : CDCDCDCD; +2F28 : CDCDCDCD; +2F29 : CDCDCDCD; +2F2A : CDCDCDCD; +2F2B : CDCDCDCD; +2F2C : CDCDCDCD; +2F2D : CDCDCDCD; +2F2E : CDCDCDCD; +2F2F : CDCDCDCD; +2F30 : CDCDCDCD; +2F31 : CDCDCDCD; +2F32 : CDCDCDCD; +2F33 : CDCDCDCD; +2F34 : CDCDCDCD; +2F35 : CDCDCDCD; +2F36 : CDCDCDCD; +2F37 : CDCDCDCD; +2F38 : CDCDCDCD; +2F39 : CDCDCDCD; +2F3A : CDCDCDCD; +2F3B : CDCDCDCD; +2F3C : CDCDCDCD; +2F3D : CDCDCDCD; +2F3E : CDCDCDCD; +2F3F : CDCDCDCD; +2F40 : CDCDCDCD; +2F41 : CDCDCDCD; +2F42 : CDCDCDCD; +2F43 : CDCDCDCD; +2F44 : CDCDCDCD; +2F45 : CDCDCDCD; +2F46 : CDCDCDCD; +2F47 : CDCDCDCD; +2F48 : CDCDCDCD; +2F49 : CDCDCDCD; +2F4A : CDCDCDCD; +2F4B : CDCDCDCD; +2F4C : CDCDCDCD; +2F4D : CDCDCDCD; +2F4E : CDCDCDCD; +2F4F : CDCDCDCD; +2F50 : CDCDCDCD; +2F51 : CDCDCDCD; +2F52 : CDCDCDCD; +2F53 : CDCDCDCD; +2F54 : CDCDCDCD; +2F55 : CDCDCDCD; +2F56 : CDCDCDCD; +2F57 : CDCDCDCD; +2F58 : CDCDCDCD; +2F59 : CDCDCDCD; +2F5A : CDCDCDCD; +2F5B : CDCDCDCD; +2F5C : CDCDCDCD; +2F5D : CDCDCDCD; +2F5E : CDCDCDCD; +2F5F : CDCDCDCD; +2F60 : CDCDCDCD; +2F61 : CDCDCDCD; +2F62 : CDCDCDCD; +2F63 : CDCDCDCD; +2F64 : CDCDCDCD; +2F65 : CDCDCDCD; +2F66 : CDCDCDCD; +2F67 : CDCDCDCD; +2F68 : CDCDCDCD; +2F69 : CDCDCDCD; +2F6A : CDCDCDCD; +2F6B : CDCDCDCD; +2F6C : CDCDCDCD; +2F6D : CDCDCDCD; +2F6E : CDCDCDCD; +2F6F : CDCDCDCD; +2F70 : CDCDCDCD; +2F71 : CDCDCDCD; +2F72 : CDCDCDCD; +2F73 : CDCDCDCD; +2F74 : CDCDCDCD; +2F75 : CDCDCDCD; +2F76 : CDCDCDCD; +2F77 : CDCDCDCD; +2F78 : CDCDCDCD; +2F79 : CDCDCDCD; +2F7A : CDCDCDCD; +2F7B : CDCDCDCD; +2F7C : CDCDCDCD; +2F7D : CDCDCDCD; +2F7E : CDCDCDCD; +2F7F : CDCDCDCD; +2F80 : CDCDCDCD; +2F81 : CDCDCDCD; +2F82 : CDCDCDCD; +2F83 : CDCDCDCD; +2F84 : CDCDCDCD; +2F85 : CDCDCDCD; +2F86 : CDCDCDCD; +2F87 : CDCDCDCD; +2F88 : CDCDCDCD; +2F89 : CDCDCDCD; +2F8A : CDCDCDCD; +2F8B : CDCDCDCD; +2F8C : CDCDCDCD; +2F8D : CDCDCDCD; +2F8E : CDCDCDCD; +2F8F : CDCDCDCD; +2F90 : CDCDCDCD; +2F91 : CDCDCDCD; +2F92 : CDCDCDCD; +2F93 : CDCDCDCD; +2F94 : CDCDCDCD; +2F95 : CDCDCDCD; +2F96 : CDCDCDCD; +2F97 : CDCDCDCD; +2F98 : CDCDCDCD; +2F99 : CDCDCDCD; +2F9A : CDCDCDCD; +2F9B : CDCDCDCD; +2F9C : CDCDCDCD; +2F9D : CDCDCDCD; +2F9E : CDCDCDCD; +2F9F : CDCDCDCD; +2FA0 : CDCDCDCD; +2FA1 : CDCDCDCD; +2FA2 : CDCDCDCD; +2FA3 : CDCDCDCD; +2FA4 : CDCDCDCD; +2FA5 : CDCDCDCD; +2FA6 : CDCDCDCD; +2FA7 : CDCDCDCD; +2FA8 : CDCDCDCD; +2FA9 : CDCDCDCD; +2FAA : CDCDCDCD; +2FAB : CDCDCDCD; +2FAC : CDCDCDCD; +2FAD : CDCDCDCD; +2FAE : CDCDCDCD; +2FAF : CDCDCDCD; +2FB0 : CDCDCDCD; +2FB1 : CDCDCDCD; +2FB2 : CDCDCDCD; +2FB3 : CDCDCDCD; +2FB4 : CDCDCDCD; +2FB5 : CDCDCDCD; +2FB6 : CDCDCDCD; +2FB7 : CDCDCDCD; +2FB8 : CDCDCDCD; +2FB9 : CDCDCDCD; +2FBA : CDCDCDCD; +2FBB : CDCDCDCD; +2FBC : CDCDCDCD; +2FBD : CDCDCDCD; +2FBE : CDCDCDCD; +2FBF : CDCDCDCD; +2FC0 : CDCDCDCD; +2FC1 : CDCDCDCD; +2FC2 : CDCDCDCD; +2FC3 : CDCDCDCD; +2FC4 : CDCDCDCD; +2FC5 : CDCDCDCD; +2FC6 : CDCDCDCD; +2FC7 : CDCDCDCD; +2FC8 : CDCDCDCD; +2FC9 : CDCDCDCD; +2FCA : CDCDCDCD; +2FCB : CDCDCDCD; +2FCC : CDCDCDCD; +2FCD : CDCDCDCD; +2FCE : CDCDCDCD; +2FCF : CDCDCDCD; +2FD0 : CDCDCDCD; +2FD1 : CDCDCDCD; +2FD2 : CDCDCDCD; +2FD3 : CDCDCDCD; +2FD4 : CDCDCDCD; +2FD5 : CDCDCDCD; +2FD6 : CDCDCDCD; +2FD7 : CDCDCDCD; +2FD8 : CDCDCDCD; +2FD9 : CDCDCDCD; +2FDA : CDCDCDCD; +2FDB : CDCDCDCD; +2FDC : CDCDCDCD; +2FDD : CDCDCDCD; +2FDE : CDCDCDCD; +2FDF : CDCDCDCD; +2FE0 : CDCDCDCD; +2FE1 : CDCDCDCD; +2FE2 : CDCDCDCD; +2FE3 : CDCDCDCD; +2FE4 : CDCDCDCD; +2FE5 : CDCDCDCD; +2FE6 : CDCDCDCD; +2FE7 : CDCDCDCD; +2FE8 : CDCDCDCD; +2FE9 : CDCDCDCD; +2FEA : CDCDCDCD; +2FEB : CDCDCDCD; +2FEC : CDCDCDCD; +2FED : CDCDCDCD; +2FEE : CDCDCDCD; +2FEF : CDCDCDCD; +2FF0 : CDCDCDCD; +2FF1 : CDCDCDCD; +2FF2 : CDCDCDCD; +2FF3 : CDCDCDCD; +2FF4 : CDCDCDCD; +2FF5 : CDCDCDCD; +2FF6 : CDCDCDCD; +2FF7 : CDCDCDCD; +2FF8 : CDCDCDCD; +2FF9 : CDCDCDCD; +2FFA : CDCDCDCD; +2FFB : CDCDCDCD; +2FFC : CDCDCDCD; +2FFD : CDCDCDCD; +2FFE : CDCDCDCD; +2FFF : CDCDCDCD; +3000 : CDCDCDCD; +3001 : CDCDCDCD; +3002 : CDCDCDCD; +3003 : CDCDCDCD; +3004 : CDCDCDCD; +3005 : CDCDCDCD; +3006 : CDCDCDCD; +3007 : CDCDCDCD; +3008 : CDCDCDCD; +3009 : CDCDCDCD; +300A : CDCDCDCD; +300B : CDCDCDCD; +300C : CDCDCDCD; +300D : CDCDCDCD; +300E : CDCDCDCD; +300F : CDCDCDCD; +3010 : CDCDCDCD; +3011 : CDCDCDCD; +3012 : CDCDCDCD; +3013 : CDCDCDCD; +3014 : CDCDCDCD; +3015 : CDCDCDCD; +3016 : CDCDCDCD; +3017 : CDCDCDCD; +3018 : CDCDCDCD; +3019 : CDCDCDCD; +301A : CDCDCDCD; +301B : CDCDCDCD; +301C : CDCDCDCD; +301D : CDCDCDCD; +301E : CDCDCDCD; +301F : CDCDCDCD; +3020 : CDCDCDCD; +3021 : CDCDCDCD; +3022 : CDCDCDCD; +3023 : CDCDCDCD; +3024 : CDCDCDCD; +3025 : CDCDCDCD; +3026 : CDCDCDCD; +3027 : CDCDCDCD; +3028 : CDCDCDCD; +3029 : CDCDCDCD; +302A : CDCDCDCD; +302B : CDCDCDCD; +302C : CDCDCDCD; +302D : CDCDCDCD; +302E : CDCDCDCD; +302F : CDCDCDCD; +3030 : CDCDCDCD; +3031 : CDCDCDCD; +3032 : CDCDCDCD; +3033 : CDCDCDCD; +3034 : CDCDCDCD; +3035 : CDCDCDCD; +3036 : CDCDCDCD; +3037 : CDCDCDCD; +3038 : CDCDCDCD; +3039 : CDCDCDCD; +303A : CDCDCDCD; +303B : CDCDCDCD; +303C : CDCDCDCD; +303D : CDCDCDCD; +303E : CDCDCDCD; +303F : CDCDCDCD; +3040 : CDCDCDCD; +3041 : CDCDCDCD; +3042 : CDCDCDCD; +3043 : CDCDCDCD; +3044 : CDCDCDCD; +3045 : CDCDCDCD; +3046 : CDCDCDCD; +3047 : CDCDCDCD; +3048 : CDCDCDCD; +3049 : CDCDCDCD; +304A : CDCDCDCD; +304B : CDCDCDCD; +304C : CDCDCDCD; +304D : CDCDCDCD; +304E : CDCDCDCD; +304F : CDCDCDCD; +3050 : CDCDCDCD; +3051 : CDCDCDCD; +3052 : CDCDCDCD; +3053 : CDCDCDCD; +3054 : CDCDCDCD; +3055 : CDCDCDCD; +3056 : CDCDCDCD; +3057 : CDCDCDCD; +3058 : CDCDCDCD; +3059 : CDCDCDCD; +305A : CDCDCDCD; +305B : CDCDCDCD; +305C : CDCDCDCD; +305D : CDCDCDCD; +305E : CDCDCDCD; +305F : CDCDCDCD; +3060 : CDCDCDCD; +3061 : CDCDCDCD; +3062 : CDCDCDCD; +3063 : CDCDCDCD; +3064 : CDCDCDCD; +3065 : CDCDCDCD; +3066 : CDCDCDCD; +3067 : CDCDCDCD; +3068 : CDCDCDCD; +3069 : CDCDCDCD; +306A : CDCDCDCD; +306B : CDCDCDCD; +306C : CDCDCDCD; +306D : CDCDCDCD; +306E : CDCDCDCD; +306F : CDCDCDCD; +3070 : CDCDCDCD; +3071 : CDCDCDCD; +3072 : CDCDCDCD; +3073 : CDCDCDCD; +3074 : CDCDCDCD; +3075 : CDCDCDCD; +3076 : CDCDCDCD; +3077 : CDCDCDCD; +3078 : CDCDCDCD; +3079 : CDCDCDCD; +307A : CDCDCDCD; +307B : CDCDCDCD; +307C : CDCDCDCD; +307D : CDCDCDCD; +307E : CDCDCDCD; +307F : CDCDCDCD; +3080 : CDCDCDCD; +3081 : CDCDCDCD; +3082 : CDCDCDCD; +3083 : CDCDCDCD; +3084 : CDCDCDCD; +3085 : CDCDCDCD; +3086 : CDCDCDCD; +3087 : CDCDCDCD; +3088 : CDCDCDCD; +3089 : CDCDCDCD; +308A : CDCDCDCD; +308B : CDCDCDCD; +308C : CDCDCDCD; +308D : CDCDCDCD; +308E : CDCDCDCD; +308F : CDCDCDCD; +3090 : CDCDCDCD; +3091 : CDCDCDCD; +3092 : CDCDCDCD; +3093 : CDCDCDCD; +3094 : CDCDCDCD; +3095 : CDCDCDCD; +3096 : CDCDCDCD; +3097 : CDCDCDCD; +3098 : CDCDCDCD; +3099 : CDCDCDCD; +309A : CDCDCDCD; +309B : CDCDCDCD; +309C : CDCDCDCD; +309D : CDCDCDCD; +309E : CDCDCDCD; +309F : CDCDCDCD; +30A0 : CDCDCDCD; +30A1 : CDCDCDCD; +30A2 : CDCDCDCD; +30A3 : CDCDCDCD; +30A4 : CDCDCDCD; +30A5 : CDCDCDCD; +30A6 : CDCDCDCD; +30A7 : CDCDCDCD; +30A8 : CDCDCDCD; +30A9 : CDCDCDCD; +30AA : CDCDCDCD; +30AB : CDCDCDCD; +30AC : CDCDCDCD; +30AD : CDCDCDCD; +30AE : CDCDCDCD; +30AF : CDCDCDCD; +30B0 : CDCDCDCD; +30B1 : CDCDCDCD; +30B2 : CDCDCDCD; +30B3 : CDCDCDCD; +30B4 : CDCDCDCD; +30B5 : CDCDCDCD; +30B6 : CDCDCDCD; +30B7 : CDCDCDCD; +30B8 : CDCDCDCD; +30B9 : CDCDCDCD; +30BA : CDCDCDCD; +30BB : CDCDCDCD; +30BC : CDCDCDCD; +30BD : CDCDCDCD; +30BE : CDCDCDCD; +30BF : CDCDCDCD; +30C0 : CDCDCDCD; +30C1 : CDCDCDCD; +30C2 : CDCDCDCD; +30C3 : CDCDCDCD; +30C4 : CDCDCDCD; +30C5 : CDCDCDCD; +30C6 : CDCDCDCD; +30C7 : CDCDCDCD; +30C8 : CDCDCDCD; +30C9 : CDCDCDCD; +30CA : CDCDCDCD; +30CB : CDCDCDCD; +30CC : CDCDCDCD; +30CD : CDCDCDCD; +30CE : CDCDCDCD; +30CF : CDCDCDCD; +30D0 : CDCDCDCD; +30D1 : CDCDCDCD; +30D2 : CDCDCDCD; +30D3 : CDCDCDCD; +30D4 : CDCDCDCD; +30D5 : CDCDCDCD; +30D6 : CDCDCDCD; +30D7 : CDCDCDCD; +30D8 : CDCDCDCD; +30D9 : CDCDCDCD; +30DA : CDCDCDCD; +30DB : CDCDCDCD; +30DC : CDCDCDCD; +30DD : CDCDCDCD; +30DE : CDCDCDCD; +30DF : CDCDCDCD; +30E0 : CDCDCDCD; +30E1 : CDCDCDCD; +30E2 : CDCDCDCD; +30E3 : CDCDCDCD; +30E4 : CDCDCDCD; +30E5 : CDCDCDCD; +30E6 : CDCDCDCD; +30E7 : CDCDCDCD; +30E8 : CDCDCDCD; +30E9 : CDCDCDCD; +30EA : CDCDCDCD; +30EB : CDCDCDCD; +30EC : CDCDCDCD; +30ED : CDCDCDCD; +30EE : CDCDCDCD; +30EF : CDCDCDCD; +30F0 : CDCDCDCD; +30F1 : CDCDCDCD; +30F2 : CDCDCDCD; +30F3 : CDCDCDCD; +30F4 : CDCDCDCD; +30F5 : CDCDCDCD; +30F6 : CDCDCDCD; +30F7 : CDCDCDCD; +30F8 : CDCDCDCD; +30F9 : CDCDCDCD; +30FA : CDCDCDCD; +30FB : CDCDCDCD; +30FC : CDCDCDCD; +30FD : CDCDCDCD; +30FE : CDCDCDCD; +30FF : CDCDCDCD; +3100 : CDCDCDCD; +3101 : CDCDCDCD; +3102 : CDCDCDCD; +3103 : CDCDCDCD; +3104 : CDCDCDCD; +3105 : CDCDCDCD; +3106 : CDCDCDCD; +3107 : CDCDCDCD; +3108 : CDCDCDCD; +3109 : CDCDCDCD; +310A : CDCDCDCD; +310B : CDCDCDCD; +310C : CDCDCDCD; +310D : CDCDCDCD; +310E : CDCDCDCD; +310F : CDCDCDCD; +3110 : CDCDCDCD; +3111 : CDCDCDCD; +3112 : CDCDCDCD; +3113 : CDCDCDCD; +3114 : CDCDCDCD; +3115 : CDCDCDCD; +3116 : CDCDCDCD; +3117 : CDCDCDCD; +3118 : CDCDCDCD; +3119 : CDCDCDCD; +311A : CDCDCDCD; +311B : CDCDCDCD; +311C : CDCDCDCD; +311D : CDCDCDCD; +311E : CDCDCDCD; +311F : CDCDCDCD; +3120 : CDCDCDCD; +3121 : CDCDCDCD; +3122 : CDCDCDCD; +3123 : CDCDCDCD; +3124 : CDCDCDCD; +3125 : CDCDCDCD; +3126 : CDCDCDCD; +3127 : CDCDCDCD; +3128 : CDCDCDCD; +3129 : CDCDCDCD; +312A : CDCDCDCD; +312B : CDCDCDCD; +312C : CDCDCDCD; +312D : CDCDCDCD; +312E : CDCDCDCD; +312F : CDCDCDCD; +3130 : CDCDCDCD; +3131 : CDCDCDCD; +3132 : CDCDCDCD; +3133 : CDCDCDCD; +3134 : CDCDCDCD; +3135 : CDCDCDCD; +3136 : CDCDCDCD; +3137 : CDCDCDCD; +3138 : CDCDCDCD; +3139 : CDCDCDCD; +313A : CDCDCDCD; +313B : CDCDCDCD; +313C : CDCDCDCD; +313D : CDCDCDCD; +313E : CDCDCDCD; +313F : CDCDCDCD; +3140 : CDCDCDCD; +3141 : CDCDCDCD; +3142 : CDCDCDCD; +3143 : CDCDCDCD; +3144 : CDCDCDCD; +3145 : CDCDCDCD; +3146 : CDCDCDCD; +3147 : CDCDCDCD; +3148 : CDCDCDCD; +3149 : CDCDCDCD; +314A : CDCDCDCD; +314B : CDCDCDCD; +314C : CDCDCDCD; +314D : CDCDCDCD; +314E : CDCDCDCD; +314F : CDCDCDCD; +3150 : CDCDCDCD; +3151 : CDCDCDCD; +3152 : CDCDCDCD; +3153 : CDCDCDCD; +3154 : CDCDCDCD; +3155 : CDCDCDCD; +3156 : CDCDCDCD; +3157 : CDCDCDCD; +3158 : CDCDCDCD; +3159 : CDCDCDCD; +315A : CDCDCDCD; +315B : CDCDCDCD; +315C : CDCDCDCD; +315D : CDCDCDCD; +315E : CDCDCDCD; +315F : CDCDCDCD; +3160 : CDCDCDCD; +3161 : CDCDCDCD; +3162 : CDCDCDCD; +3163 : CDCDCDCD; +3164 : CDCDCDCD; +3165 : CDCDCDCD; +3166 : CDCDCDCD; +3167 : CDCDCDCD; +3168 : CDCDCDCD; +3169 : CDCDCDCD; +316A : CDCDCDCD; +316B : CDCDCDCD; +316C : CDCDCDCD; +316D : CDCDCDCD; +316E : CDCDCDCD; +316F : CDCDCDCD; +3170 : CDCDCDCD; +3171 : CDCDCDCD; +3172 : CDCDCDCD; +3173 : CDCDCDCD; +3174 : CDCDCDCD; +3175 : CDCDCDCD; +3176 : CDCDCDCD; +3177 : CDCDCDCD; +3178 : CDCDCDCD; +3179 : CDCDCDCD; +317A : CDCDCDCD; +317B : CDCDCDCD; +317C : CDCDCDCD; +317D : CDCDCDCD; +317E : CDCDCDCD; +317F : CDCDCDCD; +3180 : CDCDCDCD; +3181 : CDCDCDCD; +3182 : CDCDCDCD; +3183 : CDCDCDCD; +3184 : CDCDCDCD; +3185 : CDCDCDCD; +3186 : CDCDCDCD; +3187 : CDCDCDCD; +3188 : CDCDCDCD; +3189 : CDCDCDCD; +318A : CDCDCDCD; +318B : CDCDCDCD; +318C : CDCDCDCD; +318D : CDCDCDCD; +318E : CDCDCDCD; +318F : CDCDCDCD; +3190 : CDCDCDCD; +3191 : CDCDCDCD; +3192 : CDCDCDCD; +3193 : CDCDCDCD; +3194 : CDCDCDCD; +3195 : CDCDCDCD; +3196 : CDCDCDCD; +3197 : CDCDCDCD; +3198 : CDCDCDCD; +3199 : CDCDCDCD; +319A : CDCDCDCD; +319B : CDCDCDCD; +319C : CDCDCDCD; +319D : CDCDCDCD; +319E : CDCDCDCD; +319F : CDCDCDCD; +31A0 : CDCDCDCD; +31A1 : CDCDCDCD; +31A2 : CDCDCDCD; +31A3 : CDCDCDCD; +31A4 : CDCDCDCD; +31A5 : CDCDCDCD; +31A6 : CDCDCDCD; +31A7 : CDCDCDCD; +31A8 : CDCDCDCD; +31A9 : CDCDCDCD; +31AA : CDCDCDCD; +31AB : CDCDCDCD; +31AC : CDCDCDCD; +31AD : CDCDCDCD; +31AE : CDCDCDCD; +31AF : CDCDCDCD; +31B0 : CDCDCDCD; +31B1 : CDCDCDCD; +31B2 : CDCDCDCD; +31B3 : CDCDCDCD; +31B4 : CDCDCDCD; +31B5 : CDCDCDCD; +31B6 : CDCDCDCD; +31B7 : CDCDCDCD; +31B8 : CDCDCDCD; +31B9 : CDCDCDCD; +31BA : CDCDCDCD; +31BB : CDCDCDCD; +31BC : CDCDCDCD; +31BD : CDCDCDCD; +31BE : CDCDCDCD; +31BF : CDCDCDCD; +31C0 : CDCDCDCD; +31C1 : CDCDCDCD; +31C2 : CDCDCDCD; +31C3 : CDCDCDCD; +31C4 : CDCDCDCD; +31C5 : CDCDCDCD; +31C6 : CDCDCDCD; +31C7 : CDCDCDCD; +31C8 : CDCDCDCD; +31C9 : CDCDCDCD; +31CA : CDCDCDCD; +31CB : CDCDCDCD; +31CC : CDCDCDCD; +31CD : CDCDCDCD; +31CE : CDCDCDCD; +31CF : CDCDCDCD; +31D0 : CDCDCDCD; +31D1 : CDCDCDCD; +31D2 : CDCDCDCD; +31D3 : CDCDCDCD; +31D4 : CDCDCDCD; +31D5 : CDCDCDCD; +31D6 : CDCDCDCD; +31D7 : CDCDCDCD; +31D8 : CDCDCDCD; +31D9 : CDCDCDCD; +31DA : CDCDCDCD; +31DB : CDCDCDCD; +31DC : CDCDCDCD; +31DD : CDCDCDCD; +31DE : CDCDCDCD; +31DF : CDCDCDCD; +31E0 : CDCDCDCD; +31E1 : CDCDCDCD; +31E2 : CDCDCDCD; +31E3 : CDCDCDCD; +31E4 : CDCDCDCD; +31E5 : CDCDCDCD; +31E6 : CDCDCDCD; +31E7 : CDCDCDCD; +31E8 : CDCDCDCD; +31E9 : CDCDCDCD; +31EA : CDCDCDCD; +31EB : CDCDCDCD; +31EC : CDCDCDCD; +31ED : CDCDCDCD; +31EE : CDCDCDCD; +31EF : CDCDCDCD; +31F0 : CDCDCDCD; +31F1 : CDCDCDCD; +31F2 : CDCDCDCD; +31F3 : CDCDCDCD; +31F4 : CDCDCDCD; +31F5 : CDCDCDCD; +31F6 : CDCDCDCD; +31F7 : CDCDCDCD; +31F8 : CDCDCDCD; +31F9 : CDCDCDCD; +31FA : CDCDCDCD; +31FB : CDCDCDCD; +31FC : CDCDCDCD; +31FD : CDCDCDCD; +31FE : CDCDCDCD; +31FF : CDCDCDCD; +3200 : CDCDCDCD; +3201 : CDCDCDCD; +3202 : CDCDCDCD; +3203 : CDCDCDCD; +3204 : CDCDCDCD; +3205 : CDCDCDCD; +3206 : CDCDCDCD; +3207 : CDCDCDCD; +3208 : CDCDCDCD; +3209 : CDCDCDCD; +320A : CDCDCDCD; +320B : CDCDCDCD; +320C : CDCDCDCD; +320D : CDCDCDCD; +320E : CDCDCDCD; +320F : CDCDCDCD; +3210 : CDCDCDCD; +3211 : CDCDCDCD; +3212 : CDCDCDCD; +3213 : CDCDCDCD; +3214 : CDCDCDCD; +3215 : CDCDCDCD; +3216 : CDCDCDCD; +3217 : CDCDCDCD; +3218 : CDCDCDCD; +3219 : CDCDCDCD; +321A : CDCDCDCD; +321B : CDCDCDCD; +321C : CDCDCDCD; +321D : CDCDCDCD; +321E : CDCDCDCD; +321F : CDCDCDCD; +3220 : CDCDCDCD; +3221 : CDCDCDCD; +3222 : CDCDCDCD; +3223 : CDCDCDCD; +3224 : CDCDCDCD; +3225 : CDCDCDCD; +3226 : CDCDCDCD; +3227 : CDCDCDCD; +3228 : CDCDCDCD; +3229 : CDCDCDCD; +322A : CDCDCDCD; +322B : CDCDCDCD; +322C : CDCDCDCD; +322D : CDCDCDCD; +322E : CDCDCDCD; +322F : CDCDCDCD; +3230 : CDCDCDCD; +3231 : CDCDCDCD; +3232 : CDCDCDCD; +3233 : CDCDCDCD; +3234 : CDCDCDCD; +3235 : CDCDCDCD; +3236 : CDCDCDCD; +3237 : CDCDCDCD; +3238 : CDCDCDCD; +3239 : CDCDCDCD; +323A : CDCDCDCD; +323B : CDCDCDCD; +323C : CDCDCDCD; +323D : CDCDCDCD; +323E : CDCDCDCD; +323F : CDCDCDCD; +3240 : CDCDCDCD; +3241 : CDCDCDCD; +3242 : CDCDCDCD; +3243 : CDCDCDCD; +3244 : CDCDCDCD; +3245 : CDCDCDCD; +3246 : CDCDCDCD; +3247 : CDCDCDCD; +3248 : CDCDCDCD; +3249 : CDCDCDCD; +324A : CDCDCDCD; +324B : CDCDCDCD; +324C : CDCDCDCD; +324D : CDCDCDCD; +324E : CDCDCDCD; +324F : CDCDCDCD; +3250 : CDCDCDCD; +3251 : CDCDCDCD; +3252 : CDCDCDCD; +3253 : CDCDCDCD; +3254 : CDCDCDCD; +3255 : CDCDCDCD; +3256 : CDCDCDCD; +3257 : CDCDCDCD; +3258 : CDCDCDCD; +3259 : CDCDCDCD; +325A : CDCDCDCD; +325B : CDCDCDCD; +325C : CDCDCDCD; +325D : CDCDCDCD; +325E : CDCDCDCD; +325F : CDCDCDCD; +3260 : CDCDCDCD; +3261 : CDCDCDCD; +3262 : CDCDCDCD; +3263 : CDCDCDCD; +3264 : CDCDCDCD; +3265 : CDCDCDCD; +3266 : CDCDCDCD; +3267 : CDCDCDCD; +3268 : CDCDCDCD; +3269 : CDCDCDCD; +326A : CDCDCDCD; +326B : CDCDCDCD; +326C : CDCDCDCD; +326D : CDCDCDCD; +326E : CDCDCDCD; +326F : CDCDCDCD; +3270 : CDCDCDCD; +3271 : CDCDCDCD; +3272 : CDCDCDCD; +3273 : CDCDCDCD; +3274 : CDCDCDCD; +3275 : CDCDCDCD; +3276 : CDCDCDCD; +3277 : CDCDCDCD; +3278 : CDCDCDCD; +3279 : CDCDCDCD; +327A : CDCDCDCD; +327B : CDCDCDCD; +327C : CDCDCDCD; +327D : CDCDCDCD; +327E : CDCDCDCD; +327F : CDCDCDCD; +3280 : CDCDCDCD; +3281 : CDCDCDCD; +3282 : CDCDCDCD; +3283 : CDCDCDCD; +3284 : CDCDCDCD; +3285 : CDCDCDCD; +3286 : CDCDCDCD; +3287 : CDCDCDCD; +3288 : CDCDCDCD; +3289 : CDCDCDCD; +328A : CDCDCDCD; +328B : CDCDCDCD; +328C : CDCDCDCD; +328D : CDCDCDCD; +328E : CDCDCDCD; +328F : CDCDCDCD; +3290 : CDCDCDCD; +3291 : CDCDCDCD; +3292 : CDCDCDCD; +3293 : CDCDCDCD; +3294 : CDCDCDCD; +3295 : CDCDCDCD; +3296 : CDCDCDCD; +3297 : CDCDCDCD; +3298 : CDCDCDCD; +3299 : CDCDCDCD; +329A : CDCDCDCD; +329B : CDCDCDCD; +329C : CDCDCDCD; +329D : CDCDCDCD; +329E : CDCDCDCD; +329F : CDCDCDCD; +32A0 : CDCDCDCD; +32A1 : CDCDCDCD; +32A2 : CDCDCDCD; +32A3 : CDCDCDCD; +32A4 : CDCDCDCD; +32A5 : CDCDCDCD; +32A6 : CDCDCDCD; +32A7 : CDCDCDCD; +32A8 : CDCDCDCD; +32A9 : CDCDCDCD; +32AA : CDCDCDCD; +32AB : CDCDCDCD; +32AC : CDCDCDCD; +32AD : CDCDCDCD; +32AE : CDCDCDCD; +32AF : CDCDCDCD; +32B0 : CDCDCDCD; +32B1 : CDCDCDCD; +32B2 : CDCDCDCD; +32B3 : CDCDCDCD; +32B4 : CDCDCDCD; +32B5 : CDCDCDCD; +32B6 : CDCDCDCD; +32B7 : CDCDCDCD; +32B8 : CDCDCDCD; +32B9 : CDCDCDCD; +32BA : CDCDCDCD; +32BB : CDCDCDCD; +32BC : CDCDCDCD; +32BD : CDCDCDCD; +32BE : CDCDCDCD; +32BF : CDCDCDCD; +32C0 : CDCDCDCD; +32C1 : CDCDCDCD; +32C2 : CDCDCDCD; +32C3 : CDCDCDCD; +32C4 : CDCDCDCD; +32C5 : CDCDCDCD; +32C6 : CDCDCDCD; +32C7 : CDCDCDCD; +32C8 : CDCDCDCD; +32C9 : CDCDCDCD; +32CA : CDCDCDCD; +32CB : CDCDCDCD; +32CC : CDCDCDCD; +32CD : CDCDCDCD; +32CE : CDCDCDCD; +32CF : CDCDCDCD; +32D0 : CDCDCDCD; +32D1 : CDCDCDCD; +32D2 : CDCDCDCD; +32D3 : CDCDCDCD; +32D4 : CDCDCDCD; +32D5 : CDCDCDCD; +32D6 : CDCDCDCD; +32D7 : CDCDCDCD; +32D8 : CDCDCDCD; +32D9 : CDCDCDCD; +32DA : CDCDCDCD; +32DB : CDCDCDCD; +32DC : CDCDCDCD; +32DD : CDCDCDCD; +32DE : CDCDCDCD; +32DF : CDCDCDCD; +32E0 : CDCDCDCD; +32E1 : CDCDCDCD; +32E2 : CDCDCDCD; +32E3 : CDCDCDCD; +32E4 : CDCDCDCD; +32E5 : CDCDCDCD; +32E6 : CDCDCDCD; +32E7 : CDCDCDCD; +32E8 : CDCDCDCD; +32E9 : CDCDCDCD; +32EA : CDCDCDCD; +32EB : CDCDCDCD; +32EC : CDCDCDCD; +32ED : CDCDCDCD; +32EE : CDCDCDCD; +32EF : CDCDCDCD; +32F0 : CDCDCDCD; +32F1 : CDCDCDCD; +32F2 : CDCDCDCD; +32F3 : CDCDCDCD; +32F4 : CDCDCDCD; +32F5 : CDCDCDCD; +32F6 : CDCDCDCD; +32F7 : CDCDCDCD; +32F8 : CDCDCDCD; +32F9 : CDCDCDCD; +32FA : CDCDCDCD; +32FB : CDCDCDCD; +32FC : CDCDCDCD; +32FD : CDCDCDCD; +32FE : CDCDCDCD; +32FF : CDCDCDCD; +3300 : CDCDCDCD; +3301 : CDCDCDCD; +3302 : CDCDCDCD; +3303 : CDCDCDCD; +3304 : CDCDCDCD; +3305 : CDCDCDCD; +3306 : CDCDCDCD; +3307 : CDCDCDCD; +3308 : CDCDCDCD; +3309 : CDCDCDCD; +330A : CDCDCDCD; +330B : CDCDCDCD; +330C : CDCDCDCD; +330D : CDCDCDCD; +330E : CDCDCDCD; +330F : CDCDCDCD; +3310 : CDCDCDCD; +3311 : CDCDCDCD; +3312 : CDCDCDCD; +3313 : CDCDCDCD; +3314 : CDCDCDCD; +3315 : CDCDCDCD; +3316 : CDCDCDCD; +3317 : CDCDCDCD; +3318 : CDCDCDCD; +3319 : CDCDCDCD; +331A : CDCDCDCD; +331B : CDCDCDCD; +331C : CDCDCDCD; +331D : CDCDCDCD; +331E : CDCDCDCD; +331F : CDCDCDCD; +3320 : CDCDCDCD; +3321 : CDCDCDCD; +3322 : CDCDCDCD; +3323 : CDCDCDCD; +3324 : CDCDCDCD; +3325 : CDCDCDCD; +3326 : CDCDCDCD; +3327 : CDCDCDCD; +3328 : CDCDCDCD; +3329 : CDCDCDCD; +332A : CDCDCDCD; +332B : CDCDCDCD; +332C : CDCDCDCD; +332D : CDCDCDCD; +332E : CDCDCDCD; +332F : CDCDCDCD; +3330 : CDCDCDCD; +3331 : CDCDCDCD; +3332 : CDCDCDCD; +3333 : CDCDCDCD; +3334 : CDCDCDCD; +3335 : CDCDCDCD; +3336 : CDCDCDCD; +3337 : CDCDCDCD; +3338 : CDCDCDCD; +3339 : CDCDCDCD; +333A : CDCDCDCD; +333B : CDCDCDCD; +333C : CDCDCDCD; +333D : CDCDCDCD; +333E : CDCDCDCD; +333F : CDCDCDCD; +3340 : CDCDCDCD; +3341 : CDCDCDCD; +3342 : CDCDCDCD; +3343 : CDCDCDCD; +3344 : CDCDCDCD; +3345 : CDCDCDCD; +3346 : CDCDCDCD; +3347 : CDCDCDCD; +3348 : CDCDCDCD; +3349 : CDCDCDCD; +334A : CDCDCDCD; +334B : CDCDCDCD; +334C : CDCDCDCD; +334D : CDCDCDCD; +334E : CDCDCDCD; +334F : CDCDCDCD; +3350 : CDCDCDCD; +3351 : CDCDCDCD; +3352 : CDCDCDCD; +3353 : CDCDCDCD; +3354 : CDCDCDCD; +3355 : CDCDCDCD; +3356 : CDCDCDCD; +3357 : CDCDCDCD; +3358 : CDCDCDCD; +3359 : CDCDCDCD; +335A : CDCDCDCD; +335B : CDCDCDCD; +335C : CDCDCDCD; +335D : CDCDCDCD; +335E : CDCDCDCD; +335F : CDCDCDCD; +3360 : CDCDCDCD; +3361 : CDCDCDCD; +3362 : CDCDCDCD; +3363 : CDCDCDCD; +3364 : CDCDCDCD; +3365 : CDCDCDCD; +3366 : CDCDCDCD; +3367 : CDCDCDCD; +3368 : CDCDCDCD; +3369 : CDCDCDCD; +336A : CDCDCDCD; +336B : CDCDCDCD; +336C : CDCDCDCD; +336D : CDCDCDCD; +336E : CDCDCDCD; +336F : CDCDCDCD; +3370 : CDCDCDCD; +3371 : CDCDCDCD; +3372 : CDCDCDCD; +3373 : CDCDCDCD; +3374 : CDCDCDCD; +3375 : CDCDCDCD; +3376 : CDCDCDCD; +3377 : CDCDCDCD; +3378 : CDCDCDCD; +3379 : CDCDCDCD; +337A : CDCDCDCD; +337B : CDCDCDCD; +337C : CDCDCDCD; +337D : CDCDCDCD; +337E : CDCDCDCD; +337F : CDCDCDCD; +3380 : CDCDCDCD; +3381 : CDCDCDCD; +3382 : CDCDCDCD; +3383 : CDCDCDCD; +3384 : CDCDCDCD; +3385 : CDCDCDCD; +3386 : CDCDCDCD; +3387 : CDCDCDCD; +3388 : CDCDCDCD; +3389 : CDCDCDCD; +338A : CDCDCDCD; +338B : CDCDCDCD; +338C : CDCDCDCD; +338D : CDCDCDCD; +338E : CDCDCDCD; +338F : CDCDCDCD; +3390 : CDCDCDCD; +3391 : CDCDCDCD; +3392 : CDCDCDCD; +3393 : CDCDCDCD; +3394 : CDCDCDCD; +3395 : CDCDCDCD; +3396 : CDCDCDCD; +3397 : CDCDCDCD; +3398 : CDCDCDCD; +3399 : CDCDCDCD; +339A : CDCDCDCD; +339B : CDCDCDCD; +339C : CDCDCDCD; +339D : CDCDCDCD; +339E : CDCDCDCD; +339F : CDCDCDCD; +33A0 : CDCDCDCD; +33A1 : CDCDCDCD; +33A2 : CDCDCDCD; +33A3 : CDCDCDCD; +33A4 : CDCDCDCD; +33A5 : CDCDCDCD; +33A6 : CDCDCDCD; +33A7 : CDCDCDCD; +33A8 : CDCDCDCD; +33A9 : CDCDCDCD; +33AA : CDCDCDCD; +33AB : CDCDCDCD; +33AC : CDCDCDCD; +33AD : CDCDCDCD; +33AE : CDCDCDCD; +33AF : CDCDCDCD; +33B0 : CDCDCDCD; +33B1 : CDCDCDCD; +33B2 : CDCDCDCD; +33B3 : CDCDCDCD; +33B4 : CDCDCDCD; +33B5 : CDCDCDCD; +33B6 : CDCDCDCD; +33B7 : CDCDCDCD; +33B8 : CDCDCDCD; +33B9 : CDCDCDCD; +33BA : CDCDCDCD; +33BB : CDCDCDCD; +33BC : CDCDCDCD; +33BD : CDCDCDCD; +33BE : CDCDCDCD; +33BF : CDCDCDCD; +33C0 : CDCDCDCD; +33C1 : CDCDCDCD; +33C2 : CDCDCDCD; +33C3 : CDCDCDCD; +33C4 : CDCDCDCD; +33C5 : CDCDCDCD; +33C6 : CDCDCDCD; +33C7 : CDCDCDCD; +33C8 : CDCDCDCD; +33C9 : CDCDCDCD; +33CA : CDCDCDCD; +33CB : CDCDCDCD; +33CC : CDCDCDCD; +33CD : CDCDCDCD; +33CE : CDCDCDCD; +33CF : CDCDCDCD; +33D0 : CDCDCDCD; +33D1 : CDCDCDCD; +33D2 : CDCDCDCD; +33D3 : CDCDCDCD; +33D4 : CDCDCDCD; +33D5 : CDCDCDCD; +33D6 : CDCDCDCD; +33D7 : CDCDCDCD; +33D8 : CDCDCDCD; +33D9 : CDCDCDCD; +33DA : CDCDCDCD; +33DB : CDCDCDCD; +33DC : CDCDCDCD; +33DD : CDCDCDCD; +33DE : CDCDCDCD; +33DF : CDCDCDCD; +33E0 : CDCDCDCD; +33E1 : CDCDCDCD; +33E2 : CDCDCDCD; +33E3 : CDCDCDCD; +33E4 : CDCDCDCD; +33E5 : CDCDCDCD; +33E6 : CDCDCDCD; +33E7 : CDCDCDCD; +33E8 : CDCDCDCD; +33E9 : CDCDCDCD; +33EA : CDCDCDCD; +33EB : CDCDCDCD; +33EC : CDCDCDCD; +33ED : CDCDCDCD; +33EE : CDCDCDCD; +33EF : CDCDCDCD; +33F0 : CDCDCDCD; +33F1 : CDCDCDCD; +33F2 : CDCDCDCD; +33F3 : CDCDCDCD; +33F4 : CDCDCDCD; +33F5 : CDCDCDCD; +33F6 : CDCDCDCD; +33F7 : CDCDCDCD; +33F8 : CDCDCDCD; +33F9 : CDCDCDCD; +33FA : CDCDCDCD; +33FB : CDCDCDCD; +33FC : CDCDCDCD; +33FD : CDCDCDCD; +33FE : CDCDCDCD; +33FF : CDCDCDCD; +3400 : CDCDCDCD; +3401 : CDCDCDCD; +3402 : CDCDCDCD; +3403 : CDCDCDCD; +3404 : CDCDCDCD; +3405 : CDCDCDCD; +3406 : CDCDCDCD; +3407 : CDCDCDCD; +3408 : CDCDCDCD; +3409 : CDCDCDCD; +340A : CDCDCDCD; +340B : CDCDCDCD; +340C : CDCDCDCD; +340D : CDCDCDCD; +340E : CDCDCDCD; +340F : CDCDCDCD; +3410 : CDCDCDCD; +3411 : CDCDCDCD; +3412 : CDCDCDCD; +3413 : CDCDCDCD; +3414 : CDCDCDCD; +3415 : CDCDCDCD; +3416 : CDCDCDCD; +3417 : CDCDCDCD; +3418 : CDCDCDCD; +3419 : CDCDCDCD; +341A : CDCDCDCD; +341B : CDCDCDCD; +341C : CDCDCDCD; +341D : CDCDCDCD; +341E : CDCDCDCD; +341F : CDCDCDCD; +3420 : CDCDCDCD; +3421 : CDCDCDCD; +3422 : CDCDCDCD; +3423 : CDCDCDCD; +3424 : CDCDCDCD; +3425 : CDCDCDCD; +3426 : CDCDCDCD; +3427 : CDCDCDCD; +3428 : CDCDCDCD; +3429 : CDCDCDCD; +342A : CDCDCDCD; +342B : CDCDCDCD; +342C : CDCDCDCD; +342D : CDCDCDCD; +342E : CDCDCDCD; +342F : CDCDCDCD; +3430 : CDCDCDCD; +3431 : CDCDCDCD; +3432 : CDCDCDCD; +3433 : CDCDCDCD; +3434 : CDCDCDCD; +3435 : CDCDCDCD; +3436 : CDCDCDCD; +3437 : CDCDCDCD; +3438 : CDCDCDCD; +3439 : CDCDCDCD; +343A : CDCDCDCD; +343B : CDCDCDCD; +343C : CDCDCDCD; +343D : CDCDCDCD; +343E : CDCDCDCD; +343F : CDCDCDCD; +3440 : CDCDCDCD; +3441 : CDCDCDCD; +3442 : CDCDCDCD; +3443 : CDCDCDCD; +3444 : CDCDCDCD; +3445 : CDCDCDCD; +3446 : CDCDCDCD; +3447 : CDCDCDCD; +3448 : CDCDCDCD; +3449 : CDCDCDCD; +344A : CDCDCDCD; +344B : CDCDCDCD; +344C : CDCDCDCD; +344D : CDCDCDCD; +344E : CDCDCDCD; +344F : CDCDCDCD; +3450 : CDCDCDCD; +3451 : CDCDCDCD; +3452 : CDCDCDCD; +3453 : CDCDCDCD; +3454 : CDCDCDCD; +3455 : CDCDCDCD; +3456 : CDCDCDCD; +3457 : CDCDCDCD; +3458 : CDCDCDCD; +3459 : CDCDCDCD; +345A : CDCDCDCD; +345B : CDCDCDCD; +345C : CDCDCDCD; +345D : CDCDCDCD; +345E : CDCDCDCD; +345F : CDCDCDCD; +3460 : CDCDCDCD; +3461 : CDCDCDCD; +3462 : CDCDCDCD; +3463 : CDCDCDCD; +3464 : CDCDCDCD; +3465 : CDCDCDCD; +3466 : CDCDCDCD; +3467 : CDCDCDCD; +3468 : CDCDCDCD; +3469 : CDCDCDCD; +346A : CDCDCDCD; +346B : CDCDCDCD; +346C : CDCDCDCD; +346D : CDCDCDCD; +346E : CDCDCDCD; +346F : CDCDCDCD; +3470 : CDCDCDCD; +3471 : CDCDCDCD; +3472 : CDCDCDCD; +3473 : CDCDCDCD; +3474 : CDCDCDCD; +3475 : CDCDCDCD; +3476 : CDCDCDCD; +3477 : CDCDCDCD; +3478 : CDCDCDCD; +3479 : CDCDCDCD; +347A : CDCDCDCD; +347B : CDCDCDCD; +347C : CDCDCDCD; +347D : CDCDCDCD; +347E : CDCDCDCD; +347F : CDCDCDCD; +3480 : CDCDCDCD; +3481 : CDCDCDCD; +3482 : CDCDCDCD; +3483 : CDCDCDCD; +3484 : CDCDCDCD; +3485 : CDCDCDCD; +3486 : CDCDCDCD; +3487 : CDCDCDCD; +3488 : CDCDCDCD; +3489 : CDCDCDCD; +348A : CDCDCDCD; +348B : CDCDCDCD; +348C : CDCDCDCD; +348D : CDCDCDCD; +348E : CDCDCDCD; +348F : CDCDCDCD; +3490 : CDCDCDCD; +3491 : CDCDCDCD; +3492 : CDCDCDCD; +3493 : CDCDCDCD; +3494 : CDCDCDCD; +3495 : CDCDCDCD; +3496 : CDCDCDCD; +3497 : CDCDCDCD; +3498 : CDCDCDCD; +3499 : CDCDCDCD; +349A : CDCDCDCD; +349B : CDCDCDCD; +349C : CDCDCDCD; +349D : CDCDCDCD; +349E : CDCDCDCD; +349F : CDCDCDCD; +34A0 : CDCDCDCD; +34A1 : CDCDCDCD; +34A2 : CDCDCDCD; +34A3 : CDCDCDCD; +34A4 : CDCDCDCD; +34A5 : CDCDCDCD; +34A6 : CDCDCDCD; +34A7 : CDCDCDCD; +34A8 : CDCDCDCD; +34A9 : CDCDCDCD; +34AA : CDCDCDCD; +34AB : CDCDCDCD; +34AC : CDCDCDCD; +34AD : CDCDCDCD; +34AE : CDCDCDCD; +34AF : CDCDCDCD; +34B0 : CDCDCDCD; +34B1 : CDCDCDCD; +34B2 : CDCDCDCD; +34B3 : CDCDCDCD; +34B4 : CDCDCDCD; +34B5 : CDCDCDCD; +34B6 : CDCDCDCD; +34B7 : CDCDCDCD; +34B8 : CDCDCDCD; +34B9 : CDCDCDCD; +34BA : CDCDCDCD; +34BB : CDCDCDCD; +34BC : CDCDCDCD; +34BD : CDCDCDCD; +34BE : CDCDCDCD; +34BF : CDCDCDCD; +34C0 : CDCDCDCD; +34C1 : CDCDCDCD; +34C2 : CDCDCDCD; +34C3 : CDCDCDCD; +34C4 : CDCDCDCD; +34C5 : CDCDCDCD; +34C6 : CDCDCDCD; +34C7 : CDCDCDCD; +34C8 : CDCDCDCD; +34C9 : CDCDCDCD; +34CA : CDCDCDCD; +34CB : CDCDCDCD; +34CC : CDCDCDCD; +34CD : CDCDCDCD; +34CE : CDCDCDCD; +34CF : CDCDCDCD; +34D0 : CDCDCDCD; +34D1 : CDCDCDCD; +34D2 : CDCDCDCD; +34D3 : CDCDCDCD; +34D4 : CDCDCDCD; +34D5 : CDCDCDCD; +34D6 : CDCDCDCD; +34D7 : CDCDCDCD; +34D8 : CDCDCDCD; +34D9 : CDCDCDCD; +34DA : CDCDCDCD; +34DB : CDCDCDCD; +34DC : CDCDCDCD; +34DD : CDCDCDCD; +34DE : CDCDCDCD; +34DF : CDCDCDCD; +34E0 : CDCDCDCD; +34E1 : CDCDCDCD; +34E2 : CDCDCDCD; +34E3 : CDCDCDCD; +34E4 : CDCDCDCD; +34E5 : CDCDCDCD; +34E6 : CDCDCDCD; +34E7 : CDCDCDCD; +34E8 : CDCDCDCD; +34E9 : CDCDCDCD; +34EA : CDCDCDCD; +34EB : CDCDCDCD; +34EC : CDCDCDCD; +34ED : CDCDCDCD; +34EE : CDCDCDCD; +34EF : CDCDCDCD; +34F0 : CDCDCDCD; +34F1 : CDCDCDCD; +34F2 : CDCDCDCD; +34F3 : CDCDCDCD; +34F4 : CDCDCDCD; +34F5 : CDCDCDCD; +34F6 : CDCDCDCD; +34F7 : CDCDCDCD; +34F8 : CDCDCDCD; +34F9 : CDCDCDCD; +34FA : CDCDCDCD; +34FB : CDCDCDCD; +34FC : CDCDCDCD; +34FD : CDCDCDCD; +34FE : CDCDCDCD; +34FF : CDCDCDCD; +3500 : CDCDCDCD; +3501 : CDCDCDCD; +3502 : CDCDCDCD; +3503 : CDCDCDCD; +3504 : CDCDCDCD; +3505 : CDCDCDCD; +3506 : CDCDCDCD; +3507 : CDCDCDCD; +3508 : CDCDCDCD; +3509 : CDCDCDCD; +350A : CDCDCDCD; +350B : CDCDCDCD; +350C : CDCDCDCD; +350D : CDCDCDCD; +350E : CDCDCDCD; +350F : CDCDCDCD; +3510 : CDCDCDCD; +3511 : CDCDCDCD; +3512 : CDCDCDCD; +3513 : CDCDCDCD; +3514 : CDCDCDCD; +3515 : CDCDCDCD; +3516 : CDCDCDCD; +3517 : CDCDCDCD; +3518 : CDCDCDCD; +3519 : CDCDCDCD; +351A : CDCDCDCD; +351B : CDCDCDCD; +351C : CDCDCDCD; +351D : CDCDCDCD; +351E : CDCDCDCD; +351F : CDCDCDCD; +3520 : CDCDCDCD; +3521 : CDCDCDCD; +3522 : CDCDCDCD; +3523 : CDCDCDCD; +3524 : CDCDCDCD; +3525 : CDCDCDCD; +3526 : CDCDCDCD; +3527 : CDCDCDCD; +3528 : CDCDCDCD; +3529 : CDCDCDCD; +352A : CDCDCDCD; +352B : CDCDCDCD; +352C : CDCDCDCD; +352D : CDCDCDCD; +352E : CDCDCDCD; +352F : CDCDCDCD; +3530 : CDCDCDCD; +3531 : CDCDCDCD; +3532 : CDCDCDCD; +3533 : CDCDCDCD; +3534 : CDCDCDCD; +3535 : CDCDCDCD; +3536 : CDCDCDCD; +3537 : CDCDCDCD; +3538 : CDCDCDCD; +3539 : CDCDCDCD; +353A : CDCDCDCD; +353B : CDCDCDCD; +353C : CDCDCDCD; +353D : CDCDCDCD; +353E : CDCDCDCD; +353F : CDCDCDCD; +3540 : CDCDCDCD; +3541 : CDCDCDCD; +3542 : CDCDCDCD; +3543 : CDCDCDCD; +3544 : CDCDCDCD; +3545 : CDCDCDCD; +3546 : CDCDCDCD; +3547 : CDCDCDCD; +3548 : CDCDCDCD; +3549 : CDCDCDCD; +354A : CDCDCDCD; +354B : CDCDCDCD; +354C : CDCDCDCD; +354D : CDCDCDCD; +354E : CDCDCDCD; +354F : CDCDCDCD; +3550 : CDCDCDCD; +3551 : CDCDCDCD; +3552 : CDCDCDCD; +3553 : CDCDCDCD; +3554 : CDCDCDCD; +3555 : CDCDCDCD; +3556 : CDCDCDCD; +3557 : CDCDCDCD; +3558 : CDCDCDCD; +3559 : CDCDCDCD; +355A : CDCDCDCD; +355B : CDCDCDCD; +355C : CDCDCDCD; +355D : CDCDCDCD; +355E : CDCDCDCD; +355F : CDCDCDCD; +3560 : CDCDCDCD; +3561 : CDCDCDCD; +3562 : CDCDCDCD; +3563 : CDCDCDCD; +3564 : CDCDCDCD; +3565 : CDCDCDCD; +3566 : CDCDCDCD; +3567 : CDCDCDCD; +3568 : CDCDCDCD; +3569 : CDCDCDCD; +356A : CDCDCDCD; +356B : CDCDCDCD; +356C : CDCDCDCD; +356D : CDCDCDCD; +356E : CDCDCDCD; +356F : CDCDCDCD; +3570 : CDCDCDCD; +3571 : CDCDCDCD; +3572 : CDCDCDCD; +3573 : CDCDCDCD; +3574 : CDCDCDCD; +3575 : CDCDCDCD; +3576 : CDCDCDCD; +3577 : CDCDCDCD; +3578 : CDCDCDCD; +3579 : CDCDCDCD; +357A : CDCDCDCD; +357B : CDCDCDCD; +357C : CDCDCDCD; +357D : CDCDCDCD; +357E : CDCDCDCD; +357F : CDCDCDCD; +3580 : CDCDCDCD; +3581 : CDCDCDCD; +3582 : CDCDCDCD; +3583 : CDCDCDCD; +3584 : CDCDCDCD; +3585 : CDCDCDCD; +3586 : CDCDCDCD; +3587 : CDCDCDCD; +3588 : CDCDCDCD; +3589 : CDCDCDCD; +358A : CDCDCDCD; +358B : CDCDCDCD; +358C : CDCDCDCD; +358D : CDCDCDCD; +358E : CDCDCDCD; +358F : CDCDCDCD; +3590 : CDCDCDCD; +3591 : CDCDCDCD; +3592 : CDCDCDCD; +3593 : CDCDCDCD; +3594 : CDCDCDCD; +3595 : CDCDCDCD; +3596 : CDCDCDCD; +3597 : CDCDCDCD; +3598 : CDCDCDCD; +3599 : CDCDCDCD; +359A : CDCDCDCD; +359B : CDCDCDCD; +359C : CDCDCDCD; +359D : CDCDCDCD; +359E : CDCDCDCD; +359F : CDCDCDCD; +35A0 : CDCDCDCD; +35A1 : CDCDCDCD; +35A2 : CDCDCDCD; +35A3 : CDCDCDCD; +35A4 : CDCDCDCD; +35A5 : CDCDCDCD; +35A6 : CDCDCDCD; +35A7 : CDCDCDCD; +35A8 : CDCDCDCD; +35A9 : CDCDCDCD; +35AA : CDCDCDCD; +35AB : CDCDCDCD; +35AC : CDCDCDCD; +35AD : CDCDCDCD; +35AE : CDCDCDCD; +35AF : CDCDCDCD; +35B0 : CDCDCDCD; +35B1 : CDCDCDCD; +35B2 : CDCDCDCD; +35B3 : CDCDCDCD; +35B4 : CDCDCDCD; +35B5 : CDCDCDCD; +35B6 : CDCDCDCD; +35B7 : CDCDCDCD; +35B8 : CDCDCDCD; +35B9 : CDCDCDCD; +35BA : CDCDCDCD; +35BB : CDCDCDCD; +35BC : CDCDCDCD; +35BD : CDCDCDCD; +35BE : CDCDCDCD; +35BF : CDCDCDCD; +35C0 : CDCDCDCD; +35C1 : CDCDCDCD; +35C2 : CDCDCDCD; +35C3 : CDCDCDCD; +35C4 : CDCDCDCD; +35C5 : CDCDCDCD; +35C6 : CDCDCDCD; +35C7 : CDCDCDCD; +35C8 : CDCDCDCD; +35C9 : CDCDCDCD; +35CA : CDCDCDCD; +35CB : CDCDCDCD; +35CC : CDCDCDCD; +35CD : CDCDCDCD; +35CE : CDCDCDCD; +35CF : CDCDCDCD; +35D0 : CDCDCDCD; +35D1 : CDCDCDCD; +35D2 : CDCDCDCD; +35D3 : CDCDCDCD; +35D4 : CDCDCDCD; +35D5 : CDCDCDCD; +35D6 : CDCDCDCD; +35D7 : CDCDCDCD; +35D8 : CDCDCDCD; +35D9 : CDCDCDCD; +35DA : CDCDCDCD; +35DB : CDCDCDCD; +35DC : CDCDCDCD; +35DD : CDCDCDCD; +35DE : CDCDCDCD; +35DF : CDCDCDCD; +35E0 : CDCDCDCD; +35E1 : CDCDCDCD; +35E2 : CDCDCDCD; +35E3 : CDCDCDCD; +35E4 : CDCDCDCD; +35E5 : CDCDCDCD; +35E6 : CDCDCDCD; +35E7 : CDCDCDCD; +35E8 : CDCDCDCD; +35E9 : CDCDCDCD; +35EA : CDCDCDCD; +35EB : CDCDCDCD; +35EC : CDCDCDCD; +35ED : CDCDCDCD; +35EE : CDCDCDCD; +35EF : CDCDCDCD; +35F0 : CDCDCDCD; +35F1 : CDCDCDCD; +35F2 : CDCDCDCD; +35F3 : CDCDCDCD; +35F4 : CDCDCDCD; +35F5 : CDCDCDCD; +35F6 : CDCDCDCD; +35F7 : CDCDCDCD; +35F8 : CDCDCDCD; +35F9 : CDCDCDCD; +35FA : CDCDCDCD; +35FB : CDCDCDCD; +35FC : CDCDCDCD; +35FD : CDCDCDCD; +35FE : CDCDCDCD; +35FF : CDCDCDCD; +3600 : CDCDCDCD; +3601 : CDCDCDCD; +3602 : CDCDCDCD; +3603 : CDCDCDCD; +3604 : CDCDCDCD; +3605 : CDCDCDCD; +3606 : CDCDCDCD; +3607 : CDCDCDCD; +3608 : CDCDCDCD; +3609 : CDCDCDCD; +360A : CDCDCDCD; +360B : CDCDCDCD; +360C : CDCDCDCD; +360D : CDCDCDCD; +360E : CDCDCDCD; +360F : CDCDCDCD; +3610 : CDCDCDCD; +3611 : CDCDCDCD; +3612 : CDCDCDCD; +3613 : CDCDCDCD; +3614 : CDCDCDCD; +3615 : CDCDCDCD; +3616 : CDCDCDCD; +3617 : CDCDCDCD; +3618 : CDCDCDCD; +3619 : CDCDCDCD; +361A : CDCDCDCD; +361B : CDCDCDCD; +361C : CDCDCDCD; +361D : CDCDCDCD; +361E : CDCDCDCD; +361F : CDCDCDCD; +3620 : CDCDCDCD; +3621 : CDCDCDCD; +3622 : CDCDCDCD; +3623 : CDCDCDCD; +3624 : CDCDCDCD; +3625 : CDCDCDCD; +3626 : CDCDCDCD; +3627 : CDCDCDCD; +3628 : CDCDCDCD; +3629 : CDCDCDCD; +362A : CDCDCDCD; +362B : CDCDCDCD; +362C : CDCDCDCD; +362D : CDCDCDCD; +362E : CDCDCDCD; +362F : CDCDCDCD; +3630 : CDCDCDCD; +3631 : CDCDCDCD; +3632 : CDCDCDCD; +3633 : CDCDCDCD; +3634 : CDCDCDCD; +3635 : CDCDCDCD; +3636 : CDCDCDCD; +3637 : CDCDCDCD; +3638 : CDCDCDCD; +3639 : CDCDCDCD; +363A : CDCDCDCD; +363B : CDCDCDCD; +363C : CDCDCDCD; +363D : CDCDCDCD; +363E : CDCDCDCD; +363F : CDCDCDCD; +3640 : CDCDCDCD; +3641 : CDCDCDCD; +3642 : CDCDCDCD; +3643 : CDCDCDCD; +3644 : CDCDCDCD; +3645 : CDCDCDCD; +3646 : CDCDCDCD; +3647 : CDCDCDCD; +3648 : CDCDCDCD; +3649 : CDCDCDCD; +364A : CDCDCDCD; +364B : CDCDCDCD; +364C : CDCDCDCD; +364D : CDCDCDCD; +364E : CDCDCDCD; +364F : CDCDCDCD; +3650 : CDCDCDCD; +3651 : CDCDCDCD; +3652 : CDCDCDCD; +3653 : CDCDCDCD; +3654 : CDCDCDCD; +3655 : CDCDCDCD; +3656 : CDCDCDCD; +3657 : CDCDCDCD; +3658 : CDCDCDCD; +3659 : CDCDCDCD; +365A : CDCDCDCD; +365B : CDCDCDCD; +365C : CDCDCDCD; +365D : CDCDCDCD; +365E : CDCDCDCD; +365F : CDCDCDCD; +3660 : CDCDCDCD; +3661 : CDCDCDCD; +3662 : CDCDCDCD; +3663 : CDCDCDCD; +3664 : CDCDCDCD; +3665 : CDCDCDCD; +3666 : CDCDCDCD; +3667 : CDCDCDCD; +3668 : CDCDCDCD; +3669 : CDCDCDCD; +366A : CDCDCDCD; +366B : CDCDCDCD; +366C : CDCDCDCD; +366D : CDCDCDCD; +366E : CDCDCDCD; +366F : CDCDCDCD; +3670 : CDCDCDCD; +3671 : CDCDCDCD; +3672 : CDCDCDCD; +3673 : CDCDCDCD; +3674 : CDCDCDCD; +3675 : CDCDCDCD; +3676 : CDCDCDCD; +3677 : CDCDCDCD; +3678 : CDCDCDCD; +3679 : CDCDCDCD; +367A : CDCDCDCD; +367B : CDCDCDCD; +367C : CDCDCDCD; +367D : CDCDCDCD; +367E : CDCDCDCD; +367F : CDCDCDCD; +3680 : CDCDCDCD; +3681 : CDCDCDCD; +3682 : CDCDCDCD; +3683 : CDCDCDCD; +3684 : CDCDCDCD; +3685 : CDCDCDCD; +3686 : CDCDCDCD; +3687 : CDCDCDCD; +3688 : CDCDCDCD; +3689 : CDCDCDCD; +368A : CDCDCDCD; +368B : CDCDCDCD; +368C : CDCDCDCD; +368D : CDCDCDCD; +368E : CDCDCDCD; +368F : CDCDCDCD; +3690 : CDCDCDCD; +3691 : CDCDCDCD; +3692 : CDCDCDCD; +3693 : CDCDCDCD; +3694 : CDCDCDCD; +3695 : CDCDCDCD; +3696 : CDCDCDCD; +3697 : CDCDCDCD; +3698 : CDCDCDCD; +3699 : CDCDCDCD; +369A : CDCDCDCD; +369B : CDCDCDCD; +369C : CDCDCDCD; +369D : CDCDCDCD; +369E : CDCDCDCD; +369F : CDCDCDCD; +36A0 : CDCDCDCD; +36A1 : CDCDCDCD; +36A2 : CDCDCDCD; +36A3 : CDCDCDCD; +36A4 : CDCDCDCD; +36A5 : CDCDCDCD; +36A6 : CDCDCDCD; +36A7 : CDCDCDCD; +36A8 : CDCDCDCD; +36A9 : CDCDCDCD; +36AA : CDCDCDCD; +36AB : CDCDCDCD; +36AC : CDCDCDCD; +36AD : CDCDCDCD; +36AE : CDCDCDCD; +36AF : CDCDCDCD; +36B0 : CDCDCDCD; +36B1 : CDCDCDCD; +36B2 : CDCDCDCD; +36B3 : CDCDCDCD; +36B4 : CDCDCDCD; +36B5 : CDCDCDCD; +36B6 : CDCDCDCD; +36B7 : CDCDCDCD; +36B8 : CDCDCDCD; +36B9 : CDCDCDCD; +36BA : CDCDCDCD; +36BB : CDCDCDCD; +36BC : CDCDCDCD; +36BD : CDCDCDCD; +36BE : CDCDCDCD; +36BF : CDCDCDCD; +36C0 : CDCDCDCD; +36C1 : CDCDCDCD; +36C2 : CDCDCDCD; +36C3 : CDCDCDCD; +36C4 : CDCDCDCD; +36C5 : CDCDCDCD; +36C6 : CDCDCDCD; +36C7 : CDCDCDCD; +36C8 : CDCDCDCD; +36C9 : CDCDCDCD; +36CA : CDCDCDCD; +36CB : CDCDCDCD; +36CC : CDCDCDCD; +36CD : CDCDCDCD; +36CE : CDCDCDCD; +36CF : CDCDCDCD; +36D0 : CDCDCDCD; +36D1 : CDCDCDCD; +36D2 : CDCDCDCD; +36D3 : CDCDCDCD; +36D4 : CDCDCDCD; +36D5 : CDCDCDCD; +36D6 : CDCDCDCD; +36D7 : CDCDCDCD; +36D8 : CDCDCDCD; +36D9 : CDCDCDCD; +36DA : CDCDCDCD; +36DB : CDCDCDCD; +36DC : CDCDCDCD; +36DD : CDCDCDCD; +36DE : CDCDCDCD; +36DF : CDCDCDCD; +36E0 : CDCDCDCD; +36E1 : CDCDCDCD; +36E2 : CDCDCDCD; +36E3 : CDCDCDCD; +36E4 : CDCDCDCD; +36E5 : CDCDCDCD; +36E6 : CDCDCDCD; +36E7 : CDCDCDCD; +36E8 : CDCDCDCD; +36E9 : CDCDCDCD; +36EA : CDCDCDCD; +36EB : CDCDCDCD; +36EC : CDCDCDCD; +36ED : CDCDCDCD; +36EE : CDCDCDCD; +36EF : CDCDCDCD; +36F0 : CDCDCDCD; +36F1 : CDCDCDCD; +36F2 : CDCDCDCD; +36F3 : CDCDCDCD; +36F4 : CDCDCDCD; +36F5 : CDCDCDCD; +36F6 : CDCDCDCD; +36F7 : CDCDCDCD; +36F8 : CDCDCDCD; +36F9 : CDCDCDCD; +36FA : CDCDCDCD; +36FB : CDCDCDCD; +36FC : CDCDCDCD; +36FD : CDCDCDCD; +36FE : CDCDCDCD; +36FF : CDCDCDCD; +3700 : CDCDCDCD; +3701 : CDCDCDCD; +3702 : CDCDCDCD; +3703 : CDCDCDCD; +3704 : CDCDCDCD; +3705 : CDCDCDCD; +3706 : CDCDCDCD; +3707 : CDCDCDCD; +3708 : CDCDCDCD; +3709 : CDCDCDCD; +370A : CDCDCDCD; +370B : CDCDCDCD; +370C : CDCDCDCD; +370D : CDCDCDCD; +370E : CDCDCDCD; +370F : CDCDCDCD; +3710 : CDCDCDCD; +3711 : CDCDCDCD; +3712 : CDCDCDCD; +3713 : CDCDCDCD; +3714 : CDCDCDCD; +3715 : CDCDCDCD; +3716 : CDCDCDCD; +3717 : CDCDCDCD; +3718 : CDCDCDCD; +3719 : CDCDCDCD; +371A : CDCDCDCD; +371B : CDCDCDCD; +371C : CDCDCDCD; +371D : CDCDCDCD; +371E : CDCDCDCD; +371F : CDCDCDCD; +3720 : CDCDCDCD; +3721 : CDCDCDCD; +3722 : CDCDCDCD; +3723 : CDCDCDCD; +3724 : CDCDCDCD; +3725 : CDCDCDCD; +3726 : CDCDCDCD; +3727 : CDCDCDCD; +3728 : CDCDCDCD; +3729 : CDCDCDCD; +372A : CDCDCDCD; +372B : CDCDCDCD; +372C : CDCDCDCD; +372D : CDCDCDCD; +372E : CDCDCDCD; +372F : CDCDCDCD; +3730 : CDCDCDCD; +3731 : CDCDCDCD; +3732 : CDCDCDCD; +3733 : CDCDCDCD; +3734 : CDCDCDCD; +3735 : CDCDCDCD; +3736 : CDCDCDCD; +3737 : CDCDCDCD; +3738 : CDCDCDCD; +3739 : CDCDCDCD; +373A : CDCDCDCD; +373B : CDCDCDCD; +373C : CDCDCDCD; +373D : CDCDCDCD; +373E : CDCDCDCD; +373F : CDCDCDCD; +3740 : CDCDCDCD; +3741 : CDCDCDCD; +3742 : CDCDCDCD; +3743 : CDCDCDCD; +3744 : CDCDCDCD; +3745 : CDCDCDCD; +3746 : CDCDCDCD; +3747 : CDCDCDCD; +3748 : CDCDCDCD; +3749 : CDCDCDCD; +374A : CDCDCDCD; +374B : CDCDCDCD; +374C : CDCDCDCD; +374D : CDCDCDCD; +374E : CDCDCDCD; +374F : CDCDCDCD; +3750 : CDCDCDCD; +3751 : CDCDCDCD; +3752 : CDCDCDCD; +3753 : CDCDCDCD; +3754 : CDCDCDCD; +3755 : CDCDCDCD; +3756 : CDCDCDCD; +3757 : CDCDCDCD; +3758 : CDCDCDCD; +3759 : CDCDCDCD; +375A : CDCDCDCD; +375B : CDCDCDCD; +375C : CDCDCDCD; +375D : CDCDCDCD; +375E : CDCDCDCD; +375F : CDCDCDCD; +3760 : CDCDCDCD; +3761 : CDCDCDCD; +3762 : CDCDCDCD; +3763 : CDCDCDCD; +3764 : CDCDCDCD; +3765 : CDCDCDCD; +3766 : CDCDCDCD; +3767 : CDCDCDCD; +3768 : CDCDCDCD; +3769 : CDCDCDCD; +376A : CDCDCDCD; +376B : CDCDCDCD; +376C : CDCDCDCD; +376D : CDCDCDCD; +376E : CDCDCDCD; +376F : CDCDCDCD; +3770 : CDCDCDCD; +3771 : CDCDCDCD; +3772 : CDCDCDCD; +3773 : CDCDCDCD; +3774 : CDCDCDCD; +3775 : CDCDCDCD; +3776 : CDCDCDCD; +3777 : CDCDCDCD; +3778 : CDCDCDCD; +3779 : CDCDCDCD; +377A : CDCDCDCD; +377B : CDCDCDCD; +377C : CDCDCDCD; +377D : CDCDCDCD; +377E : CDCDCDCD; +377F : CDCDCDCD; +3780 : CDCDCDCD; +3781 : CDCDCDCD; +3782 : CDCDCDCD; +3783 : CDCDCDCD; +3784 : CDCDCDCD; +3785 : CDCDCDCD; +3786 : CDCDCDCD; +3787 : CDCDCDCD; +3788 : CDCDCDCD; +3789 : CDCDCDCD; +378A : CDCDCDCD; +378B : CDCDCDCD; +378C : CDCDCDCD; +378D : CDCDCDCD; +378E : CDCDCDCD; +378F : CDCDCDCD; +3790 : CDCDCDCD; +3791 : CDCDCDCD; +3792 : CDCDCDCD; +3793 : CDCDCDCD; +3794 : CDCDCDCD; +3795 : CDCDCDCD; +3796 : CDCDCDCD; +3797 : CDCDCDCD; +3798 : CDCDCDCD; +3799 : CDCDCDCD; +379A : CDCDCDCD; +379B : CDCDCDCD; +379C : CDCDCDCD; +379D : CDCDCDCD; +379E : CDCDCDCD; +379F : CDCDCDCD; +37A0 : CDCDCDCD; +37A1 : CDCDCDCD; +37A2 : CDCDCDCD; +37A3 : CDCDCDCD; +37A4 : CDCDCDCD; +37A5 : CDCDCDCD; +37A6 : CDCDCDCD; +37A7 : CDCDCDCD; +37A8 : CDCDCDCD; +37A9 : CDCDCDCD; +37AA : CDCDCDCD; +37AB : CDCDCDCD; +37AC : CDCDCDCD; +37AD : CDCDCDCD; +37AE : CDCDCDCD; +37AF : CDCDCDCD; +37B0 : CDCDCDCD; +37B1 : CDCDCDCD; +37B2 : CDCDCDCD; +37B3 : CDCDCDCD; +37B4 : CDCDCDCD; +37B5 : CDCDCDCD; +37B6 : CDCDCDCD; +37B7 : CDCDCDCD; +37B8 : CDCDCDCD; +37B9 : CDCDCDCD; +37BA : CDCDCDCD; +37BB : CDCDCDCD; +37BC : CDCDCDCD; +37BD : CDCDCDCD; +37BE : CDCDCDCD; +37BF : CDCDCDCD; +37C0 : CDCDCDCD; +37C1 : CDCDCDCD; +37C2 : CDCDCDCD; +37C3 : CDCDCDCD; +37C4 : CDCDCDCD; +37C5 : CDCDCDCD; +37C6 : CDCDCDCD; +37C7 : CDCDCDCD; +37C8 : CDCDCDCD; +37C9 : CDCDCDCD; +37CA : CDCDCDCD; +37CB : CDCDCDCD; +37CC : CDCDCDCD; +37CD : CDCDCDCD; +37CE : CDCDCDCD; +37CF : CDCDCDCD; +37D0 : CDCDCDCD; +37D1 : CDCDCDCD; +37D2 : CDCDCDCD; +37D3 : CDCDCDCD; +37D4 : CDCDCDCD; +37D5 : CDCDCDCD; +37D6 : CDCDCDCD; +37D7 : CDCDCDCD; +37D8 : CDCDCDCD; +37D9 : CDCDCDCD; +37DA : CDCDCDCD; +37DB : CDCDCDCD; +37DC : CDCDCDCD; +37DD : CDCDCDCD; +37DE : CDCDCDCD; +37DF : CDCDCDCD; +37E0 : CDCDCDCD; +37E1 : CDCDCDCD; +37E2 : CDCDCDCD; +37E3 : CDCDCDCD; +37E4 : CDCDCDCD; +37E5 : CDCDCDCD; +37E6 : CDCDCDCD; +37E7 : CDCDCDCD; +37E8 : CDCDCDCD; +37E9 : CDCDCDCD; +37EA : CDCDCDCD; +37EB : CDCDCDCD; +37EC : CDCDCDCD; +37ED : CDCDCDCD; +37EE : CDCDCDCD; +37EF : CDCDCDCD; +37F0 : CDCDCDCD; +37F1 : CDCDCDCD; +37F2 : CDCDCDCD; +37F3 : CDCDCDCD; +37F4 : CDCDCDCD; +37F5 : CDCDCDCD; +37F6 : CDCDCDCD; +37F7 : CDCDCDCD; +37F8 : CDCDCDCD; +37F9 : CDCDCDCD; +37FA : CDCDCDCD; +37FB : CDCDCDCD; +37FC : CDCDCDCD; +37FD : CDCDCDCD; +37FE : CDCDCDCD; +37FF : CDCDCDCD; +3800 : CDCDCDCD; +3801 : CDCDCDCD; +3802 : CDCDCDCD; +3803 : CDCDCDCD; +3804 : CDCDCDCD; +3805 : CDCDCDCD; +3806 : CDCDCDCD; +3807 : CDCDCDCD; +3808 : CDCDCDCD; +3809 : CDCDCDCD; +380A : CDCDCDCD; +380B : CDCDCDCD; +380C : CDCDCDCD; +380D : CDCDCDCD; +380E : CDCDCDCD; +380F : CDCDCDCD; +3810 : CDCDCDCD; +3811 : CDCDCDCD; +3812 : CDCDCDCD; +3813 : CDCDCDCD; +3814 : CDCDCDCD; +3815 : CDCDCDCD; +3816 : CDCDCDCD; +3817 : CDCDCDCD; +3818 : CDCDCDCD; +3819 : CDCDCDCD; +381A : CDCDCDCD; +381B : CDCDCDCD; +381C : CDCDCDCD; +381D : CDCDCDCD; +381E : CDCDCDCD; +381F : CDCDCDCD; +3820 : CDCDCDCD; +3821 : CDCDCDCD; +3822 : CDCDCDCD; +3823 : CDCDCDCD; +3824 : CDCDCDCD; +3825 : CDCDCDCD; +3826 : CDCDCDCD; +3827 : CDCDCDCD; +3828 : CDCDCDCD; +3829 : CDCDCDCD; +382A : CDCDCDCD; +382B : CDCDCDCD; +382C : CDCDCDCD; +382D : CDCDCDCD; +382E : CDCDCDCD; +382F : CDCDCDCD; +3830 : CDCDCDCD; +3831 : CDCDCDCD; +3832 : CDCDCDCD; +3833 : CDCDCDCD; +3834 : CDCDCDCD; +3835 : CDCDCDCD; +3836 : CDCDCDCD; +3837 : CDCDCDCD; +3838 : CDCDCDCD; +3839 : CDCDCDCD; +383A : CDCDCDCD; +383B : CDCDCDCD; +383C : CDCDCDCD; +383D : CDCDCDCD; +383E : CDCDCDCD; +383F : CDCDCDCD; +3840 : CDCDCDCD; +3841 : CDCDCDCD; +3842 : CDCDCDCD; +3843 : CDCDCDCD; +3844 : CDCDCDCD; +3845 : CDCDCDCD; +3846 : CDCDCDCD; +3847 : CDCDCDCD; +3848 : CDCDCDCD; +3849 : CDCDCDCD; +384A : CDCDCDCD; +384B : CDCDCDCD; +384C : CDCDCDCD; +384D : CDCDCDCD; +384E : CDCDCDCD; +384F : CDCDCDCD; +3850 : CDCDCDCD; +3851 : CDCDCDCD; +3852 : CDCDCDCD; +3853 : CDCDCDCD; +3854 : CDCDCDCD; +3855 : CDCDCDCD; +3856 : CDCDCDCD; +3857 : CDCDCDCD; +3858 : CDCDCDCD; +3859 : CDCDCDCD; +385A : CDCDCDCD; +385B : CDCDCDCD; +385C : CDCDCDCD; +385D : CDCDCDCD; +385E : CDCDCDCD; +385F : CDCDCDCD; +3860 : CDCDCDCD; +3861 : CDCDCDCD; +3862 : CDCDCDCD; +3863 : CDCDCDCD; +3864 : CDCDCDCD; +3865 : CDCDCDCD; +3866 : CDCDCDCD; +3867 : CDCDCDCD; +3868 : CDCDCDCD; +3869 : CDCDCDCD; +386A : CDCDCDCD; +386B : CDCDCDCD; +386C : CDCDCDCD; +386D : CDCDCDCD; +386E : CDCDCDCD; +386F : CDCDCDCD; +3870 : CDCDCDCD; +3871 : CDCDCDCD; +3872 : CDCDCDCD; +3873 : CDCDCDCD; +3874 : CDCDCDCD; +3875 : CDCDCDCD; +3876 : CDCDCDCD; +3877 : CDCDCDCD; +3878 : CDCDCDCD; +3879 : CDCDCDCD; +387A : CDCDCDCD; +387B : CDCDCDCD; +387C : CDCDCDCD; +387D : CDCDCDCD; +387E : CDCDCDCD; +387F : CDCDCDCD; +3880 : CDCDCDCD; +3881 : CDCDCDCD; +3882 : CDCDCDCD; +3883 : CDCDCDCD; +3884 : CDCDCDCD; +3885 : CDCDCDCD; +3886 : CDCDCDCD; +3887 : CDCDCDCD; +3888 : CDCDCDCD; +3889 : CDCDCDCD; +388A : CDCDCDCD; +388B : CDCDCDCD; +388C : CDCDCDCD; +388D : CDCDCDCD; +388E : CDCDCDCD; +388F : CDCDCDCD; +3890 : CDCDCDCD; +3891 : CDCDCDCD; +3892 : CDCDCDCD; +3893 : CDCDCDCD; +3894 : CDCDCDCD; +3895 : CDCDCDCD; +3896 : CDCDCDCD; +3897 : CDCDCDCD; +3898 : CDCDCDCD; +3899 : CDCDCDCD; +389A : CDCDCDCD; +389B : CDCDCDCD; +389C : CDCDCDCD; +389D : CDCDCDCD; +389E : CDCDCDCD; +389F : CDCDCDCD; +38A0 : CDCDCDCD; +38A1 : CDCDCDCD; +38A2 : CDCDCDCD; +38A3 : CDCDCDCD; +38A4 : CDCDCDCD; +38A5 : CDCDCDCD; +38A6 : CDCDCDCD; +38A7 : CDCDCDCD; +38A8 : CDCDCDCD; +38A9 : CDCDCDCD; +38AA : CDCDCDCD; +38AB : CDCDCDCD; +38AC : CDCDCDCD; +38AD : CDCDCDCD; +38AE : CDCDCDCD; +38AF : CDCDCDCD; +38B0 : CDCDCDCD; +38B1 : CDCDCDCD; +38B2 : CDCDCDCD; +38B3 : CDCDCDCD; +38B4 : CDCDCDCD; +38B5 : CDCDCDCD; +38B6 : CDCDCDCD; +38B7 : CDCDCDCD; +38B8 : CDCDCDCD; +38B9 : CDCDCDCD; +38BA : CDCDCDCD; +38BB : CDCDCDCD; +38BC : CDCDCDCD; +38BD : CDCDCDCD; +38BE : CDCDCDCD; +38BF : CDCDCDCD; +38C0 : CDCDCDCD; +38C1 : CDCDCDCD; +38C2 : CDCDCDCD; +38C3 : CDCDCDCD; +38C4 : CDCDCDCD; +38C5 : CDCDCDCD; +38C6 : CDCDCDCD; +38C7 : CDCDCDCD; +38C8 : CDCDCDCD; +38C9 : CDCDCDCD; +38CA : CDCDCDCD; +38CB : CDCDCDCD; +38CC : CDCDCDCD; +38CD : CDCDCDCD; +38CE : CDCDCDCD; +38CF : CDCDCDCD; +38D0 : CDCDCDCD; +38D1 : CDCDCDCD; +38D2 : CDCDCDCD; +38D3 : CDCDCDCD; +38D4 : CDCDCDCD; +38D5 : CDCDCDCD; +38D6 : CDCDCDCD; +38D7 : CDCDCDCD; +38D8 : CDCDCDCD; +38D9 : CDCDCDCD; +38DA : CDCDCDCD; +38DB : CDCDCDCD; +38DC : CDCDCDCD; +38DD : CDCDCDCD; +38DE : CDCDCDCD; +38DF : CDCDCDCD; +38E0 : CDCDCDCD; +38E1 : CDCDCDCD; +38E2 : CDCDCDCD; +38E3 : CDCDCDCD; +38E4 : CDCDCDCD; +38E5 : CDCDCDCD; +38E6 : CDCDCDCD; +38E7 : CDCDCDCD; +38E8 : CDCDCDCD; +38E9 : CDCDCDCD; +38EA : CDCDCDCD; +38EB : CDCDCDCD; +38EC : CDCDCDCD; +38ED : CDCDCDCD; +38EE : CDCDCDCD; +38EF : CDCDCDCD; +38F0 : CDCDCDCD; +38F1 : CDCDCDCD; +38F2 : CDCDCDCD; +38F3 : CDCDCDCD; +38F4 : CDCDCDCD; +38F5 : CDCDCDCD; +38F6 : CDCDCDCD; +38F7 : CDCDCDCD; +38F8 : CDCDCDCD; +38F9 : CDCDCDCD; +38FA : CDCDCDCD; +38FB : CDCDCDCD; +38FC : CDCDCDCD; +38FD : CDCDCDCD; +38FE : CDCDCDCD; +38FF : CDCDCDCD; +3900 : CDCDCDCD; +3901 : CDCDCDCD; +3902 : CDCDCDCD; +3903 : CDCDCDCD; +3904 : CDCDCDCD; +3905 : CDCDCDCD; +3906 : CDCDCDCD; +3907 : CDCDCDCD; +3908 : CDCDCDCD; +3909 : CDCDCDCD; +390A : CDCDCDCD; +390B : CDCDCDCD; +390C : CDCDCDCD; +390D : CDCDCDCD; +390E : CDCDCDCD; +390F : CDCDCDCD; +3910 : CDCDCDCD; +3911 : CDCDCDCD; +3912 : CDCDCDCD; +3913 : CDCDCDCD; +3914 : CDCDCDCD; +3915 : CDCDCDCD; +3916 : CDCDCDCD; +3917 : CDCDCDCD; +3918 : CDCDCDCD; +3919 : CDCDCDCD; +391A : CDCDCDCD; +391B : CDCDCDCD; +391C : CDCDCDCD; +391D : CDCDCDCD; +391E : CDCDCDCD; +391F : CDCDCDCD; +3920 : CDCDCDCD; +3921 : CDCDCDCD; +3922 : CDCDCDCD; +3923 : CDCDCDCD; +3924 : CDCDCDCD; +3925 : CDCDCDCD; +3926 : CDCDCDCD; +3927 : CDCDCDCD; +3928 : CDCDCDCD; +3929 : CDCDCDCD; +392A : CDCDCDCD; +392B : CDCDCDCD; +392C : CDCDCDCD; +392D : CDCDCDCD; +392E : CDCDCDCD; +392F : CDCDCDCD; +3930 : CDCDCDCD; +3931 : CDCDCDCD; +3932 : CDCDCDCD; +3933 : CDCDCDCD; +3934 : CDCDCDCD; +3935 : CDCDCDCD; +3936 : CDCDCDCD; +3937 : CDCDCDCD; +3938 : CDCDCDCD; +3939 : CDCDCDCD; +393A : CDCDCDCD; +393B : CDCDCDCD; +393C : CDCDCDCD; +393D : CDCDCDCD; +393E : CDCDCDCD; +393F : CDCDCDCD; +3940 : CDCDCDCD; +3941 : CDCDCDCD; +3942 : CDCDCDCD; +3943 : CDCDCDCD; +3944 : CDCDCDCD; +3945 : CDCDCDCD; +3946 : CDCDCDCD; +3947 : CDCDCDCD; +3948 : CDCDCDCD; +3949 : CDCDCDCD; +394A : CDCDCDCD; +394B : CDCDCDCD; +394C : CDCDCDCD; +394D : CDCDCDCD; +394E : CDCDCDCD; +394F : CDCDCDCD; +3950 : CDCDCDCD; +3951 : CDCDCDCD; +3952 : CDCDCDCD; +3953 : CDCDCDCD; +3954 : CDCDCDCD; +3955 : CDCDCDCD; +3956 : CDCDCDCD; +3957 : CDCDCDCD; +3958 : CDCDCDCD; +3959 : CDCDCDCD; +395A : CDCDCDCD; +395B : CDCDCDCD; +395C : CDCDCDCD; +395D : CDCDCDCD; +395E : CDCDCDCD; +395F : CDCDCDCD; +3960 : CDCDCDCD; +3961 : CDCDCDCD; +3962 : CDCDCDCD; +3963 : CDCDCDCD; +3964 : CDCDCDCD; +3965 : CDCDCDCD; +3966 : CDCDCDCD; +3967 : CDCDCDCD; +3968 : CDCDCDCD; +3969 : CDCDCDCD; +396A : CDCDCDCD; +396B : CDCDCDCD; +396C : CDCDCDCD; +396D : CDCDCDCD; +396E : CDCDCDCD; +396F : CDCDCDCD; +3970 : CDCDCDCD; +3971 : CDCDCDCD; +3972 : CDCDCDCD; +3973 : CDCDCDCD; +3974 : CDCDCDCD; +3975 : CDCDCDCD; +3976 : CDCDCDCD; +3977 : CDCDCDCD; +3978 : CDCDCDCD; +3979 : CDCDCDCD; +397A : CDCDCDCD; +397B : CDCDCDCD; +397C : CDCDCDCD; +397D : CDCDCDCD; +397E : CDCDCDCD; +397F : CDCDCDCD; +3980 : CDCDCDCD; +3981 : CDCDCDCD; +3982 : CDCDCDCD; +3983 : CDCDCDCD; +3984 : CDCDCDCD; +3985 : CDCDCDCD; +3986 : CDCDCDCD; +3987 : CDCDCDCD; +3988 : CDCDCDCD; +3989 : CDCDCDCD; +398A : CDCDCDCD; +398B : CDCDCDCD; +398C : CDCDCDCD; +398D : CDCDCDCD; +398E : CDCDCDCD; +398F : CDCDCDCD; +3990 : CDCDCDCD; +3991 : CDCDCDCD; +3992 : CDCDCDCD; +3993 : CDCDCDCD; +3994 : CDCDCDCD; +3995 : CDCDCDCD; +3996 : CDCDCDCD; +3997 : CDCDCDCD; +3998 : CDCDCDCD; +3999 : CDCDCDCD; +399A : CDCDCDCD; +399B : CDCDCDCD; +399C : CDCDCDCD; +399D : CDCDCDCD; +399E : CDCDCDCD; +399F : CDCDCDCD; +39A0 : CDCDCDCD; +39A1 : CDCDCDCD; +39A2 : CDCDCDCD; +39A3 : CDCDCDCD; +39A4 : CDCDCDCD; +39A5 : CDCDCDCD; +39A6 : CDCDCDCD; +39A7 : CDCDCDCD; +39A8 : CDCDCDCD; +39A9 : CDCDCDCD; +39AA : CDCDCDCD; +39AB : CDCDCDCD; +39AC : CDCDCDCD; +39AD : CDCDCDCD; +39AE : CDCDCDCD; +39AF : CDCDCDCD; +39B0 : CDCDCDCD; +39B1 : CDCDCDCD; +39B2 : CDCDCDCD; +39B3 : CDCDCDCD; +39B4 : CDCDCDCD; +39B5 : CDCDCDCD; +39B6 : CDCDCDCD; +39B7 : CDCDCDCD; +39B8 : CDCDCDCD; +39B9 : CDCDCDCD; +39BA : CDCDCDCD; +39BB : CDCDCDCD; +39BC : CDCDCDCD; +39BD : CDCDCDCD; +39BE : CDCDCDCD; +39BF : CDCDCDCD; +39C0 : CDCDCDCD; +39C1 : CDCDCDCD; +39C2 : CDCDCDCD; +39C3 : CDCDCDCD; +39C4 : CDCDCDCD; +39C5 : CDCDCDCD; +39C6 : CDCDCDCD; +39C7 : CDCDCDCD; +39C8 : CDCDCDCD; +39C9 : CDCDCDCD; +39CA : CDCDCDCD; +39CB : CDCDCDCD; +39CC : CDCDCDCD; +39CD : CDCDCDCD; +39CE : CDCDCDCD; +39CF : CDCDCDCD; +39D0 : CDCDCDCD; +39D1 : CDCDCDCD; +39D2 : CDCDCDCD; +39D3 : CDCDCDCD; +39D4 : CDCDCDCD; +39D5 : CDCDCDCD; +39D6 : CDCDCDCD; +39D7 : CDCDCDCD; +39D8 : CDCDCDCD; +39D9 : CDCDCDCD; +39DA : CDCDCDCD; +39DB : CDCDCDCD; +39DC : CDCDCDCD; +39DD : CDCDCDCD; +39DE : CDCDCDCD; +39DF : CDCDCDCD; +39E0 : CDCDCDCD; +39E1 : CDCDCDCD; +39E2 : CDCDCDCD; +39E3 : CDCDCDCD; +39E4 : CDCDCDCD; +39E5 : CDCDCDCD; +39E6 : CDCDCDCD; +39E7 : CDCDCDCD; +39E8 : CDCDCDCD; +39E9 : CDCDCDCD; +39EA : CDCDCDCD; +39EB : CDCDCDCD; +39EC : CDCDCDCD; +39ED : CDCDCDCD; +39EE : CDCDCDCD; +39EF : CDCDCDCD; +39F0 : CDCDCDCD; +39F1 : CDCDCDCD; +39F2 : CDCDCDCD; +39F3 : CDCDCDCD; +39F4 : CDCDCDCD; +39F5 : CDCDCDCD; +39F6 : CDCDCDCD; +39F7 : CDCDCDCD; +39F8 : CDCDCDCD; +39F9 : CDCDCDCD; +39FA : CDCDCDCD; +39FB : CDCDCDCD; +39FC : CDCDCDCD; +39FD : CDCDCDCD; +39FE : CDCDCDCD; +39FF : CDCDCDCD; +3A00 : CDCDCDCD; +3A01 : CDCDCDCD; +3A02 : CDCDCDCD; +3A03 : CDCDCDCD; +3A04 : CDCDCDCD; +3A05 : CDCDCDCD; +3A06 : CDCDCDCD; +3A07 : CDCDCDCD; +3A08 : CDCDCDCD; +3A09 : CDCDCDCD; +3A0A : CDCDCDCD; +3A0B : CDCDCDCD; +3A0C : CDCDCDCD; +3A0D : CDCDCDCD; +3A0E : CDCDCDCD; +3A0F : CDCDCDCD; +3A10 : CDCDCDCD; +3A11 : CDCDCDCD; +3A12 : CDCDCDCD; +3A13 : CDCDCDCD; +3A14 : CDCDCDCD; +3A15 : CDCDCDCD; +3A16 : CDCDCDCD; +3A17 : CDCDCDCD; +3A18 : CDCDCDCD; +3A19 : CDCDCDCD; +3A1A : CDCDCDCD; +3A1B : CDCDCDCD; +3A1C : CDCDCDCD; +3A1D : CDCDCDCD; +3A1E : CDCDCDCD; +3A1F : CDCDCDCD; +3A20 : CDCDCDCD; +3A21 : CDCDCDCD; +3A22 : CDCDCDCD; +3A23 : CDCDCDCD; +3A24 : CDCDCDCD; +3A25 : CDCDCDCD; +3A26 : CDCDCDCD; +3A27 : CDCDCDCD; +3A28 : CDCDCDCD; +3A29 : CDCDCDCD; +3A2A : CDCDCDCD; +3A2B : CDCDCDCD; +3A2C : CDCDCDCD; +3A2D : CDCDCDCD; +3A2E : CDCDCDCD; +3A2F : CDCDCDCD; +3A30 : CDCDCDCD; +3A31 : CDCDCDCD; +3A32 : CDCDCDCD; +3A33 : CDCDCDCD; +3A34 : CDCDCDCD; +3A35 : CDCDCDCD; +3A36 : CDCDCDCD; +3A37 : CDCDCDCD; +3A38 : CDCDCDCD; +3A39 : CDCDCDCD; +3A3A : CDCDCDCD; +3A3B : CDCDCDCD; +3A3C : CDCDCDCD; +3A3D : CDCDCDCD; +3A3E : CDCDCDCD; +3A3F : CDCDCDCD; +3A40 : CDCDCDCD; +3A41 : CDCDCDCD; +3A42 : CDCDCDCD; +3A43 : CDCDCDCD; +3A44 : CDCDCDCD; +3A45 : CDCDCDCD; +3A46 : CDCDCDCD; +3A47 : CDCDCDCD; +3A48 : CDCDCDCD; +3A49 : CDCDCDCD; +3A4A : CDCDCDCD; +3A4B : CDCDCDCD; +3A4C : CDCDCDCD; +3A4D : CDCDCDCD; +3A4E : CDCDCDCD; +3A4F : CDCDCDCD; +3A50 : CDCDCDCD; +3A51 : CDCDCDCD; +3A52 : CDCDCDCD; +3A53 : CDCDCDCD; +3A54 : CDCDCDCD; +3A55 : CDCDCDCD; +3A56 : CDCDCDCD; +3A57 : CDCDCDCD; +3A58 : CDCDCDCD; +3A59 : CDCDCDCD; +3A5A : CDCDCDCD; +3A5B : CDCDCDCD; +3A5C : CDCDCDCD; +3A5D : CDCDCDCD; +3A5E : CDCDCDCD; +3A5F : CDCDCDCD; +3A60 : CDCDCDCD; +3A61 : CDCDCDCD; +3A62 : CDCDCDCD; +3A63 : CDCDCDCD; +3A64 : CDCDCDCD; +3A65 : CDCDCDCD; +3A66 : CDCDCDCD; +3A67 : CDCDCDCD; +3A68 : CDCDCDCD; +3A69 : CDCDCDCD; +3A6A : CDCDCDCD; +3A6B : CDCDCDCD; +3A6C : CDCDCDCD; +3A6D : CDCDCDCD; +3A6E : CDCDCDCD; +3A6F : CDCDCDCD; +3A70 : CDCDCDCD; +3A71 : CDCDCDCD; +3A72 : CDCDCDCD; +3A73 : CDCDCDCD; +3A74 : CDCDCDCD; +3A75 : CDCDCDCD; +3A76 : CDCDCDCD; +3A77 : CDCDCDCD; +3A78 : CDCDCDCD; +3A79 : CDCDCDCD; +3A7A : CDCDCDCD; +3A7B : CDCDCDCD; +3A7C : CDCDCDCD; +3A7D : CDCDCDCD; +3A7E : CDCDCDCD; +3A7F : CDCDCDCD; +3A80 : CDCDCDCD; +3A81 : CDCDCDCD; +3A82 : CDCDCDCD; +3A83 : CDCDCDCD; +3A84 : CDCDCDCD; +3A85 : CDCDCDCD; +3A86 : CDCDCDCD; +3A87 : CDCDCDCD; +3A88 : CDCDCDCD; +3A89 : CDCDCDCD; +3A8A : CDCDCDCD; +3A8B : CDCDCDCD; +3A8C : CDCDCDCD; +3A8D : CDCDCDCD; +3A8E : CDCDCDCD; +3A8F : CDCDCDCD; +3A90 : CDCDCDCD; +3A91 : CDCDCDCD; +3A92 : CDCDCDCD; +3A93 : CDCDCDCD; +3A94 : CDCDCDCD; +3A95 : CDCDCDCD; +3A96 : CDCDCDCD; +3A97 : CDCDCDCD; +3A98 : CDCDCDCD; +3A99 : CDCDCDCD; +3A9A : CDCDCDCD; +3A9B : CDCDCDCD; +3A9C : CDCDCDCD; +3A9D : CDCDCDCD; +3A9E : CDCDCDCD; +3A9F : CDCDCDCD; +3AA0 : CDCDCDCD; +3AA1 : CDCDCDCD; +3AA2 : CDCDCDCD; +3AA3 : CDCDCDCD; +3AA4 : CDCDCDCD; +3AA5 : CDCDCDCD; +3AA6 : CDCDCDCD; +3AA7 : CDCDCDCD; +3AA8 : CDCDCDCD; +3AA9 : CDCDCDCD; +3AAA : CDCDCDCD; +3AAB : CDCDCDCD; +3AAC : CDCDCDCD; +3AAD : CDCDCDCD; +3AAE : CDCDCDCD; +3AAF : CDCDCDCD; +3AB0 : CDCDCDCD; +3AB1 : CDCDCDCD; +3AB2 : CDCDCDCD; +3AB3 : CDCDCDCD; +3AB4 : CDCDCDCD; +3AB5 : CDCDCDCD; +3AB6 : CDCDCDCD; +3AB7 : CDCDCDCD; +3AB8 : CDCDCDCD; +3AB9 : CDCDCDCD; +3ABA : CDCDCDCD; +3ABB : CDCDCDCD; +3ABC : CDCDCDCD; +3ABD : CDCDCDCD; +3ABE : CDCDCDCD; +3ABF : CDCDCDCD; +3AC0 : CDCDCDCD; +3AC1 : CDCDCDCD; +3AC2 : CDCDCDCD; +3AC3 : CDCDCDCD; +3AC4 : CDCDCDCD; +3AC5 : CDCDCDCD; +3AC6 : CDCDCDCD; +3AC7 : CDCDCDCD; +3AC8 : CDCDCDCD; +3AC9 : CDCDCDCD; +3ACA : CDCDCDCD; +3ACB : CDCDCDCD; +3ACC : CDCDCDCD; +3ACD : CDCDCDCD; +3ACE : CDCDCDCD; +3ACF : CDCDCDCD; +3AD0 : CDCDCDCD; +3AD1 : CDCDCDCD; +3AD2 : CDCDCDCD; +3AD3 : CDCDCDCD; +3AD4 : CDCDCDCD; +3AD5 : CDCDCDCD; +3AD6 : CDCDCDCD; +3AD7 : CDCDCDCD; +3AD8 : CDCDCDCD; +3AD9 : CDCDCDCD; +3ADA : CDCDCDCD; +3ADB : CDCDCDCD; +3ADC : CDCDCDCD; +3ADD : CDCDCDCD; +3ADE : CDCDCDCD; +3ADF : CDCDCDCD; +3AE0 : CDCDCDCD; +3AE1 : CDCDCDCD; +3AE2 : CDCDCDCD; +3AE3 : CDCDCDCD; +3AE4 : CDCDCDCD; +3AE5 : CDCDCDCD; +3AE6 : CDCDCDCD; +3AE7 : CDCDCDCD; +3AE8 : CDCDCDCD; +3AE9 : CDCDCDCD; +3AEA : CDCDCDCD; +3AEB : CDCDCDCD; +3AEC : CDCDCDCD; +3AED : CDCDCDCD; +3AEE : CDCDCDCD; +3AEF : CDCDCDCD; +3AF0 : CDCDCDCD; +3AF1 : CDCDCDCD; +3AF2 : CDCDCDCD; +3AF3 : CDCDCDCD; +3AF4 : CDCDCDCD; +3AF5 : CDCDCDCD; +3AF6 : CDCDCDCD; +3AF7 : CDCDCDCD; +3AF8 : CDCDCDCD; +3AF9 : CDCDCDCD; +3AFA : CDCDCDCD; +3AFB : CDCDCDCD; +3AFC : CDCDCDCD; +3AFD : CDCDCDCD; +3AFE : CDCDCDCD; +3AFF : CDCDCDCD; +3B00 : CDCDCDCD; +3B01 : CDCDCDCD; +3B02 : CDCDCDCD; +3B03 : CDCDCDCD; +3B04 : CDCDCDCD; +3B05 : CDCDCDCD; +3B06 : CDCDCDCD; +3B07 : CDCDCDCD; +3B08 : CDCDCDCD; +3B09 : CDCDCDCD; +3B0A : CDCDCDCD; +3B0B : CDCDCDCD; +3B0C : CDCDCDCD; +3B0D : CDCDCDCD; +3B0E : CDCDCDCD; +3B0F : CDCDCDCD; +3B10 : CDCDCDCD; +3B11 : CDCDCDCD; +3B12 : CDCDCDCD; +3B13 : CDCDCDCD; +3B14 : CDCDCDCD; +3B15 : CDCDCDCD; +3B16 : CDCDCDCD; +3B17 : CDCDCDCD; +3B18 : CDCDCDCD; +3B19 : CDCDCDCD; +3B1A : CDCDCDCD; +3B1B : CDCDCDCD; +3B1C : CDCDCDCD; +3B1D : CDCDCDCD; +3B1E : CDCDCDCD; +3B1F : CDCDCDCD; +3B20 : CDCDCDCD; +3B21 : CDCDCDCD; +3B22 : CDCDCDCD; +3B23 : CDCDCDCD; +3B24 : CDCDCDCD; +3B25 : CDCDCDCD; +3B26 : CDCDCDCD; +3B27 : CDCDCDCD; +3B28 : CDCDCDCD; +3B29 : CDCDCDCD; +3B2A : CDCDCDCD; +3B2B : CDCDCDCD; +3B2C : CDCDCDCD; +3B2D : CDCDCDCD; +3B2E : CDCDCDCD; +3B2F : CDCDCDCD; +3B30 : CDCDCDCD; +3B31 : CDCDCDCD; +3B32 : CDCDCDCD; +3B33 : CDCDCDCD; +3B34 : CDCDCDCD; +3B35 : CDCDCDCD; +3B36 : CDCDCDCD; +3B37 : CDCDCDCD; +3B38 : CDCDCDCD; +3B39 : CDCDCDCD; +3B3A : CDCDCDCD; +3B3B : CDCDCDCD; +3B3C : CDCDCDCD; +3B3D : CDCDCDCD; +3B3E : CDCDCDCD; +3B3F : CDCDCDCD; +3B40 : CDCDCDCD; +3B41 : CDCDCDCD; +3B42 : CDCDCDCD; +3B43 : CDCDCDCD; +3B44 : CDCDCDCD; +3B45 : CDCDCDCD; +3B46 : CDCDCDCD; +3B47 : CDCDCDCD; +3B48 : CDCDCDCD; +3B49 : CDCDCDCD; +3B4A : CDCDCDCD; +3B4B : CDCDCDCD; +3B4C : CDCDCDCD; +3B4D : CDCDCDCD; +3B4E : CDCDCDCD; +3B4F : CDCDCDCD; +3B50 : CDCDCDCD; +3B51 : CDCDCDCD; +3B52 : CDCDCDCD; +3B53 : CDCDCDCD; +3B54 : CDCDCDCD; +3B55 : CDCDCDCD; +3B56 : CDCDCDCD; +3B57 : CDCDCDCD; +3B58 : CDCDCDCD; +3B59 : CDCDCDCD; +3B5A : CDCDCDCD; +3B5B : CDCDCDCD; +3B5C : CDCDCDCD; +3B5D : CDCDCDCD; +3B5E : CDCDCDCD; +3B5F : CDCDCDCD; +3B60 : CDCDCDCD; +3B61 : CDCDCDCD; +3B62 : CDCDCDCD; +3B63 : CDCDCDCD; +3B64 : CDCDCDCD; +3B65 : CDCDCDCD; +3B66 : CDCDCDCD; +3B67 : CDCDCDCD; +3B68 : CDCDCDCD; +3B69 : CDCDCDCD; +3B6A : CDCDCDCD; +3B6B : CDCDCDCD; +3B6C : CDCDCDCD; +3B6D : CDCDCDCD; +3B6E : CDCDCDCD; +3B6F : CDCDCDCD; +3B70 : CDCDCDCD; +3B71 : CDCDCDCD; +3B72 : CDCDCDCD; +3B73 : CDCDCDCD; +3B74 : CDCDCDCD; +3B75 : CDCDCDCD; +3B76 : CDCDCDCD; +3B77 : CDCDCDCD; +3B78 : CDCDCDCD; +3B79 : CDCDCDCD; +3B7A : CDCDCDCD; +3B7B : CDCDCDCD; +3B7C : CDCDCDCD; +3B7D : CDCDCDCD; +3B7E : CDCDCDCD; +3B7F : CDCDCDCD; +3B80 : CDCDCDCD; +3B81 : CDCDCDCD; +3B82 : CDCDCDCD; +3B83 : CDCDCDCD; +3B84 : CDCDCDCD; +3B85 : CDCDCDCD; +3B86 : CDCDCDCD; +3B87 : CDCDCDCD; +3B88 : CDCDCDCD; +3B89 : CDCDCDCD; +3B8A : CDCDCDCD; +3B8B : CDCDCDCD; +3B8C : CDCDCDCD; +3B8D : CDCDCDCD; +3B8E : CDCDCDCD; +3B8F : CDCDCDCD; +3B90 : CDCDCDCD; +3B91 : CDCDCDCD; +3B92 : CDCDCDCD; +3B93 : CDCDCDCD; +3B94 : CDCDCDCD; +3B95 : CDCDCDCD; +3B96 : CDCDCDCD; +3B97 : CDCDCDCD; +3B98 : CDCDCDCD; +3B99 : CDCDCDCD; +3B9A : CDCDCDCD; +3B9B : CDCDCDCD; +3B9C : CDCDCDCD; +3B9D : CDCDCDCD; +3B9E : CDCDCDCD; +3B9F : CDCDCDCD; +3BA0 : CDCDCDCD; +3BA1 : CDCDCDCD; +3BA2 : CDCDCDCD; +3BA3 : CDCDCDCD; +3BA4 : CDCDCDCD; +3BA5 : CDCDCDCD; +3BA6 : CDCDCDCD; +3BA7 : CDCDCDCD; +3BA8 : CDCDCDCD; +3BA9 : CDCDCDCD; +3BAA : CDCDCDCD; +3BAB : CDCDCDCD; +3BAC : CDCDCDCD; +3BAD : CDCDCDCD; +3BAE : CDCDCDCD; +3BAF : CDCDCDCD; +3BB0 : CDCDCDCD; +3BB1 : CDCDCDCD; +3BB2 : CDCDCDCD; +3BB3 : CDCDCDCD; +3BB4 : CDCDCDCD; +3BB5 : CDCDCDCD; +3BB6 : CDCDCDCD; +3BB7 : CDCDCDCD; +3BB8 : CDCDCDCD; +3BB9 : CDCDCDCD; +3BBA : CDCDCDCD; +3BBB : CDCDCDCD; +3BBC : CDCDCDCD; +3BBD : CDCDCDCD; +3BBE : CDCDCDCD; +3BBF : CDCDCDCD; +3BC0 : CDCDCDCD; +3BC1 : CDCDCDCD; +3BC2 : CDCDCDCD; +3BC3 : CDCDCDCD; +3BC4 : CDCDCDCD; +3BC5 : CDCDCDCD; +3BC6 : CDCDCDCD; +3BC7 : CDCDCDCD; +3BC8 : CDCDCDCD; +3BC9 : CDCDCDCD; +3BCA : CDCDCDCD; +3BCB : CDCDCDCD; +3BCC : CDCDCDCD; +3BCD : CDCDCDCD; +3BCE : CDCDCDCD; +3BCF : CDCDCDCD; +3BD0 : CDCDCDCD; +3BD1 : CDCDCDCD; +3BD2 : CDCDCDCD; +3BD3 : CDCDCDCD; +3BD4 : CDCDCDCD; +3BD5 : CDCDCDCD; +3BD6 : CDCDCDCD; +3BD7 : CDCDCDCD; +3BD8 : CDCDCDCD; +3BD9 : CDCDCDCD; +3BDA : CDCDCDCD; +3BDB : CDCDCDCD; +3BDC : CDCDCDCD; +3BDD : CDCDCDCD; +3BDE : CDCDCDCD; +3BDF : CDCDCDCD; +3BE0 : CDCDCDCD; +3BE1 : CDCDCDCD; +3BE2 : CDCDCDCD; +3BE3 : CDCDCDCD; +3BE4 : CDCDCDCD; +3BE5 : CDCDCDCD; +3BE6 : CDCDCDCD; +3BE7 : CDCDCDCD; +3BE8 : CDCDCDCD; +3BE9 : CDCDCDCD; +3BEA : CDCDCDCD; +3BEB : CDCDCDCD; +3BEC : CDCDCDCD; +3BED : CDCDCDCD; +3BEE : CDCDCDCD; +3BEF : CDCDCDCD; +3BF0 : CDCDCDCD; +3BF1 : CDCDCDCD; +3BF2 : CDCDCDCD; +3BF3 : CDCDCDCD; +3BF4 : CDCDCDCD; +3BF5 : CDCDCDCD; +3BF6 : CDCDCDCD; +3BF7 : CDCDCDCD; +3BF8 : CDCDCDCD; +3BF9 : CDCDCDCD; +3BFA : CDCDCDCD; +3BFB : CDCDCDCD; +3BFC : CDCDCDCD; +3BFD : CDCDCDCD; +3BFE : CDCDCDCD; +3BFF : CDCDCDCD; +3C00 : CDCDCDCD; +3C01 : CDCDCDCD; +3C02 : CDCDCDCD; +3C03 : CDCDCDCD; +3C04 : CDCDCDCD; +3C05 : CDCDCDCD; +3C06 : CDCDCDCD; +3C07 : CDCDCDCD; +3C08 : CDCDCDCD; +3C09 : CDCDCDCD; +3C0A : CDCDCDCD; +3C0B : CDCDCDCD; +3C0C : CDCDCDCD; +3C0D : CDCDCDCD; +3C0E : CDCDCDCD; +3C0F : CDCDCDCD; +3C10 : CDCDCDCD; +3C11 : CDCDCDCD; +3C12 : CDCDCDCD; +3C13 : CDCDCDCD; +3C14 : CDCDCDCD; +3C15 : CDCDCDCD; +3C16 : CDCDCDCD; +3C17 : CDCDCDCD; +3C18 : CDCDCDCD; +3C19 : CDCDCDCD; +3C1A : CDCDCDCD; +3C1B : CDCDCDCD; +3C1C : CDCDCDCD; +3C1D : CDCDCDCD; +3C1E : CDCDCDCD; +3C1F : CDCDCDCD; +3C20 : CDCDCDCD; +3C21 : CDCDCDCD; +3C22 : CDCDCDCD; +3C23 : CDCDCDCD; +3C24 : CDCDCDCD; +3C25 : CDCDCDCD; +3C26 : CDCDCDCD; +3C27 : CDCDCDCD; +3C28 : CDCDCDCD; +3C29 : CDCDCDCD; +3C2A : CDCDCDCD; +3C2B : CDCDCDCD; +3C2C : CDCDCDCD; +3C2D : CDCDCDCD; +3C2E : CDCDCDCD; +3C2F : CDCDCDCD; +3C30 : CDCDCDCD; +3C31 : CDCDCDCD; +3C32 : CDCDCDCD; +3C33 : CDCDCDCD; +3C34 : CDCDCDCD; +3C35 : CDCDCDCD; +3C36 : CDCDCDCD; +3C37 : CDCDCDCD; +3C38 : CDCDCDCD; +3C39 : CDCDCDCD; +3C3A : CDCDCDCD; +3C3B : CDCDCDCD; +3C3C : CDCDCDCD; +3C3D : CDCDCDCD; +3C3E : CDCDCDCD; +3C3F : CDCDCDCD; +3C40 : CDCDCDCD; +3C41 : CDCDCDCD; +3C42 : CDCDCDCD; +3C43 : CDCDCDCD; +3C44 : CDCDCDCD; +3C45 : CDCDCDCD; +3C46 : CDCDCDCD; +3C47 : CDCDCDCD; +3C48 : CDCDCDCD; +3C49 : CDCDCDCD; +3C4A : CDCDCDCD; +3C4B : CDCDCDCD; +3C4C : CDCDCDCD; +3C4D : CDCDCDCD; +3C4E : CDCDCDCD; +3C4F : CDCDCDCD; +3C50 : CDCDCDCD; +3C51 : CDCDCDCD; +3C52 : CDCDCDCD; +3C53 : CDCDCDCD; +3C54 : CDCDCDCD; +3C55 : CDCDCDCD; +3C56 : CDCDCDCD; +3C57 : CDCDCDCD; +3C58 : CDCDCDCD; +3C59 : CDCDCDCD; +3C5A : CDCDCDCD; +3C5B : CDCDCDCD; +3C5C : CDCDCDCD; +3C5D : CDCDCDCD; +3C5E : CDCDCDCD; +3C5F : CDCDCDCD; +3C60 : CDCDCDCD; +3C61 : CDCDCDCD; +3C62 : CDCDCDCD; +3C63 : CDCDCDCD; +3C64 : CDCDCDCD; +3C65 : CDCDCDCD; +3C66 : CDCDCDCD; +3C67 : CDCDCDCD; +3C68 : CDCDCDCD; +3C69 : CDCDCDCD; +3C6A : CDCDCDCD; +3C6B : CDCDCDCD; +3C6C : CDCDCDCD; +3C6D : CDCDCDCD; +3C6E : CDCDCDCD; +3C6F : CDCDCDCD; +3C70 : CDCDCDCD; +3C71 : CDCDCDCD; +3C72 : CDCDCDCD; +3C73 : CDCDCDCD; +3C74 : CDCDCDCD; +3C75 : CDCDCDCD; +3C76 : CDCDCDCD; +3C77 : CDCDCDCD; +3C78 : CDCDCDCD; +3C79 : CDCDCDCD; +3C7A : CDCDCDCD; +3C7B : CDCDCDCD; +3C7C : CDCDCDCD; +3C7D : CDCDCDCD; +3C7E : CDCDCDCD; +3C7F : CDCDCDCD; +3C80 : CDCDCDCD; +3C81 : CDCDCDCD; +3C82 : CDCDCDCD; +3C83 : CDCDCDCD; +3C84 : CDCDCDCD; +3C85 : CDCDCDCD; +3C86 : CDCDCDCD; +3C87 : CDCDCDCD; +3C88 : CDCDCDCD; +3C89 : CDCDCDCD; +3C8A : CDCDCDCD; +3C8B : CDCDCDCD; +3C8C : CDCDCDCD; +3C8D : CDCDCDCD; +3C8E : CDCDCDCD; +3C8F : CDCDCDCD; +3C90 : CDCDCDCD; +3C91 : CDCDCDCD; +3C92 : CDCDCDCD; +3C93 : CDCDCDCD; +3C94 : CDCDCDCD; +3C95 : CDCDCDCD; +3C96 : CDCDCDCD; +3C97 : CDCDCDCD; +3C98 : CDCDCDCD; +3C99 : CDCDCDCD; +3C9A : CDCDCDCD; +3C9B : CDCDCDCD; +3C9C : CDCDCDCD; +3C9D : CDCDCDCD; +3C9E : CDCDCDCD; +3C9F : CDCDCDCD; +3CA0 : CDCDCDCD; +3CA1 : CDCDCDCD; +3CA2 : CDCDCDCD; +3CA3 : CDCDCDCD; +3CA4 : CDCDCDCD; +3CA5 : CDCDCDCD; +3CA6 : CDCDCDCD; +3CA7 : CDCDCDCD; +3CA8 : CDCDCDCD; +3CA9 : CDCDCDCD; +3CAA : CDCDCDCD; +3CAB : CDCDCDCD; +3CAC : CDCDCDCD; +3CAD : CDCDCDCD; +3CAE : CDCDCDCD; +3CAF : CDCDCDCD; +3CB0 : CDCDCDCD; +3CB1 : CDCDCDCD; +3CB2 : CDCDCDCD; +3CB3 : CDCDCDCD; +3CB4 : CDCDCDCD; +3CB5 : CDCDCDCD; +3CB6 : CDCDCDCD; +3CB7 : CDCDCDCD; +3CB8 : CDCDCDCD; +3CB9 : CDCDCDCD; +3CBA : CDCDCDCD; +3CBB : CDCDCDCD; +3CBC : CDCDCDCD; +3CBD : CDCDCDCD; +3CBE : CDCDCDCD; +3CBF : CDCDCDCD; +3CC0 : CDCDCDCD; +3CC1 : CDCDCDCD; +3CC2 : CDCDCDCD; +3CC3 : CDCDCDCD; +3CC4 : CDCDCDCD; +3CC5 : CDCDCDCD; +3CC6 : CDCDCDCD; +3CC7 : CDCDCDCD; +3CC8 : CDCDCDCD; +3CC9 : CDCDCDCD; +3CCA : CDCDCDCD; +3CCB : CDCDCDCD; +3CCC : CDCDCDCD; +3CCD : CDCDCDCD; +3CCE : CDCDCDCD; +3CCF : CDCDCDCD; +3CD0 : CDCDCDCD; +3CD1 : CDCDCDCD; +3CD2 : CDCDCDCD; +3CD3 : CDCDCDCD; +3CD4 : CDCDCDCD; +3CD5 : CDCDCDCD; +3CD6 : CDCDCDCD; +3CD7 : CDCDCDCD; +3CD8 : CDCDCDCD; +3CD9 : CDCDCDCD; +3CDA : CDCDCDCD; +3CDB : CDCDCDCD; +3CDC : CDCDCDCD; +3CDD : CDCDCDCD; +3CDE : CDCDCDCD; +3CDF : CDCDCDCD; +3CE0 : CDCDCDCD; +3CE1 : CDCDCDCD; +3CE2 : CDCDCDCD; +3CE3 : CDCDCDCD; +3CE4 : CDCDCDCD; +3CE5 : CDCDCDCD; +3CE6 : CDCDCDCD; +3CE7 : CDCDCDCD; +3CE8 : CDCDCDCD; +3CE9 : CDCDCDCD; +3CEA : CDCDCDCD; +3CEB : CDCDCDCD; +3CEC : CDCDCDCD; +3CED : CDCDCDCD; +3CEE : CDCDCDCD; +3CEF : CDCDCDCD; +3CF0 : CDCDCDCD; +3CF1 : CDCDCDCD; +3CF2 : CDCDCDCD; +3CF3 : CDCDCDCD; +3CF4 : CDCDCDCD; +3CF5 : CDCDCDCD; +3CF6 : CDCDCDCD; +3CF7 : CDCDCDCD; +3CF8 : CDCDCDCD; +3CF9 : CDCDCDCD; +3CFA : CDCDCDCD; +3CFB : CDCDCDCD; +3CFC : CDCDCDCD; +3CFD : CDCDCDCD; +3CFE : CDCDCDCD; +3CFF : CDCDCDCD; +3D00 : CDCDCDCD; +3D01 : CDCDCDCD; +3D02 : CDCDCDCD; +3D03 : CDCDCDCD; +3D04 : CDCDCDCD; +3D05 : CDCDCDCD; +3D06 : CDCDCDCD; +3D07 : CDCDCDCD; +3D08 : CDCDCDCD; +3D09 : CDCDCDCD; +3D0A : CDCDCDCD; +3D0B : CDCDCDCD; +3D0C : CDCDCDCD; +3D0D : CDCDCDCD; +3D0E : CDCDCDCD; +3D0F : CDCDCDCD; +3D10 : CDCDCDCD; +3D11 : CDCDCDCD; +3D12 : CDCDCDCD; +3D13 : CDCDCDCD; +3D14 : CDCDCDCD; +3D15 : CDCDCDCD; +3D16 : CDCDCDCD; +3D17 : CDCDCDCD; +3D18 : CDCDCDCD; +3D19 : CDCDCDCD; +3D1A : CDCDCDCD; +3D1B : CDCDCDCD; +3D1C : CDCDCDCD; +3D1D : CDCDCDCD; +3D1E : CDCDCDCD; +3D1F : CDCDCDCD; +3D20 : CDCDCDCD; +3D21 : CDCDCDCD; +3D22 : CDCDCDCD; +3D23 : CDCDCDCD; +3D24 : CDCDCDCD; +3D25 : CDCDCDCD; +3D26 : CDCDCDCD; +3D27 : CDCDCDCD; +3D28 : CDCDCDCD; +3D29 : CDCDCDCD; +3D2A : CDCDCDCD; +3D2B : CDCDCDCD; +3D2C : CDCDCDCD; +3D2D : CDCDCDCD; +3D2E : CDCDCDCD; +3D2F : CDCDCDCD; +3D30 : CDCDCDCD; +3D31 : CDCDCDCD; +3D32 : CDCDCDCD; +3D33 : CDCDCDCD; +3D34 : CDCDCDCD; +3D35 : CDCDCDCD; +3D36 : CDCDCDCD; +3D37 : CDCDCDCD; +3D38 : CDCDCDCD; +3D39 : CDCDCDCD; +3D3A : CDCDCDCD; +3D3B : CDCDCDCD; +3D3C : CDCDCDCD; +3D3D : CDCDCDCD; +3D3E : CDCDCDCD; +3D3F : CDCDCDCD; +3D40 : CDCDCDCD; +3D41 : CDCDCDCD; +3D42 : CDCDCDCD; +3D43 : CDCDCDCD; +3D44 : CDCDCDCD; +3D45 : CDCDCDCD; +3D46 : CDCDCDCD; +3D47 : CDCDCDCD; +3D48 : CDCDCDCD; +3D49 : CDCDCDCD; +3D4A : CDCDCDCD; +3D4B : CDCDCDCD; +3D4C : CDCDCDCD; +3D4D : CDCDCDCD; +3D4E : CDCDCDCD; +3D4F : CDCDCDCD; +3D50 : CDCDCDCD; +3D51 : CDCDCDCD; +3D52 : CDCDCDCD; +3D53 : CDCDCDCD; +3D54 : CDCDCDCD; +3D55 : CDCDCDCD; +3D56 : CDCDCDCD; +3D57 : CDCDCDCD; +3D58 : CDCDCDCD; +3D59 : CDCDCDCD; +3D5A : CDCDCDCD; +3D5B : CDCDCDCD; +3D5C : CDCDCDCD; +3D5D : CDCDCDCD; +3D5E : CDCDCDCD; +3D5F : CDCDCDCD; +3D60 : CDCDCDCD; +3D61 : CDCDCDCD; +3D62 : CDCDCDCD; +3D63 : CDCDCDCD; +3D64 : CDCDCDCD; +3D65 : CDCDCDCD; +3D66 : CDCDCDCD; +3D67 : CDCDCDCD; +3D68 : CDCDCDCD; +3D69 : CDCDCDCD; +3D6A : CDCDCDCD; +3D6B : CDCDCDCD; +3D6C : CDCDCDCD; +3D6D : CDCDCDCD; +3D6E : CDCDCDCD; +3D6F : CDCDCDCD; +3D70 : CDCDCDCD; +3D71 : CDCDCDCD; +3D72 : CDCDCDCD; +3D73 : CDCDCDCD; +3D74 : CDCDCDCD; +3D75 : CDCDCDCD; +3D76 : CDCDCDCD; +3D77 : CDCDCDCD; +3D78 : CDCDCDCD; +3D79 : CDCDCDCD; +3D7A : CDCDCDCD; +3D7B : CDCDCDCD; +3D7C : CDCDCDCD; +3D7D : CDCDCDCD; +3D7E : CDCDCDCD; +3D7F : CDCDCDCD; +3D80 : CDCDCDCD; +3D81 : CDCDCDCD; +3D82 : CDCDCDCD; +3D83 : CDCDCDCD; +3D84 : CDCDCDCD; +3D85 : CDCDCDCD; +3D86 : CDCDCDCD; +3D87 : CDCDCDCD; +3D88 : CDCDCDCD; +3D89 : CDCDCDCD; +3D8A : CDCDCDCD; +3D8B : CDCDCDCD; +3D8C : CDCDCDCD; +3D8D : CDCDCDCD; +3D8E : CDCDCDCD; +3D8F : CDCDCDCD; +3D90 : CDCDCDCD; +3D91 : CDCDCDCD; +3D92 : CDCDCDCD; +3D93 : CDCDCDCD; +3D94 : CDCDCDCD; +3D95 : CDCDCDCD; +3D96 : CDCDCDCD; +3D97 : CDCDCDCD; +3D98 : CDCDCDCD; +3D99 : CDCDCDCD; +3D9A : CDCDCDCD; +3D9B : CDCDCDCD; +3D9C : CDCDCDCD; +3D9D : CDCDCDCD; +3D9E : CDCDCDCD; +3D9F : CDCDCDCD; +3DA0 : CDCDCDCD; +3DA1 : CDCDCDCD; +3DA2 : CDCDCDCD; +3DA3 : CDCDCDCD; +3DA4 : CDCDCDCD; +3DA5 : CDCDCDCD; +3DA6 : CDCDCDCD; +3DA7 : CDCDCDCD; +3DA8 : CDCDCDCD; +3DA9 : CDCDCDCD; +3DAA : CDCDCDCD; +3DAB : CDCDCDCD; +3DAC : CDCDCDCD; +3DAD : CDCDCDCD; +3DAE : CDCDCDCD; +3DAF : CDCDCDCD; +3DB0 : CDCDCDCD; +3DB1 : CDCDCDCD; +3DB2 : CDCDCDCD; +3DB3 : CDCDCDCD; +3DB4 : CDCDCDCD; +3DB5 : CDCDCDCD; +3DB6 : CDCDCDCD; +3DB7 : CDCDCDCD; +3DB8 : CDCDCDCD; +3DB9 : CDCDCDCD; +3DBA : CDCDCDCD; +3DBB : CDCDCDCD; +3DBC : CDCDCDCD; +3DBD : CDCDCDCD; +3DBE : CDCDCDCD; +3DBF : CDCDCDCD; +3DC0 : CDCDCDCD; +3DC1 : CDCDCDCD; +3DC2 : CDCDCDCD; +3DC3 : CDCDCDCD; +3DC4 : CDCDCDCD; +3DC5 : CDCDCDCD; +3DC6 : CDCDCDCD; +3DC7 : CDCDCDCD; +3DC8 : CDCDCDCD; +3DC9 : CDCDCDCD; +3DCA : CDCDCDCD; +3DCB : CDCDCDCD; +3DCC : CDCDCDCD; +3DCD : CDCDCDCD; +3DCE : CDCDCDCD; +3DCF : CDCDCDCD; +3DD0 : CDCDCDCD; +3DD1 : CDCDCDCD; +3DD2 : CDCDCDCD; +3DD3 : CDCDCDCD; +3DD4 : CDCDCDCD; +3DD5 : CDCDCDCD; +3DD6 : CDCDCDCD; +3DD7 : CDCDCDCD; +3DD8 : CDCDCDCD; +3DD9 : CDCDCDCD; +3DDA : CDCDCDCD; +3DDB : CDCDCDCD; +3DDC : CDCDCDCD; +3DDD : CDCDCDCD; +3DDE : CDCDCDCD; +3DDF : CDCDCDCD; +3DE0 : CDCDCDCD; +3DE1 : CDCDCDCD; +3DE2 : CDCDCDCD; +3DE3 : CDCDCDCD; +3DE4 : CDCDCDCD; +3DE5 : CDCDCDCD; +3DE6 : CDCDCDCD; +3DE7 : CDCDCDCD; +3DE8 : CDCDCDCD; +3DE9 : CDCDCDCD; +3DEA : CDCDCDCD; +3DEB : CDCDCDCD; +3DEC : CDCDCDCD; +3DED : CDCDCDCD; +3DEE : CDCDCDCD; +3DEF : CDCDCDCD; +3DF0 : CDCDCDCD; +3DF1 : CDCDCDCD; +3DF2 : CDCDCDCD; +3DF3 : CDCDCDCD; +3DF4 : CDCDCDCD; +3DF5 : CDCDCDCD; +3DF6 : CDCDCDCD; +3DF7 : CDCDCDCD; +3DF8 : CDCDCDCD; +3DF9 : CDCDCDCD; +3DFA : CDCDCDCD; +3DFB : CDCDCDCD; +3DFC : CDCDCDCD; +3DFD : CDCDCDCD; +3DFE : CDCDCDCD; +3DFF : CDCDCDCD; +3E00 : CDCDCDCD; +3E01 : CDCDCDCD; +3E02 : CDCDCDCD; +3E03 : CDCDCDCD; +3E04 : CDCDCDCD; +3E05 : CDCDCDCD; +3E06 : CDCDCDCD; +3E07 : CDCDCDCD; +3E08 : CDCDCDCD; +3E09 : CDCDCDCD; +3E0A : CDCDCDCD; +3E0B : CDCDCDCD; +3E0C : CDCDCDCD; +3E0D : CDCDCDCD; +3E0E : CDCDCDCD; +3E0F : CDCDCDCD; +3E10 : CDCDCDCD; +3E11 : CDCDCDCD; +3E12 : CDCDCDCD; +3E13 : CDCDCDCD; +3E14 : CDCDCDCD; +3E15 : CDCDCDCD; +3E16 : CDCDCDCD; +3E17 : CDCDCDCD; +3E18 : CDCDCDCD; +3E19 : CDCDCDCD; +3E1A : CDCDCDCD; +3E1B : CDCDCDCD; +3E1C : CDCDCDCD; +3E1D : CDCDCDCD; +3E1E : CDCDCDCD; +3E1F : CDCDCDCD; +3E20 : CDCDCDCD; +3E21 : CDCDCDCD; +3E22 : CDCDCDCD; +3E23 : CDCDCDCD; +3E24 : CDCDCDCD; +3E25 : CDCDCDCD; +3E26 : CDCDCDCD; +3E27 : CDCDCDCD; +3E28 : CDCDCDCD; +3E29 : CDCDCDCD; +3E2A : CDCDCDCD; +3E2B : CDCDCDCD; +3E2C : CDCDCDCD; +3E2D : CDCDCDCD; +3E2E : CDCDCDCD; +3E2F : CDCDCDCD; +3E30 : CDCDCDCD; +3E31 : CDCDCDCD; +3E32 : CDCDCDCD; +3E33 : CDCDCDCD; +3E34 : CDCDCDCD; +3E35 : CDCDCDCD; +3E36 : CDCDCDCD; +3E37 : CDCDCDCD; +3E38 : CDCDCDCD; +3E39 : CDCDCDCD; +3E3A : CDCDCDCD; +3E3B : CDCDCDCD; +3E3C : CDCDCDCD; +3E3D : CDCDCDCD; +3E3E : CDCDCDCD; +3E3F : CDCDCDCD; +3E40 : CDCDCDCD; +3E41 : CDCDCDCD; +3E42 : CDCDCDCD; +3E43 : CDCDCDCD; +3E44 : CDCDCDCD; +3E45 : CDCDCDCD; +3E46 : CDCDCDCD; +3E47 : CDCDCDCD; +3E48 : CDCDCDCD; +3E49 : CDCDCDCD; +3E4A : CDCDCDCD; +3E4B : CDCDCDCD; +3E4C : CDCDCDCD; +3E4D : CDCDCDCD; +3E4E : CDCDCDCD; +3E4F : CDCDCDCD; +3E50 : CDCDCDCD; +3E51 : CDCDCDCD; +3E52 : CDCDCDCD; +3E53 : CDCDCDCD; +3E54 : CDCDCDCD; +3E55 : CDCDCDCD; +3E56 : CDCDCDCD; +3E57 : CDCDCDCD; +3E58 : CDCDCDCD; +3E59 : CDCDCDCD; +3E5A : CDCDCDCD; +3E5B : CDCDCDCD; +3E5C : CDCDCDCD; +3E5D : CDCDCDCD; +3E5E : CDCDCDCD; +3E5F : CDCDCDCD; +3E60 : CDCDCDCD; +3E61 : CDCDCDCD; +3E62 : CDCDCDCD; +3E63 : CDCDCDCD; +3E64 : CDCDCDCD; +3E65 : CDCDCDCD; +3E66 : CDCDCDCD; +3E67 : CDCDCDCD; +3E68 : CDCDCDCD; +3E69 : CDCDCDCD; +3E6A : CDCDCDCD; +3E6B : CDCDCDCD; +3E6C : CDCDCDCD; +3E6D : CDCDCDCD; +3E6E : CDCDCDCD; +3E6F : CDCDCDCD; +3E70 : CDCDCDCD; +3E71 : CDCDCDCD; +3E72 : CDCDCDCD; +3E73 : CDCDCDCD; +3E74 : CDCDCDCD; +3E75 : CDCDCDCD; +3E76 : CDCDCDCD; +3E77 : CDCDCDCD; +3E78 : CDCDCDCD; +3E79 : CDCDCDCD; +3E7A : CDCDCDCD; +3E7B : CDCDCDCD; +3E7C : CDCDCDCD; +3E7D : CDCDCDCD; +3E7E : CDCDCDCD; +3E7F : CDCDCDCD; +3E80 : CDCDCDCD; +3E81 : CDCDCDCD; +3E82 : CDCDCDCD; +3E83 : CDCDCDCD; +3E84 : CDCDCDCD; +3E85 : CDCDCDCD; +3E86 : CDCDCDCD; +3E87 : CDCDCDCD; +3E88 : CDCDCDCD; +3E89 : CDCDCDCD; +3E8A : CDCDCDCD; +3E8B : CDCDCDCD; +3E8C : CDCDCDCD; +3E8D : CDCDCDCD; +3E8E : CDCDCDCD; +3E8F : CDCDCDCD; +3E90 : CDCDCDCD; +3E91 : CDCDCDCD; +3E92 : CDCDCDCD; +3E93 : CDCDCDCD; +3E94 : CDCDCDCD; +3E95 : CDCDCDCD; +3E96 : CDCDCDCD; +3E97 : CDCDCDCD; +3E98 : CDCDCDCD; +3E99 : CDCDCDCD; +3E9A : CDCDCDCD; +3E9B : CDCDCDCD; +3E9C : CDCDCDCD; +3E9D : CDCDCDCD; +3E9E : CDCDCDCD; +3E9F : CDCDCDCD; +3EA0 : CDCDCDCD; +3EA1 : CDCDCDCD; +3EA2 : CDCDCDCD; +3EA3 : CDCDCDCD; +3EA4 : CDCDCDCD; +3EA5 : CDCDCDCD; +3EA6 : CDCDCDCD; +3EA7 : CDCDCDCD; +3EA8 : CDCDCDCD; +3EA9 : CDCDCDCD; +3EAA : CDCDCDCD; +3EAB : CDCDCDCD; +3EAC : CDCDCDCD; +3EAD : CDCDCDCD; +3EAE : CDCDCDCD; +3EAF : CDCDCDCD; +3EB0 : CDCDCDCD; +3EB1 : CDCDCDCD; +3EB2 : CDCDCDCD; +3EB3 : CDCDCDCD; +3EB4 : CDCDCDCD; +3EB5 : CDCDCDCD; +3EB6 : CDCDCDCD; +3EB7 : CDCDCDCD; +3EB8 : CDCDCDCD; +3EB9 : CDCDCDCD; +3EBA : CDCDCDCD; +3EBB : CDCDCDCD; +3EBC : CDCDCDCD; +3EBD : CDCDCDCD; +3EBE : CDCDCDCD; +3EBF : CDCDCDCD; +3EC0 : CDCDCDCD; +3EC1 : CDCDCDCD; +3EC2 : CDCDCDCD; +3EC3 : CDCDCDCD; +3EC4 : CDCDCDCD; +3EC5 : CDCDCDCD; +3EC6 : CDCDCDCD; +3EC7 : CDCDCDCD; +3EC8 : CDCDCDCD; +3EC9 : CDCDCDCD; +3ECA : CDCDCDCD; +3ECB : CDCDCDCD; +3ECC : CDCDCDCD; +3ECD : CDCDCDCD; +3ECE : CDCDCDCD; +3ECF : CDCDCDCD; +3ED0 : CDCDCDCD; +3ED1 : CDCDCDCD; +3ED2 : CDCDCDCD; +3ED3 : CDCDCDCD; +3ED4 : CDCDCDCD; +3ED5 : CDCDCDCD; +3ED6 : CDCDCDCD; +3ED7 : CDCDCDCD; +3ED8 : CDCDCDCD; +3ED9 : CDCDCDCD; +3EDA : CDCDCDCD; +3EDB : CDCDCDCD; +3EDC : CDCDCDCD; +3EDD : CDCDCDCD; +3EDE : CDCDCDCD; +3EDF : CDCDCDCD; +3EE0 : CDCDCDCD; +3EE1 : CDCDCDCD; +3EE2 : CDCDCDCD; +3EE3 : CDCDCDCD; +3EE4 : CDCDCDCD; +3EE5 : CDCDCDCD; +3EE6 : CDCDCDCD; +3EE7 : CDCDCDCD; +3EE8 : CDCDCDCD; +3EE9 : CDCDCDCD; +3EEA : CDCDCDCD; +3EEB : CDCDCDCD; +3EEC : CDCDCDCD; +3EED : CDCDCDCD; +3EEE : CDCDCDCD; +3EEF : CDCDCDCD; +3EF0 : CDCDCDCD; +3EF1 : CDCDCDCD; +3EF2 : CDCDCDCD; +3EF3 : CDCDCDCD; +3EF4 : CDCDCDCD; +3EF5 : CDCDCDCD; +3EF6 : CDCDCDCD; +3EF7 : CDCDCDCD; +3EF8 : CDCDCDCD; +3EF9 : CDCDCDCD; +3EFA : CDCDCDCD; +3EFB : CDCDCDCD; +3EFC : CDCDCDCD; +3EFD : CDCDCDCD; +3EFE : CDCDCDCD; +3EFF : CDCDCDCD; +3F00 : CDCDCDCD; +3F01 : CDCDCDCD; +3F02 : CDCDCDCD; +3F03 : CDCDCDCD; +3F04 : CDCDCDCD; +3F05 : CDCDCDCD; +3F06 : CDCDCDCD; +3F07 : CDCDCDCD; +3F08 : CDCDCDCD; +3F09 : CDCDCDCD; +3F0A : CDCDCDCD; +3F0B : CDCDCDCD; +3F0C : CDCDCDCD; +3F0D : CDCDCDCD; +3F0E : CDCDCDCD; +3F0F : CDCDCDCD; +3F10 : CDCDCDCD; +3F11 : CDCDCDCD; +3F12 : CDCDCDCD; +3F13 : CDCDCDCD; +3F14 : CDCDCDCD; +3F15 : CDCDCDCD; +3F16 : CDCDCDCD; +3F17 : CDCDCDCD; +3F18 : CDCDCDCD; +3F19 : CDCDCDCD; +3F1A : CDCDCDCD; +3F1B : CDCDCDCD; +3F1C : CDCDCDCD; +3F1D : CDCDCDCD; +3F1E : CDCDCDCD; +3F1F : CDCDCDCD; +3F20 : CDCDCDCD; +3F21 : CDCDCDCD; +3F22 : CDCDCDCD; +3F23 : CDCDCDCD; +3F24 : CDCDCDCD; +3F25 : CDCDCDCD; +3F26 : CDCDCDCD; +3F27 : CDCDCDCD; +3F28 : CDCDCDCD; +3F29 : CDCDCDCD; +3F2A : CDCDCDCD; +3F2B : CDCDCDCD; +3F2C : CDCDCDCD; +3F2D : CDCDCDCD; +3F2E : CDCDCDCD; +3F2F : CDCDCDCD; +3F30 : CDCDCDCD; +3F31 : CDCDCDCD; +3F32 : CDCDCDCD; +3F33 : CDCDCDCD; +3F34 : CDCDCDCD; +3F35 : CDCDCDCD; +3F36 : CDCDCDCD; +3F37 : CDCDCDCD; +3F38 : CDCDCDCD; +3F39 : CDCDCDCD; +3F3A : CDCDCDCD; +3F3B : CDCDCDCD; +3F3C : CDCDCDCD; +3F3D : CDCDCDCD; +3F3E : CDCDCDCD; +3F3F : CDCDCDCD; +3F40 : CDCDCDCD; +3F41 : CDCDCDCD; +3F42 : CDCDCDCD; +3F43 : CDCDCDCD; +3F44 : CDCDCDCD; +3F45 : CDCDCDCD; +3F46 : CDCDCDCD; +3F47 : CDCDCDCD; +3F48 : CDCDCDCD; +3F49 : CDCDCDCD; +3F4A : CDCDCDCD; +3F4B : CDCDCDCD; +3F4C : CDCDCDCD; +3F4D : CDCDCDCD; +3F4E : CDCDCDCD; +3F4F : CDCDCDCD; +3F50 : CDCDCDCD; +3F51 : CDCDCDCD; +3F52 : CDCDCDCD; +3F53 : CDCDCDCD; +3F54 : CDCDCDCD; +3F55 : CDCDCDCD; +3F56 : CDCDCDCD; +3F57 : CDCDCDCD; +3F58 : CDCDCDCD; +3F59 : CDCDCDCD; +3F5A : CDCDCDCD; +3F5B : CDCDCDCD; +3F5C : CDCDCDCD; +3F5D : CDCDCDCD; +3F5E : CDCDCDCD; +3F5F : CDCDCDCD; +3F60 : CDCDCDCD; +3F61 : CDCDCDCD; +3F62 : CDCDCDCD; +3F63 : CDCDCDCD; +3F64 : CDCDCDCD; +3F65 : CDCDCDCD; +3F66 : CDCDCDCD; +3F67 : CDCDCDCD; +3F68 : CDCDCDCD; +3F69 : CDCDCDCD; +3F6A : CDCDCDCD; +3F6B : CDCDCDCD; +3F6C : CDCDCDCD; +3F6D : CDCDCDCD; +3F6E : CDCDCDCD; +3F6F : CDCDCDCD; +3F70 : CDCDCDCD; +3F71 : CDCDCDCD; +3F72 : CDCDCDCD; +3F73 : CDCDCDCD; +3F74 : CDCDCDCD; +3F75 : CDCDCDCD; +3F76 : CDCDCDCD; +3F77 : CDCDCDCD; +3F78 : CDCDCDCD; +3F79 : CDCDCDCD; +3F7A : CDCDCDCD; +3F7B : CDCDCDCD; +3F7C : CDCDCDCD; +3F7D : CDCDCDCD; +3F7E : CDCDCDCD; +3F7F : CDCDCDCD; +3F80 : CDCDCDCD; +3F81 : CDCDCDCD; +3F82 : CDCDCDCD; +3F83 : CDCDCDCD; +3F84 : CDCDCDCD; +3F85 : CDCDCDCD; +3F86 : CDCDCDCD; +3F87 : CDCDCDCD; +3F88 : CDCDCDCD; +3F89 : CDCDCDCD; +3F8A : CDCDCDCD; +3F8B : CDCDCDCD; +3F8C : CDCDCDCD; +3F8D : CDCDCDCD; +3F8E : CDCDCDCD; +3F8F : CDCDCDCD; +3F90 : CDCDCDCD; +3F91 : CDCDCDCD; +3F92 : CDCDCDCD; +3F93 : CDCDCDCD; +3F94 : CDCDCDCD; +3F95 : CDCDCDCD; +3F96 : CDCDCDCD; +3F97 : CDCDCDCD; +3F98 : CDCDCDCD; +3F99 : CDCDCDCD; +3F9A : CDCDCDCD; +3F9B : CDCDCDCD; +3F9C : CDCDCDCD; +3F9D : CDCDCDCD; +3F9E : CDCDCDCD; +3F9F : CDCDCDCD; +3FA0 : CDCDCDCD; +3FA1 : CDCDCDCD; +3FA2 : CDCDCDCD; +3FA3 : CDCDCDCD; +3FA4 : CDCDCDCD; +3FA5 : CDCDCDCD; +3FA6 : CDCDCDCD; +3FA7 : CDCDCDCD; +3FA8 : CDCDCDCD; +3FA9 : CDCDCDCD; +3FAA : CDCDCDCD; +3FAB : CDCDCDCD; +3FAC : CDCDCDCD; +3FAD : CDCDCDCD; +3FAE : CDCDCDCD; +3FAF : CDCDCDCD; +3FB0 : CDCDCDCD; +3FB1 : CDCDCDCD; +3FB2 : CDCDCDCD; +3FB3 : CDCDCDCD; +3FB4 : CDCDCDCD; +3FB5 : CDCDCDCD; +3FB6 : CDCDCDCD; +3FB7 : CDCDCDCD; +3FB8 : CDCDCDCD; +3FB9 : CDCDCDCD; +3FBA : CDCDCDCD; +3FBB : CDCDCDCD; +3FBC : CDCDCDCD; +3FBD : CDCDCDCD; +3FBE : CDCDCDCD; +3FBF : CDCDCDCD; +3FC0 : CDCDCDCD; +3FC1 : CDCDCDCD; +3FC2 : CDCDCDCD; +3FC3 : CDCDCDCD; +3FC4 : CDCDCDCD; +3FC5 : CDCDCDCD; +3FC6 : CDCDCDCD; +3FC7 : CDCDCDCD; +3FC8 : CDCDCDCD; +3FC9 : CDCDCDCD; +3FCA : CDCDCDCD; +3FCB : CDCDCDCD; +3FCC : CDCDCDCD; +3FCD : CDCDCDCD; +3FCE : CDCDCDCD; +3FCF : CDCDCDCD; +3FD0 : CDCDCDCD; +3FD1 : CDCDCDCD; +3FD2 : CDCDCDCD; +3FD3 : CDCDCDCD; +3FD4 : CDCDCDCD; +3FD5 : CDCDCDCD; +3FD6 : CDCDCDCD; +3FD7 : CDCDCDCD; +3FD8 : CDCDCDCD; +3FD9 : CDCDCDCD; +3FDA : CDCDCDCD; +3FDB : CDCDCDCD; +3FDC : CDCDCDCD; +3FDD : CDCDCDCD; +3FDE : CDCDCDCD; +3FDF : CDCDCDCD; +3FE0 : CDCDCDCD; +3FE1 : CDCDCDCD; +3FE2 : CDCDCDCD; +3FE3 : CDCDCDCD; +3FE4 : CDCDCDCD; +3FE5 : CDCDCDCD; +3FE6 : CDCDCDCD; +3FE7 : CDCDCDCD; +3FE8 : CDCDCDCD; +3FE9 : CDCDCDCD; +3FEA : CDCDCDCD; +3FEB : CDCDCDCD; +3FEC : CDCDCDCD; +3FED : CDCDCDCD; +3FEE : CDCDCDCD; +3FEF : CDCDCDCD; +3FF0 : CDCDCDCD; +3FF1 : CDCDCDCD; +3FF2 : CDCDCDCD; +3FF3 : CDCDCDCD; +3FF4 : CDCDCDCD; +3FF5 : CDCDCDCD; +3FF6 : CDCDCDCD; +3FF7 : CDCDCDCD; +3FF8 : CDCDCDCD; +3FF9 : CDCDCDCD; +3FFA : CDCDCDCD; +3FFB : CDCDCDCD; +3FFC : CDCDCDCD; +3FFD : CDCDCDCD; +3FFE : CDCDCDCD; +3FFF : CDCDCDCD; +4000 : CDCDCDCD; +4001 : CDCDCDCD; +4002 : CDCDCDCD; +4003 : CDCDCDCD; +4004 : CDCDCDCD; +4005 : CDCDCDCD; +4006 : CDCDCDCD; +4007 : CDCDCDCD; +4008 : CDCDCDCD; +4009 : CDCDCDCD; +400A : CDCDCDCD; +400B : CDCDCDCD; +400C : CDCDCDCD; +400D : CDCDCDCD; +400E : CDCDCDCD; +400F : CDCDCDCD; +4010 : CDCDCDCD; +4011 : CDCDCDCD; +4012 : CDCDCDCD; +4013 : CDCDCDCD; +4014 : CDCDCDCD; +4015 : CDCDCDCD; +4016 : CDCDCDCD; +4017 : CDCDCDCD; +4018 : CDCDCDCD; +4019 : CDCDCDCD; +401A : CDCDCDCD; +401B : CDCDCDCD; +401C : CDCDCDCD; +401D : CDCDCDCD; +401E : CDCDCDCD; +401F : CDCDCDCD; +4020 : CDCDCDCD; +4021 : CDCDCDCD; +4022 : CDCDCDCD; +4023 : CDCDCDCD; +4024 : CDCDCDCD; +4025 : CDCDCDCD; +4026 : CDCDCDCD; +4027 : CDCDCDCD; +4028 : CDCDCDCD; +4029 : CDCDCDCD; +402A : CDCDCDCD; +402B : CDCDCDCD; +402C : CDCDCDCD; +402D : CDCDCDCD; +402E : CDCDCDCD; +402F : CDCDCDCD; +4030 : CDCDCDCD; +4031 : CDCDCDCD; +4032 : CDCDCDCD; +4033 : CDCDCDCD; +4034 : CDCDCDCD; +4035 : CDCDCDCD; +4036 : CDCDCDCD; +4037 : CDCDCDCD; +4038 : CDCDCDCD; +4039 : CDCDCDCD; +403A : CDCDCDCD; +403B : CDCDCDCD; +403C : CDCDCDCD; +403D : CDCDCDCD; +403E : CDCDCDCD; +403F : CDCDCDCD; +4040 : CDCDCDCD; +4041 : CDCDCDCD; +4042 : CDCDCDCD; +4043 : CDCDCDCD; +4044 : CDCDCDCD; +4045 : CDCDCDCD; +4046 : CDCDCDCD; +4047 : CDCDCDCD; +4048 : CDCDCDCD; +4049 : CDCDCDCD; +404A : CDCDCDCD; +404B : CDCDCDCD; +404C : CDCDCDCD; +404D : CDCDCDCD; +404E : CDCDCDCD; +404F : CDCDCDCD; +4050 : CDCDCDCD; +4051 : CDCDCDCD; +4052 : CDCDCDCD; +4053 : CDCDCDCD; +4054 : CDCDCDCD; +4055 : CDCDCDCD; +4056 : CDCDCDCD; +4057 : CDCDCDCD; +4058 : CDCDCDCD; +4059 : CDCDCDCD; +405A : CDCDCDCD; +405B : CDCDCDCD; +405C : CDCDCDCD; +405D : CDCDCDCD; +405E : CDCDCDCD; +405F : CDCDCDCD; +4060 : CDCDCDCD; +4061 : CDCDCDCD; +4062 : CDCDCDCD; +4063 : CDCDCDCD; +4064 : CDCDCDCD; +4065 : CDCDCDCD; +4066 : CDCDCDCD; +4067 : CDCDCDCD; +4068 : CDCDCDCD; +4069 : CDCDCDCD; +406A : CDCDCDCD; +406B : CDCDCDCD; +406C : CDCDCDCD; +406D : CDCDCDCD; +406E : CDCDCDCD; +406F : CDCDCDCD; +4070 : CDCDCDCD; +4071 : CDCDCDCD; +4072 : CDCDCDCD; +4073 : CDCDCDCD; +4074 : CDCDCDCD; +4075 : CDCDCDCD; +4076 : CDCDCDCD; +4077 : CDCDCDCD; +4078 : CDCDCDCD; +4079 : CDCDCDCD; +407A : CDCDCDCD; +407B : CDCDCDCD; +407C : CDCDCDCD; +407D : CDCDCDCD; +407E : CDCDCDCD; +407F : CDCDCDCD; +4080 : CDCDCDCD; +4081 : CDCDCDCD; +4082 : CDCDCDCD; +4083 : CDCDCDCD; +4084 : CDCDCDCD; +4085 : CDCDCDCD; +4086 : CDCDCDCD; +4087 : CDCDCDCD; +4088 : CDCDCDCD; +4089 : CDCDCDCD; +408A : CDCDCDCD; +408B : CDCDCDCD; +408C : CDCDCDCD; +408D : CDCDCDCD; +408E : CDCDCDCD; +408F : CDCDCDCD; +4090 : CDCDCDCD; +4091 : CDCDCDCD; +4092 : CDCDCDCD; +4093 : CDCDCDCD; +4094 : CDCDCDCD; +4095 : CDCDCDCD; +4096 : CDCDCDCD; +4097 : CDCDCDCD; +4098 : CDCDCDCD; +4099 : CDCDCDCD; +409A : CDCDCDCD; +409B : CDCDCDCD; +409C : CDCDCDCD; +409D : CDCDCDCD; +409E : CDCDCDCD; +409F : CDCDCDCD; +40A0 : CDCDCDCD; +40A1 : CDCDCDCD; +40A2 : CDCDCDCD; +40A3 : CDCDCDCD; +40A4 : CDCDCDCD; +40A5 : CDCDCDCD; +40A6 : CDCDCDCD; +40A7 : CDCDCDCD; +40A8 : CDCDCDCD; +40A9 : CDCDCDCD; +40AA : CDCDCDCD; +40AB : CDCDCDCD; +40AC : CDCDCDCD; +40AD : CDCDCDCD; +40AE : CDCDCDCD; +40AF : CDCDCDCD; +40B0 : CDCDCDCD; +40B1 : CDCDCDCD; +40B2 : CDCDCDCD; +40B3 : CDCDCDCD; +40B4 : CDCDCDCD; +40B5 : CDCDCDCD; +40B6 : CDCDCDCD; +40B7 : CDCDCDCD; +40B8 : CDCDCDCD; +40B9 : CDCDCDCD; +40BA : CDCDCDCD; +40BB : CDCDCDCD; +40BC : CDCDCDCD; +40BD : CDCDCDCD; +40BE : CDCDCDCD; +40BF : CDCDCDCD; +40C0 : CDCDCDCD; +40C1 : CDCDCDCD; +40C2 : CDCDCDCD; +40C3 : CDCDCDCD; +40C4 : CDCDCDCD; +40C5 : CDCDCDCD; +40C6 : CDCDCDCD; +40C7 : CDCDCDCD; +40C8 : CDCDCDCD; +40C9 : CDCDCDCD; +40CA : CDCDCDCD; +40CB : CDCDCDCD; +40CC : CDCDCDCD; +40CD : CDCDCDCD; +40CE : CDCDCDCD; +40CF : CDCDCDCD; +40D0 : CDCDCDCD; +40D1 : CDCDCDCD; +40D2 : CDCDCDCD; +40D3 : CDCDCDCD; +40D4 : CDCDCDCD; +40D5 : CDCDCDCD; +40D6 : CDCDCDCD; +40D7 : CDCDCDCD; +40D8 : CDCDCDCD; +40D9 : CDCDCDCD; +40DA : CDCDCDCD; +40DB : CDCDCDCD; +40DC : CDCDCDCD; +40DD : CDCDCDCD; +40DE : CDCDCDCD; +40DF : CDCDCDCD; +40E0 : CDCDCDCD; +40E1 : CDCDCDCD; +40E2 : CDCDCDCD; +40E3 : CDCDCDCD; +40E4 : CDCDCDCD; +40E5 : CDCDCDCD; +40E6 : CDCDCDCD; +40E7 : CDCDCDCD; +40E8 : CDCDCDCD; +40E9 : CDCDCDCD; +40EA : CDCDCDCD; +40EB : CDCDCDCD; +40EC : CDCDCDCD; +40ED : CDCDCDCD; +40EE : CDCDCDCD; +40EF : CDCDCDCD; +40F0 : CDCDCDCD; +40F1 : CDCDCDCD; +40F2 : CDCDCDCD; +40F3 : CDCDCDCD; +40F4 : CDCDCDCD; +40F5 : CDCDCDCD; +40F6 : CDCDCDCD; +40F7 : CDCDCDCD; +40F8 : CDCDCDCD; +40F9 : CDCDCDCD; +40FA : CDCDCDCD; +40FB : CDCDCDCD; +40FC : CDCDCDCD; +40FD : CDCDCDCD; +40FE : CDCDCDCD; +40FF : CDCDCDCD; +4100 : CDCDCDCD; +4101 : CDCDCDCD; +4102 : CDCDCDCD; +4103 : CDCDCDCD; +4104 : CDCDCDCD; +4105 : CDCDCDCD; +4106 : CDCDCDCD; +4107 : CDCDCDCD; +4108 : CDCDCDCD; +4109 : CDCDCDCD; +410A : CDCDCDCD; +410B : CDCDCDCD; +410C : CDCDCDCD; +410D : CDCDCDCD; +410E : CDCDCDCD; +410F : CDCDCDCD; +4110 : CDCDCDCD; +4111 : CDCDCDCD; +4112 : CDCDCDCD; +4113 : CDCDCDCD; +4114 : CDCDCDCD; +4115 : CDCDCDCD; +4116 : CDCDCDCD; +4117 : CDCDCDCD; +4118 : CDCDCDCD; +4119 : CDCDCDCD; +411A : CDCDCDCD; +411B : CDCDCDCD; +411C : CDCDCDCD; +411D : CDCDCDCD; +411E : CDCDCDCD; +411F : CDCDCDCD; +4120 : CDCDCDCD; +4121 : CDCDCDCD; +4122 : CDCDCDCD; +4123 : CDCDCDCD; +4124 : CDCDCDCD; +4125 : CDCDCDCD; +4126 : CDCDCDCD; +4127 : CDCDCDCD; +4128 : CDCDCDCD; +4129 : CDCDCDCD; +412A : CDCDCDCD; +412B : CDCDCDCD; +412C : CDCDCDCD; +412D : CDCDCDCD; +412E : CDCDCDCD; +412F : CDCDCDCD; +4130 : CDCDCDCD; +4131 : CDCDCDCD; +4132 : CDCDCDCD; +4133 : CDCDCDCD; +4134 : CDCDCDCD; +4135 : CDCDCDCD; +4136 : CDCDCDCD; +4137 : CDCDCDCD; +4138 : CDCDCDCD; +4139 : CDCDCDCD; +413A : CDCDCDCD; +413B : CDCDCDCD; +413C : CDCDCDCD; +413D : CDCDCDCD; +413E : CDCDCDCD; +413F : CDCDCDCD; +4140 : CDCDCDCD; +4141 : CDCDCDCD; +4142 : CDCDCDCD; +4143 : CDCDCDCD; +4144 : CDCDCDCD; +4145 : CDCDCDCD; +4146 : CDCDCDCD; +4147 : CDCDCDCD; +4148 : CDCDCDCD; +4149 : CDCDCDCD; +414A : CDCDCDCD; +414B : CDCDCDCD; +414C : CDCDCDCD; +414D : CDCDCDCD; +414E : CDCDCDCD; +414F : CDCDCDCD; +4150 : CDCDCDCD; +4151 : CDCDCDCD; +4152 : CDCDCDCD; +4153 : CDCDCDCD; +4154 : CDCDCDCD; +4155 : CDCDCDCD; +4156 : CDCDCDCD; +4157 : CDCDCDCD; +4158 : CDCDCDCD; +4159 : CDCDCDCD; +415A : CDCDCDCD; +415B : CDCDCDCD; +415C : CDCDCDCD; +415D : CDCDCDCD; +415E : CDCDCDCD; +415F : CDCDCDCD; +4160 : CDCDCDCD; +4161 : CDCDCDCD; +4162 : CDCDCDCD; +4163 : CDCDCDCD; +4164 : CDCDCDCD; +4165 : CDCDCDCD; +4166 : CDCDCDCD; +4167 : CDCDCDCD; +4168 : CDCDCDCD; +4169 : CDCDCDCD; +416A : CDCDCDCD; +416B : CDCDCDCD; +416C : CDCDCDCD; +416D : CDCDCDCD; +416E : CDCDCDCD; +416F : CDCDCDCD; +4170 : CDCDCDCD; +4171 : CDCDCDCD; +4172 : CDCDCDCD; +4173 : CDCDCDCD; +4174 : CDCDCDCD; +4175 : CDCDCDCD; +4176 : CDCDCDCD; +4177 : CDCDCDCD; +4178 : CDCDCDCD; +4179 : CDCDCDCD; +417A : CDCDCDCD; +417B : CDCDCDCD; +417C : CDCDCDCD; +417D : CDCDCDCD; +417E : CDCDCDCD; +417F : CDCDCDCD; +4180 : CDCDCDCD; +4181 : CDCDCDCD; +4182 : CDCDCDCD; +4183 : CDCDCDCD; +4184 : CDCDCDCD; +4185 : CDCDCDCD; +4186 : CDCDCDCD; +4187 : CDCDCDCD; +4188 : CDCDCDCD; +4189 : CDCDCDCD; +418A : CDCDCDCD; +418B : CDCDCDCD; +418C : CDCDCDCD; +418D : CDCDCDCD; +418E : CDCDCDCD; +418F : CDCDCDCD; +4190 : CDCDCDCD; +4191 : CDCDCDCD; +4192 : CDCDCDCD; +4193 : CDCDCDCD; +4194 : CDCDCDCD; +4195 : CDCDCDCD; +4196 : CDCDCDCD; +4197 : CDCDCDCD; +4198 : CDCDCDCD; +4199 : CDCDCDCD; +419A : CDCDCDCD; +419B : CDCDCDCD; +419C : CDCDCDCD; +419D : CDCDCDCD; +419E : CDCDCDCD; +419F : CDCDCDCD; +41A0 : CDCDCDCD; +41A1 : CDCDCDCD; +41A2 : CDCDCDCD; +41A3 : CDCDCDCD; +41A4 : CDCDCDCD; +41A5 : CDCDCDCD; +41A6 : CDCDCDCD; +41A7 : CDCDCDCD; +41A8 : CDCDCDCD; +41A9 : CDCDCDCD; +41AA : CDCDCDCD; +41AB : CDCDCDCD; +41AC : CDCDCDCD; +41AD : CDCDCDCD; +41AE : CDCDCDCD; +41AF : CDCDCDCD; +41B0 : CDCDCDCD; +41B1 : CDCDCDCD; +41B2 : CDCDCDCD; +41B3 : CDCDCDCD; +41B4 : CDCDCDCD; +41B5 : CDCDCDCD; +41B6 : CDCDCDCD; +41B7 : CDCDCDCD; +41B8 : CDCDCDCD; +41B9 : CDCDCDCD; +41BA : CDCDCDCD; +41BB : CDCDCDCD; +41BC : CDCDCDCD; +41BD : CDCDCDCD; +41BE : CDCDCDCD; +41BF : CDCDCDCD; +41C0 : CDCDCDCD; +41C1 : CDCDCDCD; +41C2 : CDCDCDCD; +41C3 : CDCDCDCD; +41C4 : CDCDCDCD; +41C5 : CDCDCDCD; +41C6 : CDCDCDCD; +41C7 : CDCDCDCD; +41C8 : CDCDCDCD; +41C9 : CDCDCDCD; +41CA : CDCDCDCD; +41CB : CDCDCDCD; +41CC : CDCDCDCD; +41CD : CDCDCDCD; +41CE : CDCDCDCD; +41CF : CDCDCDCD; +41D0 : CDCDCDCD; +41D1 : CDCDCDCD; +41D2 : CDCDCDCD; +41D3 : CDCDCDCD; +41D4 : CDCDCDCD; +41D5 : CDCDCDCD; +41D6 : CDCDCDCD; +41D7 : CDCDCDCD; +41D8 : CDCDCDCD; +41D9 : CDCDCDCD; +41DA : CDCDCDCD; +41DB : CDCDCDCD; +41DC : CDCDCDCD; +41DD : CDCDCDCD; +41DE : CDCDCDCD; +41DF : CDCDCDCD; +41E0 : CDCDCDCD; +41E1 : CDCDCDCD; +41E2 : CDCDCDCD; +41E3 : CDCDCDCD; +41E4 : CDCDCDCD; +41E5 : CDCDCDCD; +41E6 : CDCDCDCD; +41E7 : CDCDCDCD; +41E8 : CDCDCDCD; +41E9 : CDCDCDCD; +41EA : CDCDCDCD; +41EB : CDCDCDCD; +41EC : CDCDCDCD; +41ED : CDCDCDCD; +41EE : CDCDCDCD; +41EF : CDCDCDCD; +41F0 : CDCDCDCD; +41F1 : CDCDCDCD; +41F2 : CDCDCDCD; +41F3 : CDCDCDCD; +41F4 : CDCDCDCD; +41F5 : CDCDCDCD; +41F6 : CDCDCDCD; +41F7 : CDCDCDCD; +41F8 : CDCDCDCD; +41F9 : CDCDCDCD; +41FA : CDCDCDCD; +41FB : CDCDCDCD; +41FC : CDCDCDCD; +41FD : CDCDCDCD; +41FE : CDCDCDCD; +41FF : CDCDCDCD; +4200 : CDCDCDCD; +4201 : CDCDCDCD; +4202 : CDCDCDCD; +4203 : CDCDCDCD; +4204 : CDCDCDCD; +4205 : CDCDCDCD; +4206 : CDCDCDCD; +4207 : CDCDCDCD; +4208 : CDCDCDCD; +4209 : CDCDCDCD; +420A : CDCDCDCD; +420B : CDCDCDCD; +420C : CDCDCDCD; +420D : CDCDCDCD; +420E : CDCDCDCD; +420F : CDCDCDCD; +4210 : CDCDCDCD; +4211 : CDCDCDCD; +4212 : CDCDCDCD; +4213 : CDCDCDCD; +4214 : CDCDCDCD; +4215 : CDCDCDCD; +4216 : CDCDCDCD; +4217 : CDCDCDCD; +4218 : CDCDCDCD; +4219 : CDCDCDCD; +421A : CDCDCDCD; +421B : CDCDCDCD; +421C : CDCDCDCD; +421D : CDCDCDCD; +421E : CDCDCDCD; +421F : CDCDCDCD; +4220 : CDCDCDCD; +4221 : CDCDCDCD; +4222 : CDCDCDCD; +4223 : CDCDCDCD; +4224 : CDCDCDCD; +4225 : CDCDCDCD; +4226 : CDCDCDCD; +4227 : CDCDCDCD; +4228 : CDCDCDCD; +4229 : CDCDCDCD; +422A : CDCDCDCD; +422B : CDCDCDCD; +422C : CDCDCDCD; +422D : CDCDCDCD; +422E : CDCDCDCD; +422F : CDCDCDCD; +4230 : CDCDCDCD; +4231 : CDCDCDCD; +4232 : CDCDCDCD; +4233 : CDCDCDCD; +4234 : CDCDCDCD; +4235 : CDCDCDCD; +4236 : CDCDCDCD; +4237 : CDCDCDCD; +4238 : CDCDCDCD; +4239 : CDCDCDCD; +423A : CDCDCDCD; +423B : CDCDCDCD; +423C : CDCDCDCD; +423D : CDCDCDCD; +423E : CDCDCDCD; +423F : CDCDCDCD; +4240 : CDCDCDCD; +4241 : CDCDCDCD; +4242 : CDCDCDCD; +4243 : CDCDCDCD; +4244 : CDCDCDCD; +4245 : CDCDCDCD; +4246 : CDCDCDCD; +4247 : CDCDCDCD; +4248 : CDCDCDCD; +4249 : CDCDCDCD; +424A : CDCDCDCD; +424B : CDCDCDCD; +424C : CDCDCDCD; +424D : CDCDCDCD; +424E : CDCDCDCD; +424F : CDCDCDCD; +4250 : CDCDCDCD; +4251 : CDCDCDCD; +4252 : CDCDCDCD; +4253 : CDCDCDCD; +4254 : CDCDCDCD; +4255 : CDCDCDCD; +4256 : CDCDCDCD; +4257 : CDCDCDCD; +4258 : CDCDCDCD; +4259 : CDCDCDCD; +425A : CDCDCDCD; +425B : CDCDCDCD; +425C : CDCDCDCD; +425D : CDCDCDCD; +425E : CDCDCDCD; +425F : CDCDCDCD; +4260 : CDCDCDCD; +4261 : CDCDCDCD; +4262 : CDCDCDCD; +4263 : CDCDCDCD; +4264 : CDCDCDCD; +4265 : CDCDCDCD; +4266 : CDCDCDCD; +4267 : CDCDCDCD; +4268 : CDCDCDCD; +4269 : CDCDCDCD; +426A : CDCDCDCD; +426B : CDCDCDCD; +426C : CDCDCDCD; +426D : CDCDCDCD; +426E : CDCDCDCD; +426F : CDCDCDCD; +4270 : CDCDCDCD; +4271 : CDCDCDCD; +4272 : CDCDCDCD; +4273 : CDCDCDCD; +4274 : CDCDCDCD; +4275 : CDCDCDCD; +4276 : CDCDCDCD; +4277 : CDCDCDCD; +4278 : CDCDCDCD; +4279 : CDCDCDCD; +427A : CDCDCDCD; +427B : CDCDCDCD; +427C : CDCDCDCD; +427D : CDCDCDCD; +427E : CDCDCDCD; +427F : CDCDCDCD; +4280 : CDCDCDCD; +4281 : CDCDCDCD; +4282 : CDCDCDCD; +4283 : CDCDCDCD; +4284 : CDCDCDCD; +4285 : CDCDCDCD; +4286 : CDCDCDCD; +4287 : CDCDCDCD; +4288 : CDCDCDCD; +4289 : CDCDCDCD; +428A : CDCDCDCD; +428B : CDCDCDCD; +428C : CDCDCDCD; +428D : CDCDCDCD; +428E : CDCDCDCD; +428F : CDCDCDCD; +4290 : CDCDCDCD; +4291 : CDCDCDCD; +4292 : CDCDCDCD; +4293 : CDCDCDCD; +4294 : CDCDCDCD; +4295 : CDCDCDCD; +4296 : CDCDCDCD; +4297 : CDCDCDCD; +4298 : CDCDCDCD; +4299 : CDCDCDCD; +429A : CDCDCDCD; +429B : CDCDCDCD; +429C : CDCDCDCD; +429D : CDCDCDCD; +429E : CDCDCDCD; +429F : CDCDCDCD; +42A0 : CDCDCDCD; +42A1 : CDCDCDCD; +42A2 : CDCDCDCD; +42A3 : CDCDCDCD; +42A4 : CDCDCDCD; +42A5 : CDCDCDCD; +42A6 : CDCDCDCD; +42A7 : CDCDCDCD; +42A8 : CDCDCDCD; +42A9 : CDCDCDCD; +42AA : CDCDCDCD; +42AB : CDCDCDCD; +42AC : CDCDCDCD; +42AD : CDCDCDCD; +42AE : CDCDCDCD; +42AF : CDCDCDCD; +42B0 : CDCDCDCD; +42B1 : CDCDCDCD; +42B2 : CDCDCDCD; +42B3 : CDCDCDCD; +42B4 : CDCDCDCD; +42B5 : CDCDCDCD; +42B6 : CDCDCDCD; +42B7 : CDCDCDCD; +42B8 : CDCDCDCD; +42B9 : CDCDCDCD; +42BA : CDCDCDCD; +42BB : CDCDCDCD; +42BC : CDCDCDCD; +42BD : CDCDCDCD; +42BE : CDCDCDCD; +42BF : CDCDCDCD; +42C0 : CDCDCDCD; +42C1 : CDCDCDCD; +42C2 : CDCDCDCD; +42C3 : CDCDCDCD; +42C4 : CDCDCDCD; +42C5 : CDCDCDCD; +42C6 : CDCDCDCD; +42C7 : CDCDCDCD; +42C8 : CDCDCDCD; +42C9 : CDCDCDCD; +42CA : CDCDCDCD; +42CB : CDCDCDCD; +42CC : CDCDCDCD; +42CD : CDCDCDCD; +42CE : CDCDCDCD; +42CF : CDCDCDCD; +42D0 : CDCDCDCD; +42D1 : CDCDCDCD; +42D2 : CDCDCDCD; +42D3 : CDCDCDCD; +42D4 : CDCDCDCD; +42D5 : CDCDCDCD; +42D6 : CDCDCDCD; +42D7 : CDCDCDCD; +42D8 : CDCDCDCD; +42D9 : CDCDCDCD; +42DA : CDCDCDCD; +42DB : CDCDCDCD; +42DC : CDCDCDCD; +42DD : CDCDCDCD; +42DE : CDCDCDCD; +42DF : CDCDCDCD; +42E0 : CDCDCDCD; +42E1 : CDCDCDCD; +42E2 : CDCDCDCD; +42E3 : CDCDCDCD; +42E4 : CDCDCDCD; +42E5 : CDCDCDCD; +42E6 : CDCDCDCD; +42E7 : CDCDCDCD; +42E8 : CDCDCDCD; +42E9 : CDCDCDCD; +42EA : CDCDCDCD; +42EB : CDCDCDCD; +42EC : CDCDCDCD; +42ED : CDCDCDCD; +42EE : CDCDCDCD; +42EF : CDCDCDCD; +42F0 : CDCDCDCD; +42F1 : CDCDCDCD; +42F2 : CDCDCDCD; +42F3 : CDCDCDCD; +42F4 : CDCDCDCD; +42F5 : CDCDCDCD; +42F6 : CDCDCDCD; +42F7 : CDCDCDCD; +42F8 : CDCDCDCD; +42F9 : CDCDCDCD; +42FA : CDCDCDCD; +42FB : CDCDCDCD; +42FC : CDCDCDCD; +42FD : CDCDCDCD; +42FE : CDCDCDCD; +42FF : CDCDCDCD; +4300 : CDCDCDCD; +4301 : CDCDCDCD; +4302 : CDCDCDCD; +4303 : CDCDCDCD; +4304 : CDCDCDCD; +4305 : CDCDCDCD; +4306 : CDCDCDCD; +4307 : CDCDCDCD; +4308 : CDCDCDCD; +4309 : CDCDCDCD; +430A : CDCDCDCD; +430B : CDCDCDCD; +430C : CDCDCDCD; +430D : CDCDCDCD; +430E : CDCDCDCD; +430F : CDCDCDCD; +4310 : CDCDCDCD; +4311 : CDCDCDCD; +4312 : CDCDCDCD; +4313 : CDCDCDCD; +4314 : CDCDCDCD; +4315 : CDCDCDCD; +4316 : CDCDCDCD; +4317 : CDCDCDCD; +4318 : CDCDCDCD; +4319 : CDCDCDCD; +431A : CDCDCDCD; +431B : CDCDCDCD; +431C : CDCDCDCD; +431D : CDCDCDCD; +431E : CDCDCDCD; +431F : CDCDCDCD; +4320 : CDCDCDCD; +4321 : CDCDCDCD; +4322 : CDCDCDCD; +4323 : CDCDCDCD; +4324 : CDCDCDCD; +4325 : CDCDCDCD; +4326 : CDCDCDCD; +4327 : CDCDCDCD; +4328 : CDCDCDCD; +4329 : CDCDCDCD; +432A : CDCDCDCD; +432B : CDCDCDCD; +432C : CDCDCDCD; +432D : CDCDCDCD; +432E : CDCDCDCD; +432F : CDCDCDCD; +4330 : CDCDCDCD; +4331 : CDCDCDCD; +4332 : CDCDCDCD; +4333 : CDCDCDCD; +4334 : CDCDCDCD; +4335 : CDCDCDCD; +4336 : CDCDCDCD; +4337 : CDCDCDCD; +4338 : CDCDCDCD; +4339 : CDCDCDCD; +433A : CDCDCDCD; +433B : CDCDCDCD; +433C : CDCDCDCD; +433D : CDCDCDCD; +433E : CDCDCDCD; +433F : CDCDCDCD; +4340 : CDCDCDCD; +4341 : CDCDCDCD; +4342 : CDCDCDCD; +4343 : CDCDCDCD; +4344 : CDCDCDCD; +4345 : CDCDCDCD; +4346 : CDCDCDCD; +4347 : CDCDCDCD; +4348 : CDCDCDCD; +4349 : CDCDCDCD; +434A : CDCDCDCD; +434B : CDCDCDCD; +434C : CDCDCDCD; +434D : CDCDCDCD; +434E : CDCDCDCD; +434F : CDCDCDCD; +4350 : CDCDCDCD; +4351 : CDCDCDCD; +4352 : CDCDCDCD; +4353 : CDCDCDCD; +4354 : CDCDCDCD; +4355 : CDCDCDCD; +4356 : CDCDCDCD; +4357 : CDCDCDCD; +4358 : CDCDCDCD; +4359 : CDCDCDCD; +435A : CDCDCDCD; +435B : CDCDCDCD; +435C : CDCDCDCD; +435D : CDCDCDCD; +435E : CDCDCDCD; +435F : CDCDCDCD; +4360 : CDCDCDCD; +4361 : CDCDCDCD; +4362 : CDCDCDCD; +4363 : CDCDCDCD; +4364 : CDCDCDCD; +4365 : CDCDCDCD; +4366 : CDCDCDCD; +4367 : CDCDCDCD; +4368 : CDCDCDCD; +4369 : CDCDCDCD; +436A : CDCDCDCD; +436B : CDCDCDCD; +436C : CDCDCDCD; +436D : CDCDCDCD; +436E : CDCDCDCD; +436F : CDCDCDCD; +4370 : CDCDCDCD; +4371 : CDCDCDCD; +4372 : CDCDCDCD; +4373 : CDCDCDCD; +4374 : CDCDCDCD; +4375 : CDCDCDCD; +4376 : CDCDCDCD; +4377 : CDCDCDCD; +4378 : CDCDCDCD; +4379 : CDCDCDCD; +437A : CDCDCDCD; +437B : CDCDCDCD; +437C : CDCDCDCD; +437D : CDCDCDCD; +437E : CDCDCDCD; +437F : CDCDCDCD; +4380 : CDCDCDCD; +4381 : CDCDCDCD; +4382 : CDCDCDCD; +4383 : CDCDCDCD; +4384 : CDCDCDCD; +4385 : CDCDCDCD; +4386 : CDCDCDCD; +4387 : CDCDCDCD; +4388 : CDCDCDCD; +4389 : CDCDCDCD; +438A : CDCDCDCD; +438B : CDCDCDCD; +438C : CDCDCDCD; +438D : CDCDCDCD; +438E : CDCDCDCD; +438F : CDCDCDCD; +4390 : CDCDCDCD; +4391 : CDCDCDCD; +4392 : CDCDCDCD; +4393 : CDCDCDCD; +4394 : CDCDCDCD; +4395 : CDCDCDCD; +4396 : CDCDCDCD; +4397 : CDCDCDCD; +4398 : CDCDCDCD; +4399 : CDCDCDCD; +439A : CDCDCDCD; +439B : CDCDCDCD; +439C : CDCDCDCD; +439D : CDCDCDCD; +439E : CDCDCDCD; +439F : CDCDCDCD; +43A0 : CDCDCDCD; +43A1 : CDCDCDCD; +43A2 : CDCDCDCD; +43A3 : CDCDCDCD; +43A4 : CDCDCDCD; +43A5 : CDCDCDCD; +43A6 : CDCDCDCD; +43A7 : CDCDCDCD; +43A8 : CDCDCDCD; +43A9 : CDCDCDCD; +43AA : CDCDCDCD; +43AB : CDCDCDCD; +43AC : CDCDCDCD; +43AD : CDCDCDCD; +43AE : CDCDCDCD; +43AF : CDCDCDCD; +43B0 : CDCDCDCD; +43B1 : CDCDCDCD; +43B2 : CDCDCDCD; +43B3 : CDCDCDCD; +43B4 : CDCDCDCD; +43B5 : CDCDCDCD; +43B6 : CDCDCDCD; +43B7 : CDCDCDCD; +43B8 : CDCDCDCD; +43B9 : CDCDCDCD; +43BA : CDCDCDCD; +43BB : CDCDCDCD; +43BC : CDCDCDCD; +43BD : CDCDCDCD; +43BE : CDCDCDCD; +43BF : CDCDCDCD; +43C0 : CDCDCDCD; +43C1 : CDCDCDCD; +43C2 : CDCDCDCD; +43C3 : CDCDCDCD; +43C4 : CDCDCDCD; +43C5 : CDCDCDCD; +43C6 : CDCDCDCD; +43C7 : CDCDCDCD; +43C8 : CDCDCDCD; +43C9 : CDCDCDCD; +43CA : CDCDCDCD; +43CB : CDCDCDCD; +43CC : CDCDCDCD; +43CD : CDCDCDCD; +43CE : CDCDCDCD; +43CF : CDCDCDCD; +43D0 : CDCDCDCD; +43D1 : CDCDCDCD; +43D2 : CDCDCDCD; +43D3 : CDCDCDCD; +43D4 : CDCDCDCD; +43D5 : CDCDCDCD; +43D6 : CDCDCDCD; +43D7 : CDCDCDCD; +43D8 : CDCDCDCD; +43D9 : CDCDCDCD; +43DA : CDCDCDCD; +43DB : CDCDCDCD; +43DC : CDCDCDCD; +43DD : CDCDCDCD; +43DE : CDCDCDCD; +43DF : CDCDCDCD; +43E0 : CDCDCDCD; +43E1 : CDCDCDCD; +43E2 : CDCDCDCD; +43E3 : CDCDCDCD; +43E4 : CDCDCDCD; +43E5 : CDCDCDCD; +43E6 : CDCDCDCD; +43E7 : CDCDCDCD; +43E8 : CDCDCDCD; +43E9 : CDCDCDCD; +43EA : CDCDCDCD; +43EB : CDCDCDCD; +43EC : CDCDCDCD; +43ED : CDCDCDCD; +43EE : CDCDCDCD; +43EF : CDCDCDCD; +43F0 : CDCDCDCD; +43F1 : CDCDCDCD; +43F2 : CDCDCDCD; +43F3 : CDCDCDCD; +43F4 : CDCDCDCD; +43F5 : CDCDCDCD; +43F6 : CDCDCDCD; +43F7 : CDCDCDCD; +43F8 : CDCDCDCD; +43F9 : CDCDCDCD; +43FA : CDCDCDCD; +43FB : CDCDCDCD; +43FC : CDCDCDCD; +43FD : CDCDCDCD; +43FE : CDCDCDCD; +43FF : CDCDCDCD; +4400 : CDCDCDCD; +4401 : CDCDCDCD; +4402 : CDCDCDCD; +4403 : CDCDCDCD; +4404 : CDCDCDCD; +4405 : CDCDCDCD; +4406 : CDCDCDCD; +4407 : CDCDCDCD; +4408 : CDCDCDCD; +4409 : CDCDCDCD; +440A : CDCDCDCD; +440B : CDCDCDCD; +440C : CDCDCDCD; +440D : CDCDCDCD; +440E : CDCDCDCD; +440F : CDCDCDCD; +4410 : CDCDCDCD; +4411 : CDCDCDCD; +4412 : CDCDCDCD; +4413 : CDCDCDCD; +4414 : CDCDCDCD; +4415 : CDCDCDCD; +4416 : CDCDCDCD; +4417 : CDCDCDCD; +4418 : CDCDCDCD; +4419 : CDCDCDCD; +441A : CDCDCDCD; +441B : CDCDCDCD; +441C : CDCDCDCD; +441D : CDCDCDCD; +441E : CDCDCDCD; +441F : CDCDCDCD; +4420 : CDCDCDCD; +4421 : CDCDCDCD; +4422 : CDCDCDCD; +4423 : CDCDCDCD; +4424 : CDCDCDCD; +4425 : CDCDCDCD; +4426 : CDCDCDCD; +4427 : CDCDCDCD; +4428 : CDCDCDCD; +4429 : CDCDCDCD; +442A : CDCDCDCD; +442B : CDCDCDCD; +442C : CDCDCDCD; +442D : CDCDCDCD; +442E : CDCDCDCD; +442F : CDCDCDCD; +4430 : CDCDCDCD; +4431 : CDCDCDCD; +4432 : CDCDCDCD; +4433 : CDCDCDCD; +4434 : CDCDCDCD; +4435 : CDCDCDCD; +4436 : CDCDCDCD; +4437 : CDCDCDCD; +4438 : CDCDCDCD; +4439 : CDCDCDCD; +443A : CDCDCDCD; +443B : CDCDCDCD; +443C : CDCDCDCD; +443D : CDCDCDCD; +443E : CDCDCDCD; +443F : CDCDCDCD; +4440 : CDCDCDCD; +4441 : CDCDCDCD; +4442 : CDCDCDCD; +4443 : CDCDCDCD; +4444 : CDCDCDCD; +4445 : CDCDCDCD; +4446 : CDCDCDCD; +4447 : CDCDCDCD; +4448 : CDCDCDCD; +4449 : CDCDCDCD; +444A : CDCDCDCD; +444B : CDCDCDCD; +444C : CDCDCDCD; +444D : CDCDCDCD; +444E : CDCDCDCD; +444F : CDCDCDCD; +4450 : CDCDCDCD; +4451 : CDCDCDCD; +4452 : CDCDCDCD; +4453 : CDCDCDCD; +4454 : CDCDCDCD; +4455 : CDCDCDCD; +4456 : CDCDCDCD; +4457 : CDCDCDCD; +4458 : CDCDCDCD; +4459 : CDCDCDCD; +445A : CDCDCDCD; +445B : CDCDCDCD; +445C : CDCDCDCD; +445D : CDCDCDCD; +445E : CDCDCDCD; +445F : CDCDCDCD; +4460 : CDCDCDCD; +4461 : CDCDCDCD; +4462 : CDCDCDCD; +4463 : CDCDCDCD; +4464 : CDCDCDCD; +4465 : CDCDCDCD; +4466 : CDCDCDCD; +4467 : CDCDCDCD; +4468 : CDCDCDCD; +4469 : CDCDCDCD; +446A : CDCDCDCD; +446B : CDCDCDCD; +446C : CDCDCDCD; +446D : CDCDCDCD; +446E : CDCDCDCD; +446F : CDCDCDCD; +4470 : CDCDCDCD; +4471 : CDCDCDCD; +4472 : CDCDCDCD; +4473 : CDCDCDCD; +4474 : CDCDCDCD; +4475 : CDCDCDCD; +4476 : CDCDCDCD; +4477 : CDCDCDCD; +4478 : CDCDCDCD; +4479 : CDCDCDCD; +447A : CDCDCDCD; +447B : CDCDCDCD; +447C : CDCDCDCD; +447D : CDCDCDCD; +447E : CDCDCDCD; +447F : CDCDCDCD; +4480 : CDCDCDCD; +4481 : CDCDCDCD; +4482 : CDCDCDCD; +4483 : CDCDCDCD; +4484 : CDCDCDCD; +4485 : CDCDCDCD; +4486 : CDCDCDCD; +4487 : CDCDCDCD; +4488 : CDCDCDCD; +4489 : CDCDCDCD; +448A : CDCDCDCD; +448B : CDCDCDCD; +448C : CDCDCDCD; +448D : CDCDCDCD; +448E : CDCDCDCD; +448F : CDCDCDCD; +4490 : CDCDCDCD; +4491 : CDCDCDCD; +4492 : CDCDCDCD; +4493 : CDCDCDCD; +4494 : CDCDCDCD; +4495 : CDCDCDCD; +4496 : CDCDCDCD; +4497 : CDCDCDCD; +4498 : CDCDCDCD; +4499 : CDCDCDCD; +449A : CDCDCDCD; +449B : CDCDCDCD; +449C : CDCDCDCD; +449D : CDCDCDCD; +449E : CDCDCDCD; +449F : CDCDCDCD; +44A0 : CDCDCDCD; +44A1 : CDCDCDCD; +44A2 : CDCDCDCD; +44A3 : CDCDCDCD; +44A4 : CDCDCDCD; +44A5 : CDCDCDCD; +44A6 : CDCDCDCD; +44A7 : CDCDCDCD; +44A8 : CDCDCDCD; +44A9 : CDCDCDCD; +44AA : CDCDCDCD; +44AB : CDCDCDCD; +44AC : CDCDCDCD; +44AD : CDCDCDCD; +44AE : CDCDCDCD; +44AF : CDCDCDCD; +44B0 : CDCDCDCD; +44B1 : CDCDCDCD; +44B2 : CDCDCDCD; +44B3 : CDCDCDCD; +44B4 : CDCDCDCD; +44B5 : CDCDCDCD; +44B6 : CDCDCDCD; +44B7 : CDCDCDCD; +44B8 : CDCDCDCD; +44B9 : CDCDCDCD; +44BA : CDCDCDCD; +44BB : CDCDCDCD; +44BC : CDCDCDCD; +44BD : CDCDCDCD; +44BE : CDCDCDCD; +44BF : CDCDCDCD; +44C0 : CDCDCDCD; +44C1 : CDCDCDCD; +44C2 : CDCDCDCD; +44C3 : CDCDCDCD; +44C4 : CDCDCDCD; +44C5 : CDCDCDCD; +44C6 : CDCDCDCD; +44C7 : CDCDCDCD; +44C8 : CDCDCDCD; +44C9 : CDCDCDCD; +44CA : CDCDCDCD; +44CB : CDCDCDCD; +44CC : CDCDCDCD; +44CD : CDCDCDCD; +44CE : CDCDCDCD; +44CF : CDCDCDCD; +44D0 : CDCDCDCD; +44D1 : CDCDCDCD; +44D2 : CDCDCDCD; +44D3 : CDCDCDCD; +44D4 : CDCDCDCD; +44D5 : CDCDCDCD; +44D6 : CDCDCDCD; +44D7 : CDCDCDCD; +44D8 : CDCDCDCD; +44D9 : CDCDCDCD; +44DA : CDCDCDCD; +44DB : CDCDCDCD; +44DC : CDCDCDCD; +44DD : CDCDCDCD; +44DE : CDCDCDCD; +44DF : CDCDCDCD; +44E0 : CDCDCDCD; +44E1 : CDCDCDCD; +44E2 : CDCDCDCD; +44E3 : CDCDCDCD; +44E4 : CDCDCDCD; +44E5 : CDCDCDCD; +44E6 : CDCDCDCD; +44E7 : CDCDCDCD; +44E8 : CDCDCDCD; +44E9 : CDCDCDCD; +44EA : CDCDCDCD; +44EB : CDCDCDCD; +44EC : CDCDCDCD; +44ED : CDCDCDCD; +44EE : CDCDCDCD; +44EF : CDCDCDCD; +44F0 : CDCDCDCD; +44F1 : CDCDCDCD; +44F2 : CDCDCDCD; +44F3 : CDCDCDCD; +44F4 : CDCDCDCD; +44F5 : CDCDCDCD; +44F6 : CDCDCDCD; +44F7 : CDCDCDCD; +44F8 : CDCDCDCD; +44F9 : CDCDCDCD; +44FA : CDCDCDCD; +44FB : CDCDCDCD; +44FC : CDCDCDCD; +44FD : CDCDCDCD; +44FE : CDCDCDCD; +44FF : CDCDCDCD; +4500 : CDCDCDCD; +4501 : CDCDCDCD; +4502 : CDCDCDCD; +4503 : CDCDCDCD; +4504 : CDCDCDCD; +4505 : CDCDCDCD; +4506 : CDCDCDCD; +4507 : CDCDCDCD; +4508 : CDCDCDCD; +4509 : CDCDCDCD; +450A : CDCDCDCD; +450B : CDCDCDCD; +450C : CDCDCDCD; +450D : CDCDCDCD; +450E : CDCDCDCD; +450F : CDCDCDCD; +4510 : CDCDCDCD; +4511 : CDCDCDCD; +4512 : CDCDCDCD; +4513 : CDCDCDCD; +4514 : CDCDCDCD; +4515 : CDCDCDCD; +4516 : CDCDCDCD; +4517 : CDCDCDCD; +4518 : CDCDCDCD; +4519 : CDCDCDCD; +451A : CDCDCDCD; +451B : CDCDCDCD; +451C : CDCDCDCD; +451D : CDCDCDCD; +451E : CDCDCDCD; +451F : CDCDCDCD; +4520 : CDCDCDCD; +4521 : CDCDCDCD; +4522 : CDCDCDCD; +4523 : CDCDCDCD; +4524 : CDCDCDCD; +4525 : CDCDCDCD; +4526 : CDCDCDCD; +4527 : CDCDCDCD; +4528 : CDCDCDCD; +4529 : CDCDCDCD; +452A : CDCDCDCD; +452B : CDCDCDCD; +452C : CDCDCDCD; +452D : CDCDCDCD; +452E : CDCDCDCD; +452F : CDCDCDCD; +4530 : CDCDCDCD; +4531 : CDCDCDCD; +4532 : CDCDCDCD; +4533 : CDCDCDCD; +4534 : CDCDCDCD; +4535 : CDCDCDCD; +4536 : CDCDCDCD; +4537 : CDCDCDCD; +4538 : CDCDCDCD; +4539 : CDCDCDCD; +453A : CDCDCDCD; +453B : CDCDCDCD; +453C : CDCDCDCD; +453D : CDCDCDCD; +453E : CDCDCDCD; +453F : CDCDCDCD; +4540 : CDCDCDCD; +4541 : CDCDCDCD; +4542 : CDCDCDCD; +4543 : CDCDCDCD; +4544 : CDCDCDCD; +4545 : CDCDCDCD; +4546 : CDCDCDCD; +4547 : CDCDCDCD; +4548 : CDCDCDCD; +4549 : CDCDCDCD; +454A : CDCDCDCD; +454B : CDCDCDCD; +454C : CDCDCDCD; +454D : CDCDCDCD; +454E : CDCDCDCD; +454F : CDCDCDCD; +4550 : CDCDCDCD; +4551 : CDCDCDCD; +4552 : CDCDCDCD; +4553 : CDCDCDCD; +4554 : CDCDCDCD; +4555 : CDCDCDCD; +4556 : CDCDCDCD; +4557 : CDCDCDCD; +4558 : CDCDCDCD; +4559 : CDCDCDCD; +455A : CDCDCDCD; +455B : CDCDCDCD; +455C : CDCDCDCD; +455D : CDCDCDCD; +455E : CDCDCDCD; +455F : CDCDCDCD; +4560 : CDCDCDCD; +4561 : CDCDCDCD; +4562 : CDCDCDCD; +4563 : CDCDCDCD; +4564 : CDCDCDCD; +4565 : CDCDCDCD; +4566 : CDCDCDCD; +4567 : CDCDCDCD; +4568 : CDCDCDCD; +4569 : CDCDCDCD; +456A : CDCDCDCD; +456B : CDCDCDCD; +456C : CDCDCDCD; +456D : CDCDCDCD; +456E : CDCDCDCD; +456F : CDCDCDCD; +4570 : CDCDCDCD; +4571 : CDCDCDCD; +4572 : CDCDCDCD; +4573 : CDCDCDCD; +4574 : CDCDCDCD; +4575 : CDCDCDCD; +4576 : CDCDCDCD; +4577 : CDCDCDCD; +4578 : CDCDCDCD; +4579 : CDCDCDCD; +457A : CDCDCDCD; +457B : CDCDCDCD; +457C : CDCDCDCD; +457D : CDCDCDCD; +457E : CDCDCDCD; +457F : CDCDCDCD; +4580 : CDCDCDCD; +4581 : CDCDCDCD; +4582 : CDCDCDCD; +4583 : CDCDCDCD; +4584 : CDCDCDCD; +4585 : CDCDCDCD; +4586 : CDCDCDCD; +4587 : CDCDCDCD; +4588 : CDCDCDCD; +4589 : CDCDCDCD; +458A : CDCDCDCD; +458B : CDCDCDCD; +458C : CDCDCDCD; +458D : CDCDCDCD; +458E : CDCDCDCD; +458F : CDCDCDCD; +4590 : CDCDCDCD; +4591 : CDCDCDCD; +4592 : CDCDCDCD; +4593 : CDCDCDCD; +4594 : CDCDCDCD; +4595 : CDCDCDCD; +4596 : CDCDCDCD; +4597 : CDCDCDCD; +4598 : CDCDCDCD; +4599 : CDCDCDCD; +459A : CDCDCDCD; +459B : CDCDCDCD; +459C : CDCDCDCD; +459D : CDCDCDCD; +459E : CDCDCDCD; +459F : CDCDCDCD; +45A0 : CDCDCDCD; +45A1 : CDCDCDCD; +45A2 : CDCDCDCD; +45A3 : CDCDCDCD; +45A4 : CDCDCDCD; +45A5 : CDCDCDCD; +45A6 : CDCDCDCD; +45A7 : CDCDCDCD; +45A8 : CDCDCDCD; +45A9 : CDCDCDCD; +45AA : CDCDCDCD; +45AB : CDCDCDCD; +45AC : CDCDCDCD; +45AD : CDCDCDCD; +45AE : CDCDCDCD; +45AF : CDCDCDCD; +45B0 : CDCDCDCD; +45B1 : CDCDCDCD; +45B2 : CDCDCDCD; +45B3 : CDCDCDCD; +45B4 : CDCDCDCD; +45B5 : CDCDCDCD; +45B6 : CDCDCDCD; +45B7 : CDCDCDCD; +45B8 : CDCDCDCD; +45B9 : CDCDCDCD; +45BA : CDCDCDCD; +45BB : CDCDCDCD; +45BC : CDCDCDCD; +45BD : CDCDCDCD; +45BE : CDCDCDCD; +45BF : CDCDCDCD; +45C0 : CDCDCDCD; +45C1 : CDCDCDCD; +45C2 : CDCDCDCD; +45C3 : CDCDCDCD; +45C4 : CDCDCDCD; +45C5 : CDCDCDCD; +45C6 : CDCDCDCD; +45C7 : CDCDCDCD; +45C8 : CDCDCDCD; +45C9 : CDCDCDCD; +45CA : CDCDCDCD; +45CB : CDCDCDCD; +45CC : CDCDCDCD; +45CD : CDCDCDCD; +45CE : CDCDCDCD; +45CF : CDCDCDCD; +45D0 : CDCDCDCD; +45D1 : CDCDCDCD; +45D2 : CDCDCDCD; +45D3 : CDCDCDCD; +45D4 : CDCDCDCD; +45D5 : CDCDCDCD; +45D6 : CDCDCDCD; +45D7 : CDCDCDCD; +45D8 : CDCDCDCD; +45D9 : CDCDCDCD; +45DA : CDCDCDCD; +45DB : CDCDCDCD; +45DC : CDCDCDCD; +45DD : CDCDCDCD; +45DE : CDCDCDCD; +45DF : CDCDCDCD; +45E0 : CDCDCDCD; +45E1 : CDCDCDCD; +45E2 : CDCDCDCD; +45E3 : CDCDCDCD; +45E4 : CDCDCDCD; +45E5 : CDCDCDCD; +45E6 : CDCDCDCD; +45E7 : CDCDCDCD; +45E8 : CDCDCDCD; +45E9 : CDCDCDCD; +45EA : CDCDCDCD; +45EB : CDCDCDCD; +45EC : CDCDCDCD; +45ED : CDCDCDCD; +45EE : CDCDCDCD; +45EF : CDCDCDCD; +45F0 : CDCDCDCD; +45F1 : CDCDCDCD; +45F2 : CDCDCDCD; +45F3 : CDCDCDCD; +45F4 : CDCDCDCD; +45F5 : CDCDCDCD; +45F6 : CDCDCDCD; +45F7 : CDCDCDCD; +45F8 : CDCDCDCD; +45F9 : CDCDCDCD; +45FA : CDCDCDCD; +45FB : CDCDCDCD; +45FC : CDCDCDCD; +45FD : CDCDCDCD; +45FE : CDCDCDCD; +45FF : CDCDCDCD; +4600 : CDCDCDCD; +4601 : CDCDCDCD; +4602 : CDCDCDCD; +4603 : CDCDCDCD; +4604 : CDCDCDCD; +4605 : CDCDCDCD; +4606 : CDCDCDCD; +4607 : CDCDCDCD; +4608 : CDCDCDCD; +4609 : CDCDCDCD; +460A : CDCDCDCD; +460B : CDCDCDCD; +460C : CDCDCDCD; +460D : CDCDCDCD; +460E : CDCDCDCD; +460F : CDCDCDCD; +4610 : CDCDCDCD; +4611 : CDCDCDCD; +4612 : CDCDCDCD; +4613 : CDCDCDCD; +4614 : CDCDCDCD; +4615 : CDCDCDCD; +4616 : CDCDCDCD; +4617 : CDCDCDCD; +4618 : CDCDCDCD; +4619 : CDCDCDCD; +461A : CDCDCDCD; +461B : CDCDCDCD; +461C : CDCDCDCD; +461D : CDCDCDCD; +461E : CDCDCDCD; +461F : CDCDCDCD; +4620 : CDCDCDCD; +4621 : CDCDCDCD; +4622 : CDCDCDCD; +4623 : CDCDCDCD; +4624 : CDCDCDCD; +4625 : CDCDCDCD; +4626 : CDCDCDCD; +4627 : CDCDCDCD; +4628 : CDCDCDCD; +4629 : CDCDCDCD; +462A : CDCDCDCD; +462B : CDCDCDCD; +462C : CDCDCDCD; +462D : CDCDCDCD; +462E : CDCDCDCD; +462F : CDCDCDCD; +4630 : CDCDCDCD; +4631 : CDCDCDCD; +4632 : CDCDCDCD; +4633 : CDCDCDCD; +4634 : CDCDCDCD; +4635 : CDCDCDCD; +4636 : CDCDCDCD; +4637 : CDCDCDCD; +4638 : CDCDCDCD; +4639 : CDCDCDCD; +463A : CDCDCDCD; +463B : CDCDCDCD; +463C : CDCDCDCD; +463D : CDCDCDCD; +463E : CDCDCDCD; +463F : CDCDCDCD; +4640 : CDCDCDCD; +4641 : CDCDCDCD; +4642 : CDCDCDCD; +4643 : CDCDCDCD; +4644 : CDCDCDCD; +4645 : CDCDCDCD; +4646 : CDCDCDCD; +4647 : CDCDCDCD; +4648 : CDCDCDCD; +4649 : CDCDCDCD; +464A : CDCDCDCD; +464B : CDCDCDCD; +464C : CDCDCDCD; +464D : CDCDCDCD; +464E : CDCDCDCD; +464F : CDCDCDCD; +4650 : CDCDCDCD; +4651 : CDCDCDCD; +4652 : CDCDCDCD; +4653 : CDCDCDCD; +4654 : CDCDCDCD; +4655 : CDCDCDCD; +4656 : CDCDCDCD; +4657 : CDCDCDCD; +4658 : CDCDCDCD; +4659 : CDCDCDCD; +465A : CDCDCDCD; +465B : CDCDCDCD; +465C : CDCDCDCD; +465D : CDCDCDCD; +465E : CDCDCDCD; +465F : CDCDCDCD; +4660 : CDCDCDCD; +4661 : CDCDCDCD; +4662 : CDCDCDCD; +4663 : CDCDCDCD; +4664 : CDCDCDCD; +4665 : CDCDCDCD; +4666 : CDCDCDCD; +4667 : CDCDCDCD; +4668 : CDCDCDCD; +4669 : CDCDCDCD; +466A : CDCDCDCD; +466B : CDCDCDCD; +466C : CDCDCDCD; +466D : CDCDCDCD; +466E : CDCDCDCD; +466F : CDCDCDCD; +4670 : CDCDCDCD; +4671 : CDCDCDCD; +4672 : CDCDCDCD; +4673 : CDCDCDCD; +4674 : CDCDCDCD; +4675 : CDCDCDCD; +4676 : CDCDCDCD; +4677 : CDCDCDCD; +4678 : CDCDCDCD; +4679 : CDCDCDCD; +467A : CDCDCDCD; +467B : CDCDCDCD; +467C : CDCDCDCD; +467D : CDCDCDCD; +467E : CDCDCDCD; +467F : CDCDCDCD; +4680 : CDCDCDCD; +4681 : CDCDCDCD; +4682 : CDCDCDCD; +4683 : CDCDCDCD; +4684 : CDCDCDCD; +4685 : CDCDCDCD; +4686 : CDCDCDCD; +4687 : CDCDCDCD; +4688 : CDCDCDCD; +4689 : CDCDCDCD; +468A : CDCDCDCD; +468B : CDCDCDCD; +468C : CDCDCDCD; +468D : CDCDCDCD; +468E : CDCDCDCD; +468F : CDCDCDCD; +4690 : CDCDCDCD; +4691 : CDCDCDCD; +4692 : CDCDCDCD; +4693 : CDCDCDCD; +4694 : CDCDCDCD; +4695 : CDCDCDCD; +4696 : CDCDCDCD; +4697 : CDCDCDCD; +4698 : CDCDCDCD; +4699 : CDCDCDCD; +469A : CDCDCDCD; +469B : CDCDCDCD; +469C : CDCDCDCD; +469D : CDCDCDCD; +469E : CDCDCDCD; +469F : CDCDCDCD; +46A0 : CDCDCDCD; +46A1 : CDCDCDCD; +46A2 : CDCDCDCD; +46A3 : CDCDCDCD; +46A4 : CDCDCDCD; +46A5 : CDCDCDCD; +46A6 : CDCDCDCD; +46A7 : CDCDCDCD; +46A8 : CDCDCDCD; +46A9 : CDCDCDCD; +46AA : CDCDCDCD; +46AB : CDCDCDCD; +46AC : CDCDCDCD; +46AD : CDCDCDCD; +46AE : CDCDCDCD; +46AF : CDCDCDCD; +46B0 : CDCDCDCD; +46B1 : CDCDCDCD; +46B2 : CDCDCDCD; +46B3 : CDCDCDCD; +46B4 : CDCDCDCD; +46B5 : CDCDCDCD; +46B6 : CDCDCDCD; +46B7 : CDCDCDCD; +46B8 : CDCDCDCD; +46B9 : CDCDCDCD; +46BA : CDCDCDCD; +46BB : CDCDCDCD; +46BC : CDCDCDCD; +46BD : CDCDCDCD; +46BE : CDCDCDCD; +46BF : CDCDCDCD; +46C0 : CDCDCDCD; +46C1 : CDCDCDCD; +46C2 : CDCDCDCD; +46C3 : CDCDCDCD; +46C4 : CDCDCDCD; +46C5 : CDCDCDCD; +46C6 : CDCDCDCD; +46C7 : CDCDCDCD; +46C8 : CDCDCDCD; +46C9 : CDCDCDCD; +46CA : CDCDCDCD; +46CB : CDCDCDCD; +46CC : CDCDCDCD; +46CD : CDCDCDCD; +46CE : CDCDCDCD; +46CF : CDCDCDCD; +46D0 : CDCDCDCD; +46D1 : CDCDCDCD; +46D2 : CDCDCDCD; +46D3 : CDCDCDCD; +46D4 : CDCDCDCD; +46D5 : CDCDCDCD; +46D6 : CDCDCDCD; +46D7 : CDCDCDCD; +46D8 : CDCDCDCD; +46D9 : CDCDCDCD; +46DA : CDCDCDCD; +46DB : CDCDCDCD; +46DC : CDCDCDCD; +46DD : CDCDCDCD; +46DE : CDCDCDCD; +46DF : CDCDCDCD; +46E0 : CDCDCDCD; +46E1 : CDCDCDCD; +46E2 : CDCDCDCD; +46E3 : CDCDCDCD; +46E4 : CDCDCDCD; +46E5 : CDCDCDCD; +46E6 : CDCDCDCD; +46E7 : CDCDCDCD; +46E8 : CDCDCDCD; +46E9 : CDCDCDCD; +46EA : CDCDCDCD; +46EB : CDCDCDCD; +46EC : CDCDCDCD; +46ED : CDCDCDCD; +46EE : CDCDCDCD; +46EF : CDCDCDCD; +46F0 : CDCDCDCD; +46F1 : CDCDCDCD; +46F2 : CDCDCDCD; +46F3 : CDCDCDCD; +46F4 : CDCDCDCD; +46F5 : CDCDCDCD; +46F6 : CDCDCDCD; +46F7 : CDCDCDCD; +46F8 : CDCDCDCD; +46F9 : CDCDCDCD; +46FA : CDCDCDCD; +46FB : CDCDCDCD; +46FC : CDCDCDCD; +46FD : CDCDCDCD; +46FE : CDCDCDCD; +46FF : CDCDCDCD; +4700 : CDCDCDCD; +4701 : CDCDCDCD; +4702 : CDCDCDCD; +4703 : CDCDCDCD; +4704 : CDCDCDCD; +4705 : CDCDCDCD; +4706 : CDCDCDCD; +4707 : CDCDCDCD; +4708 : CDCDCDCD; +4709 : CDCDCDCD; +470A : CDCDCDCD; +470B : CDCDCDCD; +470C : CDCDCDCD; +470D : CDCDCDCD; +470E : CDCDCDCD; +470F : CDCDCDCD; +4710 : CDCDCDCD; +4711 : CDCDCDCD; +4712 : CDCDCDCD; +4713 : CDCDCDCD; +4714 : CDCDCDCD; +4715 : CDCDCDCD; +4716 : CDCDCDCD; +4717 : CDCDCDCD; +4718 : CDCDCDCD; +4719 : CDCDCDCD; +471A : CDCDCDCD; +471B : CDCDCDCD; +471C : CDCDCDCD; +471D : CDCDCDCD; +471E : CDCDCDCD; +471F : CDCDCDCD; +4720 : CDCDCDCD; +4721 : CDCDCDCD; +4722 : CDCDCDCD; +4723 : CDCDCDCD; +4724 : CDCDCDCD; +4725 : CDCDCDCD; +4726 : CDCDCDCD; +4727 : CDCDCDCD; +4728 : CDCDCDCD; +4729 : CDCDCDCD; +472A : CDCDCDCD; +472B : CDCDCDCD; +472C : CDCDCDCD; +472D : CDCDCDCD; +472E : CDCDCDCD; +472F : CDCDCDCD; +4730 : CDCDCDCD; +4731 : CDCDCDCD; +4732 : CDCDCDCD; +4733 : CDCDCDCD; +4734 : CDCDCDCD; +4735 : CDCDCDCD; +4736 : CDCDCDCD; +4737 : CDCDCDCD; +4738 : CDCDCDCD; +4739 : CDCDCDCD; +473A : CDCDCDCD; +473B : CDCDCDCD; +473C : CDCDCDCD; +473D : CDCDCDCD; +473E : CDCDCDCD; +473F : CDCDCDCD; +4740 : CDCDCDCD; +4741 : CDCDCDCD; +4742 : CDCDCDCD; +4743 : CDCDCDCD; +4744 : CDCDCDCD; +4745 : CDCDCDCD; +4746 : CDCDCDCD; +4747 : CDCDCDCD; +4748 : CDCDCDCD; +4749 : CDCDCDCD; +474A : CDCDCDCD; +474B : CDCDCDCD; +474C : CDCDCDCD; +474D : CDCDCDCD; +474E : CDCDCDCD; +474F : CDCDCDCD; +4750 : CDCDCDCD; +4751 : CDCDCDCD; +4752 : CDCDCDCD; +4753 : CDCDCDCD; +4754 : CDCDCDCD; +4755 : CDCDCDCD; +4756 : CDCDCDCD; +4757 : CDCDCDCD; +4758 : CDCDCDCD; +4759 : CDCDCDCD; +475A : CDCDCDCD; +475B : CDCDCDCD; +475C : CDCDCDCD; +475D : CDCDCDCD; +475E : CDCDCDCD; +475F : CDCDCDCD; +4760 : CDCDCDCD; +4761 : CDCDCDCD; +4762 : CDCDCDCD; +4763 : CDCDCDCD; +4764 : CDCDCDCD; +4765 : CDCDCDCD; +4766 : CDCDCDCD; +4767 : CDCDCDCD; +4768 : CDCDCDCD; +4769 : CDCDCDCD; +476A : CDCDCDCD; +476B : CDCDCDCD; +476C : CDCDCDCD; +476D : CDCDCDCD; +476E : CDCDCDCD; +476F : CDCDCDCD; +4770 : CDCDCDCD; +4771 : CDCDCDCD; +4772 : CDCDCDCD; +4773 : CDCDCDCD; +4774 : CDCDCDCD; +4775 : CDCDCDCD; +4776 : CDCDCDCD; +4777 : CDCDCDCD; +4778 : CDCDCDCD; +4779 : CDCDCDCD; +477A : CDCDCDCD; +477B : CDCDCDCD; +477C : CDCDCDCD; +477D : CDCDCDCD; +477E : CDCDCDCD; +477F : CDCDCDCD; +4780 : CDCDCDCD; +4781 : CDCDCDCD; +4782 : CDCDCDCD; +4783 : CDCDCDCD; +4784 : CDCDCDCD; +4785 : CDCDCDCD; +4786 : CDCDCDCD; +4787 : CDCDCDCD; +4788 : CDCDCDCD; +4789 : CDCDCDCD; +478A : CDCDCDCD; +478B : CDCDCDCD; +478C : CDCDCDCD; +478D : CDCDCDCD; +478E : CDCDCDCD; +478F : CDCDCDCD; +4790 : CDCDCDCD; +4791 : CDCDCDCD; +4792 : CDCDCDCD; +4793 : CDCDCDCD; +4794 : CDCDCDCD; +4795 : CDCDCDCD; +4796 : CDCDCDCD; +4797 : CDCDCDCD; +4798 : CDCDCDCD; +4799 : CDCDCDCD; +479A : CDCDCDCD; +479B : CDCDCDCD; +479C : CDCDCDCD; +479D : CDCDCDCD; +479E : CDCDCDCD; +479F : CDCDCDCD; +47A0 : CDCDCDCD; +47A1 : CDCDCDCD; +47A2 : CDCDCDCD; +47A3 : CDCDCDCD; +47A4 : CDCDCDCD; +47A5 : CDCDCDCD; +47A6 : CDCDCDCD; +47A7 : CDCDCDCD; +47A8 : CDCDCDCD; +47A9 : CDCDCDCD; +47AA : CDCDCDCD; +47AB : CDCDCDCD; +47AC : CDCDCDCD; +47AD : CDCDCDCD; +47AE : CDCDCDCD; +47AF : CDCDCDCD; +47B0 : CDCDCDCD; +47B1 : CDCDCDCD; +47B2 : CDCDCDCD; +47B3 : CDCDCDCD; +47B4 : CDCDCDCD; +47B5 : CDCDCDCD; +47B6 : CDCDCDCD; +47B7 : CDCDCDCD; +47B8 : CDCDCDCD; +47B9 : CDCDCDCD; +47BA : CDCDCDCD; +47BB : CDCDCDCD; +47BC : CDCDCDCD; +47BD : CDCDCDCD; +47BE : CDCDCDCD; +47BF : CDCDCDCD; +47C0 : CDCDCDCD; +47C1 : CDCDCDCD; +47C2 : CDCDCDCD; +47C3 : CDCDCDCD; +47C4 : CDCDCDCD; +47C5 : CDCDCDCD; +47C6 : CDCDCDCD; +47C7 : CDCDCDCD; +47C8 : CDCDCDCD; +47C9 : CDCDCDCD; +47CA : CDCDCDCD; +47CB : CDCDCDCD; +47CC : CDCDCDCD; +47CD : CDCDCDCD; +47CE : CDCDCDCD; +47CF : CDCDCDCD; +47D0 : CDCDCDCD; +47D1 : CDCDCDCD; +47D2 : CDCDCDCD; +47D3 : CDCDCDCD; +47D4 : CDCDCDCD; +47D5 : CDCDCDCD; +47D6 : CDCDCDCD; +47D7 : CDCDCDCD; +47D8 : CDCDCDCD; +47D9 : CDCDCDCD; +47DA : CDCDCDCD; +47DB : CDCDCDCD; +47DC : CDCDCDCD; +47DD : CDCDCDCD; +47DE : CDCDCDCD; +47DF : CDCDCDCD; +47E0 : CDCDCDCD; +47E1 : CDCDCDCD; +47E2 : CDCDCDCD; +47E3 : CDCDCDCD; +47E4 : CDCDCDCD; +47E5 : CDCDCDCD; +47E6 : CDCDCDCD; +47E7 : CDCDCDCD; +47E8 : CDCDCDCD; +47E9 : CDCDCDCD; +47EA : CDCDCDCD; +47EB : CDCDCDCD; +47EC : CDCDCDCD; +47ED : CDCDCDCD; +47EE : CDCDCDCD; +47EF : CDCDCDCD; +47F0 : CDCDCDCD; +47F1 : CDCDCDCD; +47F2 : CDCDCDCD; +47F3 : CDCDCDCD; +47F4 : CDCDCDCD; +47F5 : CDCDCDCD; +47F6 : CDCDCDCD; +47F7 : CDCDCDCD; +47F8 : CDCDCDCD; +47F9 : CDCDCDCD; +47FA : CDCDCDCD; +47FB : CDCDCDCD; +47FC : CDCDCDCD; +47FD : CDCDCDCD; +47FE : CDCDCDCD; +47FF : CDCDCDCD; +4800 : CDCDCDCD; +4801 : CDCDCDCD; +4802 : CDCDCDCD; +4803 : CDCDCDCD; +4804 : CDCDCDCD; +4805 : CDCDCDCD; +4806 : CDCDCDCD; +4807 : CDCDCDCD; +4808 : CDCDCDCD; +4809 : CDCDCDCD; +480A : CDCDCDCD; +480B : CDCDCDCD; +480C : CDCDCDCD; +480D : CDCDCDCD; +480E : CDCDCDCD; +480F : CDCDCDCD; +4810 : CDCDCDCD; +4811 : CDCDCDCD; +4812 : CDCDCDCD; +4813 : CDCDCDCD; +4814 : CDCDCDCD; +4815 : CDCDCDCD; +4816 : CDCDCDCD; +4817 : CDCDCDCD; +4818 : CDCDCDCD; +4819 : CDCDCDCD; +481A : CDCDCDCD; +481B : CDCDCDCD; +481C : CDCDCDCD; +481D : CDCDCDCD; +481E : CDCDCDCD; +481F : CDCDCDCD; +4820 : CDCDCDCD; +4821 : CDCDCDCD; +4822 : CDCDCDCD; +4823 : CDCDCDCD; +4824 : CDCDCDCD; +4825 : CDCDCDCD; +4826 : CDCDCDCD; +4827 : CDCDCDCD; +4828 : CDCDCDCD; +4829 : CDCDCDCD; +482A : CDCDCDCD; +482B : CDCDCDCD; +482C : CDCDCDCD; +482D : CDCDCDCD; +482E : CDCDCDCD; +482F : CDCDCDCD; +4830 : CDCDCDCD; +4831 : CDCDCDCD; +4832 : CDCDCDCD; +4833 : CDCDCDCD; +4834 : CDCDCDCD; +4835 : CDCDCDCD; +4836 : CDCDCDCD; +4837 : CDCDCDCD; +4838 : CDCDCDCD; +4839 : CDCDCDCD; +483A : CDCDCDCD; +483B : CDCDCDCD; +483C : CDCDCDCD; +483D : CDCDCDCD; +483E : CDCDCDCD; +483F : CDCDCDCD; +4840 : CDCDCDCD; +4841 : CDCDCDCD; +4842 : CDCDCDCD; +4843 : CDCDCDCD; +4844 : CDCDCDCD; +4845 : CDCDCDCD; +4846 : CDCDCDCD; +4847 : CDCDCDCD; +4848 : CDCDCDCD; +4849 : CDCDCDCD; +484A : CDCDCDCD; +484B : CDCDCDCD; +484C : CDCDCDCD; +484D : CDCDCDCD; +484E : CDCDCDCD; +484F : CDCDCDCD; +4850 : CDCDCDCD; +4851 : CDCDCDCD; +4852 : CDCDCDCD; +4853 : CDCDCDCD; +4854 : CDCDCDCD; +4855 : CDCDCDCD; +4856 : CDCDCDCD; +4857 : CDCDCDCD; +4858 : CDCDCDCD; +4859 : CDCDCDCD; +485A : CDCDCDCD; +485B : CDCDCDCD; +485C : CDCDCDCD; +485D : CDCDCDCD; +485E : CDCDCDCD; +485F : CDCDCDCD; +4860 : CDCDCDCD; +4861 : CDCDCDCD; +4862 : CDCDCDCD; +4863 : CDCDCDCD; +4864 : CDCDCDCD; +4865 : CDCDCDCD; +4866 : CDCDCDCD; +4867 : CDCDCDCD; +4868 : CDCDCDCD; +4869 : CDCDCDCD; +486A : CDCDCDCD; +486B : CDCDCDCD; +486C : CDCDCDCD; +486D : CDCDCDCD; +486E : CDCDCDCD; +486F : CDCDCDCD; +4870 : CDCDCDCD; +4871 : CDCDCDCD; +4872 : CDCDCDCD; +4873 : CDCDCDCD; +4874 : CDCDCDCD; +4875 : CDCDCDCD; +4876 : CDCDCDCD; +4877 : CDCDCDCD; +4878 : CDCDCDCD; +4879 : CDCDCDCD; +487A : CDCDCDCD; +487B : CDCDCDCD; +487C : CDCDCDCD; +487D : CDCDCDCD; +487E : CDCDCDCD; +487F : CDCDCDCD; +4880 : CDCDCDCD; +4881 : CDCDCDCD; +4882 : CDCDCDCD; +4883 : CDCDCDCD; +4884 : CDCDCDCD; +4885 : CDCDCDCD; +4886 : CDCDCDCD; +4887 : CDCDCDCD; +4888 : CDCDCDCD; +4889 : CDCDCDCD; +488A : CDCDCDCD; +488B : CDCDCDCD; +488C : CDCDCDCD; +488D : CDCDCDCD; +488E : CDCDCDCD; +488F : CDCDCDCD; +4890 : CDCDCDCD; +4891 : CDCDCDCD; +4892 : CDCDCDCD; +4893 : CDCDCDCD; +4894 : CDCDCDCD; +4895 : CDCDCDCD; +4896 : CDCDCDCD; +4897 : CDCDCDCD; +4898 : CDCDCDCD; +4899 : CDCDCDCD; +489A : CDCDCDCD; +489B : CDCDCDCD; +489C : CDCDCDCD; +489D : CDCDCDCD; +489E : CDCDCDCD; +489F : CDCDCDCD; +48A0 : CDCDCDCD; +48A1 : CDCDCDCD; +48A2 : CDCDCDCD; +48A3 : CDCDCDCD; +48A4 : CDCDCDCD; +48A5 : CDCDCDCD; +48A6 : CDCDCDCD; +48A7 : CDCDCDCD; +48A8 : CDCDCDCD; +48A9 : CDCDCDCD; +48AA : CDCDCDCD; +48AB : CDCDCDCD; +48AC : CDCDCDCD; +48AD : CDCDCDCD; +48AE : CDCDCDCD; +48AF : CDCDCDCD; +48B0 : CDCDCDCD; +48B1 : CDCDCDCD; +48B2 : CDCDCDCD; +48B3 : CDCDCDCD; +48B4 : CDCDCDCD; +48B5 : CDCDCDCD; +48B6 : CDCDCDCD; +48B7 : CDCDCDCD; +48B8 : CDCDCDCD; +48B9 : CDCDCDCD; +48BA : CDCDCDCD; +48BB : CDCDCDCD; +48BC : CDCDCDCD; +48BD : CDCDCDCD; +48BE : CDCDCDCD; +48BF : CDCDCDCD; +48C0 : CDCDCDCD; +48C1 : CDCDCDCD; +48C2 : CDCDCDCD; +48C3 : CDCDCDCD; +48C4 : CDCDCDCD; +48C5 : CDCDCDCD; +48C6 : CDCDCDCD; +48C7 : CDCDCDCD; +48C8 : CDCDCDCD; +48C9 : CDCDCDCD; +48CA : CDCDCDCD; +48CB : CDCDCDCD; +48CC : CDCDCDCD; +48CD : CDCDCDCD; +48CE : CDCDCDCD; +48CF : CDCDCDCD; +48D0 : CDCDCDCD; +48D1 : CDCDCDCD; +48D2 : CDCDCDCD; +48D3 : CDCDCDCD; +48D4 : CDCDCDCD; +48D5 : CDCDCDCD; +48D6 : CDCDCDCD; +48D7 : CDCDCDCD; +48D8 : CDCDCDCD; +48D9 : CDCDCDCD; +48DA : CDCDCDCD; +48DB : CDCDCDCD; +48DC : CDCDCDCD; +48DD : CDCDCDCD; +48DE : CDCDCDCD; +48DF : CDCDCDCD; +48E0 : CDCDCDCD; +48E1 : CDCDCDCD; +48E2 : CDCDCDCD; +48E3 : CDCDCDCD; +48E4 : CDCDCDCD; +48E5 : CDCDCDCD; +48E6 : CDCDCDCD; +48E7 : CDCDCDCD; +48E8 : CDCDCDCD; +48E9 : CDCDCDCD; +48EA : CDCDCDCD; +48EB : CDCDCDCD; +48EC : CDCDCDCD; +48ED : CDCDCDCD; +48EE : CDCDCDCD; +48EF : CDCDCDCD; +48F0 : CDCDCDCD; +48F1 : CDCDCDCD; +48F2 : CDCDCDCD; +48F3 : CDCDCDCD; +48F4 : CDCDCDCD; +48F5 : CDCDCDCD; +48F6 : CDCDCDCD; +48F7 : CDCDCDCD; +48F8 : CDCDCDCD; +48F9 : CDCDCDCD; +48FA : CDCDCDCD; +48FB : CDCDCDCD; +48FC : CDCDCDCD; +48FD : CDCDCDCD; +48FE : CDCDCDCD; +48FF : CDCDCDCD; +4900 : CDCDCDCD; +4901 : CDCDCDCD; +4902 : CDCDCDCD; +4903 : CDCDCDCD; +4904 : CDCDCDCD; +4905 : CDCDCDCD; +4906 : CDCDCDCD; +4907 : CDCDCDCD; +4908 : CDCDCDCD; +4909 : CDCDCDCD; +490A : CDCDCDCD; +490B : CDCDCDCD; +490C : CDCDCDCD; +490D : CDCDCDCD; +490E : CDCDCDCD; +490F : CDCDCDCD; +4910 : CDCDCDCD; +4911 : CDCDCDCD; +4912 : CDCDCDCD; +4913 : CDCDCDCD; +4914 : CDCDCDCD; +4915 : CDCDCDCD; +4916 : CDCDCDCD; +4917 : CDCDCDCD; +4918 : CDCDCDCD; +4919 : CDCDCDCD; +491A : CDCDCDCD; +491B : CDCDCDCD; +491C : CDCDCDCD; +491D : CDCDCDCD; +491E : CDCDCDCD; +491F : CDCDCDCD; +4920 : CDCDCDCD; +4921 : CDCDCDCD; +4922 : CDCDCDCD; +4923 : CDCDCDCD; +4924 : CDCDCDCD; +4925 : CDCDCDCD; +4926 : CDCDCDCD; +4927 : CDCDCDCD; +4928 : CDCDCDCD; +4929 : CDCDCDCD; +492A : CDCDCDCD; +492B : CDCDCDCD; +492C : CDCDCDCD; +492D : CDCDCDCD; +492E : CDCDCDCD; +492F : CDCDCDCD; +4930 : CDCDCDCD; +4931 : CDCDCDCD; +4932 : CDCDCDCD; +4933 : CDCDCDCD; +4934 : CDCDCDCD; +4935 : CDCDCDCD; +4936 : CDCDCDCD; +4937 : CDCDCDCD; +4938 : CDCDCDCD; +4939 : CDCDCDCD; +493A : CDCDCDCD; +493B : CDCDCDCD; +493C : CDCDCDCD; +493D : CDCDCDCD; +493E : CDCDCDCD; +493F : CDCDCDCD; +4940 : CDCDCDCD; +4941 : CDCDCDCD; +4942 : CDCDCDCD; +4943 : CDCDCDCD; +4944 : CDCDCDCD; +4945 : CDCDCDCD; +4946 : CDCDCDCD; +4947 : CDCDCDCD; +4948 : CDCDCDCD; +4949 : CDCDCDCD; +494A : CDCDCDCD; +494B : CDCDCDCD; +494C : CDCDCDCD; +494D : CDCDCDCD; +494E : CDCDCDCD; +494F : CDCDCDCD; +4950 : CDCDCDCD; +4951 : CDCDCDCD; +4952 : CDCDCDCD; +4953 : CDCDCDCD; +4954 : CDCDCDCD; +4955 : CDCDCDCD; +4956 : CDCDCDCD; +4957 : CDCDCDCD; +4958 : CDCDCDCD; +4959 : CDCDCDCD; +495A : CDCDCDCD; +495B : CDCDCDCD; +495C : CDCDCDCD; +495D : CDCDCDCD; +495E : CDCDCDCD; +495F : CDCDCDCD; +4960 : CDCDCDCD; +4961 : CDCDCDCD; +4962 : CDCDCDCD; +4963 : CDCDCDCD; +4964 : CDCDCDCD; +4965 : CDCDCDCD; +4966 : CDCDCDCD; +4967 : CDCDCDCD; +4968 : CDCDCDCD; +4969 : CDCDCDCD; +496A : CDCDCDCD; +496B : CDCDCDCD; +496C : CDCDCDCD; +496D : CDCDCDCD; +496E : CDCDCDCD; +496F : CDCDCDCD; +4970 : CDCDCDCD; +4971 : CDCDCDCD; +4972 : CDCDCDCD; +4973 : CDCDCDCD; +4974 : CDCDCDCD; +4975 : CDCDCDCD; +4976 : CDCDCDCD; +4977 : CDCDCDCD; +4978 : CDCDCDCD; +4979 : CDCDCDCD; +497A : CDCDCDCD; +497B : CDCDCDCD; +497C : CDCDCDCD; +497D : CDCDCDCD; +497E : CDCDCDCD; +497F : CDCDCDCD; +4980 : CDCDCDCD; +4981 : CDCDCDCD; +4982 : CDCDCDCD; +4983 : CDCDCDCD; +4984 : CDCDCDCD; +4985 : CDCDCDCD; +4986 : CDCDCDCD; +4987 : CDCDCDCD; +4988 : CDCDCDCD; +4989 : CDCDCDCD; +498A : CDCDCDCD; +498B : CDCDCDCD; +498C : CDCDCDCD; +498D : CDCDCDCD; +498E : CDCDCDCD; +498F : CDCDCDCD; +4990 : CDCDCDCD; +4991 : CDCDCDCD; +4992 : CDCDCDCD; +4993 : CDCDCDCD; +4994 : CDCDCDCD; +4995 : CDCDCDCD; +4996 : CDCDCDCD; +4997 : CDCDCDCD; +4998 : CDCDCDCD; +4999 : CDCDCDCD; +499A : CDCDCDCD; +499B : CDCDCDCD; +499C : CDCDCDCD; +499D : CDCDCDCD; +499E : CDCDCDCD; +499F : CDCDCDCD; +49A0 : CDCDCDCD; +49A1 : CDCDCDCD; +49A2 : CDCDCDCD; +49A3 : CDCDCDCD; +49A4 : CDCDCDCD; +49A5 : CDCDCDCD; +49A6 : CDCDCDCD; +49A7 : CDCDCDCD; +49A8 : CDCDCDCD; +49A9 : CDCDCDCD; +49AA : CDCDCDCD; +49AB : CDCDCDCD; +49AC : CDCDCDCD; +49AD : CDCDCDCD; +49AE : CDCDCDCD; +49AF : CDCDCDCD; +49B0 : CDCDCDCD; +49B1 : CDCDCDCD; +49B2 : CDCDCDCD; +49B3 : CDCDCDCD; +49B4 : CDCDCDCD; +49B5 : CDCDCDCD; +49B6 : CDCDCDCD; +49B7 : CDCDCDCD; +49B8 : CDCDCDCD; +49B9 : CDCDCDCD; +49BA : CDCDCDCD; +49BB : CDCDCDCD; +49BC : CDCDCDCD; +49BD : CDCDCDCD; +49BE : CDCDCDCD; +49BF : CDCDCDCD; +49C0 : CDCDCDCD; +49C1 : CDCDCDCD; +49C2 : CDCDCDCD; +49C3 : CDCDCDCD; +49C4 : CDCDCDCD; +49C5 : CDCDCDCD; +49C6 : CDCDCDCD; +49C7 : CDCDCDCD; +49C8 : CDCDCDCD; +49C9 : CDCDCDCD; +49CA : CDCDCDCD; +49CB : CDCDCDCD; +49CC : CDCDCDCD; +49CD : CDCDCDCD; +49CE : CDCDCDCD; +49CF : CDCDCDCD; +49D0 : CDCDCDCD; +49D1 : CDCDCDCD; +49D2 : CDCDCDCD; +49D3 : CDCDCDCD; +49D4 : CDCDCDCD; +49D5 : CDCDCDCD; +49D6 : CDCDCDCD; +49D7 : CDCDCDCD; +49D8 : CDCDCDCD; +49D9 : CDCDCDCD; +49DA : CDCDCDCD; +49DB : CDCDCDCD; +49DC : CDCDCDCD; +49DD : CDCDCDCD; +49DE : CDCDCDCD; +49DF : CDCDCDCD; +49E0 : CDCDCDCD; +49E1 : CDCDCDCD; +49E2 : CDCDCDCD; +49E3 : CDCDCDCD; +49E4 : CDCDCDCD; +49E5 : CDCDCDCD; +49E6 : CDCDCDCD; +49E7 : CDCDCDCD; +49E8 : CDCDCDCD; +49E9 : CDCDCDCD; +49EA : CDCDCDCD; +49EB : CDCDCDCD; +49EC : CDCDCDCD; +49ED : CDCDCDCD; +49EE : CDCDCDCD; +49EF : CDCDCDCD; +49F0 : CDCDCDCD; +49F1 : CDCDCDCD; +49F2 : CDCDCDCD; +49F3 : CDCDCDCD; +49F4 : CDCDCDCD; +49F5 : CDCDCDCD; +49F6 : CDCDCDCD; +49F7 : CDCDCDCD; +49F8 : CDCDCDCD; +49F9 : CDCDCDCD; +49FA : CDCDCDCD; +49FB : CDCDCDCD; +49FC : CDCDCDCD; +49FD : CDCDCDCD; +49FE : CDCDCDCD; +49FF : CDCDCDCD; +4A00 : CDCDCDCD; +4A01 : CDCDCDCD; +4A02 : CDCDCDCD; +4A03 : CDCDCDCD; +4A04 : CDCDCDCD; +4A05 : CDCDCDCD; +4A06 : CDCDCDCD; +4A07 : CDCDCDCD; +4A08 : CDCDCDCD; +4A09 : CDCDCDCD; +4A0A : CDCDCDCD; +4A0B : CDCDCDCD; +4A0C : CDCDCDCD; +4A0D : CDCDCDCD; +4A0E : CDCDCDCD; +4A0F : CDCDCDCD; +4A10 : CDCDCDCD; +4A11 : CDCDCDCD; +4A12 : CDCDCDCD; +4A13 : CDCDCDCD; +4A14 : CDCDCDCD; +4A15 : CDCDCDCD; +4A16 : CDCDCDCD; +4A17 : CDCDCDCD; +4A18 : CDCDCDCD; +4A19 : CDCDCDCD; +4A1A : CDCDCDCD; +4A1B : CDCDCDCD; +4A1C : CDCDCDCD; +4A1D : CDCDCDCD; +4A1E : CDCDCDCD; +4A1F : CDCDCDCD; +4A20 : CDCDCDCD; +4A21 : CDCDCDCD; +4A22 : CDCDCDCD; +4A23 : CDCDCDCD; +4A24 : CDCDCDCD; +4A25 : CDCDCDCD; +4A26 : CDCDCDCD; +4A27 : CDCDCDCD; +4A28 : CDCDCDCD; +4A29 : CDCDCDCD; +4A2A : CDCDCDCD; +4A2B : CDCDCDCD; +4A2C : CDCDCDCD; +4A2D : CDCDCDCD; +4A2E : CDCDCDCD; +4A2F : CDCDCDCD; +4A30 : CDCDCDCD; +4A31 : CDCDCDCD; +4A32 : CDCDCDCD; +4A33 : CDCDCDCD; +4A34 : CDCDCDCD; +4A35 : CDCDCDCD; +4A36 : CDCDCDCD; +4A37 : CDCDCDCD; +4A38 : CDCDCDCD; +4A39 : CDCDCDCD; +4A3A : CDCDCDCD; +4A3B : CDCDCDCD; +4A3C : CDCDCDCD; +4A3D : CDCDCDCD; +4A3E : CDCDCDCD; +4A3F : CDCDCDCD; +4A40 : CDCDCDCD; +4A41 : CDCDCDCD; +4A42 : CDCDCDCD; +4A43 : CDCDCDCD; +4A44 : CDCDCDCD; +4A45 : CDCDCDCD; +4A46 : CDCDCDCD; +4A47 : CDCDCDCD; +4A48 : CDCDCDCD; +4A49 : CDCDCDCD; +4A4A : CDCDCDCD; +4A4B : CDCDCDCD; +4A4C : CDCDCDCD; +4A4D : CDCDCDCD; +4A4E : CDCDCDCD; +4A4F : CDCDCDCD; +4A50 : CDCDCDCD; +4A51 : CDCDCDCD; +4A52 : CDCDCDCD; +4A53 : CDCDCDCD; +4A54 : CDCDCDCD; +4A55 : CDCDCDCD; +4A56 : CDCDCDCD; +4A57 : CDCDCDCD; +4A58 : CDCDCDCD; +4A59 : CDCDCDCD; +4A5A : CDCDCDCD; +4A5B : CDCDCDCD; +4A5C : CDCDCDCD; +4A5D : CDCDCDCD; +4A5E : CDCDCDCD; +4A5F : CDCDCDCD; +4A60 : CDCDCDCD; +4A61 : CDCDCDCD; +4A62 : CDCDCDCD; +4A63 : CDCDCDCD; +4A64 : CDCDCDCD; +4A65 : CDCDCDCD; +4A66 : CDCDCDCD; +4A67 : CDCDCDCD; +4A68 : CDCDCDCD; +4A69 : CDCDCDCD; +4A6A : CDCDCDCD; +4A6B : CDCDCDCD; +4A6C : CDCDCDCD; +4A6D : CDCDCDCD; +4A6E : CDCDCDCD; +4A6F : CDCDCDCD; +4A70 : CDCDCDCD; +4A71 : CDCDCDCD; +4A72 : CDCDCDCD; +4A73 : CDCDCDCD; +4A74 : CDCDCDCD; +4A75 : CDCDCDCD; +4A76 : CDCDCDCD; +4A77 : CDCDCDCD; +4A78 : CDCDCDCD; +4A79 : CDCDCDCD; +4A7A : CDCDCDCD; +4A7B : CDCDCDCD; +4A7C : CDCDCDCD; +4A7D : CDCDCDCD; +4A7E : CDCDCDCD; +4A7F : CDCDCDCD; +4A80 : CDCDCDCD; +4A81 : CDCDCDCD; +4A82 : CDCDCDCD; +4A83 : CDCDCDCD; +4A84 : CDCDCDCD; +4A85 : CDCDCDCD; +4A86 : CDCDCDCD; +4A87 : CDCDCDCD; +4A88 : CDCDCDCD; +4A89 : CDCDCDCD; +4A8A : CDCDCDCD; +4A8B : CDCDCDCD; +4A8C : CDCDCDCD; +4A8D : CDCDCDCD; +4A8E : CDCDCDCD; +4A8F : CDCDCDCD; +4A90 : CDCDCDCD; +4A91 : CDCDCDCD; +4A92 : CDCDCDCD; +4A93 : CDCDCDCD; +4A94 : CDCDCDCD; +4A95 : CDCDCDCD; +4A96 : CDCDCDCD; +4A97 : CDCDCDCD; +4A98 : CDCDCDCD; +4A99 : CDCDCDCD; +4A9A : CDCDCDCD; +4A9B : CDCDCDCD; +4A9C : CDCDCDCD; +4A9D : CDCDCDCD; +4A9E : CDCDCDCD; +4A9F : CDCDCDCD; +4AA0 : CDCDCDCD; +4AA1 : CDCDCDCD; +4AA2 : CDCDCDCD; +4AA3 : CDCDCDCD; +4AA4 : CDCDCDCD; +4AA5 : CDCDCDCD; +4AA6 : CDCDCDCD; +4AA7 : CDCDCDCD; +4AA8 : CDCDCDCD; +4AA9 : CDCDCDCD; +4AAA : CDCDCDCD; +4AAB : CDCDCDCD; +4AAC : CDCDCDCD; +4AAD : CDCDCDCD; +4AAE : CDCDCDCD; +4AAF : CDCDCDCD; +4AB0 : CDCDCDCD; +4AB1 : CDCDCDCD; +4AB2 : CDCDCDCD; +4AB3 : CDCDCDCD; +4AB4 : CDCDCDCD; +4AB5 : CDCDCDCD; +4AB6 : CDCDCDCD; +4AB7 : CDCDCDCD; +4AB8 : CDCDCDCD; +4AB9 : CDCDCDCD; +4ABA : CDCDCDCD; +4ABB : CDCDCDCD; +4ABC : CDCDCDCD; +4ABD : CDCDCDCD; +4ABE : CDCDCDCD; +4ABF : CDCDCDCD; +4AC0 : CDCDCDCD; +4AC1 : CDCDCDCD; +4AC2 : CDCDCDCD; +4AC3 : CDCDCDCD; +4AC4 : CDCDCDCD; +4AC5 : CDCDCDCD; +4AC6 : CDCDCDCD; +4AC7 : CDCDCDCD; +4AC8 : CDCDCDCD; +4AC9 : CDCDCDCD; +4ACA : CDCDCDCD; +4ACB : CDCDCDCD; +4ACC : CDCDCDCD; +4ACD : CDCDCDCD; +4ACE : CDCDCDCD; +4ACF : CDCDCDCD; +4AD0 : CDCDCDCD; +4AD1 : CDCDCDCD; +4AD2 : CDCDCDCD; +4AD3 : CDCDCDCD; +4AD4 : CDCDCDCD; +4AD5 : CDCDCDCD; +4AD6 : CDCDCDCD; +4AD7 : CDCDCDCD; +4AD8 : CDCDCDCD; +4AD9 : CDCDCDCD; +4ADA : CDCDCDCD; +4ADB : CDCDCDCD; +4ADC : CDCDCDCD; +4ADD : CDCDCDCD; +4ADE : CDCDCDCD; +4ADF : CDCDCDCD; +4AE0 : CDCDCDCD; +4AE1 : CDCDCDCD; +4AE2 : CDCDCDCD; +4AE3 : CDCDCDCD; +4AE4 : CDCDCDCD; +4AE5 : CDCDCDCD; +4AE6 : CDCDCDCD; +4AE7 : CDCDCDCD; +4AE8 : CDCDCDCD; +4AE9 : CDCDCDCD; +4AEA : CDCDCDCD; +4AEB : CDCDCDCD; +4AEC : CDCDCDCD; +4AED : CDCDCDCD; +4AEE : CDCDCDCD; +4AEF : CDCDCDCD; +4AF0 : CDCDCDCD; +4AF1 : CDCDCDCD; +4AF2 : CDCDCDCD; +4AF3 : CDCDCDCD; +4AF4 : CDCDCDCD; +4AF5 : CDCDCDCD; +4AF6 : CDCDCDCD; +4AF7 : CDCDCDCD; +4AF8 : CDCDCDCD; +4AF9 : CDCDCDCD; +4AFA : CDCDCDCD; +4AFB : CDCDCDCD; +4AFC : CDCDCDCD; +4AFD : CDCDCDCD; +4AFE : CDCDCDCD; +4AFF : CDCDCDCD; +4B00 : CDCDCDCD; +4B01 : CDCDCDCD; +4B02 : CDCDCDCD; +4B03 : CDCDCDCD; +4B04 : CDCDCDCD; +4B05 : CDCDCDCD; +4B06 : CDCDCDCD; +4B07 : CDCDCDCD; +4B08 : CDCDCDCD; +4B09 : CDCDCDCD; +4B0A : CDCDCDCD; +4B0B : CDCDCDCD; +4B0C : CDCDCDCD; +4B0D : CDCDCDCD; +4B0E : CDCDCDCD; +4B0F : CDCDCDCD; +4B10 : CDCDCDCD; +4B11 : CDCDCDCD; +4B12 : CDCDCDCD; +4B13 : CDCDCDCD; +4B14 : CDCDCDCD; +4B15 : CDCDCDCD; +4B16 : CDCDCDCD; +4B17 : CDCDCDCD; +4B18 : CDCDCDCD; +4B19 : CDCDCDCD; +4B1A : CDCDCDCD; +4B1B : CDCDCDCD; +4B1C : CDCDCDCD; +4B1D : CDCDCDCD; +4B1E : CDCDCDCD; +4B1F : CDCDCDCD; +4B20 : CDCDCDCD; +4B21 : CDCDCDCD; +4B22 : CDCDCDCD; +4B23 : CDCDCDCD; +4B24 : CDCDCDCD; +4B25 : CDCDCDCD; +4B26 : CDCDCDCD; +4B27 : CDCDCDCD; +4B28 : CDCDCDCD; +4B29 : CDCDCDCD; +4B2A : CDCDCDCD; +4B2B : CDCDCDCD; +4B2C : CDCDCDCD; +4B2D : CDCDCDCD; +4B2E : CDCDCDCD; +4B2F : CDCDCDCD; +4B30 : CDCDCDCD; +4B31 : CDCDCDCD; +4B32 : CDCDCDCD; +4B33 : CDCDCDCD; +4B34 : CDCDCDCD; +4B35 : CDCDCDCD; +4B36 : CDCDCDCD; +4B37 : CDCDCDCD; +4B38 : CDCDCDCD; +4B39 : CDCDCDCD; +4B3A : CDCDCDCD; +4B3B : CDCDCDCD; +4B3C : CDCDCDCD; +4B3D : CDCDCDCD; +4B3E : CDCDCDCD; +4B3F : CDCDCDCD; +4B40 : CDCDCDCD; +4B41 : CDCDCDCD; +4B42 : CDCDCDCD; +4B43 : CDCDCDCD; +4B44 : CDCDCDCD; +4B45 : CDCDCDCD; +4B46 : CDCDCDCD; +4B47 : CDCDCDCD; +4B48 : CDCDCDCD; +4B49 : CDCDCDCD; +4B4A : CDCDCDCD; +4B4B : CDCDCDCD; +4B4C : CDCDCDCD; +4B4D : CDCDCDCD; +4B4E : CDCDCDCD; +4B4F : CDCDCDCD; +4B50 : CDCDCDCD; +4B51 : CDCDCDCD; +4B52 : CDCDCDCD; +4B53 : CDCDCDCD; +4B54 : CDCDCDCD; +4B55 : CDCDCDCD; +4B56 : CDCDCDCD; +4B57 : CDCDCDCD; +4B58 : CDCDCDCD; +4B59 : CDCDCDCD; +4B5A : CDCDCDCD; +4B5B : CDCDCDCD; +4B5C : CDCDCDCD; +4B5D : CDCDCDCD; +4B5E : CDCDCDCD; +4B5F : CDCDCDCD; +4B60 : CDCDCDCD; +4B61 : CDCDCDCD; +4B62 : CDCDCDCD; +4B63 : CDCDCDCD; +4B64 : CDCDCDCD; +4B65 : CDCDCDCD; +4B66 : CDCDCDCD; +4B67 : CDCDCDCD; +4B68 : CDCDCDCD; +4B69 : CDCDCDCD; +4B6A : CDCDCDCD; +4B6B : CDCDCDCD; +4B6C : CDCDCDCD; +4B6D : CDCDCDCD; +4B6E : CDCDCDCD; +4B6F : CDCDCDCD; +4B70 : CDCDCDCD; +4B71 : CDCDCDCD; +4B72 : CDCDCDCD; +4B73 : CDCDCDCD; +4B74 : CDCDCDCD; +4B75 : CDCDCDCD; +4B76 : CDCDCDCD; +4B77 : CDCDCDCD; +4B78 : CDCDCDCD; +4B79 : CDCDCDCD; +4B7A : CDCDCDCD; +4B7B : CDCDCDCD; +4B7C : CDCDCDCD; +4B7D : CDCDCDCD; +4B7E : CDCDCDCD; +4B7F : CDCDCDCD; +4B80 : CDCDCDCD; +4B81 : CDCDCDCD; +4B82 : CDCDCDCD; +4B83 : CDCDCDCD; +4B84 : CDCDCDCD; +4B85 : CDCDCDCD; +4B86 : CDCDCDCD; +4B87 : CDCDCDCD; +4B88 : CDCDCDCD; +4B89 : CDCDCDCD; +4B8A : CDCDCDCD; +4B8B : CDCDCDCD; +4B8C : CDCDCDCD; +4B8D : CDCDCDCD; +4B8E : CDCDCDCD; +4B8F : CDCDCDCD; +4B90 : CDCDCDCD; +4B91 : CDCDCDCD; +4B92 : CDCDCDCD; +4B93 : CDCDCDCD; +4B94 : CDCDCDCD; +4B95 : CDCDCDCD; +4B96 : CDCDCDCD; +4B97 : CDCDCDCD; +4B98 : CDCDCDCD; +4B99 : CDCDCDCD; +4B9A : CDCDCDCD; +4B9B : CDCDCDCD; +4B9C : CDCDCDCD; +4B9D : CDCDCDCD; +4B9E : CDCDCDCD; +4B9F : CDCDCDCD; +4BA0 : CDCDCDCD; +4BA1 : CDCDCDCD; +4BA2 : CDCDCDCD; +4BA3 : CDCDCDCD; +4BA4 : CDCDCDCD; +4BA5 : CDCDCDCD; +4BA6 : CDCDCDCD; +4BA7 : CDCDCDCD; +4BA8 : CDCDCDCD; +4BA9 : CDCDCDCD; +4BAA : CDCDCDCD; +4BAB : CDCDCDCD; +4BAC : CDCDCDCD; +4BAD : CDCDCDCD; +4BAE : CDCDCDCD; +4BAF : CDCDCDCD; +4BB0 : CDCDCDCD; +4BB1 : CDCDCDCD; +4BB2 : CDCDCDCD; +4BB3 : CDCDCDCD; +4BB4 : CDCDCDCD; +4BB5 : CDCDCDCD; +4BB6 : CDCDCDCD; +4BB7 : CDCDCDCD; +4BB8 : CDCDCDCD; +4BB9 : CDCDCDCD; +4BBA : CDCDCDCD; +4BBB : CDCDCDCD; +4BBC : CDCDCDCD; +4BBD : CDCDCDCD; +4BBE : CDCDCDCD; +4BBF : CDCDCDCD; +4BC0 : CDCDCDCD; +4BC1 : CDCDCDCD; +4BC2 : CDCDCDCD; +4BC3 : CDCDCDCD; +4BC4 : CDCDCDCD; +4BC5 : CDCDCDCD; +4BC6 : CDCDCDCD; +4BC7 : CDCDCDCD; +4BC8 : CDCDCDCD; +4BC9 : CDCDCDCD; +4BCA : CDCDCDCD; +4BCB : CDCDCDCD; +4BCC : CDCDCDCD; +4BCD : CDCDCDCD; +4BCE : CDCDCDCD; +4BCF : CDCDCDCD; +4BD0 : CDCDCDCD; +4BD1 : CDCDCDCD; +4BD2 : CDCDCDCD; +4BD3 : CDCDCDCD; +4BD4 : CDCDCDCD; +4BD5 : CDCDCDCD; +4BD6 : CDCDCDCD; +4BD7 : CDCDCDCD; +4BD8 : CDCDCDCD; +4BD9 : CDCDCDCD; +4BDA : CDCDCDCD; +4BDB : CDCDCDCD; +4BDC : CDCDCDCD; +4BDD : CDCDCDCD; +4BDE : CDCDCDCD; +4BDF : CDCDCDCD; +4BE0 : CDCDCDCD; +4BE1 : CDCDCDCD; +4BE2 : CDCDCDCD; +4BE3 : CDCDCDCD; +4BE4 : CDCDCDCD; +4BE5 : CDCDCDCD; +4BE6 : CDCDCDCD; +4BE7 : CDCDCDCD; +4BE8 : CDCDCDCD; +4BE9 : CDCDCDCD; +4BEA : CDCDCDCD; +4BEB : CDCDCDCD; +4BEC : CDCDCDCD; +4BED : CDCDCDCD; +4BEE : CDCDCDCD; +4BEF : CDCDCDCD; +4BF0 : CDCDCDCD; +4BF1 : CDCDCDCD; +4BF2 : CDCDCDCD; +4BF3 : CDCDCDCD; +4BF4 : CDCDCDCD; +4BF5 : CDCDCDCD; +4BF6 : CDCDCDCD; +4BF7 : CDCDCDCD; +4BF8 : CDCDCDCD; +4BF9 : CDCDCDCD; +4BFA : CDCDCDCD; +4BFB : CDCDCDCD; +4BFC : CDCDCDCD; +4BFD : CDCDCDCD; +4BFE : CDCDCDCD; +4BFF : CDCDCDCD; +4C00 : CDCDCDCD; +4C01 : CDCDCDCD; +4C02 : CDCDCDCD; +4C03 : CDCDCDCD; +4C04 : CDCDCDCD; +4C05 : CDCDCDCD; +4C06 : CDCDCDCD; +4C07 : CDCDCDCD; +4C08 : CDCDCDCD; +4C09 : CDCDCDCD; +4C0A : CDCDCDCD; +4C0B : CDCDCDCD; +4C0C : CDCDCDCD; +4C0D : CDCDCDCD; +4C0E : CDCDCDCD; +4C0F : CDCDCDCD; +4C10 : CDCDCDCD; +4C11 : CDCDCDCD; +4C12 : CDCDCDCD; +4C13 : CDCDCDCD; +4C14 : CDCDCDCD; +4C15 : CDCDCDCD; +4C16 : CDCDCDCD; +4C17 : CDCDCDCD; +4C18 : CDCDCDCD; +4C19 : CDCDCDCD; +4C1A : CDCDCDCD; +4C1B : CDCDCDCD; +4C1C : CDCDCDCD; +4C1D : CDCDCDCD; +4C1E : CDCDCDCD; +4C1F : CDCDCDCD; +4C20 : CDCDCDCD; +4C21 : CDCDCDCD; +4C22 : CDCDCDCD; +4C23 : CDCDCDCD; +4C24 : CDCDCDCD; +4C25 : CDCDCDCD; +4C26 : CDCDCDCD; +4C27 : CDCDCDCD; +4C28 : CDCDCDCD; +4C29 : CDCDCDCD; +4C2A : CDCDCDCD; +4C2B : CDCDCDCD; +4C2C : CDCDCDCD; +4C2D : CDCDCDCD; +4C2E : CDCDCDCD; +4C2F : CDCDCDCD; +4C30 : CDCDCDCD; +4C31 : CDCDCDCD; +4C32 : CDCDCDCD; +4C33 : CDCDCDCD; +4C34 : CDCDCDCD; +4C35 : CDCDCDCD; +4C36 : CDCDCDCD; +4C37 : CDCDCDCD; +4C38 : CDCDCDCD; +4C39 : CDCDCDCD; +4C3A : CDCDCDCD; +4C3B : CDCDCDCD; +4C3C : CDCDCDCD; +4C3D : CDCDCDCD; +4C3E : CDCDCDCD; +4C3F : CDCDCDCD; +4C40 : CDCDCDCD; +4C41 : CDCDCDCD; +4C42 : CDCDCDCD; +4C43 : CDCDCDCD; +4C44 : CDCDCDCD; +4C45 : CDCDCDCD; +4C46 : CDCDCDCD; +4C47 : CDCDCDCD; +4C48 : CDCDCDCD; +4C49 : CDCDCDCD; +4C4A : CDCDCDCD; +4C4B : CDCDCDCD; +4C4C : CDCDCDCD; +4C4D : CDCDCDCD; +4C4E : CDCDCDCD; +4C4F : CDCDCDCD; +4C50 : CDCDCDCD; +4C51 : CDCDCDCD; +4C52 : CDCDCDCD; +4C53 : CDCDCDCD; +4C54 : CDCDCDCD; +4C55 : CDCDCDCD; +4C56 : CDCDCDCD; +4C57 : CDCDCDCD; +4C58 : CDCDCDCD; +4C59 : CDCDCDCD; +4C5A : CDCDCDCD; +4C5B : CDCDCDCD; +4C5C : CDCDCDCD; +4C5D : CDCDCDCD; +4C5E : CDCDCDCD; +4C5F : CDCDCDCD; +4C60 : CDCDCDCD; +4C61 : CDCDCDCD; +4C62 : CDCDCDCD; +4C63 : CDCDCDCD; +4C64 : CDCDCDCD; +4C65 : CDCDCDCD; +4C66 : CDCDCDCD; +4C67 : CDCDCDCD; +4C68 : CDCDCDCD; +4C69 : CDCDCDCD; +4C6A : CDCDCDCD; +4C6B : CDCDCDCD; +4C6C : CDCDCDCD; +4C6D : CDCDCDCD; +4C6E : CDCDCDCD; +4C6F : CDCDCDCD; +4C70 : CDCDCDCD; +4C71 : CDCDCDCD; +4C72 : CDCDCDCD; +4C73 : CDCDCDCD; +4C74 : CDCDCDCD; +4C75 : CDCDCDCD; +4C76 : CDCDCDCD; +4C77 : CDCDCDCD; +4C78 : CDCDCDCD; +4C79 : CDCDCDCD; +4C7A : CDCDCDCD; +4C7B : CDCDCDCD; +4C7C : CDCDCDCD; +4C7D : CDCDCDCD; +4C7E : CDCDCDCD; +4C7F : CDCDCDCD; +4C80 : CDCDCDCD; +4C81 : CDCDCDCD; +4C82 : CDCDCDCD; +4C83 : CDCDCDCD; +4C84 : CDCDCDCD; +4C85 : CDCDCDCD; +4C86 : CDCDCDCD; +4C87 : CDCDCDCD; +4C88 : CDCDCDCD; +4C89 : CDCDCDCD; +4C8A : CDCDCDCD; +4C8B : CDCDCDCD; +4C8C : CDCDCDCD; +4C8D : CDCDCDCD; +4C8E : CDCDCDCD; +4C8F : CDCDCDCD; +4C90 : CDCDCDCD; +4C91 : CDCDCDCD; +4C92 : CDCDCDCD; +4C93 : CDCDCDCD; +4C94 : CDCDCDCD; +4C95 : CDCDCDCD; +4C96 : CDCDCDCD; +4C97 : CDCDCDCD; +4C98 : CDCDCDCD; +4C99 : CDCDCDCD; +4C9A : CDCDCDCD; +4C9B : CDCDCDCD; +4C9C : CDCDCDCD; +4C9D : CDCDCDCD; +4C9E : CDCDCDCD; +4C9F : CDCDCDCD; +4CA0 : CDCDCDCD; +4CA1 : CDCDCDCD; +4CA2 : CDCDCDCD; +4CA3 : CDCDCDCD; +4CA4 : CDCDCDCD; +4CA5 : CDCDCDCD; +4CA6 : CDCDCDCD; +4CA7 : CDCDCDCD; +4CA8 : CDCDCDCD; +4CA9 : CDCDCDCD; +4CAA : CDCDCDCD; +4CAB : CDCDCDCD; +4CAC : CDCDCDCD; +4CAD : CDCDCDCD; +4CAE : CDCDCDCD; +4CAF : CDCDCDCD; +4CB0 : CDCDCDCD; +4CB1 : CDCDCDCD; +4CB2 : CDCDCDCD; +4CB3 : CDCDCDCD; +4CB4 : CDCDCDCD; +4CB5 : CDCDCDCD; +4CB6 : CDCDCDCD; +4CB7 : CDCDCDCD; +4CB8 : CDCDCDCD; +4CB9 : CDCDCDCD; +4CBA : CDCDCDCD; +4CBB : CDCDCDCD; +4CBC : CDCDCDCD; +4CBD : CDCDCDCD; +4CBE : CDCDCDCD; +4CBF : CDCDCDCD; +4CC0 : CDCDCDCD; +4CC1 : CDCDCDCD; +4CC2 : CDCDCDCD; +4CC3 : CDCDCDCD; +4CC4 : CDCDCDCD; +4CC5 : CDCDCDCD; +4CC6 : CDCDCDCD; +4CC7 : CDCDCDCD; +4CC8 : CDCDCDCD; +4CC9 : CDCDCDCD; +4CCA : CDCDCDCD; +4CCB : CDCDCDCD; +4CCC : CDCDCDCD; +4CCD : CDCDCDCD; +4CCE : CDCDCDCD; +4CCF : CDCDCDCD; +4CD0 : CDCDCDCD; +4CD1 : CDCDCDCD; +4CD2 : CDCDCDCD; +4CD3 : CDCDCDCD; +4CD4 : CDCDCDCD; +4CD5 : CDCDCDCD; +4CD6 : CDCDCDCD; +4CD7 : CDCDCDCD; +4CD8 : CDCDCDCD; +4CD9 : CDCDCDCD; +4CDA : CDCDCDCD; +4CDB : CDCDCDCD; +4CDC : CDCDCDCD; +4CDD : CDCDCDCD; +4CDE : CDCDCDCD; +4CDF : CDCDCDCD; +4CE0 : CDCDCDCD; +4CE1 : CDCDCDCD; +4CE2 : CDCDCDCD; +4CE3 : CDCDCDCD; +4CE4 : CDCDCDCD; +4CE5 : CDCDCDCD; +4CE6 : CDCDCDCD; +4CE7 : CDCDCDCD; +4CE8 : CDCDCDCD; +4CE9 : CDCDCDCD; +4CEA : CDCDCDCD; +4CEB : CDCDCDCD; +4CEC : CDCDCDCD; +4CED : CDCDCDCD; +4CEE : CDCDCDCD; +4CEF : CDCDCDCD; +4CF0 : CDCDCDCD; +4CF1 : CDCDCDCD; +4CF2 : CDCDCDCD; +4CF3 : CDCDCDCD; +4CF4 : CDCDCDCD; +4CF5 : CDCDCDCD; +4CF6 : CDCDCDCD; +4CF7 : CDCDCDCD; +4CF8 : CDCDCDCD; +4CF9 : CDCDCDCD; +4CFA : CDCDCDCD; +4CFB : CDCDCDCD; +4CFC : CDCDCDCD; +4CFD : CDCDCDCD; +4CFE : CDCDCDCD; +4CFF : CDCDCDCD; +4D00 : CDCDCDCD; +4D01 : CDCDCDCD; +4D02 : CDCDCDCD; +4D03 : CDCDCDCD; +4D04 : CDCDCDCD; +4D05 : CDCDCDCD; +4D06 : CDCDCDCD; +4D07 : CDCDCDCD; +4D08 : CDCDCDCD; +4D09 : CDCDCDCD; +4D0A : CDCDCDCD; +4D0B : CDCDCDCD; +4D0C : CDCDCDCD; +4D0D : CDCDCDCD; +4D0E : CDCDCDCD; +4D0F : CDCDCDCD; +4D10 : CDCDCDCD; +4D11 : CDCDCDCD; +4D12 : CDCDCDCD; +4D13 : CDCDCDCD; +4D14 : CDCDCDCD; +4D15 : CDCDCDCD; +4D16 : CDCDCDCD; +4D17 : CDCDCDCD; +4D18 : CDCDCDCD; +4D19 : CDCDCDCD; +4D1A : CDCDCDCD; +4D1B : CDCDCDCD; +4D1C : CDCDCDCD; +4D1D : CDCDCDCD; +4D1E : CDCDCDCD; +4D1F : CDCDCDCD; +4D20 : CDCDCDCD; +4D21 : CDCDCDCD; +4D22 : CDCDCDCD; +4D23 : CDCDCDCD; +4D24 : CDCDCDCD; +4D25 : CDCDCDCD; +4D26 : CDCDCDCD; +4D27 : CDCDCDCD; +4D28 : CDCDCDCD; +4D29 : CDCDCDCD; +4D2A : CDCDCDCD; +4D2B : CDCDCDCD; +4D2C : CDCDCDCD; +4D2D : CDCDCDCD; +4D2E : CDCDCDCD; +4D2F : CDCDCDCD; +4D30 : CDCDCDCD; +4D31 : CDCDCDCD; +4D32 : CDCDCDCD; +4D33 : CDCDCDCD; +4D34 : CDCDCDCD; +4D35 : CDCDCDCD; +4D36 : CDCDCDCD; +4D37 : CDCDCDCD; +4D38 : CDCDCDCD; +4D39 : CDCDCDCD; +4D3A : CDCDCDCD; +4D3B : CDCDCDCD; +4D3C : CDCDCDCD; +4D3D : CDCDCDCD; +4D3E : CDCDCDCD; +4D3F : CDCDCDCD; +4D40 : CDCDCDCD; +4D41 : CDCDCDCD; +4D42 : CDCDCDCD; +4D43 : CDCDCDCD; +4D44 : CDCDCDCD; +4D45 : CDCDCDCD; +4D46 : CDCDCDCD; +4D47 : CDCDCDCD; +4D48 : CDCDCDCD; +4D49 : CDCDCDCD; +4D4A : CDCDCDCD; +4D4B : CDCDCDCD; +4D4C : CDCDCDCD; +4D4D : CDCDCDCD; +4D4E : CDCDCDCD; +4D4F : CDCDCDCD; +4D50 : CDCDCDCD; +4D51 : CDCDCDCD; +4D52 : CDCDCDCD; +4D53 : CDCDCDCD; +4D54 : CDCDCDCD; +4D55 : CDCDCDCD; +4D56 : CDCDCDCD; +4D57 : CDCDCDCD; +4D58 : CDCDCDCD; +4D59 : CDCDCDCD; +4D5A : CDCDCDCD; +4D5B : CDCDCDCD; +4D5C : CDCDCDCD; +4D5D : CDCDCDCD; +4D5E : CDCDCDCD; +4D5F : CDCDCDCD; +4D60 : CDCDCDCD; +4D61 : CDCDCDCD; +4D62 : CDCDCDCD; +4D63 : CDCDCDCD; +4D64 : CDCDCDCD; +4D65 : CDCDCDCD; +4D66 : CDCDCDCD; +4D67 : CDCDCDCD; +4D68 : CDCDCDCD; +4D69 : CDCDCDCD; +4D6A : CDCDCDCD; +4D6B : CDCDCDCD; +4D6C : CDCDCDCD; +4D6D : CDCDCDCD; +4D6E : CDCDCDCD; +4D6F : CDCDCDCD; +4D70 : CDCDCDCD; +4D71 : CDCDCDCD; +4D72 : CDCDCDCD; +4D73 : CDCDCDCD; +4D74 : CDCDCDCD; +4D75 : CDCDCDCD; +4D76 : CDCDCDCD; +4D77 : CDCDCDCD; +4D78 : CDCDCDCD; +4D79 : CDCDCDCD; +4D7A : CDCDCDCD; +4D7B : CDCDCDCD; +4D7C : CDCDCDCD; +4D7D : CDCDCDCD; +4D7E : CDCDCDCD; +4D7F : CDCDCDCD; +4D80 : CDCDCDCD; +4D81 : CDCDCDCD; +4D82 : CDCDCDCD; +4D83 : CDCDCDCD; +4D84 : CDCDCDCD; +4D85 : CDCDCDCD; +4D86 : CDCDCDCD; +4D87 : CDCDCDCD; +4D88 : CDCDCDCD; +4D89 : CDCDCDCD; +4D8A : CDCDCDCD; +4D8B : CDCDCDCD; +4D8C : CDCDCDCD; +4D8D : CDCDCDCD; +4D8E : CDCDCDCD; +4D8F : CDCDCDCD; +4D90 : CDCDCDCD; +4D91 : CDCDCDCD; +4D92 : CDCDCDCD; +4D93 : CDCDCDCD; +4D94 : CDCDCDCD; +4D95 : CDCDCDCD; +4D96 : CDCDCDCD; +4D97 : CDCDCDCD; +4D98 : CDCDCDCD; +4D99 : CDCDCDCD; +4D9A : CDCDCDCD; +4D9B : CDCDCDCD; +4D9C : CDCDCDCD; +4D9D : CDCDCDCD; +4D9E : CDCDCDCD; +4D9F : CDCDCDCD; +4DA0 : CDCDCDCD; +4DA1 : CDCDCDCD; +4DA2 : CDCDCDCD; +4DA3 : CDCDCDCD; +4DA4 : CDCDCDCD; +4DA5 : CDCDCDCD; +4DA6 : CDCDCDCD; +4DA7 : CDCDCDCD; +4DA8 : CDCDCDCD; +4DA9 : CDCDCDCD; +4DAA : CDCDCDCD; +4DAB : CDCDCDCD; +4DAC : CDCDCDCD; +4DAD : CDCDCDCD; +4DAE : CDCDCDCD; +4DAF : CDCDCDCD; +4DB0 : CDCDCDCD; +4DB1 : CDCDCDCD; +4DB2 : CDCDCDCD; +4DB3 : CDCDCDCD; +4DB4 : CDCDCDCD; +4DB5 : CDCDCDCD; +4DB6 : CDCDCDCD; +4DB7 : CDCDCDCD; +4DB8 : CDCDCDCD; +4DB9 : CDCDCDCD; +4DBA : CDCDCDCD; +4DBB : CDCDCDCD; +4DBC : CDCDCDCD; +4DBD : CDCDCDCD; +4DBE : CDCDCDCD; +4DBF : CDCDCDCD; +4DC0 : CDCDCDCD; +4DC1 : CDCDCDCD; +4DC2 : CDCDCDCD; +4DC3 : CDCDCDCD; +4DC4 : CDCDCDCD; +4DC5 : CDCDCDCD; +4DC6 : CDCDCDCD; +4DC7 : CDCDCDCD; +4DC8 : CDCDCDCD; +4DC9 : CDCDCDCD; +4DCA : CDCDCDCD; +4DCB : CDCDCDCD; +4DCC : CDCDCDCD; +4DCD : CDCDCDCD; +4DCE : CDCDCDCD; +4DCF : CDCDCDCD; +4DD0 : CDCDCDCD; +4DD1 : CDCDCDCD; +4DD2 : CDCDCDCD; +4DD3 : CDCDCDCD; +4DD4 : CDCDCDCD; +4DD5 : CDCDCDCD; +4DD6 : CDCDCDCD; +4DD7 : CDCDCDCD; +4DD8 : CDCDCDCD; +4DD9 : CDCDCDCD; +4DDA : CDCDCDCD; +4DDB : CDCDCDCD; +4DDC : CDCDCDCD; +4DDD : CDCDCDCD; +4DDE : CDCDCDCD; +4DDF : CDCDCDCD; +4DE0 : CDCDCDCD; +4DE1 : CDCDCDCD; +4DE2 : CDCDCDCD; +4DE3 : CDCDCDCD; +4DE4 : CDCDCDCD; +4DE5 : CDCDCDCD; +4DE6 : CDCDCDCD; +4DE7 : CDCDCDCD; +4DE8 : CDCDCDCD; +4DE9 : CDCDCDCD; +4DEA : CDCDCDCD; +4DEB : CDCDCDCD; +4DEC : CDCDCDCD; +4DED : CDCDCDCD; +4DEE : CDCDCDCD; +4DEF : CDCDCDCD; +4DF0 : CDCDCDCD; +4DF1 : CDCDCDCD; +4DF2 : CDCDCDCD; +4DF3 : CDCDCDCD; +4DF4 : CDCDCDCD; +4DF5 : CDCDCDCD; +4DF6 : CDCDCDCD; +4DF7 : CDCDCDCD; +4DF8 : CDCDCDCD; +4DF9 : CDCDCDCD; +4DFA : CDCDCDCD; +4DFB : CDCDCDCD; +4DFC : CDCDCDCD; +4DFD : CDCDCDCD; +4DFE : CDCDCDCD; +4DFF : CDCDCDCD; +4E00 : CDCDCDCD; +4E01 : CDCDCDCD; +4E02 : CDCDCDCD; +4E03 : CDCDCDCD; +4E04 : CDCDCDCD; +4E05 : CDCDCDCD; +4E06 : CDCDCDCD; +4E07 : CDCDCDCD; +4E08 : CDCDCDCD; +4E09 : CDCDCDCD; +4E0A : CDCDCDCD; +4E0B : CDCDCDCD; +4E0C : CDCDCDCD; +4E0D : CDCDCDCD; +4E0E : CDCDCDCD; +4E0F : CDCDCDCD; +4E10 : CDCDCDCD; +4E11 : CDCDCDCD; +4E12 : CDCDCDCD; +4E13 : CDCDCDCD; +4E14 : CDCDCDCD; +4E15 : CDCDCDCD; +4E16 : CDCDCDCD; +4E17 : CDCDCDCD; +4E18 : CDCDCDCD; +4E19 : CDCDCDCD; +4E1A : CDCDCDCD; +4E1B : CDCDCDCD; +4E1C : CDCDCDCD; +4E1D : CDCDCDCD; +4E1E : CDCDCDCD; +4E1F : CDCDCDCD; +4E20 : CDCDCDCD; +4E21 : CDCDCDCD; +4E22 : CDCDCDCD; +4E23 : CDCDCDCD; +4E24 : CDCDCDCD; +4E25 : CDCDCDCD; +4E26 : CDCDCDCD; +4E27 : CDCDCDCD; +4E28 : CDCDCDCD; +4E29 : CDCDCDCD; +4E2A : CDCDCDCD; +4E2B : CDCDCDCD; +4E2C : CDCDCDCD; +4E2D : CDCDCDCD; +4E2E : CDCDCDCD; +4E2F : CDCDCDCD; +4E30 : CDCDCDCD; +4E31 : CDCDCDCD; +4E32 : CDCDCDCD; +4E33 : CDCDCDCD; +4E34 : CDCDCDCD; +4E35 : CDCDCDCD; +4E36 : CDCDCDCD; +4E37 : CDCDCDCD; +4E38 : CDCDCDCD; +4E39 : CDCDCDCD; +4E3A : CDCDCDCD; +4E3B : CDCDCDCD; +4E3C : CDCDCDCD; +4E3D : CDCDCDCD; +4E3E : CDCDCDCD; +4E3F : CDCDCDCD; +4E40 : CDCDCDCD; +4E41 : CDCDCDCD; +4E42 : CDCDCDCD; +4E43 : CDCDCDCD; +4E44 : CDCDCDCD; +4E45 : CDCDCDCD; +4E46 : CDCDCDCD; +4E47 : CDCDCDCD; +4E48 : CDCDCDCD; +4E49 : CDCDCDCD; +4E4A : CDCDCDCD; +4E4B : CDCDCDCD; +4E4C : CDCDCDCD; +4E4D : CDCDCDCD; +4E4E : CDCDCDCD; +4E4F : CDCDCDCD; +4E50 : CDCDCDCD; +4E51 : CDCDCDCD; +4E52 : CDCDCDCD; +4E53 : CDCDCDCD; +4E54 : CDCDCDCD; +4E55 : CDCDCDCD; +4E56 : CDCDCDCD; +4E57 : CDCDCDCD; +4E58 : CDCDCDCD; +4E59 : CDCDCDCD; +4E5A : CDCDCDCD; +4E5B : CDCDCDCD; +4E5C : CDCDCDCD; +4E5D : CDCDCDCD; +4E5E : CDCDCDCD; +4E5F : CDCDCDCD; +4E60 : CDCDCDCD; +4E61 : CDCDCDCD; +4E62 : CDCDCDCD; +4E63 : CDCDCDCD; +4E64 : CDCDCDCD; +4E65 : CDCDCDCD; +4E66 : CDCDCDCD; +4E67 : CDCDCDCD; +4E68 : CDCDCDCD; +4E69 : CDCDCDCD; +4E6A : CDCDCDCD; +4E6B : CDCDCDCD; +4E6C : CDCDCDCD; +4E6D : CDCDCDCD; +4E6E : CDCDCDCD; +4E6F : CDCDCDCD; +4E70 : CDCDCDCD; +4E71 : CDCDCDCD; +4E72 : CDCDCDCD; +4E73 : CDCDCDCD; +4E74 : CDCDCDCD; +4E75 : CDCDCDCD; +4E76 : CDCDCDCD; +4E77 : CDCDCDCD; +4E78 : CDCDCDCD; +4E79 : CDCDCDCD; +4E7A : CDCDCDCD; +4E7B : CDCDCDCD; +4E7C : CDCDCDCD; +4E7D : CDCDCDCD; +4E7E : CDCDCDCD; +4E7F : CDCDCDCD; +4E80 : CDCDCDCD; +4E81 : CDCDCDCD; +4E82 : CDCDCDCD; +4E83 : CDCDCDCD; +4E84 : CDCDCDCD; +4E85 : CDCDCDCD; +4E86 : CDCDCDCD; +4E87 : CDCDCDCD; +4E88 : CDCDCDCD; +4E89 : CDCDCDCD; +4E8A : CDCDCDCD; +4E8B : CDCDCDCD; +4E8C : CDCDCDCD; +4E8D : CDCDCDCD; +4E8E : CDCDCDCD; +4E8F : CDCDCDCD; +4E90 : CDCDCDCD; +4E91 : CDCDCDCD; +4E92 : CDCDCDCD; +4E93 : CDCDCDCD; +4E94 : CDCDCDCD; +4E95 : CDCDCDCD; +4E96 : CDCDCDCD; +4E97 : CDCDCDCD; +4E98 : CDCDCDCD; +4E99 : CDCDCDCD; +4E9A : CDCDCDCD; +4E9B : CDCDCDCD; +4E9C : CDCDCDCD; +4E9D : CDCDCDCD; +4E9E : CDCDCDCD; +4E9F : CDCDCDCD; +4EA0 : CDCDCDCD; +4EA1 : CDCDCDCD; +4EA2 : CDCDCDCD; +4EA3 : CDCDCDCD; +4EA4 : CDCDCDCD; +4EA5 : CDCDCDCD; +4EA6 : CDCDCDCD; +4EA7 : CDCDCDCD; +4EA8 : CDCDCDCD; +4EA9 : CDCDCDCD; +4EAA : CDCDCDCD; +4EAB : CDCDCDCD; +4EAC : CDCDCDCD; +4EAD : CDCDCDCD; +4EAE : CDCDCDCD; +4EAF : CDCDCDCD; +4EB0 : CDCDCDCD; +4EB1 : CDCDCDCD; +4EB2 : CDCDCDCD; +4EB3 : CDCDCDCD; +4EB4 : CDCDCDCD; +4EB5 : CDCDCDCD; +4EB6 : CDCDCDCD; +4EB7 : CDCDCDCD; +4EB8 : CDCDCDCD; +4EB9 : CDCDCDCD; +4EBA : CDCDCDCD; +4EBB : CDCDCDCD; +4EBC : CDCDCDCD; +4EBD : CDCDCDCD; +4EBE : CDCDCDCD; +4EBF : CDCDCDCD; +4EC0 : CDCDCDCD; +4EC1 : CDCDCDCD; +4EC2 : CDCDCDCD; +4EC3 : CDCDCDCD; +4EC4 : CDCDCDCD; +4EC5 : CDCDCDCD; +4EC6 : CDCDCDCD; +4EC7 : CDCDCDCD; +4EC8 : CDCDCDCD; +4EC9 : CDCDCDCD; +4ECA : CDCDCDCD; +4ECB : CDCDCDCD; +4ECC : CDCDCDCD; +4ECD : CDCDCDCD; +4ECE : CDCDCDCD; +4ECF : CDCDCDCD; +4ED0 : CDCDCDCD; +4ED1 : CDCDCDCD; +4ED2 : CDCDCDCD; +4ED3 : CDCDCDCD; +4ED4 : CDCDCDCD; +4ED5 : CDCDCDCD; +4ED6 : CDCDCDCD; +4ED7 : CDCDCDCD; +4ED8 : CDCDCDCD; +4ED9 : CDCDCDCD; +4EDA : CDCDCDCD; +4EDB : CDCDCDCD; +4EDC : CDCDCDCD; +4EDD : CDCDCDCD; +4EDE : CDCDCDCD; +4EDF : CDCDCDCD; +4EE0 : CDCDCDCD; +4EE1 : CDCDCDCD; +4EE2 : CDCDCDCD; +4EE3 : CDCDCDCD; +4EE4 : CDCDCDCD; +4EE5 : CDCDCDCD; +4EE6 : CDCDCDCD; +4EE7 : CDCDCDCD; +4EE8 : CDCDCDCD; +4EE9 : CDCDCDCD; +4EEA : CDCDCDCD; +4EEB : CDCDCDCD; +4EEC : CDCDCDCD; +4EED : CDCDCDCD; +4EEE : CDCDCDCD; +4EEF : CDCDCDCD; +4EF0 : CDCDCDCD; +4EF1 : CDCDCDCD; +4EF2 : CDCDCDCD; +4EF3 : CDCDCDCD; +4EF4 : CDCDCDCD; +4EF5 : CDCDCDCD; +4EF6 : CDCDCDCD; +4EF7 : CDCDCDCD; +4EF8 : CDCDCDCD; +4EF9 : CDCDCDCD; +4EFA : CDCDCDCD; +4EFB : CDCDCDCD; +4EFC : CDCDCDCD; +4EFD : CDCDCDCD; +4EFE : CDCDCDCD; +4EFF : CDCDCDCD; +4F00 : CDCDCDCD; +4F01 : CDCDCDCD; +4F02 : CDCDCDCD; +4F03 : CDCDCDCD; +4F04 : CDCDCDCD; +4F05 : CDCDCDCD; +4F06 : CDCDCDCD; +4F07 : CDCDCDCD; +4F08 : CDCDCDCD; +4F09 : CDCDCDCD; +4F0A : CDCDCDCD; +4F0B : CDCDCDCD; +4F0C : CDCDCDCD; +4F0D : CDCDCDCD; +4F0E : CDCDCDCD; +4F0F : CDCDCDCD; +4F10 : CDCDCDCD; +4F11 : CDCDCDCD; +4F12 : CDCDCDCD; +4F13 : CDCDCDCD; +4F14 : CDCDCDCD; +4F15 : CDCDCDCD; +4F16 : CDCDCDCD; +4F17 : CDCDCDCD; +4F18 : CDCDCDCD; +4F19 : CDCDCDCD; +4F1A : CDCDCDCD; +4F1B : CDCDCDCD; +4F1C : CDCDCDCD; +4F1D : CDCDCDCD; +4F1E : CDCDCDCD; +4F1F : CDCDCDCD; +4F20 : CDCDCDCD; +4F21 : CDCDCDCD; +4F22 : CDCDCDCD; +4F23 : CDCDCDCD; +4F24 : CDCDCDCD; +4F25 : CDCDCDCD; +4F26 : CDCDCDCD; +4F27 : CDCDCDCD; +4F28 : CDCDCDCD; +4F29 : CDCDCDCD; +4F2A : CDCDCDCD; +4F2B : CDCDCDCD; +4F2C : CDCDCDCD; +4F2D : CDCDCDCD; +4F2E : CDCDCDCD; +4F2F : CDCDCDCD; +4F30 : CDCDCDCD; +4F31 : CDCDCDCD; +4F32 : CDCDCDCD; +4F33 : CDCDCDCD; +4F34 : CDCDCDCD; +4F35 : CDCDCDCD; +4F36 : CDCDCDCD; +4F37 : CDCDCDCD; +4F38 : CDCDCDCD; +4F39 : CDCDCDCD; +4F3A : CDCDCDCD; +4F3B : CDCDCDCD; +4F3C : CDCDCDCD; +4F3D : CDCDCDCD; +4F3E : CDCDCDCD; +4F3F : CDCDCDCD; +4F40 : CDCDCDCD; +4F41 : CDCDCDCD; +4F42 : CDCDCDCD; +4F43 : CDCDCDCD; +4F44 : CDCDCDCD; +4F45 : CDCDCDCD; +4F46 : CDCDCDCD; +4F47 : CDCDCDCD; +4F48 : CDCDCDCD; +4F49 : CDCDCDCD; +4F4A : CDCDCDCD; +4F4B : CDCDCDCD; +4F4C : CDCDCDCD; +4F4D : CDCDCDCD; +4F4E : CDCDCDCD; +4F4F : CDCDCDCD; +4F50 : CDCDCDCD; +4F51 : CDCDCDCD; +4F52 : CDCDCDCD; +4F53 : CDCDCDCD; +4F54 : CDCDCDCD; +4F55 : CDCDCDCD; +4F56 : CDCDCDCD; +4F57 : CDCDCDCD; +4F58 : CDCDCDCD; +4F59 : CDCDCDCD; +4F5A : CDCDCDCD; +4F5B : CDCDCDCD; +4F5C : CDCDCDCD; +4F5D : CDCDCDCD; +4F5E : CDCDCDCD; +4F5F : CDCDCDCD; +4F60 : CDCDCDCD; +4F61 : CDCDCDCD; +4F62 : CDCDCDCD; +4F63 : CDCDCDCD; +4F64 : CDCDCDCD; +4F65 : CDCDCDCD; +4F66 : CDCDCDCD; +4F67 : CDCDCDCD; +4F68 : CDCDCDCD; +4F69 : CDCDCDCD; +4F6A : CDCDCDCD; +4F6B : CDCDCDCD; +4F6C : CDCDCDCD; +4F6D : CDCDCDCD; +4F6E : CDCDCDCD; +4F6F : CDCDCDCD; +4F70 : CDCDCDCD; +4F71 : CDCDCDCD; +4F72 : CDCDCDCD; +4F73 : CDCDCDCD; +4F74 : CDCDCDCD; +4F75 : CDCDCDCD; +4F76 : CDCDCDCD; +4F77 : CDCDCDCD; +4F78 : CDCDCDCD; +4F79 : CDCDCDCD; +4F7A : CDCDCDCD; +4F7B : CDCDCDCD; +4F7C : CDCDCDCD; +4F7D : CDCDCDCD; +4F7E : CDCDCDCD; +4F7F : CDCDCDCD; +4F80 : CDCDCDCD; +4F81 : CDCDCDCD; +4F82 : CDCDCDCD; +4F83 : CDCDCDCD; +4F84 : CDCDCDCD; +4F85 : CDCDCDCD; +4F86 : CDCDCDCD; +4F87 : CDCDCDCD; +4F88 : CDCDCDCD; +4F89 : CDCDCDCD; +4F8A : CDCDCDCD; +4F8B : CDCDCDCD; +4F8C : CDCDCDCD; +4F8D : CDCDCDCD; +4F8E : CDCDCDCD; +4F8F : CDCDCDCD; +4F90 : CDCDCDCD; +4F91 : CDCDCDCD; +4F92 : CDCDCDCD; +4F93 : CDCDCDCD; +4F94 : CDCDCDCD; +4F95 : CDCDCDCD; +4F96 : CDCDCDCD; +4F97 : CDCDCDCD; +4F98 : CDCDCDCD; +4F99 : CDCDCDCD; +4F9A : CDCDCDCD; +4F9B : CDCDCDCD; +4F9C : CDCDCDCD; +4F9D : CDCDCDCD; +4F9E : CDCDCDCD; +4F9F : CDCDCDCD; +4FA0 : CDCDCDCD; +4FA1 : CDCDCDCD; +4FA2 : CDCDCDCD; +4FA3 : CDCDCDCD; +4FA4 : CDCDCDCD; +4FA5 : CDCDCDCD; +4FA6 : CDCDCDCD; +4FA7 : CDCDCDCD; +4FA8 : CDCDCDCD; +4FA9 : CDCDCDCD; +4FAA : CDCDCDCD; +4FAB : CDCDCDCD; +4FAC : CDCDCDCD; +4FAD : CDCDCDCD; +4FAE : CDCDCDCD; +4FAF : CDCDCDCD; +4FB0 : CDCDCDCD; +4FB1 : CDCDCDCD; +4FB2 : CDCDCDCD; +4FB3 : CDCDCDCD; +4FB4 : CDCDCDCD; +4FB5 : CDCDCDCD; +4FB6 : CDCDCDCD; +4FB7 : CDCDCDCD; +4FB8 : CDCDCDCD; +4FB9 : CDCDCDCD; +4FBA : CDCDCDCD; +4FBB : CDCDCDCD; +4FBC : CDCDCDCD; +4FBD : CDCDCDCD; +4FBE : CDCDCDCD; +4FBF : CDCDCDCD; +4FC0 : CDCDCDCD; +4FC1 : CDCDCDCD; +4FC2 : CDCDCDCD; +4FC3 : CDCDCDCD; +4FC4 : CDCDCDCD; +4FC5 : CDCDCDCD; +4FC6 : CDCDCDCD; +4FC7 : CDCDCDCD; +4FC8 : CDCDCDCD; +4FC9 : CDCDCDCD; +4FCA : CDCDCDCD; +4FCB : CDCDCDCD; +4FCC : CDCDCDCD; +4FCD : CDCDCDCD; +4FCE : CDCDCDCD; +4FCF : CDCDCDCD; +4FD0 : CDCDCDCD; +4FD1 : CDCDCDCD; +4FD2 : CDCDCDCD; +4FD3 : CDCDCDCD; +4FD4 : CDCDCDCD; +4FD5 : CDCDCDCD; +4FD6 : CDCDCDCD; +4FD7 : CDCDCDCD; +4FD8 : CDCDCDCD; +4FD9 : CDCDCDCD; +4FDA : CDCDCDCD; +4FDB : CDCDCDCD; +4FDC : CDCDCDCD; +4FDD : CDCDCDCD; +4FDE : CDCDCDCD; +4FDF : CDCDCDCD; +4FE0 : CDCDCDCD; +4FE1 : CDCDCDCD; +4FE2 : CDCDCDCD; +4FE3 : CDCDCDCD; +4FE4 : CDCDCDCD; +4FE5 : CDCDCDCD; +4FE6 : CDCDCDCD; +4FE7 : CDCDCDCD; +4FE8 : CDCDCDCD; +4FE9 : CDCDCDCD; +4FEA : CDCDCDCD; +4FEB : CDCDCDCD; +4FEC : CDCDCDCD; +4FED : CDCDCDCD; +4FEE : CDCDCDCD; +4FEF : CDCDCDCD; +4FF0 : CDCDCDCD; +4FF1 : CDCDCDCD; +4FF2 : CDCDCDCD; +4FF3 : CDCDCDCD; +4FF4 : CDCDCDCD; +4FF5 : CDCDCDCD; +4FF6 : CDCDCDCD; +4FF7 : CDCDCDCD; +4FF8 : CDCDCDCD; +4FF9 : CDCDCDCD; +4FFA : CDCDCDCD; +4FFB : CDCDCDCD; +4FFC : CDCDCDCD; +4FFD : CDCDCDCD; +4FFE : CDCDCDCD; +4FFF : CDCDCDCD; +5000 : CDCDCDCD; +5001 : CDCDCDCD; +5002 : CDCDCDCD; +5003 : CDCDCDCD; +5004 : CDCDCDCD; +5005 : CDCDCDCD; +5006 : CDCDCDCD; +5007 : CDCDCDCD; +5008 : CDCDCDCD; +5009 : CDCDCDCD; +500A : CDCDCDCD; +500B : CDCDCDCD; +500C : CDCDCDCD; +500D : CDCDCDCD; +500E : CDCDCDCD; +500F : CDCDCDCD; +5010 : CDCDCDCD; +5011 : CDCDCDCD; +5012 : CDCDCDCD; +5013 : CDCDCDCD; +5014 : CDCDCDCD; +5015 : CDCDCDCD; +5016 : CDCDCDCD; +5017 : CDCDCDCD; +5018 : CDCDCDCD; +5019 : CDCDCDCD; +501A : CDCDCDCD; +501B : CDCDCDCD; +501C : CDCDCDCD; +501D : CDCDCDCD; +501E : CDCDCDCD; +501F : CDCDCDCD; +5020 : CDCDCDCD; +5021 : CDCDCDCD; +5022 : CDCDCDCD; +5023 : CDCDCDCD; +5024 : CDCDCDCD; +5025 : CDCDCDCD; +5026 : CDCDCDCD; +5027 : CDCDCDCD; +5028 : CDCDCDCD; +5029 : CDCDCDCD; +502A : CDCDCDCD; +502B : CDCDCDCD; +502C : CDCDCDCD; +502D : CDCDCDCD; +502E : CDCDCDCD; +502F : CDCDCDCD; +5030 : CDCDCDCD; +5031 : CDCDCDCD; +5032 : CDCDCDCD; +5033 : CDCDCDCD; +5034 : CDCDCDCD; +5035 : CDCDCDCD; +5036 : CDCDCDCD; +5037 : CDCDCDCD; +5038 : CDCDCDCD; +5039 : CDCDCDCD; +503A : CDCDCDCD; +503B : CDCDCDCD; +503C : CDCDCDCD; +503D : CDCDCDCD; +503E : CDCDCDCD; +503F : CDCDCDCD; +5040 : CDCDCDCD; +5041 : CDCDCDCD; +5042 : CDCDCDCD; +5043 : CDCDCDCD; +5044 : CDCDCDCD; +5045 : CDCDCDCD; +5046 : CDCDCDCD; +5047 : CDCDCDCD; +5048 : CDCDCDCD; +5049 : CDCDCDCD; +504A : CDCDCDCD; +504B : CDCDCDCD; +504C : CDCDCDCD; +504D : CDCDCDCD; +504E : CDCDCDCD; +504F : CDCDCDCD; +5050 : CDCDCDCD; +5051 : CDCDCDCD; +5052 : CDCDCDCD; +5053 : CDCDCDCD; +5054 : CDCDCDCD; +5055 : CDCDCDCD; +5056 : CDCDCDCD; +5057 : CDCDCDCD; +5058 : CDCDCDCD; +5059 : CDCDCDCD; +505A : CDCDCDCD; +505B : CDCDCDCD; +505C : CDCDCDCD; +505D : CDCDCDCD; +505E : CDCDCDCD; +505F : CDCDCDCD; +5060 : CDCDCDCD; +5061 : CDCDCDCD; +5062 : CDCDCDCD; +5063 : CDCDCDCD; +5064 : CDCDCDCD; +5065 : CDCDCDCD; +5066 : CDCDCDCD; +5067 : CDCDCDCD; +5068 : CDCDCDCD; +5069 : CDCDCDCD; +506A : CDCDCDCD; +506B : CDCDCDCD; +506C : CDCDCDCD; +506D : CDCDCDCD; +506E : CDCDCDCD; +506F : CDCDCDCD; +5070 : CDCDCDCD; +5071 : CDCDCDCD; +5072 : CDCDCDCD; +5073 : CDCDCDCD; +5074 : CDCDCDCD; +5075 : CDCDCDCD; +5076 : CDCDCDCD; +5077 : CDCDCDCD; +5078 : CDCDCDCD; +5079 : CDCDCDCD; +507A : CDCDCDCD; +507B : CDCDCDCD; +507C : CDCDCDCD; +507D : CDCDCDCD; +507E : CDCDCDCD; +507F : CDCDCDCD; +5080 : CDCDCDCD; +5081 : CDCDCDCD; +5082 : CDCDCDCD; +5083 : CDCDCDCD; +5084 : CDCDCDCD; +5085 : CDCDCDCD; +5086 : CDCDCDCD; +5087 : CDCDCDCD; +5088 : CDCDCDCD; +5089 : CDCDCDCD; +508A : CDCDCDCD; +508B : CDCDCDCD; +508C : CDCDCDCD; +508D : CDCDCDCD; +508E : CDCDCDCD; +508F : CDCDCDCD; +5090 : CDCDCDCD; +5091 : CDCDCDCD; +5092 : CDCDCDCD; +5093 : CDCDCDCD; +5094 : CDCDCDCD; +5095 : CDCDCDCD; +5096 : CDCDCDCD; +5097 : CDCDCDCD; +5098 : CDCDCDCD; +5099 : CDCDCDCD; +509A : CDCDCDCD; +509B : CDCDCDCD; +509C : CDCDCDCD; +509D : CDCDCDCD; +509E : CDCDCDCD; +509F : CDCDCDCD; +50A0 : CDCDCDCD; +50A1 : CDCDCDCD; +50A2 : CDCDCDCD; +50A3 : CDCDCDCD; +50A4 : CDCDCDCD; +50A5 : CDCDCDCD; +50A6 : CDCDCDCD; +50A7 : CDCDCDCD; +50A8 : CDCDCDCD; +50A9 : CDCDCDCD; +50AA : CDCDCDCD; +50AB : CDCDCDCD; +50AC : CDCDCDCD; +50AD : CDCDCDCD; +50AE : CDCDCDCD; +50AF : CDCDCDCD; +50B0 : CDCDCDCD; +50B1 : CDCDCDCD; +50B2 : CDCDCDCD; +50B3 : CDCDCDCD; +50B4 : CDCDCDCD; +50B5 : CDCDCDCD; +50B6 : CDCDCDCD; +50B7 : CDCDCDCD; +50B8 : CDCDCDCD; +50B9 : CDCDCDCD; +50BA : CDCDCDCD; +50BB : CDCDCDCD; +50BC : CDCDCDCD; +50BD : CDCDCDCD; +50BE : CDCDCDCD; +50BF : CDCDCDCD; +50C0 : CDCDCDCD; +50C1 : CDCDCDCD; +50C2 : CDCDCDCD; +50C3 : CDCDCDCD; +50C4 : CDCDCDCD; +50C5 : CDCDCDCD; +50C6 : CDCDCDCD; +50C7 : CDCDCDCD; +50C8 : CDCDCDCD; +50C9 : CDCDCDCD; +50CA : CDCDCDCD; +50CB : CDCDCDCD; +50CC : CDCDCDCD; +50CD : CDCDCDCD; +50CE : CDCDCDCD; +50CF : CDCDCDCD; +50D0 : CDCDCDCD; +50D1 : CDCDCDCD; +50D2 : CDCDCDCD; +50D3 : CDCDCDCD; +50D4 : CDCDCDCD; +50D5 : CDCDCDCD; +50D6 : CDCDCDCD; +50D7 : CDCDCDCD; +50D8 : CDCDCDCD; +50D9 : CDCDCDCD; +50DA : CDCDCDCD; +50DB : CDCDCDCD; +50DC : CDCDCDCD; +50DD : CDCDCDCD; +50DE : CDCDCDCD; +50DF : CDCDCDCD; +50E0 : CDCDCDCD; +50E1 : CDCDCDCD; +50E2 : CDCDCDCD; +50E3 : CDCDCDCD; +50E4 : CDCDCDCD; +50E5 : CDCDCDCD; +50E6 : CDCDCDCD; +50E7 : CDCDCDCD; +50E8 : CDCDCDCD; +50E9 : CDCDCDCD; +50EA : CDCDCDCD; +50EB : CDCDCDCD; +50EC : CDCDCDCD; +50ED : CDCDCDCD; +50EE : CDCDCDCD; +50EF : CDCDCDCD; +50F0 : CDCDCDCD; +50F1 : CDCDCDCD; +50F2 : CDCDCDCD; +50F3 : CDCDCDCD; +50F4 : CDCDCDCD; +50F5 : CDCDCDCD; +50F6 : CDCDCDCD; +50F7 : CDCDCDCD; +50F8 : CDCDCDCD; +50F9 : CDCDCDCD; +50FA : CDCDCDCD; +50FB : CDCDCDCD; +50FC : CDCDCDCD; +50FD : CDCDCDCD; +50FE : CDCDCDCD; +50FF : CDCDCDCD; +5100 : CDCDCDCD; +5101 : CDCDCDCD; +5102 : CDCDCDCD; +5103 : CDCDCDCD; +5104 : CDCDCDCD; +5105 : CDCDCDCD; +5106 : CDCDCDCD; +5107 : CDCDCDCD; +5108 : CDCDCDCD; +5109 : CDCDCDCD; +510A : CDCDCDCD; +510B : CDCDCDCD; +510C : CDCDCDCD; +510D : CDCDCDCD; +510E : CDCDCDCD; +510F : CDCDCDCD; +5110 : CDCDCDCD; +5111 : CDCDCDCD; +5112 : CDCDCDCD; +5113 : CDCDCDCD; +5114 : CDCDCDCD; +5115 : CDCDCDCD; +5116 : CDCDCDCD; +5117 : CDCDCDCD; +5118 : CDCDCDCD; +5119 : CDCDCDCD; +511A : CDCDCDCD; +511B : CDCDCDCD; +511C : CDCDCDCD; +511D : CDCDCDCD; +511E : CDCDCDCD; +511F : CDCDCDCD; +5120 : CDCDCDCD; +5121 : CDCDCDCD; +5122 : CDCDCDCD; +5123 : CDCDCDCD; +5124 : CDCDCDCD; +5125 : CDCDCDCD; +5126 : CDCDCDCD; +5127 : CDCDCDCD; +5128 : CDCDCDCD; +5129 : CDCDCDCD; +512A : CDCDCDCD; +512B : CDCDCDCD; +512C : CDCDCDCD; +512D : CDCDCDCD; +512E : CDCDCDCD; +512F : CDCDCDCD; +5130 : CDCDCDCD; +5131 : CDCDCDCD; +5132 : CDCDCDCD; +5133 : CDCDCDCD; +5134 : CDCDCDCD; +5135 : CDCDCDCD; +5136 : CDCDCDCD; +5137 : CDCDCDCD; +5138 : CDCDCDCD; +5139 : CDCDCDCD; +513A : CDCDCDCD; +513B : CDCDCDCD; +513C : CDCDCDCD; +513D : CDCDCDCD; +513E : CDCDCDCD; +513F : CDCDCDCD; +5140 : CDCDCDCD; +5141 : CDCDCDCD; +5142 : CDCDCDCD; +5143 : CDCDCDCD; +5144 : CDCDCDCD; +5145 : CDCDCDCD; +5146 : CDCDCDCD; +5147 : CDCDCDCD; +5148 : CDCDCDCD; +5149 : CDCDCDCD; +514A : CDCDCDCD; +514B : CDCDCDCD; +514C : CDCDCDCD; +514D : CDCDCDCD; +514E : CDCDCDCD; +514F : CDCDCDCD; +5150 : CDCDCDCD; +5151 : CDCDCDCD; +5152 : CDCDCDCD; +5153 : CDCDCDCD; +5154 : CDCDCDCD; +5155 : CDCDCDCD; +5156 : CDCDCDCD; +5157 : CDCDCDCD; +5158 : CDCDCDCD; +5159 : CDCDCDCD; +515A : CDCDCDCD; +515B : CDCDCDCD; +515C : CDCDCDCD; +515D : CDCDCDCD; +515E : CDCDCDCD; +515F : CDCDCDCD; +5160 : CDCDCDCD; +5161 : CDCDCDCD; +5162 : CDCDCDCD; +5163 : CDCDCDCD; +5164 : CDCDCDCD; +5165 : CDCDCDCD; +5166 : CDCDCDCD; +5167 : CDCDCDCD; +5168 : CDCDCDCD; +5169 : CDCDCDCD; +516A : CDCDCDCD; +516B : CDCDCDCD; +516C : CDCDCDCD; +516D : CDCDCDCD; +516E : CDCDCDCD; +516F : CDCDCDCD; +5170 : CDCDCDCD; +5171 : CDCDCDCD; +5172 : CDCDCDCD; +5173 : CDCDCDCD; +5174 : CDCDCDCD; +5175 : CDCDCDCD; +5176 : CDCDCDCD; +5177 : CDCDCDCD; +5178 : CDCDCDCD; +5179 : CDCDCDCD; +517A : CDCDCDCD; +517B : CDCDCDCD; +517C : CDCDCDCD; +517D : CDCDCDCD; +517E : CDCDCDCD; +517F : CDCDCDCD; +5180 : CDCDCDCD; +5181 : CDCDCDCD; +5182 : CDCDCDCD; +5183 : CDCDCDCD; +5184 : CDCDCDCD; +5185 : CDCDCDCD; +5186 : CDCDCDCD; +5187 : CDCDCDCD; +5188 : CDCDCDCD; +5189 : CDCDCDCD; +518A : CDCDCDCD; +518B : CDCDCDCD; +518C : CDCDCDCD; +518D : CDCDCDCD; +518E : CDCDCDCD; +518F : CDCDCDCD; +5190 : CDCDCDCD; +5191 : CDCDCDCD; +5192 : CDCDCDCD; +5193 : CDCDCDCD; +5194 : CDCDCDCD; +5195 : CDCDCDCD; +5196 : CDCDCDCD; +5197 : CDCDCDCD; +5198 : CDCDCDCD; +5199 : CDCDCDCD; +519A : CDCDCDCD; +519B : CDCDCDCD; +519C : CDCDCDCD; +519D : CDCDCDCD; +519E : CDCDCDCD; +519F : CDCDCDCD; +51A0 : CDCDCDCD; +51A1 : CDCDCDCD; +51A2 : CDCDCDCD; +51A3 : CDCDCDCD; +51A4 : CDCDCDCD; +51A5 : CDCDCDCD; +51A6 : CDCDCDCD; +51A7 : CDCDCDCD; +51A8 : CDCDCDCD; +51A9 : CDCDCDCD; +51AA : CDCDCDCD; +51AB : CDCDCDCD; +51AC : CDCDCDCD; +51AD : CDCDCDCD; +51AE : CDCDCDCD; +51AF : CDCDCDCD; +51B0 : CDCDCDCD; +51B1 : CDCDCDCD; +51B2 : CDCDCDCD; +51B3 : CDCDCDCD; +51B4 : CDCDCDCD; +51B5 : CDCDCDCD; +51B6 : CDCDCDCD; +51B7 : CDCDCDCD; +51B8 : CDCDCDCD; +51B9 : CDCDCDCD; +51BA : CDCDCDCD; +51BB : CDCDCDCD; +51BC : CDCDCDCD; +51BD : CDCDCDCD; +51BE : CDCDCDCD; +51BF : CDCDCDCD; +51C0 : CDCDCDCD; +51C1 : CDCDCDCD; +51C2 : CDCDCDCD; +51C3 : CDCDCDCD; +51C4 : CDCDCDCD; +51C5 : CDCDCDCD; +51C6 : CDCDCDCD; +51C7 : CDCDCDCD; +51C8 : CDCDCDCD; +51C9 : CDCDCDCD; +51CA : CDCDCDCD; +51CB : CDCDCDCD; +51CC : CDCDCDCD; +51CD : CDCDCDCD; +51CE : CDCDCDCD; +51CF : CDCDCDCD; +51D0 : CDCDCDCD; +51D1 : CDCDCDCD; +51D2 : CDCDCDCD; +51D3 : CDCDCDCD; +51D4 : CDCDCDCD; +51D5 : CDCDCDCD; +51D6 : CDCDCDCD; +51D7 : CDCDCDCD; +51D8 : CDCDCDCD; +51D9 : CDCDCDCD; +51DA : CDCDCDCD; +51DB : CDCDCDCD; +51DC : CDCDCDCD; +51DD : CDCDCDCD; +51DE : CDCDCDCD; +51DF : CDCDCDCD; +51E0 : CDCDCDCD; +51E1 : CDCDCDCD; +51E2 : CDCDCDCD; +51E3 : CDCDCDCD; +51E4 : CDCDCDCD; +51E5 : CDCDCDCD; +51E6 : CDCDCDCD; +51E7 : CDCDCDCD; +51E8 : CDCDCDCD; +51E9 : CDCDCDCD; +51EA : CDCDCDCD; +51EB : CDCDCDCD; +51EC : CDCDCDCD; +51ED : CDCDCDCD; +51EE : CDCDCDCD; +51EF : CDCDCDCD; +51F0 : CDCDCDCD; +51F1 : CDCDCDCD; +51F2 : CDCDCDCD; +51F3 : CDCDCDCD; +51F4 : CDCDCDCD; +51F5 : CDCDCDCD; +51F6 : CDCDCDCD; +51F7 : CDCDCDCD; +51F8 : CDCDCDCD; +51F9 : CDCDCDCD; +51FA : CDCDCDCD; +51FB : CDCDCDCD; +51FC : CDCDCDCD; +51FD : CDCDCDCD; +51FE : CDCDCDCD; +51FF : CDCDCDCD; +5200 : CDCDCDCD; +5201 : CDCDCDCD; +5202 : CDCDCDCD; +5203 : CDCDCDCD; +5204 : CDCDCDCD; +5205 : CDCDCDCD; +5206 : CDCDCDCD; +5207 : CDCDCDCD; +5208 : CDCDCDCD; +5209 : CDCDCDCD; +520A : CDCDCDCD; +520B : CDCDCDCD; +520C : CDCDCDCD; +520D : CDCDCDCD; +520E : CDCDCDCD; +520F : CDCDCDCD; +5210 : CDCDCDCD; +5211 : CDCDCDCD; +5212 : CDCDCDCD; +5213 : CDCDCDCD; +5214 : CDCDCDCD; +5215 : CDCDCDCD; +5216 : CDCDCDCD; +5217 : CDCDCDCD; +5218 : CDCDCDCD; +5219 : CDCDCDCD; +521A : CDCDCDCD; +521B : CDCDCDCD; +521C : CDCDCDCD; +521D : CDCDCDCD; +521E : CDCDCDCD; +521F : CDCDCDCD; +5220 : CDCDCDCD; +5221 : CDCDCDCD; +5222 : CDCDCDCD; +5223 : CDCDCDCD; +5224 : CDCDCDCD; +5225 : CDCDCDCD; +5226 : CDCDCDCD; +5227 : CDCDCDCD; +5228 : CDCDCDCD; +5229 : CDCDCDCD; +522A : CDCDCDCD; +522B : CDCDCDCD; +522C : CDCDCDCD; +522D : CDCDCDCD; +522E : CDCDCDCD; +522F : CDCDCDCD; +5230 : CDCDCDCD; +5231 : CDCDCDCD; +5232 : CDCDCDCD; +5233 : CDCDCDCD; +5234 : CDCDCDCD; +5235 : CDCDCDCD; +5236 : CDCDCDCD; +5237 : CDCDCDCD; +5238 : CDCDCDCD; +5239 : CDCDCDCD; +523A : CDCDCDCD; +523B : CDCDCDCD; +523C : CDCDCDCD; +523D : CDCDCDCD; +523E : CDCDCDCD; +523F : CDCDCDCD; +5240 : CDCDCDCD; +5241 : CDCDCDCD; +5242 : CDCDCDCD; +5243 : CDCDCDCD; +5244 : CDCDCDCD; +5245 : CDCDCDCD; +5246 : CDCDCDCD; +5247 : CDCDCDCD; +5248 : CDCDCDCD; +5249 : CDCDCDCD; +524A : CDCDCDCD; +524B : CDCDCDCD; +524C : CDCDCDCD; +524D : CDCDCDCD; +524E : CDCDCDCD; +524F : CDCDCDCD; +5250 : CDCDCDCD; +5251 : CDCDCDCD; +5252 : CDCDCDCD; +5253 : CDCDCDCD; +5254 : CDCDCDCD; +5255 : CDCDCDCD; +5256 : CDCDCDCD; +5257 : CDCDCDCD; +5258 : CDCDCDCD; +5259 : CDCDCDCD; +525A : CDCDCDCD; +525B : CDCDCDCD; +525C : CDCDCDCD; +525D : CDCDCDCD; +525E : CDCDCDCD; +525F : CDCDCDCD; +5260 : CDCDCDCD; +5261 : CDCDCDCD; +5262 : CDCDCDCD; +5263 : CDCDCDCD; +5264 : CDCDCDCD; +5265 : CDCDCDCD; +5266 : CDCDCDCD; +5267 : CDCDCDCD; +5268 : CDCDCDCD; +5269 : CDCDCDCD; +526A : CDCDCDCD; +526B : CDCDCDCD; +526C : CDCDCDCD; +526D : CDCDCDCD; +526E : CDCDCDCD; +526F : CDCDCDCD; +5270 : CDCDCDCD; +5271 : CDCDCDCD; +5272 : CDCDCDCD; +5273 : CDCDCDCD; +5274 : CDCDCDCD; +5275 : CDCDCDCD; +5276 : CDCDCDCD; +5277 : CDCDCDCD; +5278 : CDCDCDCD; +5279 : CDCDCDCD; +527A : CDCDCDCD; +527B : CDCDCDCD; +527C : CDCDCDCD; +527D : CDCDCDCD; +527E : CDCDCDCD; +527F : CDCDCDCD; +5280 : CDCDCDCD; +5281 : CDCDCDCD; +5282 : CDCDCDCD; +5283 : CDCDCDCD; +5284 : CDCDCDCD; +5285 : CDCDCDCD; +5286 : CDCDCDCD; +5287 : CDCDCDCD; +5288 : CDCDCDCD; +5289 : CDCDCDCD; +528A : CDCDCDCD; +528B : CDCDCDCD; +528C : CDCDCDCD; +528D : CDCDCDCD; +528E : CDCDCDCD; +528F : CDCDCDCD; +5290 : CDCDCDCD; +5291 : CDCDCDCD; +5292 : CDCDCDCD; +5293 : CDCDCDCD; +5294 : CDCDCDCD; +5295 : CDCDCDCD; +5296 : CDCDCDCD; +5297 : CDCDCDCD; +5298 : CDCDCDCD; +5299 : CDCDCDCD; +529A : CDCDCDCD; +529B : CDCDCDCD; +529C : CDCDCDCD; +529D : CDCDCDCD; +529E : CDCDCDCD; +529F : CDCDCDCD; +52A0 : CDCDCDCD; +52A1 : CDCDCDCD; +52A2 : CDCDCDCD; +52A3 : CDCDCDCD; +52A4 : CDCDCDCD; +52A5 : CDCDCDCD; +52A6 : CDCDCDCD; +52A7 : CDCDCDCD; +52A8 : CDCDCDCD; +52A9 : CDCDCDCD; +52AA : CDCDCDCD; +52AB : CDCDCDCD; +52AC : CDCDCDCD; +52AD : CDCDCDCD; +52AE : CDCDCDCD; +52AF : CDCDCDCD; +52B0 : CDCDCDCD; +52B1 : CDCDCDCD; +52B2 : CDCDCDCD; +52B3 : CDCDCDCD; +52B4 : CDCDCDCD; +52B5 : CDCDCDCD; +52B6 : CDCDCDCD; +52B7 : CDCDCDCD; +52B8 : CDCDCDCD; +52B9 : CDCDCDCD; +52BA : CDCDCDCD; +52BB : CDCDCDCD; +52BC : CDCDCDCD; +52BD : CDCDCDCD; +52BE : CDCDCDCD; +52BF : CDCDCDCD; +52C0 : CDCDCDCD; +52C1 : CDCDCDCD; +52C2 : CDCDCDCD; +52C3 : CDCDCDCD; +52C4 : CDCDCDCD; +52C5 : CDCDCDCD; +52C6 : CDCDCDCD; +52C7 : CDCDCDCD; +52C8 : CDCDCDCD; +52C9 : CDCDCDCD; +52CA : CDCDCDCD; +52CB : CDCDCDCD; +52CC : CDCDCDCD; +52CD : CDCDCDCD; +52CE : CDCDCDCD; +52CF : CDCDCDCD; +52D0 : CDCDCDCD; +52D1 : CDCDCDCD; +52D2 : CDCDCDCD; +52D3 : CDCDCDCD; +52D4 : CDCDCDCD; +52D5 : CDCDCDCD; +52D6 : CDCDCDCD; +52D7 : CDCDCDCD; +52D8 : CDCDCDCD; +52D9 : CDCDCDCD; +52DA : CDCDCDCD; +52DB : CDCDCDCD; +52DC : CDCDCDCD; +52DD : CDCDCDCD; +52DE : CDCDCDCD; +52DF : CDCDCDCD; +52E0 : CDCDCDCD; +52E1 : CDCDCDCD; +52E2 : CDCDCDCD; +52E3 : CDCDCDCD; +52E4 : CDCDCDCD; +52E5 : CDCDCDCD; +52E6 : CDCDCDCD; +52E7 : CDCDCDCD; +52E8 : CDCDCDCD; +52E9 : CDCDCDCD; +52EA : CDCDCDCD; +52EB : CDCDCDCD; +52EC : CDCDCDCD; +52ED : CDCDCDCD; +52EE : CDCDCDCD; +52EF : CDCDCDCD; +52F0 : CDCDCDCD; +52F1 : CDCDCDCD; +52F2 : CDCDCDCD; +52F3 : CDCDCDCD; +52F4 : CDCDCDCD; +52F5 : CDCDCDCD; +52F6 : CDCDCDCD; +52F7 : CDCDCDCD; +52F8 : CDCDCDCD; +52F9 : CDCDCDCD; +52FA : CDCDCDCD; +52FB : CDCDCDCD; +52FC : CDCDCDCD; +52FD : CDCDCDCD; +52FE : CDCDCDCD; +52FF : CDCDCDCD; +5300 : CDCDCDCD; +5301 : CDCDCDCD; +5302 : CDCDCDCD; +5303 : CDCDCDCD; +5304 : CDCDCDCD; +5305 : CDCDCDCD; +5306 : CDCDCDCD; +5307 : CDCDCDCD; +5308 : CDCDCDCD; +5309 : CDCDCDCD; +530A : CDCDCDCD; +530B : CDCDCDCD; +530C : CDCDCDCD; +530D : CDCDCDCD; +530E : CDCDCDCD; +530F : CDCDCDCD; +5310 : CDCDCDCD; +5311 : CDCDCDCD; +5312 : CDCDCDCD; +5313 : CDCDCDCD; +5314 : CDCDCDCD; +5315 : CDCDCDCD; +5316 : CDCDCDCD; +5317 : CDCDCDCD; +5318 : CDCDCDCD; +5319 : CDCDCDCD; +531A : CDCDCDCD; +531B : CDCDCDCD; +531C : CDCDCDCD; +531D : CDCDCDCD; +531E : CDCDCDCD; +531F : CDCDCDCD; +5320 : CDCDCDCD; +5321 : CDCDCDCD; +5322 : CDCDCDCD; +5323 : CDCDCDCD; +5324 : CDCDCDCD; +5325 : CDCDCDCD; +5326 : CDCDCDCD; +5327 : CDCDCDCD; +5328 : CDCDCDCD; +5329 : CDCDCDCD; +532A : CDCDCDCD; +532B : CDCDCDCD; +532C : CDCDCDCD; +532D : CDCDCDCD; +532E : CDCDCDCD; +532F : CDCDCDCD; +5330 : CDCDCDCD; +5331 : CDCDCDCD; +5332 : CDCDCDCD; +5333 : CDCDCDCD; +5334 : CDCDCDCD; +5335 : CDCDCDCD; +5336 : CDCDCDCD; +5337 : CDCDCDCD; +5338 : CDCDCDCD; +5339 : CDCDCDCD; +533A : CDCDCDCD; +533B : CDCDCDCD; +533C : CDCDCDCD; +533D : CDCDCDCD; +533E : CDCDCDCD; +533F : CDCDCDCD; +5340 : CDCDCDCD; +5341 : CDCDCDCD; +5342 : CDCDCDCD; +5343 : CDCDCDCD; +5344 : CDCDCDCD; +5345 : CDCDCDCD; +5346 : CDCDCDCD; +5347 : CDCDCDCD; +5348 : CDCDCDCD; +5349 : CDCDCDCD; +534A : CDCDCDCD; +534B : CDCDCDCD; +534C : CDCDCDCD; +534D : CDCDCDCD; +534E : CDCDCDCD; +534F : CDCDCDCD; +5350 : CDCDCDCD; +5351 : CDCDCDCD; +5352 : CDCDCDCD; +5353 : CDCDCDCD; +5354 : CDCDCDCD; +5355 : CDCDCDCD; +5356 : CDCDCDCD; +5357 : CDCDCDCD; +5358 : CDCDCDCD; +5359 : CDCDCDCD; +535A : CDCDCDCD; +535B : CDCDCDCD; +535C : CDCDCDCD; +535D : CDCDCDCD; +535E : CDCDCDCD; +535F : CDCDCDCD; +5360 : CDCDCDCD; +5361 : CDCDCDCD; +5362 : CDCDCDCD; +5363 : CDCDCDCD; +5364 : CDCDCDCD; +5365 : CDCDCDCD; +5366 : CDCDCDCD; +5367 : CDCDCDCD; +5368 : CDCDCDCD; +5369 : CDCDCDCD; +536A : CDCDCDCD; +536B : CDCDCDCD; +536C : CDCDCDCD; +536D : CDCDCDCD; +536E : CDCDCDCD; +536F : CDCDCDCD; +5370 : CDCDCDCD; +5371 : CDCDCDCD; +5372 : CDCDCDCD; +5373 : CDCDCDCD; +5374 : CDCDCDCD; +5375 : CDCDCDCD; +5376 : CDCDCDCD; +5377 : CDCDCDCD; +5378 : CDCDCDCD; +5379 : CDCDCDCD; +537A : CDCDCDCD; +537B : CDCDCDCD; +537C : CDCDCDCD; +537D : CDCDCDCD; +537E : CDCDCDCD; +537F : CDCDCDCD; +5380 : CDCDCDCD; +5381 : CDCDCDCD; +5382 : CDCDCDCD; +5383 : CDCDCDCD; +5384 : CDCDCDCD; +5385 : CDCDCDCD; +5386 : CDCDCDCD; +5387 : CDCDCDCD; +5388 : CDCDCDCD; +5389 : CDCDCDCD; +538A : CDCDCDCD; +538B : CDCDCDCD; +538C : CDCDCDCD; +538D : CDCDCDCD; +538E : CDCDCDCD; +538F : CDCDCDCD; +5390 : CDCDCDCD; +5391 : CDCDCDCD; +5392 : CDCDCDCD; +5393 : CDCDCDCD; +5394 : CDCDCDCD; +5395 : CDCDCDCD; +5396 : CDCDCDCD; +5397 : CDCDCDCD; +5398 : CDCDCDCD; +5399 : CDCDCDCD; +539A : CDCDCDCD; +539B : CDCDCDCD; +539C : CDCDCDCD; +539D : CDCDCDCD; +539E : CDCDCDCD; +539F : CDCDCDCD; +53A0 : CDCDCDCD; +53A1 : CDCDCDCD; +53A2 : CDCDCDCD; +53A3 : CDCDCDCD; +53A4 : CDCDCDCD; +53A5 : CDCDCDCD; +53A6 : CDCDCDCD; +53A7 : CDCDCDCD; +53A8 : CDCDCDCD; +53A9 : CDCDCDCD; +53AA : CDCDCDCD; +53AB : CDCDCDCD; +53AC : CDCDCDCD; +53AD : CDCDCDCD; +53AE : CDCDCDCD; +53AF : CDCDCDCD; +53B0 : CDCDCDCD; +53B1 : CDCDCDCD; +53B2 : CDCDCDCD; +53B3 : CDCDCDCD; +53B4 : CDCDCDCD; +53B5 : CDCDCDCD; +53B6 : CDCDCDCD; +53B7 : CDCDCDCD; +53B8 : CDCDCDCD; +53B9 : CDCDCDCD; +53BA : CDCDCDCD; +53BB : CDCDCDCD; +53BC : CDCDCDCD; +53BD : CDCDCDCD; +53BE : CDCDCDCD; +53BF : CDCDCDCD; +53C0 : CDCDCDCD; +53C1 : CDCDCDCD; +53C2 : CDCDCDCD; +53C3 : CDCDCDCD; +53C4 : CDCDCDCD; +53C5 : CDCDCDCD; +53C6 : CDCDCDCD; +53C7 : CDCDCDCD; +53C8 : CDCDCDCD; +53C9 : CDCDCDCD; +53CA : CDCDCDCD; +53CB : CDCDCDCD; +53CC : CDCDCDCD; +53CD : CDCDCDCD; +53CE : CDCDCDCD; +53CF : CDCDCDCD; +53D0 : CDCDCDCD; +53D1 : CDCDCDCD; +53D2 : CDCDCDCD; +53D3 : CDCDCDCD; +53D4 : CDCDCDCD; +53D5 : CDCDCDCD; +53D6 : CDCDCDCD; +53D7 : CDCDCDCD; +53D8 : CDCDCDCD; +53D9 : CDCDCDCD; +53DA : CDCDCDCD; +53DB : CDCDCDCD; +53DC : CDCDCDCD; +53DD : CDCDCDCD; +53DE : CDCDCDCD; +53DF : CDCDCDCD; +53E0 : CDCDCDCD; +53E1 : CDCDCDCD; +53E2 : CDCDCDCD; +53E3 : CDCDCDCD; +53E4 : CDCDCDCD; +53E5 : CDCDCDCD; +53E6 : CDCDCDCD; +53E7 : CDCDCDCD; +53E8 : CDCDCDCD; +53E9 : CDCDCDCD; +53EA : CDCDCDCD; +53EB : CDCDCDCD; +53EC : CDCDCDCD; +53ED : CDCDCDCD; +53EE : CDCDCDCD; +53EF : CDCDCDCD; +53F0 : CDCDCDCD; +53F1 : CDCDCDCD; +53F2 : CDCDCDCD; +53F3 : CDCDCDCD; +53F4 : CDCDCDCD; +53F5 : CDCDCDCD; +53F6 : CDCDCDCD; +53F7 : CDCDCDCD; +53F8 : CDCDCDCD; +53F9 : CDCDCDCD; +53FA : CDCDCDCD; +53FB : CDCDCDCD; +53FC : CDCDCDCD; +53FD : CDCDCDCD; +53FE : CDCDCDCD; +53FF : CDCDCDCD; +5400 : CDCDCDCD; +5401 : CDCDCDCD; +5402 : CDCDCDCD; +5403 : CDCDCDCD; +5404 : CDCDCDCD; +5405 : CDCDCDCD; +5406 : CDCDCDCD; +5407 : CDCDCDCD; +5408 : CDCDCDCD; +5409 : CDCDCDCD; +540A : CDCDCDCD; +540B : CDCDCDCD; +540C : CDCDCDCD; +540D : CDCDCDCD; +540E : CDCDCDCD; +540F : CDCDCDCD; +5410 : CDCDCDCD; +5411 : CDCDCDCD; +5412 : CDCDCDCD; +5413 : CDCDCDCD; +5414 : CDCDCDCD; +5415 : CDCDCDCD; +5416 : CDCDCDCD; +5417 : CDCDCDCD; +5418 : CDCDCDCD; +5419 : CDCDCDCD; +541A : CDCDCDCD; +541B : CDCDCDCD; +541C : CDCDCDCD; +541D : CDCDCDCD; +541E : CDCDCDCD; +541F : CDCDCDCD; +5420 : CDCDCDCD; +5421 : CDCDCDCD; +5422 : CDCDCDCD; +5423 : CDCDCDCD; +5424 : CDCDCDCD; +5425 : CDCDCDCD; +5426 : CDCDCDCD; +5427 : CDCDCDCD; +5428 : CDCDCDCD; +5429 : CDCDCDCD; +542A : CDCDCDCD; +542B : CDCDCDCD; +542C : CDCDCDCD; +542D : CDCDCDCD; +542E : CDCDCDCD; +542F : CDCDCDCD; +5430 : CDCDCDCD; +5431 : CDCDCDCD; +5432 : CDCDCDCD; +5433 : CDCDCDCD; +5434 : CDCDCDCD; +5435 : CDCDCDCD; +5436 : CDCDCDCD; +5437 : CDCDCDCD; +5438 : CDCDCDCD; +5439 : CDCDCDCD; +543A : CDCDCDCD; +543B : CDCDCDCD; +543C : CDCDCDCD; +543D : CDCDCDCD; +543E : CDCDCDCD; +543F : CDCDCDCD; +5440 : CDCDCDCD; +5441 : CDCDCDCD; +5442 : CDCDCDCD; +5443 : CDCDCDCD; +5444 : CDCDCDCD; +5445 : CDCDCDCD; +5446 : CDCDCDCD; +5447 : CDCDCDCD; +5448 : CDCDCDCD; +5449 : CDCDCDCD; +544A : CDCDCDCD; +544B : CDCDCDCD; +544C : CDCDCDCD; +544D : CDCDCDCD; +544E : CDCDCDCD; +544F : CDCDCDCD; +5450 : CDCDCDCD; +5451 : CDCDCDCD; +5452 : CDCDCDCD; +5453 : CDCDCDCD; +5454 : CDCDCDCD; +5455 : CDCDCDCD; +5456 : CDCDCDCD; +5457 : CDCDCDCD; +5458 : CDCDCDCD; +5459 : CDCDCDCD; +545A : CDCDCDCD; +545B : CDCDCDCD; +545C : CDCDCDCD; +545D : CDCDCDCD; +545E : CDCDCDCD; +545F : CDCDCDCD; +5460 : CDCDCDCD; +5461 : CDCDCDCD; +5462 : CDCDCDCD; +5463 : CDCDCDCD; +5464 : CDCDCDCD; +5465 : CDCDCDCD; +5466 : CDCDCDCD; +5467 : CDCDCDCD; +5468 : CDCDCDCD; +5469 : CDCDCDCD; +546A : CDCDCDCD; +546B : CDCDCDCD; +546C : CDCDCDCD; +546D : CDCDCDCD; +546E : CDCDCDCD; +546F : CDCDCDCD; +5470 : CDCDCDCD; +5471 : CDCDCDCD; +5472 : CDCDCDCD; +5473 : CDCDCDCD; +5474 : CDCDCDCD; +5475 : CDCDCDCD; +5476 : CDCDCDCD; +5477 : CDCDCDCD; +5478 : CDCDCDCD; +5479 : CDCDCDCD; +547A : CDCDCDCD; +547B : CDCDCDCD; +547C : CDCDCDCD; +547D : CDCDCDCD; +547E : CDCDCDCD; +547F : CDCDCDCD; +5480 : CDCDCDCD; +5481 : CDCDCDCD; +5482 : CDCDCDCD; +5483 : CDCDCDCD; +5484 : CDCDCDCD; +5485 : CDCDCDCD; +5486 : CDCDCDCD; +5487 : CDCDCDCD; +5488 : CDCDCDCD; +5489 : CDCDCDCD; +548A : CDCDCDCD; +548B : CDCDCDCD; +548C : CDCDCDCD; +548D : CDCDCDCD; +548E : CDCDCDCD; +548F : CDCDCDCD; +5490 : CDCDCDCD; +5491 : CDCDCDCD; +5492 : CDCDCDCD; +5493 : CDCDCDCD; +5494 : CDCDCDCD; +5495 : CDCDCDCD; +5496 : CDCDCDCD; +5497 : CDCDCDCD; +5498 : CDCDCDCD; +5499 : CDCDCDCD; +549A : CDCDCDCD; +549B : CDCDCDCD; +549C : CDCDCDCD; +549D : CDCDCDCD; +549E : CDCDCDCD; +549F : CDCDCDCD; +54A0 : CDCDCDCD; +54A1 : CDCDCDCD; +54A2 : CDCDCDCD; +54A3 : CDCDCDCD; +54A4 : CDCDCDCD; +54A5 : CDCDCDCD; +54A6 : CDCDCDCD; +54A7 : CDCDCDCD; +54A8 : CDCDCDCD; +54A9 : CDCDCDCD; +54AA : CDCDCDCD; +54AB : CDCDCDCD; +54AC : CDCDCDCD; +54AD : CDCDCDCD; +54AE : CDCDCDCD; +54AF : CDCDCDCD; +54B0 : CDCDCDCD; +54B1 : CDCDCDCD; +54B2 : CDCDCDCD; +54B3 : CDCDCDCD; +54B4 : CDCDCDCD; +54B5 : CDCDCDCD; +54B6 : CDCDCDCD; +54B7 : CDCDCDCD; +54B8 : CDCDCDCD; +54B9 : CDCDCDCD; +54BA : CDCDCDCD; +54BB : CDCDCDCD; +54BC : CDCDCDCD; +54BD : CDCDCDCD; +54BE : CDCDCDCD; +54BF : CDCDCDCD; +54C0 : CDCDCDCD; +54C1 : CDCDCDCD; +54C2 : CDCDCDCD; +54C3 : CDCDCDCD; +54C4 : CDCDCDCD; +54C5 : CDCDCDCD; +54C6 : CDCDCDCD; +54C7 : CDCDCDCD; +54C8 : CDCDCDCD; +54C9 : CDCDCDCD; +54CA : CDCDCDCD; +54CB : CDCDCDCD; +54CC : CDCDCDCD; +54CD : CDCDCDCD; +54CE : CDCDCDCD; +54CF : CDCDCDCD; +54D0 : CDCDCDCD; +54D1 : CDCDCDCD; +54D2 : CDCDCDCD; +54D3 : CDCDCDCD; +54D4 : CDCDCDCD; +54D5 : CDCDCDCD; +54D6 : CDCDCDCD; +54D7 : CDCDCDCD; +54D8 : CDCDCDCD; +54D9 : CDCDCDCD; +54DA : CDCDCDCD; +54DB : CDCDCDCD; +54DC : CDCDCDCD; +54DD : CDCDCDCD; +54DE : CDCDCDCD; +54DF : CDCDCDCD; +54E0 : CDCDCDCD; +54E1 : CDCDCDCD; +54E2 : CDCDCDCD; +54E3 : CDCDCDCD; +54E4 : CDCDCDCD; +54E5 : CDCDCDCD; +54E6 : CDCDCDCD; +54E7 : CDCDCDCD; +54E8 : CDCDCDCD; +54E9 : CDCDCDCD; +54EA : CDCDCDCD; +54EB : CDCDCDCD; +54EC : CDCDCDCD; +54ED : CDCDCDCD; +54EE : CDCDCDCD; +54EF : CDCDCDCD; +54F0 : CDCDCDCD; +54F1 : CDCDCDCD; +54F2 : CDCDCDCD; +54F3 : CDCDCDCD; +54F4 : CDCDCDCD; +54F5 : CDCDCDCD; +54F6 : CDCDCDCD; +54F7 : CDCDCDCD; +54F8 : CDCDCDCD; +54F9 : CDCDCDCD; +54FA : CDCDCDCD; +54FB : CDCDCDCD; +54FC : CDCDCDCD; +54FD : CDCDCDCD; +54FE : CDCDCDCD; +54FF : CDCDCDCD; +5500 : CDCDCDCD; +5501 : CDCDCDCD; +5502 : CDCDCDCD; +5503 : CDCDCDCD; +5504 : CDCDCDCD; +5505 : CDCDCDCD; +5506 : CDCDCDCD; +5507 : CDCDCDCD; +5508 : CDCDCDCD; +5509 : CDCDCDCD; +550A : CDCDCDCD; +550B : CDCDCDCD; +550C : CDCDCDCD; +550D : CDCDCDCD; +550E : CDCDCDCD; +550F : CDCDCDCD; +5510 : CDCDCDCD; +5511 : CDCDCDCD; +5512 : CDCDCDCD; +5513 : CDCDCDCD; +5514 : CDCDCDCD; +5515 : CDCDCDCD; +5516 : CDCDCDCD; +5517 : CDCDCDCD; +5518 : CDCDCDCD; +5519 : CDCDCDCD; +551A : CDCDCDCD; +551B : CDCDCDCD; +551C : CDCDCDCD; +551D : CDCDCDCD; +551E : CDCDCDCD; +551F : CDCDCDCD; +5520 : CDCDCDCD; +5521 : CDCDCDCD; +5522 : CDCDCDCD; +5523 : CDCDCDCD; +5524 : CDCDCDCD; +5525 : CDCDCDCD; +5526 : CDCDCDCD; +5527 : CDCDCDCD; +5528 : CDCDCDCD; +5529 : CDCDCDCD; +552A : CDCDCDCD; +552B : CDCDCDCD; +552C : CDCDCDCD; +552D : CDCDCDCD; +552E : CDCDCDCD; +552F : CDCDCDCD; +5530 : CDCDCDCD; +5531 : CDCDCDCD; +5532 : CDCDCDCD; +5533 : CDCDCDCD; +5534 : CDCDCDCD; +5535 : CDCDCDCD; +5536 : CDCDCDCD; +5537 : CDCDCDCD; +5538 : CDCDCDCD; +5539 : CDCDCDCD; +553A : CDCDCDCD; +553B : CDCDCDCD; +553C : CDCDCDCD; +553D : CDCDCDCD; +553E : CDCDCDCD; +553F : CDCDCDCD; +5540 : CDCDCDCD; +5541 : CDCDCDCD; +5542 : CDCDCDCD; +5543 : CDCDCDCD; +5544 : CDCDCDCD; +5545 : CDCDCDCD; +5546 : CDCDCDCD; +5547 : CDCDCDCD; +5548 : CDCDCDCD; +5549 : CDCDCDCD; +554A : CDCDCDCD; +554B : CDCDCDCD; +554C : CDCDCDCD; +554D : CDCDCDCD; +554E : CDCDCDCD; +554F : CDCDCDCD; +5550 : CDCDCDCD; +5551 : CDCDCDCD; +5552 : CDCDCDCD; +5553 : CDCDCDCD; +5554 : CDCDCDCD; +5555 : CDCDCDCD; +5556 : CDCDCDCD; +5557 : CDCDCDCD; +5558 : CDCDCDCD; +5559 : CDCDCDCD; +555A : CDCDCDCD; +555B : CDCDCDCD; +555C : CDCDCDCD; +555D : CDCDCDCD; +555E : CDCDCDCD; +555F : CDCDCDCD; +5560 : CDCDCDCD; +5561 : CDCDCDCD; +5562 : CDCDCDCD; +5563 : CDCDCDCD; +5564 : CDCDCDCD; +5565 : CDCDCDCD; +5566 : CDCDCDCD; +5567 : CDCDCDCD; +5568 : CDCDCDCD; +5569 : CDCDCDCD; +556A : CDCDCDCD; +556B : CDCDCDCD; +556C : CDCDCDCD; +556D : CDCDCDCD; +556E : CDCDCDCD; +556F : CDCDCDCD; +5570 : CDCDCDCD; +5571 : CDCDCDCD; +5572 : CDCDCDCD; +5573 : CDCDCDCD; +5574 : CDCDCDCD; +5575 : CDCDCDCD; +5576 : CDCDCDCD; +5577 : CDCDCDCD; +5578 : CDCDCDCD; +5579 : CDCDCDCD; +557A : CDCDCDCD; +557B : CDCDCDCD; +557C : CDCDCDCD; +557D : CDCDCDCD; +557E : CDCDCDCD; +557F : CDCDCDCD; +5580 : CDCDCDCD; +5581 : CDCDCDCD; +5582 : CDCDCDCD; +5583 : CDCDCDCD; +5584 : CDCDCDCD; +5585 : CDCDCDCD; +5586 : CDCDCDCD; +5587 : CDCDCDCD; +5588 : CDCDCDCD; +5589 : CDCDCDCD; +558A : CDCDCDCD; +558B : CDCDCDCD; +558C : CDCDCDCD; +558D : CDCDCDCD; +558E : CDCDCDCD; +558F : CDCDCDCD; +5590 : CDCDCDCD; +5591 : CDCDCDCD; +5592 : CDCDCDCD; +5593 : CDCDCDCD; +5594 : CDCDCDCD; +5595 : CDCDCDCD; +5596 : CDCDCDCD; +5597 : CDCDCDCD; +5598 : CDCDCDCD; +5599 : CDCDCDCD; +559A : CDCDCDCD; +559B : CDCDCDCD; +559C : CDCDCDCD; +559D : CDCDCDCD; +559E : CDCDCDCD; +559F : CDCDCDCD; +55A0 : CDCDCDCD; +55A1 : CDCDCDCD; +55A2 : CDCDCDCD; +55A3 : CDCDCDCD; +55A4 : CDCDCDCD; +55A5 : CDCDCDCD; +55A6 : CDCDCDCD; +55A7 : CDCDCDCD; +55A8 : CDCDCDCD; +55A9 : CDCDCDCD; +55AA : CDCDCDCD; +55AB : CDCDCDCD; +55AC : CDCDCDCD; +55AD : CDCDCDCD; +55AE : CDCDCDCD; +55AF : CDCDCDCD; +55B0 : CDCDCDCD; +55B1 : CDCDCDCD; +55B2 : CDCDCDCD; +55B3 : CDCDCDCD; +55B4 : CDCDCDCD; +55B5 : CDCDCDCD; +55B6 : CDCDCDCD; +55B7 : CDCDCDCD; +55B8 : CDCDCDCD; +55B9 : CDCDCDCD; +55BA : CDCDCDCD; +55BB : CDCDCDCD; +55BC : CDCDCDCD; +55BD : CDCDCDCD; +55BE : CDCDCDCD; +55BF : CDCDCDCD; +55C0 : CDCDCDCD; +55C1 : CDCDCDCD; +55C2 : CDCDCDCD; +55C3 : CDCDCDCD; +55C4 : CDCDCDCD; +55C5 : CDCDCDCD; +55C6 : CDCDCDCD; +55C7 : CDCDCDCD; +55C8 : CDCDCDCD; +55C9 : CDCDCDCD; +55CA : CDCDCDCD; +55CB : CDCDCDCD; +55CC : CDCDCDCD; +55CD : CDCDCDCD; +55CE : CDCDCDCD; +55CF : CDCDCDCD; +55D0 : CDCDCDCD; +55D1 : CDCDCDCD; +55D2 : CDCDCDCD; +55D3 : CDCDCDCD; +55D4 : CDCDCDCD; +55D5 : CDCDCDCD; +55D6 : CDCDCDCD; +55D7 : CDCDCDCD; +55D8 : CDCDCDCD; +55D9 : CDCDCDCD; +55DA : CDCDCDCD; +55DB : CDCDCDCD; +55DC : CDCDCDCD; +55DD : CDCDCDCD; +55DE : CDCDCDCD; +55DF : CDCDCDCD; +55E0 : CDCDCDCD; +55E1 : CDCDCDCD; +55E2 : CDCDCDCD; +55E3 : CDCDCDCD; +55E4 : CDCDCDCD; +55E5 : CDCDCDCD; +55E6 : CDCDCDCD; +55E7 : CDCDCDCD; +55E8 : CDCDCDCD; +55E9 : CDCDCDCD; +55EA : CDCDCDCD; +55EB : CDCDCDCD; +55EC : CDCDCDCD; +55ED : CDCDCDCD; +55EE : CDCDCDCD; +55EF : CDCDCDCD; +55F0 : CDCDCDCD; +55F1 : CDCDCDCD; +55F2 : CDCDCDCD; +55F3 : CDCDCDCD; +55F4 : CDCDCDCD; +55F5 : CDCDCDCD; +55F6 : CDCDCDCD; +55F7 : CDCDCDCD; +55F8 : CDCDCDCD; +55F9 : CDCDCDCD; +55FA : CDCDCDCD; +55FB : CDCDCDCD; +55FC : CDCDCDCD; +55FD : CDCDCDCD; +55FE : CDCDCDCD; +55FF : CDCDCDCD; +5600 : CDCDCDCD; +5601 : CDCDCDCD; +5602 : CDCDCDCD; +5603 : CDCDCDCD; +5604 : CDCDCDCD; +5605 : CDCDCDCD; +5606 : CDCDCDCD; +5607 : CDCDCDCD; +5608 : CDCDCDCD; +5609 : CDCDCDCD; +560A : CDCDCDCD; +560B : CDCDCDCD; +560C : CDCDCDCD; +560D : CDCDCDCD; +560E : CDCDCDCD; +560F : CDCDCDCD; +5610 : CDCDCDCD; +5611 : CDCDCDCD; +5612 : CDCDCDCD; +5613 : CDCDCDCD; +5614 : CDCDCDCD; +5615 : CDCDCDCD; +5616 : CDCDCDCD; +5617 : CDCDCDCD; +5618 : CDCDCDCD; +5619 : CDCDCDCD; +561A : CDCDCDCD; +561B : CDCDCDCD; +561C : CDCDCDCD; +561D : CDCDCDCD; +561E : CDCDCDCD; +561F : CDCDCDCD; +5620 : CDCDCDCD; +5621 : CDCDCDCD; +5622 : CDCDCDCD; +5623 : CDCDCDCD; +5624 : CDCDCDCD; +5625 : CDCDCDCD; +5626 : CDCDCDCD; +5627 : CDCDCDCD; +5628 : CDCDCDCD; +5629 : CDCDCDCD; +562A : CDCDCDCD; +562B : CDCDCDCD; +562C : CDCDCDCD; +562D : CDCDCDCD; +562E : CDCDCDCD; +562F : CDCDCDCD; +5630 : CDCDCDCD; +5631 : CDCDCDCD; +5632 : CDCDCDCD; +5633 : CDCDCDCD; +5634 : CDCDCDCD; +5635 : CDCDCDCD; +5636 : CDCDCDCD; +5637 : CDCDCDCD; +5638 : CDCDCDCD; +5639 : CDCDCDCD; +563A : CDCDCDCD; +563B : CDCDCDCD; +563C : CDCDCDCD; +563D : CDCDCDCD; +563E : CDCDCDCD; +563F : CDCDCDCD; +5640 : CDCDCDCD; +5641 : CDCDCDCD; +5642 : CDCDCDCD; +5643 : CDCDCDCD; +5644 : CDCDCDCD; +5645 : CDCDCDCD; +5646 : CDCDCDCD; +5647 : CDCDCDCD; +5648 : CDCDCDCD; +5649 : CDCDCDCD; +564A : CDCDCDCD; +564B : CDCDCDCD; +564C : CDCDCDCD; +564D : CDCDCDCD; +564E : CDCDCDCD; +564F : CDCDCDCD; +5650 : CDCDCDCD; +5651 : CDCDCDCD; +5652 : CDCDCDCD; +5653 : CDCDCDCD; +5654 : CDCDCDCD; +5655 : CDCDCDCD; +5656 : CDCDCDCD; +5657 : CDCDCDCD; +5658 : CDCDCDCD; +5659 : CDCDCDCD; +565A : CDCDCDCD; +565B : CDCDCDCD; +565C : CDCDCDCD; +565D : CDCDCDCD; +565E : CDCDCDCD; +565F : CDCDCDCD; +5660 : CDCDCDCD; +5661 : CDCDCDCD; +5662 : CDCDCDCD; +5663 : CDCDCDCD; +5664 : CDCDCDCD; +5665 : CDCDCDCD; +5666 : CDCDCDCD; +5667 : CDCDCDCD; +5668 : CDCDCDCD; +5669 : CDCDCDCD; +566A : CDCDCDCD; +566B : CDCDCDCD; +566C : CDCDCDCD; +566D : CDCDCDCD; +566E : CDCDCDCD; +566F : CDCDCDCD; +5670 : CDCDCDCD; +5671 : CDCDCDCD; +5672 : CDCDCDCD; +5673 : CDCDCDCD; +5674 : CDCDCDCD; +5675 : CDCDCDCD; +5676 : CDCDCDCD; +5677 : CDCDCDCD; +5678 : CDCDCDCD; +5679 : CDCDCDCD; +567A : CDCDCDCD; +567B : CDCDCDCD; +567C : CDCDCDCD; +567D : CDCDCDCD; +567E : CDCDCDCD; +567F : CDCDCDCD; +5680 : CDCDCDCD; +5681 : CDCDCDCD; +5682 : CDCDCDCD; +5683 : CDCDCDCD; +5684 : CDCDCDCD; +5685 : CDCDCDCD; +5686 : CDCDCDCD; +5687 : CDCDCDCD; +5688 : CDCDCDCD; +5689 : CDCDCDCD; +568A : CDCDCDCD; +568B : CDCDCDCD; +568C : CDCDCDCD; +568D : CDCDCDCD; +568E : CDCDCDCD; +568F : CDCDCDCD; +5690 : CDCDCDCD; +5691 : CDCDCDCD; +5692 : CDCDCDCD; +5693 : CDCDCDCD; +5694 : CDCDCDCD; +5695 : CDCDCDCD; +5696 : CDCDCDCD; +5697 : CDCDCDCD; +5698 : CDCDCDCD; +5699 : CDCDCDCD; +569A : CDCDCDCD; +569B : CDCDCDCD; +569C : CDCDCDCD; +569D : CDCDCDCD; +569E : CDCDCDCD; +569F : CDCDCDCD; +56A0 : CDCDCDCD; +56A1 : CDCDCDCD; +56A2 : CDCDCDCD; +56A3 : CDCDCDCD; +56A4 : CDCDCDCD; +56A5 : CDCDCDCD; +56A6 : CDCDCDCD; +56A7 : CDCDCDCD; +56A8 : CDCDCDCD; +56A9 : CDCDCDCD; +56AA : CDCDCDCD; +56AB : CDCDCDCD; +56AC : CDCDCDCD; +56AD : CDCDCDCD; +56AE : CDCDCDCD; +56AF : CDCDCDCD; +56B0 : CDCDCDCD; +56B1 : CDCDCDCD; +56B2 : CDCDCDCD; +56B3 : CDCDCDCD; +56B4 : CDCDCDCD; +56B5 : CDCDCDCD; +56B6 : CDCDCDCD; +56B7 : CDCDCDCD; +56B8 : CDCDCDCD; +56B9 : CDCDCDCD; +56BA : CDCDCDCD; +56BB : CDCDCDCD; +56BC : CDCDCDCD; +56BD : CDCDCDCD; +56BE : CDCDCDCD; +56BF : CDCDCDCD; +56C0 : CDCDCDCD; +56C1 : CDCDCDCD; +56C2 : CDCDCDCD; +56C3 : CDCDCDCD; +56C4 : CDCDCDCD; +56C5 : CDCDCDCD; +56C6 : CDCDCDCD; +56C7 : CDCDCDCD; +56C8 : CDCDCDCD; +56C9 : CDCDCDCD; +56CA : CDCDCDCD; +56CB : CDCDCDCD; +56CC : CDCDCDCD; +56CD : CDCDCDCD; +56CE : CDCDCDCD; +56CF : CDCDCDCD; +56D0 : CDCDCDCD; +56D1 : CDCDCDCD; +56D2 : CDCDCDCD; +56D3 : CDCDCDCD; +56D4 : CDCDCDCD; +56D5 : CDCDCDCD; +56D6 : CDCDCDCD; +56D7 : CDCDCDCD; +56D8 : CDCDCDCD; +56D9 : CDCDCDCD; +56DA : CDCDCDCD; +56DB : CDCDCDCD; +56DC : CDCDCDCD; +56DD : CDCDCDCD; +56DE : CDCDCDCD; +56DF : CDCDCDCD; +56E0 : CDCDCDCD; +56E1 : CDCDCDCD; +56E2 : CDCDCDCD; +56E3 : CDCDCDCD; +56E4 : CDCDCDCD; +56E5 : CDCDCDCD; +56E6 : CDCDCDCD; +56E7 : CDCDCDCD; +56E8 : CDCDCDCD; +56E9 : CDCDCDCD; +56EA : CDCDCDCD; +56EB : CDCDCDCD; +56EC : CDCDCDCD; +56ED : CDCDCDCD; +56EE : CDCDCDCD; +56EF : CDCDCDCD; +56F0 : CDCDCDCD; +56F1 : CDCDCDCD; +56F2 : CDCDCDCD; +56F3 : CDCDCDCD; +56F4 : CDCDCDCD; +56F5 : CDCDCDCD; +56F6 : CDCDCDCD; +56F7 : CDCDCDCD; +56F8 : CDCDCDCD; +56F9 : CDCDCDCD; +56FA : CDCDCDCD; +56FB : CDCDCDCD; +56FC : CDCDCDCD; +56FD : CDCDCDCD; +56FE : CDCDCDCD; +56FF : CDCDCDCD; +5700 : CDCDCDCD; +5701 : CDCDCDCD; +5702 : CDCDCDCD; +5703 : CDCDCDCD; +5704 : CDCDCDCD; +5705 : CDCDCDCD; +5706 : CDCDCDCD; +5707 : CDCDCDCD; +5708 : CDCDCDCD; +5709 : CDCDCDCD; +570A : CDCDCDCD; +570B : CDCDCDCD; +570C : CDCDCDCD; +570D : CDCDCDCD; +570E : CDCDCDCD; +570F : CDCDCDCD; +5710 : CDCDCDCD; +5711 : CDCDCDCD; +5712 : CDCDCDCD; +5713 : CDCDCDCD; +5714 : CDCDCDCD; +5715 : CDCDCDCD; +5716 : CDCDCDCD; +5717 : CDCDCDCD; +5718 : CDCDCDCD; +5719 : CDCDCDCD; +571A : CDCDCDCD; +571B : CDCDCDCD; +571C : CDCDCDCD; +571D : CDCDCDCD; +571E : CDCDCDCD; +571F : CDCDCDCD; +5720 : CDCDCDCD; +5721 : CDCDCDCD; +5722 : CDCDCDCD; +5723 : CDCDCDCD; +5724 : CDCDCDCD; +5725 : CDCDCDCD; +5726 : CDCDCDCD; +5727 : CDCDCDCD; +5728 : CDCDCDCD; +5729 : CDCDCDCD; +572A : CDCDCDCD; +572B : CDCDCDCD; +572C : CDCDCDCD; +572D : CDCDCDCD; +572E : CDCDCDCD; +572F : CDCDCDCD; +5730 : CDCDCDCD; +5731 : CDCDCDCD; +5732 : CDCDCDCD; +5733 : CDCDCDCD; +5734 : CDCDCDCD; +5735 : CDCDCDCD; +5736 : CDCDCDCD; +5737 : CDCDCDCD; +5738 : CDCDCDCD; +5739 : CDCDCDCD; +573A : CDCDCDCD; +573B : CDCDCDCD; +573C : CDCDCDCD; +573D : CDCDCDCD; +573E : CDCDCDCD; +573F : CDCDCDCD; +5740 : CDCDCDCD; +5741 : CDCDCDCD; +5742 : CDCDCDCD; +5743 : CDCDCDCD; +5744 : CDCDCDCD; +5745 : CDCDCDCD; +5746 : CDCDCDCD; +5747 : CDCDCDCD; +5748 : CDCDCDCD; +5749 : CDCDCDCD; +574A : CDCDCDCD; +574B : CDCDCDCD; +574C : CDCDCDCD; +574D : CDCDCDCD; +574E : CDCDCDCD; +574F : CDCDCDCD; +5750 : CDCDCDCD; +5751 : CDCDCDCD; +5752 : CDCDCDCD; +5753 : CDCDCDCD; +5754 : CDCDCDCD; +5755 : CDCDCDCD; +5756 : CDCDCDCD; +5757 : CDCDCDCD; +5758 : CDCDCDCD; +5759 : CDCDCDCD; +575A : CDCDCDCD; +575B : CDCDCDCD; +575C : CDCDCDCD; +575D : CDCDCDCD; +575E : CDCDCDCD; +575F : CDCDCDCD; +5760 : CDCDCDCD; +5761 : CDCDCDCD; +5762 : CDCDCDCD; +5763 : CDCDCDCD; +5764 : CDCDCDCD; +5765 : CDCDCDCD; +5766 : CDCDCDCD; +5767 : CDCDCDCD; +5768 : CDCDCDCD; +5769 : CDCDCDCD; +576A : CDCDCDCD; +576B : CDCDCDCD; +576C : CDCDCDCD; +576D : CDCDCDCD; +576E : CDCDCDCD; +576F : CDCDCDCD; +5770 : CDCDCDCD; +5771 : CDCDCDCD; +5772 : CDCDCDCD; +5773 : CDCDCDCD; +5774 : CDCDCDCD; +5775 : CDCDCDCD; +5776 : CDCDCDCD; +5777 : CDCDCDCD; +5778 : CDCDCDCD; +5779 : CDCDCDCD; +577A : CDCDCDCD; +577B : CDCDCDCD; +577C : CDCDCDCD; +577D : CDCDCDCD; +577E : CDCDCDCD; +577F : CDCDCDCD; +5780 : CDCDCDCD; +5781 : CDCDCDCD; +5782 : CDCDCDCD; +5783 : CDCDCDCD; +5784 : CDCDCDCD; +5785 : CDCDCDCD; +5786 : CDCDCDCD; +5787 : CDCDCDCD; +5788 : CDCDCDCD; +5789 : CDCDCDCD; +578A : CDCDCDCD; +578B : CDCDCDCD; +578C : CDCDCDCD; +578D : CDCDCDCD; +578E : CDCDCDCD; +578F : CDCDCDCD; +5790 : CDCDCDCD; +5791 : CDCDCDCD; +5792 : CDCDCDCD; +5793 : CDCDCDCD; +5794 : CDCDCDCD; +5795 : CDCDCDCD; +5796 : CDCDCDCD; +5797 : CDCDCDCD; +5798 : CDCDCDCD; +5799 : CDCDCDCD; +579A : CDCDCDCD; +579B : CDCDCDCD; +579C : CDCDCDCD; +579D : CDCDCDCD; +579E : CDCDCDCD; +579F : CDCDCDCD; +57A0 : CDCDCDCD; +57A1 : CDCDCDCD; +57A2 : CDCDCDCD; +57A3 : CDCDCDCD; +57A4 : CDCDCDCD; +57A5 : CDCDCDCD; +57A6 : CDCDCDCD; +57A7 : CDCDCDCD; +57A8 : CDCDCDCD; +57A9 : CDCDCDCD; +57AA : CDCDCDCD; +57AB : CDCDCDCD; +57AC : CDCDCDCD; +57AD : CDCDCDCD; +57AE : CDCDCDCD; +57AF : CDCDCDCD; +57B0 : CDCDCDCD; +57B1 : CDCDCDCD; +57B2 : CDCDCDCD; +57B3 : CDCDCDCD; +57B4 : CDCDCDCD; +57B5 : CDCDCDCD; +57B6 : CDCDCDCD; +57B7 : CDCDCDCD; +57B8 : CDCDCDCD; +57B9 : CDCDCDCD; +57BA : CDCDCDCD; +57BB : CDCDCDCD; +57BC : CDCDCDCD; +57BD : CDCDCDCD; +57BE : CDCDCDCD; +57BF : CDCDCDCD; +57C0 : CDCDCDCD; +57C1 : CDCDCDCD; +57C2 : CDCDCDCD; +57C3 : CDCDCDCD; +57C4 : CDCDCDCD; +57C5 : CDCDCDCD; +57C6 : CDCDCDCD; +57C7 : CDCDCDCD; +57C8 : CDCDCDCD; +57C9 : CDCDCDCD; +57CA : CDCDCDCD; +57CB : CDCDCDCD; +57CC : CDCDCDCD; +57CD : CDCDCDCD; +57CE : CDCDCDCD; +57CF : CDCDCDCD; +57D0 : CDCDCDCD; +57D1 : CDCDCDCD; +57D2 : CDCDCDCD; +57D3 : CDCDCDCD; +57D4 : CDCDCDCD; +57D5 : CDCDCDCD; +57D6 : CDCDCDCD; +57D7 : CDCDCDCD; +57D8 : CDCDCDCD; +57D9 : CDCDCDCD; +57DA : CDCDCDCD; +57DB : CDCDCDCD; +57DC : CDCDCDCD; +57DD : CDCDCDCD; +57DE : CDCDCDCD; +57DF : CDCDCDCD; +57E0 : CDCDCDCD; +57E1 : CDCDCDCD; +57E2 : CDCDCDCD; +57E3 : CDCDCDCD; +57E4 : CDCDCDCD; +57E5 : CDCDCDCD; +57E6 : CDCDCDCD; +57E7 : CDCDCDCD; +57E8 : CDCDCDCD; +57E9 : CDCDCDCD; +57EA : CDCDCDCD; +57EB : CDCDCDCD; +57EC : CDCDCDCD; +57ED : CDCDCDCD; +57EE : CDCDCDCD; +57EF : CDCDCDCD; +57F0 : CDCDCDCD; +57F1 : CDCDCDCD; +57F2 : CDCDCDCD; +57F3 : CDCDCDCD; +57F4 : CDCDCDCD; +57F5 : CDCDCDCD; +57F6 : CDCDCDCD; +57F7 : CDCDCDCD; +57F8 : CDCDCDCD; +57F9 : CDCDCDCD; +57FA : CDCDCDCD; +57FB : CDCDCDCD; +57FC : CDCDCDCD; +57FD : CDCDCDCD; +57FE : CDCDCDCD; +57FF : CDCDCDCD; +5800 : CDCDCDCD; +5801 : CDCDCDCD; +5802 : CDCDCDCD; +5803 : CDCDCDCD; +5804 : CDCDCDCD; +5805 : CDCDCDCD; +5806 : CDCDCDCD; +5807 : CDCDCDCD; +5808 : CDCDCDCD; +5809 : CDCDCDCD; +580A : CDCDCDCD; +580B : CDCDCDCD; +580C : CDCDCDCD; +580D : CDCDCDCD; +580E : CDCDCDCD; +580F : CDCDCDCD; +5810 : CDCDCDCD; +5811 : CDCDCDCD; +5812 : CDCDCDCD; +5813 : CDCDCDCD; +5814 : CDCDCDCD; +5815 : CDCDCDCD; +5816 : CDCDCDCD; +5817 : CDCDCDCD; +5818 : CDCDCDCD; +5819 : CDCDCDCD; +581A : CDCDCDCD; +581B : CDCDCDCD; +581C : CDCDCDCD; +581D : CDCDCDCD; +581E : CDCDCDCD; +581F : CDCDCDCD; +5820 : CDCDCDCD; +5821 : CDCDCDCD; +5822 : CDCDCDCD; +5823 : CDCDCDCD; +5824 : CDCDCDCD; +5825 : CDCDCDCD; +5826 : CDCDCDCD; +5827 : CDCDCDCD; +5828 : CDCDCDCD; +5829 : CDCDCDCD; +582A : CDCDCDCD; +582B : CDCDCDCD; +582C : CDCDCDCD; +582D : CDCDCDCD; +582E : CDCDCDCD; +582F : CDCDCDCD; +5830 : CDCDCDCD; +5831 : CDCDCDCD; +5832 : CDCDCDCD; +5833 : CDCDCDCD; +5834 : CDCDCDCD; +5835 : CDCDCDCD; +5836 : CDCDCDCD; +5837 : CDCDCDCD; +5838 : CDCDCDCD; +5839 : CDCDCDCD; +583A : CDCDCDCD; +583B : CDCDCDCD; +583C : CDCDCDCD; +583D : CDCDCDCD; +583E : CDCDCDCD; +583F : CDCDCDCD; +5840 : CDCDCDCD; +5841 : CDCDCDCD; +5842 : CDCDCDCD; +5843 : CDCDCDCD; +5844 : CDCDCDCD; +5845 : CDCDCDCD; +5846 : CDCDCDCD; +5847 : CDCDCDCD; +5848 : CDCDCDCD; +5849 : CDCDCDCD; +584A : CDCDCDCD; +584B : CDCDCDCD; +584C : CDCDCDCD; +584D : CDCDCDCD; +584E : CDCDCDCD; +584F : CDCDCDCD; +5850 : CDCDCDCD; +5851 : CDCDCDCD; +5852 : CDCDCDCD; +5853 : CDCDCDCD; +5854 : CDCDCDCD; +5855 : CDCDCDCD; +5856 : CDCDCDCD; +5857 : CDCDCDCD; +5858 : CDCDCDCD; +5859 : CDCDCDCD; +585A : CDCDCDCD; +585B : CDCDCDCD; +585C : CDCDCDCD; +585D : CDCDCDCD; +585E : CDCDCDCD; +585F : CDCDCDCD; +5860 : CDCDCDCD; +5861 : CDCDCDCD; +5862 : CDCDCDCD; +5863 : CDCDCDCD; +5864 : CDCDCDCD; +5865 : CDCDCDCD; +5866 : CDCDCDCD; +5867 : CDCDCDCD; +5868 : CDCDCDCD; +5869 : CDCDCDCD; +586A : CDCDCDCD; +586B : CDCDCDCD; +586C : CDCDCDCD; +586D : CDCDCDCD; +586E : CDCDCDCD; +586F : CDCDCDCD; +5870 : CDCDCDCD; +5871 : CDCDCDCD; +5872 : CDCDCDCD; +5873 : CDCDCDCD; +5874 : CDCDCDCD; +5875 : CDCDCDCD; +5876 : CDCDCDCD; +5877 : CDCDCDCD; +5878 : CDCDCDCD; +5879 : CDCDCDCD; +587A : CDCDCDCD; +587B : CDCDCDCD; +587C : CDCDCDCD; +587D : CDCDCDCD; +587E : CDCDCDCD; +587F : CDCDCDCD; +5880 : CDCDCDCD; +5881 : CDCDCDCD; +5882 : CDCDCDCD; +5883 : CDCDCDCD; +5884 : CDCDCDCD; +5885 : CDCDCDCD; +5886 : CDCDCDCD; +5887 : CDCDCDCD; +5888 : CDCDCDCD; +5889 : CDCDCDCD; +588A : CDCDCDCD; +588B : CDCDCDCD; +588C : CDCDCDCD; +588D : CDCDCDCD; +588E : CDCDCDCD; +588F : CDCDCDCD; +5890 : CDCDCDCD; +5891 : CDCDCDCD; +5892 : CDCDCDCD; +5893 : CDCDCDCD; +5894 : CDCDCDCD; +5895 : CDCDCDCD; +5896 : CDCDCDCD; +5897 : CDCDCDCD; +5898 : CDCDCDCD; +5899 : CDCDCDCD; +589A : CDCDCDCD; +589B : CDCDCDCD; +589C : CDCDCDCD; +589D : CDCDCDCD; +589E : CDCDCDCD; +589F : CDCDCDCD; +58A0 : CDCDCDCD; +58A1 : CDCDCDCD; +58A2 : CDCDCDCD; +58A3 : CDCDCDCD; +58A4 : CDCDCDCD; +58A5 : CDCDCDCD; +58A6 : CDCDCDCD; +58A7 : CDCDCDCD; +58A8 : CDCDCDCD; +58A9 : CDCDCDCD; +58AA : CDCDCDCD; +58AB : CDCDCDCD; +58AC : CDCDCDCD; +58AD : CDCDCDCD; +58AE : CDCDCDCD; +58AF : CDCDCDCD; +58B0 : CDCDCDCD; +58B1 : CDCDCDCD; +58B2 : CDCDCDCD; +58B3 : CDCDCDCD; +58B4 : CDCDCDCD; +58B5 : CDCDCDCD; +58B6 : CDCDCDCD; +58B7 : CDCDCDCD; +58B8 : CDCDCDCD; +58B9 : CDCDCDCD; +58BA : CDCDCDCD; +58BB : CDCDCDCD; +58BC : CDCDCDCD; +58BD : CDCDCDCD; +58BE : CDCDCDCD; +58BF : CDCDCDCD; +58C0 : CDCDCDCD; +58C1 : CDCDCDCD; +58C2 : CDCDCDCD; +58C3 : CDCDCDCD; +58C4 : CDCDCDCD; +58C5 : CDCDCDCD; +58C6 : CDCDCDCD; +58C7 : CDCDCDCD; +58C8 : CDCDCDCD; +58C9 : CDCDCDCD; +58CA : CDCDCDCD; +58CB : CDCDCDCD; +58CC : CDCDCDCD; +58CD : CDCDCDCD; +58CE : CDCDCDCD; +58CF : CDCDCDCD; +58D0 : CDCDCDCD; +58D1 : CDCDCDCD; +58D2 : CDCDCDCD; +58D3 : CDCDCDCD; +58D4 : CDCDCDCD; +58D5 : CDCDCDCD; +58D6 : CDCDCDCD; +58D7 : CDCDCDCD; +58D8 : CDCDCDCD; +58D9 : CDCDCDCD; +58DA : CDCDCDCD; +58DB : CDCDCDCD; +58DC : CDCDCDCD; +58DD : CDCDCDCD; +58DE : CDCDCDCD; +58DF : CDCDCDCD; +58E0 : CDCDCDCD; +58E1 : CDCDCDCD; +58E2 : CDCDCDCD; +58E3 : CDCDCDCD; +58E4 : CDCDCDCD; +58E5 : CDCDCDCD; +58E6 : CDCDCDCD; +58E7 : CDCDCDCD; +58E8 : CDCDCDCD; +58E9 : CDCDCDCD; +58EA : CDCDCDCD; +58EB : CDCDCDCD; +58EC : CDCDCDCD; +58ED : CDCDCDCD; +58EE : CDCDCDCD; +58EF : CDCDCDCD; +58F0 : CDCDCDCD; +58F1 : CDCDCDCD; +58F2 : CDCDCDCD; +58F3 : CDCDCDCD; +58F4 : CDCDCDCD; +58F5 : CDCDCDCD; +58F6 : CDCDCDCD; +58F7 : CDCDCDCD; +58F8 : CDCDCDCD; +58F9 : CDCDCDCD; +58FA : CDCDCDCD; +58FB : CDCDCDCD; +58FC : CDCDCDCD; +58FD : CDCDCDCD; +58FE : CDCDCDCD; +58FF : CDCDCDCD; +5900 : CDCDCDCD; +5901 : CDCDCDCD; +5902 : CDCDCDCD; +5903 : CDCDCDCD; +5904 : CDCDCDCD; +5905 : CDCDCDCD; +5906 : CDCDCDCD; +5907 : CDCDCDCD; +5908 : CDCDCDCD; +5909 : CDCDCDCD; +590A : CDCDCDCD; +590B : CDCDCDCD; +590C : CDCDCDCD; +590D : CDCDCDCD; +590E : CDCDCDCD; +590F : CDCDCDCD; +5910 : CDCDCDCD; +5911 : CDCDCDCD; +5912 : CDCDCDCD; +5913 : CDCDCDCD; +5914 : CDCDCDCD; +5915 : CDCDCDCD; +5916 : CDCDCDCD; +5917 : CDCDCDCD; +5918 : CDCDCDCD; +5919 : CDCDCDCD; +591A : CDCDCDCD; +591B : CDCDCDCD; +591C : CDCDCDCD; +591D : CDCDCDCD; +591E : CDCDCDCD; +591F : CDCDCDCD; +5920 : CDCDCDCD; +5921 : CDCDCDCD; +5922 : CDCDCDCD; +5923 : CDCDCDCD; +5924 : CDCDCDCD; +5925 : CDCDCDCD; +5926 : CDCDCDCD; +5927 : CDCDCDCD; +5928 : CDCDCDCD; +5929 : CDCDCDCD; +592A : CDCDCDCD; +592B : CDCDCDCD; +592C : CDCDCDCD; +592D : CDCDCDCD; +592E : CDCDCDCD; +592F : CDCDCDCD; +5930 : CDCDCDCD; +5931 : CDCDCDCD; +5932 : CDCDCDCD; +5933 : CDCDCDCD; +5934 : CDCDCDCD; +5935 : CDCDCDCD; +5936 : CDCDCDCD; +5937 : CDCDCDCD; +5938 : CDCDCDCD; +5939 : CDCDCDCD; +593A : CDCDCDCD; +593B : CDCDCDCD; +593C : CDCDCDCD; +593D : CDCDCDCD; +593E : CDCDCDCD; +593F : CDCDCDCD; +5940 : CDCDCDCD; +5941 : CDCDCDCD; +5942 : CDCDCDCD; +5943 : CDCDCDCD; +5944 : CDCDCDCD; +5945 : CDCDCDCD; +5946 : CDCDCDCD; +5947 : CDCDCDCD; +5948 : CDCDCDCD; +5949 : CDCDCDCD; +594A : CDCDCDCD; +594B : CDCDCDCD; +594C : CDCDCDCD; +594D : CDCDCDCD; +594E : CDCDCDCD; +594F : CDCDCDCD; +5950 : CDCDCDCD; +5951 : CDCDCDCD; +5952 : CDCDCDCD; +5953 : CDCDCDCD; +5954 : CDCDCDCD; +5955 : CDCDCDCD; +5956 : CDCDCDCD; +5957 : CDCDCDCD; +5958 : CDCDCDCD; +5959 : CDCDCDCD; +595A : CDCDCDCD; +595B : CDCDCDCD; +595C : CDCDCDCD; +595D : CDCDCDCD; +595E : CDCDCDCD; +595F : CDCDCDCD; +5960 : CDCDCDCD; +5961 : CDCDCDCD; +5962 : CDCDCDCD; +5963 : CDCDCDCD; +5964 : CDCDCDCD; +5965 : CDCDCDCD; +5966 : CDCDCDCD; +5967 : CDCDCDCD; +5968 : CDCDCDCD; +5969 : CDCDCDCD; +596A : CDCDCDCD; +596B : CDCDCDCD; +596C : CDCDCDCD; +596D : CDCDCDCD; +596E : CDCDCDCD; +596F : CDCDCDCD; +5970 : CDCDCDCD; +5971 : CDCDCDCD; +5972 : CDCDCDCD; +5973 : CDCDCDCD; +5974 : CDCDCDCD; +5975 : CDCDCDCD; +5976 : CDCDCDCD; +5977 : CDCDCDCD; +5978 : CDCDCDCD; +5979 : CDCDCDCD; +597A : CDCDCDCD; +597B : CDCDCDCD; +597C : CDCDCDCD; +597D : CDCDCDCD; +597E : CDCDCDCD; +597F : CDCDCDCD; +5980 : CDCDCDCD; +5981 : CDCDCDCD; +5982 : CDCDCDCD; +5983 : CDCDCDCD; +5984 : CDCDCDCD; +5985 : CDCDCDCD; +5986 : CDCDCDCD; +5987 : CDCDCDCD; +5988 : CDCDCDCD; +5989 : CDCDCDCD; +598A : CDCDCDCD; +598B : CDCDCDCD; +598C : CDCDCDCD; +598D : CDCDCDCD; +598E : CDCDCDCD; +598F : CDCDCDCD; +5990 : CDCDCDCD; +5991 : CDCDCDCD; +5992 : CDCDCDCD; +5993 : CDCDCDCD; +5994 : CDCDCDCD; +5995 : CDCDCDCD; +5996 : CDCDCDCD; +5997 : CDCDCDCD; +5998 : CDCDCDCD; +5999 : CDCDCDCD; +599A : CDCDCDCD; +599B : CDCDCDCD; +599C : CDCDCDCD; +599D : CDCDCDCD; +599E : CDCDCDCD; +599F : CDCDCDCD; +59A0 : CDCDCDCD; +59A1 : CDCDCDCD; +59A2 : CDCDCDCD; +59A3 : CDCDCDCD; +59A4 : CDCDCDCD; +59A5 : CDCDCDCD; +59A6 : CDCDCDCD; +59A7 : CDCDCDCD; +59A8 : CDCDCDCD; +59A9 : CDCDCDCD; +59AA : CDCDCDCD; +59AB : CDCDCDCD; +59AC : CDCDCDCD; +59AD : CDCDCDCD; +59AE : CDCDCDCD; +59AF : CDCDCDCD; +59B0 : CDCDCDCD; +59B1 : CDCDCDCD; +59B2 : CDCDCDCD; +59B3 : CDCDCDCD; +59B4 : CDCDCDCD; +59B5 : CDCDCDCD; +59B6 : CDCDCDCD; +59B7 : CDCDCDCD; +59B8 : CDCDCDCD; +59B9 : CDCDCDCD; +59BA : CDCDCDCD; +59BB : CDCDCDCD; +59BC : CDCDCDCD; +59BD : CDCDCDCD; +59BE : CDCDCDCD; +59BF : CDCDCDCD; +59C0 : CDCDCDCD; +59C1 : CDCDCDCD; +59C2 : CDCDCDCD; +59C3 : CDCDCDCD; +59C4 : CDCDCDCD; +59C5 : CDCDCDCD; +59C6 : CDCDCDCD; +59C7 : CDCDCDCD; +59C8 : CDCDCDCD; +59C9 : CDCDCDCD; +59CA : CDCDCDCD; +59CB : CDCDCDCD; +59CC : CDCDCDCD; +59CD : CDCDCDCD; +59CE : CDCDCDCD; +59CF : CDCDCDCD; +59D0 : CDCDCDCD; +59D1 : CDCDCDCD; +59D2 : CDCDCDCD; +59D3 : CDCDCDCD; +59D4 : CDCDCDCD; +59D5 : CDCDCDCD; +59D6 : CDCDCDCD; +59D7 : CDCDCDCD; +59D8 : CDCDCDCD; +59D9 : CDCDCDCD; +59DA : CDCDCDCD; +59DB : CDCDCDCD; +59DC : CDCDCDCD; +59DD : CDCDCDCD; +59DE : CDCDCDCD; +59DF : CDCDCDCD; +59E0 : CDCDCDCD; +59E1 : CDCDCDCD; +59E2 : CDCDCDCD; +59E3 : CDCDCDCD; +59E4 : CDCDCDCD; +59E5 : CDCDCDCD; +59E6 : CDCDCDCD; +59E7 : CDCDCDCD; +59E8 : CDCDCDCD; +59E9 : CDCDCDCD; +59EA : CDCDCDCD; +59EB : CDCDCDCD; +59EC : CDCDCDCD; +59ED : CDCDCDCD; +59EE : CDCDCDCD; +59EF : CDCDCDCD; +59F0 : CDCDCDCD; +59F1 : CDCDCDCD; +59F2 : CDCDCDCD; +59F3 : CDCDCDCD; +59F4 : CDCDCDCD; +59F5 : CDCDCDCD; +59F6 : CDCDCDCD; +59F7 : CDCDCDCD; +59F8 : CDCDCDCD; +59F9 : CDCDCDCD; +59FA : CDCDCDCD; +59FB : CDCDCDCD; +59FC : CDCDCDCD; +59FD : CDCDCDCD; +59FE : CDCDCDCD; +59FF : CDCDCDCD; +5A00 : CDCDCDCD; +5A01 : CDCDCDCD; +5A02 : CDCDCDCD; +5A03 : CDCDCDCD; +5A04 : CDCDCDCD; +5A05 : CDCDCDCD; +5A06 : CDCDCDCD; +5A07 : CDCDCDCD; +5A08 : CDCDCDCD; +5A09 : CDCDCDCD; +5A0A : CDCDCDCD; +5A0B : CDCDCDCD; +5A0C : CDCDCDCD; +5A0D : CDCDCDCD; +5A0E : CDCDCDCD; +5A0F : CDCDCDCD; +5A10 : CDCDCDCD; +5A11 : CDCDCDCD; +5A12 : CDCDCDCD; +5A13 : CDCDCDCD; +5A14 : CDCDCDCD; +5A15 : CDCDCDCD; +5A16 : CDCDCDCD; +5A17 : CDCDCDCD; +5A18 : CDCDCDCD; +5A19 : CDCDCDCD; +5A1A : CDCDCDCD; +5A1B : CDCDCDCD; +5A1C : CDCDCDCD; +5A1D : CDCDCDCD; +5A1E : CDCDCDCD; +5A1F : CDCDCDCD; +5A20 : CDCDCDCD; +5A21 : CDCDCDCD; +5A22 : CDCDCDCD; +5A23 : CDCDCDCD; +5A24 : CDCDCDCD; +5A25 : CDCDCDCD; +5A26 : CDCDCDCD; +5A27 : CDCDCDCD; +5A28 : CDCDCDCD; +5A29 : CDCDCDCD; +5A2A : CDCDCDCD; +5A2B : CDCDCDCD; +5A2C : CDCDCDCD; +5A2D : CDCDCDCD; +5A2E : CDCDCDCD; +5A2F : CDCDCDCD; +5A30 : CDCDCDCD; +5A31 : CDCDCDCD; +5A32 : CDCDCDCD; +5A33 : CDCDCDCD; +5A34 : CDCDCDCD; +5A35 : CDCDCDCD; +5A36 : CDCDCDCD; +5A37 : CDCDCDCD; +5A38 : CDCDCDCD; +5A39 : CDCDCDCD; +5A3A : CDCDCDCD; +5A3B : CDCDCDCD; +5A3C : CDCDCDCD; +5A3D : CDCDCDCD; +5A3E : CDCDCDCD; +5A3F : CDCDCDCD; +5A40 : CDCDCDCD; +5A41 : CDCDCDCD; +5A42 : CDCDCDCD; +5A43 : CDCDCDCD; +5A44 : CDCDCDCD; +5A45 : CDCDCDCD; +5A46 : CDCDCDCD; +5A47 : CDCDCDCD; +5A48 : CDCDCDCD; +5A49 : CDCDCDCD; +5A4A : CDCDCDCD; +5A4B : CDCDCDCD; +5A4C : CDCDCDCD; +5A4D : CDCDCDCD; +5A4E : CDCDCDCD; +5A4F : CDCDCDCD; +5A50 : CDCDCDCD; +5A51 : CDCDCDCD; +5A52 : CDCDCDCD; +5A53 : CDCDCDCD; +5A54 : CDCDCDCD; +5A55 : CDCDCDCD; +5A56 : CDCDCDCD; +5A57 : CDCDCDCD; +5A58 : CDCDCDCD; +5A59 : CDCDCDCD; +5A5A : CDCDCDCD; +5A5B : CDCDCDCD; +5A5C : CDCDCDCD; +5A5D : CDCDCDCD; +5A5E : CDCDCDCD; +5A5F : CDCDCDCD; +5A60 : CDCDCDCD; +5A61 : CDCDCDCD; +5A62 : CDCDCDCD; +5A63 : CDCDCDCD; +5A64 : CDCDCDCD; +5A65 : CDCDCDCD; +5A66 : CDCDCDCD; +5A67 : CDCDCDCD; +5A68 : CDCDCDCD; +5A69 : CDCDCDCD; +5A6A : CDCDCDCD; +5A6B : CDCDCDCD; +5A6C : CDCDCDCD; +5A6D : CDCDCDCD; +5A6E : CDCDCDCD; +5A6F : CDCDCDCD; +5A70 : CDCDCDCD; +5A71 : CDCDCDCD; +5A72 : CDCDCDCD; +5A73 : CDCDCDCD; +5A74 : CDCDCDCD; +5A75 : CDCDCDCD; +5A76 : CDCDCDCD; +5A77 : CDCDCDCD; +5A78 : CDCDCDCD; +5A79 : CDCDCDCD; +5A7A : CDCDCDCD; +5A7B : CDCDCDCD; +5A7C : CDCDCDCD; +5A7D : CDCDCDCD; +5A7E : CDCDCDCD; +5A7F : CDCDCDCD; +5A80 : CDCDCDCD; +5A81 : CDCDCDCD; +5A82 : CDCDCDCD; +5A83 : CDCDCDCD; +5A84 : CDCDCDCD; +5A85 : CDCDCDCD; +5A86 : CDCDCDCD; +5A87 : CDCDCDCD; +5A88 : CDCDCDCD; +5A89 : CDCDCDCD; +5A8A : CDCDCDCD; +5A8B : CDCDCDCD; +5A8C : CDCDCDCD; +5A8D : CDCDCDCD; +5A8E : CDCDCDCD; +5A8F : CDCDCDCD; +5A90 : CDCDCDCD; +5A91 : CDCDCDCD; +5A92 : CDCDCDCD; +5A93 : CDCDCDCD; +5A94 : CDCDCDCD; +5A95 : CDCDCDCD; +5A96 : CDCDCDCD; +5A97 : CDCDCDCD; +5A98 : CDCDCDCD; +5A99 : CDCDCDCD; +5A9A : CDCDCDCD; +5A9B : CDCDCDCD; +5A9C : CDCDCDCD; +5A9D : CDCDCDCD; +5A9E : CDCDCDCD; +5A9F : CDCDCDCD; +5AA0 : CDCDCDCD; +5AA1 : CDCDCDCD; +5AA2 : CDCDCDCD; +5AA3 : CDCDCDCD; +5AA4 : CDCDCDCD; +5AA5 : CDCDCDCD; +5AA6 : CDCDCDCD; +5AA7 : CDCDCDCD; +5AA8 : CDCDCDCD; +5AA9 : CDCDCDCD; +5AAA : CDCDCDCD; +5AAB : CDCDCDCD; +5AAC : CDCDCDCD; +5AAD : CDCDCDCD; +5AAE : CDCDCDCD; +5AAF : CDCDCDCD; +5AB0 : CDCDCDCD; +5AB1 : CDCDCDCD; +5AB2 : CDCDCDCD; +5AB3 : CDCDCDCD; +5AB4 : CDCDCDCD; +5AB5 : CDCDCDCD; +5AB6 : CDCDCDCD; +5AB7 : CDCDCDCD; +5AB8 : CDCDCDCD; +5AB9 : CDCDCDCD; +5ABA : CDCDCDCD; +5ABB : CDCDCDCD; +5ABC : CDCDCDCD; +5ABD : CDCDCDCD; +5ABE : CDCDCDCD; +5ABF : CDCDCDCD; +5AC0 : CDCDCDCD; +5AC1 : CDCDCDCD; +5AC2 : CDCDCDCD; +5AC3 : CDCDCDCD; +5AC4 : CDCDCDCD; +5AC5 : CDCDCDCD; +5AC6 : CDCDCDCD; +5AC7 : CDCDCDCD; +5AC8 : CDCDCDCD; +5AC9 : CDCDCDCD; +5ACA : CDCDCDCD; +5ACB : CDCDCDCD; +5ACC : CDCDCDCD; +5ACD : CDCDCDCD; +5ACE : CDCDCDCD; +5ACF : CDCDCDCD; +5AD0 : CDCDCDCD; +5AD1 : CDCDCDCD; +5AD2 : CDCDCDCD; +5AD3 : CDCDCDCD; +5AD4 : CDCDCDCD; +5AD5 : CDCDCDCD; +5AD6 : CDCDCDCD; +5AD7 : CDCDCDCD; +5AD8 : CDCDCDCD; +5AD9 : CDCDCDCD; +5ADA : CDCDCDCD; +5ADB : CDCDCDCD; +5ADC : CDCDCDCD; +5ADD : CDCDCDCD; +5ADE : CDCDCDCD; +5ADF : CDCDCDCD; +5AE0 : CDCDCDCD; +5AE1 : CDCDCDCD; +5AE2 : CDCDCDCD; +5AE3 : CDCDCDCD; +5AE4 : CDCDCDCD; +5AE5 : CDCDCDCD; +5AE6 : CDCDCDCD; +5AE7 : CDCDCDCD; +5AE8 : CDCDCDCD; +5AE9 : CDCDCDCD; +5AEA : CDCDCDCD; +5AEB : CDCDCDCD; +5AEC : CDCDCDCD; +5AED : CDCDCDCD; +5AEE : CDCDCDCD; +5AEF : CDCDCDCD; +5AF0 : CDCDCDCD; +5AF1 : CDCDCDCD; +5AF2 : CDCDCDCD; +5AF3 : CDCDCDCD; +5AF4 : CDCDCDCD; +5AF5 : CDCDCDCD; +5AF6 : CDCDCDCD; +5AF7 : CDCDCDCD; +5AF8 : CDCDCDCD; +5AF9 : CDCDCDCD; +5AFA : CDCDCDCD; +5AFB : CDCDCDCD; +5AFC : CDCDCDCD; +5AFD : CDCDCDCD; +5AFE : CDCDCDCD; +5AFF : CDCDCDCD; +5B00 : CDCDCDCD; +5B01 : CDCDCDCD; +5B02 : CDCDCDCD; +5B03 : CDCDCDCD; +5B04 : CDCDCDCD; +5B05 : CDCDCDCD; +5B06 : CDCDCDCD; +5B07 : CDCDCDCD; +5B08 : CDCDCDCD; +5B09 : CDCDCDCD; +5B0A : CDCDCDCD; +5B0B : CDCDCDCD; +5B0C : CDCDCDCD; +5B0D : CDCDCDCD; +5B0E : CDCDCDCD; +5B0F : CDCDCDCD; +5B10 : CDCDCDCD; +5B11 : CDCDCDCD; +5B12 : CDCDCDCD; +5B13 : CDCDCDCD; +5B14 : CDCDCDCD; +5B15 : CDCDCDCD; +5B16 : CDCDCDCD; +5B17 : CDCDCDCD; +5B18 : CDCDCDCD; +5B19 : CDCDCDCD; +5B1A : CDCDCDCD; +5B1B : CDCDCDCD; +5B1C : CDCDCDCD; +5B1D : CDCDCDCD; +5B1E : CDCDCDCD; +5B1F : CDCDCDCD; +5B20 : CDCDCDCD; +5B21 : CDCDCDCD; +5B22 : CDCDCDCD; +5B23 : CDCDCDCD; +5B24 : CDCDCDCD; +5B25 : CDCDCDCD; +5B26 : CDCDCDCD; +5B27 : CDCDCDCD; +5B28 : CDCDCDCD; +5B29 : CDCDCDCD; +5B2A : CDCDCDCD; +5B2B : CDCDCDCD; +5B2C : CDCDCDCD; +5B2D : CDCDCDCD; +5B2E : CDCDCDCD; +5B2F : CDCDCDCD; +5B30 : CDCDCDCD; +5B31 : CDCDCDCD; +5B32 : CDCDCDCD; +5B33 : CDCDCDCD; +5B34 : CDCDCDCD; +5B35 : CDCDCDCD; +5B36 : CDCDCDCD; +5B37 : CDCDCDCD; +5B38 : CDCDCDCD; +5B39 : CDCDCDCD; +5B3A : CDCDCDCD; +5B3B : CDCDCDCD; +5B3C : CDCDCDCD; +5B3D : CDCDCDCD; +5B3E : CDCDCDCD; +5B3F : CDCDCDCD; +5B40 : CDCDCDCD; +5B41 : CDCDCDCD; +5B42 : CDCDCDCD; +5B43 : CDCDCDCD; +5B44 : CDCDCDCD; +5B45 : CDCDCDCD; +5B46 : CDCDCDCD; +5B47 : CDCDCDCD; +5B48 : CDCDCDCD; +5B49 : CDCDCDCD; +5B4A : CDCDCDCD; +5B4B : CDCDCDCD; +5B4C : CDCDCDCD; +5B4D : CDCDCDCD; +5B4E : CDCDCDCD; +5B4F : CDCDCDCD; +5B50 : CDCDCDCD; +5B51 : CDCDCDCD; +5B52 : CDCDCDCD; +5B53 : CDCDCDCD; +5B54 : CDCDCDCD; +5B55 : CDCDCDCD; +5B56 : CDCDCDCD; +5B57 : CDCDCDCD; +5B58 : CDCDCDCD; +5B59 : CDCDCDCD; +5B5A : CDCDCDCD; +5B5B : CDCDCDCD; +5B5C : CDCDCDCD; +5B5D : CDCDCDCD; +5B5E : CDCDCDCD; +5B5F : CDCDCDCD; +5B60 : CDCDCDCD; +5B61 : CDCDCDCD; +5B62 : CDCDCDCD; +5B63 : CDCDCDCD; +5B64 : CDCDCDCD; +5B65 : CDCDCDCD; +5B66 : CDCDCDCD; +5B67 : CDCDCDCD; +5B68 : CDCDCDCD; +5B69 : CDCDCDCD; +5B6A : CDCDCDCD; +5B6B : CDCDCDCD; +5B6C : CDCDCDCD; +5B6D : CDCDCDCD; +5B6E : CDCDCDCD; +5B6F : CDCDCDCD; +5B70 : CDCDCDCD; +5B71 : CDCDCDCD; +5B72 : CDCDCDCD; +5B73 : CDCDCDCD; +5B74 : CDCDCDCD; +5B75 : CDCDCDCD; +5B76 : CDCDCDCD; +5B77 : CDCDCDCD; +5B78 : CDCDCDCD; +5B79 : CDCDCDCD; +5B7A : CDCDCDCD; +5B7B : CDCDCDCD; +5B7C : CDCDCDCD; +5B7D : CDCDCDCD; +5B7E : CDCDCDCD; +5B7F : CDCDCDCD; +5B80 : CDCDCDCD; +5B81 : CDCDCDCD; +5B82 : CDCDCDCD; +5B83 : CDCDCDCD; +5B84 : CDCDCDCD; +5B85 : CDCDCDCD; +5B86 : CDCDCDCD; +5B87 : CDCDCDCD; +5B88 : CDCDCDCD; +5B89 : CDCDCDCD; +5B8A : CDCDCDCD; +5B8B : CDCDCDCD; +5B8C : CDCDCDCD; +5B8D : CDCDCDCD; +5B8E : CDCDCDCD; +5B8F : CDCDCDCD; +5B90 : CDCDCDCD; +5B91 : CDCDCDCD; +5B92 : CDCDCDCD; +5B93 : CDCDCDCD; +5B94 : CDCDCDCD; +5B95 : CDCDCDCD; +5B96 : CDCDCDCD; +5B97 : CDCDCDCD; +5B98 : CDCDCDCD; +5B99 : CDCDCDCD; +5B9A : CDCDCDCD; +5B9B : CDCDCDCD; +5B9C : CDCDCDCD; +5B9D : CDCDCDCD; +5B9E : CDCDCDCD; +5B9F : CDCDCDCD; +5BA0 : CDCDCDCD; +5BA1 : CDCDCDCD; +5BA2 : CDCDCDCD; +5BA3 : CDCDCDCD; +5BA4 : CDCDCDCD; +5BA5 : CDCDCDCD; +5BA6 : CDCDCDCD; +5BA7 : CDCDCDCD; +5BA8 : CDCDCDCD; +5BA9 : CDCDCDCD; +5BAA : CDCDCDCD; +5BAB : CDCDCDCD; +5BAC : CDCDCDCD; +5BAD : CDCDCDCD; +5BAE : CDCDCDCD; +5BAF : CDCDCDCD; +5BB0 : CDCDCDCD; +5BB1 : CDCDCDCD; +5BB2 : CDCDCDCD; +5BB3 : CDCDCDCD; +5BB4 : CDCDCDCD; +5BB5 : CDCDCDCD; +5BB6 : CDCDCDCD; +5BB7 : CDCDCDCD; +5BB8 : CDCDCDCD; +5BB9 : CDCDCDCD; +5BBA : CDCDCDCD; +5BBB : CDCDCDCD; +5BBC : CDCDCDCD; +5BBD : CDCDCDCD; +5BBE : CDCDCDCD; +5BBF : CDCDCDCD; +5BC0 : CDCDCDCD; +5BC1 : CDCDCDCD; +5BC2 : CDCDCDCD; +5BC3 : CDCDCDCD; +5BC4 : CDCDCDCD; +5BC5 : CDCDCDCD; +5BC6 : CDCDCDCD; +5BC7 : CDCDCDCD; +5BC8 : CDCDCDCD; +5BC9 : CDCDCDCD; +5BCA : CDCDCDCD; +5BCB : CDCDCDCD; +5BCC : CDCDCDCD; +5BCD : CDCDCDCD; +5BCE : CDCDCDCD; +5BCF : CDCDCDCD; +5BD0 : CDCDCDCD; +5BD1 : CDCDCDCD; +5BD2 : CDCDCDCD; +5BD3 : CDCDCDCD; +5BD4 : CDCDCDCD; +5BD5 : CDCDCDCD; +5BD6 : CDCDCDCD; +5BD7 : CDCDCDCD; +5BD8 : CDCDCDCD; +5BD9 : CDCDCDCD; +5BDA : CDCDCDCD; +5BDB : CDCDCDCD; +5BDC : CDCDCDCD; +5BDD : CDCDCDCD; +5BDE : CDCDCDCD; +5BDF : CDCDCDCD; +5BE0 : CDCDCDCD; +5BE1 : CDCDCDCD; +5BE2 : CDCDCDCD; +5BE3 : CDCDCDCD; +5BE4 : CDCDCDCD; +5BE5 : CDCDCDCD; +5BE6 : CDCDCDCD; +5BE7 : CDCDCDCD; +5BE8 : CDCDCDCD; +5BE9 : CDCDCDCD; +5BEA : CDCDCDCD; +5BEB : CDCDCDCD; +5BEC : CDCDCDCD; +5BED : CDCDCDCD; +5BEE : CDCDCDCD; +5BEF : CDCDCDCD; +5BF0 : CDCDCDCD; +5BF1 : CDCDCDCD; +5BF2 : CDCDCDCD; +5BF3 : CDCDCDCD; +5BF4 : CDCDCDCD; +5BF5 : CDCDCDCD; +5BF6 : CDCDCDCD; +5BF7 : CDCDCDCD; +5BF8 : CDCDCDCD; +5BF9 : CDCDCDCD; +5BFA : CDCDCDCD; +5BFB : CDCDCDCD; +5BFC : CDCDCDCD; +5BFD : CDCDCDCD; +5BFE : CDCDCDCD; +5BFF : CDCDCDCD; +5C00 : CDCDCDCD; +5C01 : CDCDCDCD; +5C02 : CDCDCDCD; +5C03 : CDCDCDCD; +5C04 : CDCDCDCD; +5C05 : CDCDCDCD; +5C06 : CDCDCDCD; +5C07 : CDCDCDCD; +5C08 : CDCDCDCD; +5C09 : CDCDCDCD; +5C0A : CDCDCDCD; +5C0B : CDCDCDCD; +5C0C : CDCDCDCD; +5C0D : CDCDCDCD; +5C0E : CDCDCDCD; +5C0F : CDCDCDCD; +5C10 : CDCDCDCD; +5C11 : CDCDCDCD; +5C12 : CDCDCDCD; +5C13 : CDCDCDCD; +5C14 : CDCDCDCD; +5C15 : CDCDCDCD; +5C16 : CDCDCDCD; +5C17 : CDCDCDCD; +5C18 : CDCDCDCD; +5C19 : CDCDCDCD; +5C1A : CDCDCDCD; +5C1B : CDCDCDCD; +5C1C : CDCDCDCD; +5C1D : CDCDCDCD; +5C1E : CDCDCDCD; +5C1F : CDCDCDCD; +5C20 : CDCDCDCD; +5C21 : CDCDCDCD; +5C22 : CDCDCDCD; +5C23 : CDCDCDCD; +5C24 : CDCDCDCD; +5C25 : CDCDCDCD; +5C26 : CDCDCDCD; +5C27 : CDCDCDCD; +5C28 : CDCDCDCD; +5C29 : CDCDCDCD; +5C2A : CDCDCDCD; +5C2B : CDCDCDCD; +5C2C : CDCDCDCD; +5C2D : CDCDCDCD; +5C2E : CDCDCDCD; +5C2F : CDCDCDCD; +5C30 : CDCDCDCD; +5C31 : CDCDCDCD; +5C32 : CDCDCDCD; +5C33 : CDCDCDCD; +5C34 : CDCDCDCD; +5C35 : CDCDCDCD; +5C36 : CDCDCDCD; +5C37 : CDCDCDCD; +5C38 : CDCDCDCD; +5C39 : CDCDCDCD; +5C3A : CDCDCDCD; +5C3B : CDCDCDCD; +5C3C : CDCDCDCD; +5C3D : CDCDCDCD; +5C3E : CDCDCDCD; +5C3F : CDCDCDCD; +5C40 : CDCDCDCD; +5C41 : CDCDCDCD; +5C42 : CDCDCDCD; +5C43 : CDCDCDCD; +5C44 : CDCDCDCD; +5C45 : CDCDCDCD; +5C46 : CDCDCDCD; +5C47 : CDCDCDCD; +5C48 : CDCDCDCD; +5C49 : CDCDCDCD; +5C4A : CDCDCDCD; +5C4B : CDCDCDCD; +5C4C : CDCDCDCD; +5C4D : CDCDCDCD; +5C4E : CDCDCDCD; +5C4F : CDCDCDCD; +5C50 : CDCDCDCD; +5C51 : CDCDCDCD; +5C52 : CDCDCDCD; +5C53 : CDCDCDCD; +5C54 : CDCDCDCD; +5C55 : CDCDCDCD; +5C56 : CDCDCDCD; +5C57 : CDCDCDCD; +5C58 : CDCDCDCD; +5C59 : CDCDCDCD; +5C5A : CDCDCDCD; +5C5B : CDCDCDCD; +5C5C : CDCDCDCD; +5C5D : CDCDCDCD; +5C5E : CDCDCDCD; +5C5F : CDCDCDCD; +5C60 : CDCDCDCD; +5C61 : CDCDCDCD; +5C62 : CDCDCDCD; +5C63 : CDCDCDCD; +5C64 : CDCDCDCD; +5C65 : CDCDCDCD; +5C66 : CDCDCDCD; +5C67 : CDCDCDCD; +5C68 : CDCDCDCD; +5C69 : CDCDCDCD; +5C6A : CDCDCDCD; +5C6B : CDCDCDCD; +5C6C : CDCDCDCD; +5C6D : CDCDCDCD; +5C6E : CDCDCDCD; +5C6F : CDCDCDCD; +5C70 : CDCDCDCD; +5C71 : CDCDCDCD; +5C72 : CDCDCDCD; +5C73 : CDCDCDCD; +5C74 : CDCDCDCD; +5C75 : CDCDCDCD; +5C76 : CDCDCDCD; +5C77 : CDCDCDCD; +5C78 : CDCDCDCD; +5C79 : CDCDCDCD; +5C7A : CDCDCDCD; +5C7B : CDCDCDCD; +5C7C : CDCDCDCD; +5C7D : CDCDCDCD; +5C7E : CDCDCDCD; +5C7F : CDCDCDCD; +5C80 : CDCDCDCD; +5C81 : CDCDCDCD; +5C82 : CDCDCDCD; +5C83 : CDCDCDCD; +5C84 : CDCDCDCD; +5C85 : CDCDCDCD; +5C86 : CDCDCDCD; +5C87 : CDCDCDCD; +5C88 : CDCDCDCD; +5C89 : CDCDCDCD; +5C8A : CDCDCDCD; +5C8B : CDCDCDCD; +5C8C : CDCDCDCD; +5C8D : CDCDCDCD; +5C8E : CDCDCDCD; +5C8F : CDCDCDCD; +5C90 : CDCDCDCD; +5C91 : CDCDCDCD; +5C92 : CDCDCDCD; +5C93 : CDCDCDCD; +5C94 : CDCDCDCD; +5C95 : CDCDCDCD; +5C96 : CDCDCDCD; +5C97 : CDCDCDCD; +5C98 : CDCDCDCD; +5C99 : CDCDCDCD; +5C9A : CDCDCDCD; +5C9B : CDCDCDCD; +5C9C : CDCDCDCD; +5C9D : CDCDCDCD; +5C9E : CDCDCDCD; +5C9F : CDCDCDCD; +5CA0 : CDCDCDCD; +5CA1 : CDCDCDCD; +5CA2 : CDCDCDCD; +5CA3 : CDCDCDCD; +5CA4 : CDCDCDCD; +5CA5 : CDCDCDCD; +5CA6 : CDCDCDCD; +5CA7 : CDCDCDCD; +5CA8 : CDCDCDCD; +5CA9 : CDCDCDCD; +5CAA : CDCDCDCD; +5CAB : CDCDCDCD; +5CAC : CDCDCDCD; +5CAD : CDCDCDCD; +5CAE : CDCDCDCD; +5CAF : CDCDCDCD; +5CB0 : CDCDCDCD; +5CB1 : CDCDCDCD; +5CB2 : CDCDCDCD; +5CB3 : CDCDCDCD; +5CB4 : CDCDCDCD; +5CB5 : CDCDCDCD; +5CB6 : CDCDCDCD; +5CB7 : CDCDCDCD; +5CB8 : CDCDCDCD; +5CB9 : CDCDCDCD; +5CBA : CDCDCDCD; +5CBB : CDCDCDCD; +5CBC : CDCDCDCD; +5CBD : CDCDCDCD; +5CBE : CDCDCDCD; +5CBF : CDCDCDCD; +5CC0 : CDCDCDCD; +5CC1 : CDCDCDCD; +5CC2 : CDCDCDCD; +5CC3 : CDCDCDCD; +5CC4 : CDCDCDCD; +5CC5 : CDCDCDCD; +5CC6 : CDCDCDCD; +5CC7 : CDCDCDCD; +5CC8 : CDCDCDCD; +5CC9 : CDCDCDCD; +5CCA : CDCDCDCD; +5CCB : CDCDCDCD; +5CCC : CDCDCDCD; +5CCD : CDCDCDCD; +5CCE : CDCDCDCD; +5CCF : CDCDCDCD; +5CD0 : CDCDCDCD; +5CD1 : CDCDCDCD; +5CD2 : CDCDCDCD; +5CD3 : CDCDCDCD; +5CD4 : CDCDCDCD; +5CD5 : CDCDCDCD; +5CD6 : CDCDCDCD; +5CD7 : CDCDCDCD; +5CD8 : CDCDCDCD; +5CD9 : CDCDCDCD; +5CDA : CDCDCDCD; +5CDB : CDCDCDCD; +5CDC : CDCDCDCD; +5CDD : CDCDCDCD; +5CDE : CDCDCDCD; +5CDF : CDCDCDCD; +5CE0 : CDCDCDCD; +5CE1 : CDCDCDCD; +5CE2 : CDCDCDCD; +5CE3 : CDCDCDCD; +5CE4 : CDCDCDCD; +5CE5 : CDCDCDCD; +5CE6 : CDCDCDCD; +5CE7 : CDCDCDCD; +5CE8 : CDCDCDCD; +5CE9 : CDCDCDCD; +5CEA : CDCDCDCD; +5CEB : CDCDCDCD; +5CEC : CDCDCDCD; +5CED : CDCDCDCD; +5CEE : CDCDCDCD; +5CEF : CDCDCDCD; +5CF0 : CDCDCDCD; +5CF1 : CDCDCDCD; +5CF2 : CDCDCDCD; +5CF3 : CDCDCDCD; +5CF4 : CDCDCDCD; +5CF5 : CDCDCDCD; +5CF6 : CDCDCDCD; +5CF7 : CDCDCDCD; +5CF8 : CDCDCDCD; +5CF9 : CDCDCDCD; +5CFA : CDCDCDCD; +5CFB : CDCDCDCD; +5CFC : CDCDCDCD; +5CFD : CDCDCDCD; +5CFE : CDCDCDCD; +5CFF : CDCDCDCD; +5D00 : CDCDCDCD; +5D01 : CDCDCDCD; +5D02 : CDCDCDCD; +5D03 : CDCDCDCD; +5D04 : CDCDCDCD; +5D05 : CDCDCDCD; +5D06 : CDCDCDCD; +5D07 : CDCDCDCD; +5D08 : CDCDCDCD; +5D09 : CDCDCDCD; +5D0A : CDCDCDCD; +5D0B : CDCDCDCD; +5D0C : CDCDCDCD; +5D0D : CDCDCDCD; +5D0E : CDCDCDCD; +5D0F : CDCDCDCD; +5D10 : CDCDCDCD; +5D11 : CDCDCDCD; +5D12 : CDCDCDCD; +5D13 : CDCDCDCD; +5D14 : CDCDCDCD; +5D15 : CDCDCDCD; +5D16 : CDCDCDCD; +5D17 : CDCDCDCD; +5D18 : CDCDCDCD; +5D19 : CDCDCDCD; +5D1A : CDCDCDCD; +5D1B : CDCDCDCD; +5D1C : CDCDCDCD; +5D1D : CDCDCDCD; +5D1E : CDCDCDCD; +5D1F : CDCDCDCD; +5D20 : CDCDCDCD; +5D21 : CDCDCDCD; +5D22 : CDCDCDCD; +5D23 : CDCDCDCD; +5D24 : CDCDCDCD; +5D25 : CDCDCDCD; +5D26 : CDCDCDCD; +5D27 : CDCDCDCD; +5D28 : CDCDCDCD; +5D29 : CDCDCDCD; +5D2A : CDCDCDCD; +5D2B : CDCDCDCD; +5D2C : CDCDCDCD; +5D2D : CDCDCDCD; +5D2E : CDCDCDCD; +5D2F : CDCDCDCD; +5D30 : CDCDCDCD; +5D31 : CDCDCDCD; +5D32 : CDCDCDCD; +5D33 : CDCDCDCD; +5D34 : CDCDCDCD; +5D35 : CDCDCDCD; +5D36 : CDCDCDCD; +5D37 : CDCDCDCD; +5D38 : CDCDCDCD; +5D39 : CDCDCDCD; +5D3A : CDCDCDCD; +5D3B : CDCDCDCD; +5D3C : CDCDCDCD; +5D3D : CDCDCDCD; +5D3E : CDCDCDCD; +5D3F : CDCDCDCD; +5D40 : CDCDCDCD; +5D41 : CDCDCDCD; +5D42 : CDCDCDCD; +5D43 : CDCDCDCD; +5D44 : CDCDCDCD; +5D45 : CDCDCDCD; +5D46 : CDCDCDCD; +5D47 : CDCDCDCD; +5D48 : CDCDCDCD; +5D49 : CDCDCDCD; +5D4A : CDCDCDCD; +5D4B : CDCDCDCD; +5D4C : CDCDCDCD; +5D4D : CDCDCDCD; +5D4E : CDCDCDCD; +5D4F : CDCDCDCD; +5D50 : CDCDCDCD; +5D51 : CDCDCDCD; +5D52 : CDCDCDCD; +5D53 : CDCDCDCD; +5D54 : CDCDCDCD; +5D55 : CDCDCDCD; +5D56 : CDCDCDCD; +5D57 : CDCDCDCD; +5D58 : CDCDCDCD; +5D59 : CDCDCDCD; +5D5A : CDCDCDCD; +5D5B : CDCDCDCD; +5D5C : CDCDCDCD; +5D5D : CDCDCDCD; +5D5E : CDCDCDCD; +5D5F : CDCDCDCD; +5D60 : CDCDCDCD; +5D61 : CDCDCDCD; +5D62 : CDCDCDCD; +5D63 : CDCDCDCD; +5D64 : CDCDCDCD; +5D65 : CDCDCDCD; +5D66 : CDCDCDCD; +5D67 : CDCDCDCD; +5D68 : CDCDCDCD; +5D69 : CDCDCDCD; +5D6A : CDCDCDCD; +5D6B : CDCDCDCD; +5D6C : CDCDCDCD; +5D6D : CDCDCDCD; +5D6E : CDCDCDCD; +5D6F : CDCDCDCD; +5D70 : CDCDCDCD; +5D71 : CDCDCDCD; +5D72 : CDCDCDCD; +5D73 : CDCDCDCD; +5D74 : CDCDCDCD; +5D75 : CDCDCDCD; +5D76 : CDCDCDCD; +5D77 : CDCDCDCD; +5D78 : CDCDCDCD; +5D79 : CDCDCDCD; +5D7A : CDCDCDCD; +5D7B : CDCDCDCD; +5D7C : CDCDCDCD; +5D7D : CDCDCDCD; +5D7E : CDCDCDCD; +5D7F : CDCDCDCD; +5D80 : CDCDCDCD; +5D81 : CDCDCDCD; +5D82 : CDCDCDCD; +5D83 : CDCDCDCD; +5D84 : CDCDCDCD; +5D85 : CDCDCDCD; +5D86 : CDCDCDCD; +5D87 : CDCDCDCD; +5D88 : CDCDCDCD; +5D89 : CDCDCDCD; +5D8A : CDCDCDCD; +5D8B : CDCDCDCD; +5D8C : CDCDCDCD; +5D8D : CDCDCDCD; +5D8E : CDCDCDCD; +5D8F : CDCDCDCD; +5D90 : CDCDCDCD; +5D91 : CDCDCDCD; +5D92 : CDCDCDCD; +5D93 : CDCDCDCD; +5D94 : CDCDCDCD; +5D95 : CDCDCDCD; +5D96 : CDCDCDCD; +5D97 : CDCDCDCD; +5D98 : CDCDCDCD; +5D99 : CDCDCDCD; +5D9A : CDCDCDCD; +5D9B : CDCDCDCD; +5D9C : CDCDCDCD; +5D9D : CDCDCDCD; +5D9E : CDCDCDCD; +5D9F : CDCDCDCD; +5DA0 : CDCDCDCD; +5DA1 : CDCDCDCD; +5DA2 : CDCDCDCD; +5DA3 : CDCDCDCD; +5DA4 : CDCDCDCD; +5DA5 : CDCDCDCD; +5DA6 : CDCDCDCD; +5DA7 : CDCDCDCD; +5DA8 : CDCDCDCD; +5DA9 : CDCDCDCD; +5DAA : CDCDCDCD; +5DAB : CDCDCDCD; +5DAC : CDCDCDCD; +5DAD : CDCDCDCD; +5DAE : CDCDCDCD; +5DAF : CDCDCDCD; +5DB0 : CDCDCDCD; +5DB1 : CDCDCDCD; +5DB2 : CDCDCDCD; +5DB3 : CDCDCDCD; +5DB4 : CDCDCDCD; +5DB5 : CDCDCDCD; +5DB6 : CDCDCDCD; +5DB7 : CDCDCDCD; +5DB8 : CDCDCDCD; +5DB9 : CDCDCDCD; +5DBA : CDCDCDCD; +5DBB : CDCDCDCD; +5DBC : CDCDCDCD; +5DBD : CDCDCDCD; +5DBE : CDCDCDCD; +5DBF : CDCDCDCD; +5DC0 : CDCDCDCD; +5DC1 : CDCDCDCD; +5DC2 : CDCDCDCD; +5DC3 : CDCDCDCD; +5DC4 : CDCDCDCD; +5DC5 : CDCDCDCD; +5DC6 : CDCDCDCD; +5DC7 : CDCDCDCD; +5DC8 : CDCDCDCD; +5DC9 : CDCDCDCD; +5DCA : CDCDCDCD; +5DCB : CDCDCDCD; +5DCC : CDCDCDCD; +5DCD : CDCDCDCD; +5DCE : CDCDCDCD; +5DCF : CDCDCDCD; +5DD0 : CDCDCDCD; +5DD1 : CDCDCDCD; +5DD2 : CDCDCDCD; +5DD3 : CDCDCDCD; +5DD4 : CDCDCDCD; +5DD5 : CDCDCDCD; +5DD6 : CDCDCDCD; +5DD7 : CDCDCDCD; +5DD8 : CDCDCDCD; +5DD9 : CDCDCDCD; +5DDA : CDCDCDCD; +5DDB : CDCDCDCD; +5DDC : CDCDCDCD; +5DDD : CDCDCDCD; +5DDE : CDCDCDCD; +5DDF : CDCDCDCD; +5DE0 : CDCDCDCD; +5DE1 : CDCDCDCD; +5DE2 : CDCDCDCD; +5DE3 : CDCDCDCD; +5DE4 : CDCDCDCD; +5DE5 : CDCDCDCD; +5DE6 : CDCDCDCD; +5DE7 : CDCDCDCD; +5DE8 : CDCDCDCD; +5DE9 : CDCDCDCD; +5DEA : CDCDCDCD; +5DEB : CDCDCDCD; +5DEC : CDCDCDCD; +5DED : CDCDCDCD; +5DEE : CDCDCDCD; +5DEF : CDCDCDCD; +5DF0 : CDCDCDCD; +5DF1 : CDCDCDCD; +5DF2 : CDCDCDCD; +5DF3 : CDCDCDCD; +5DF4 : CDCDCDCD; +5DF5 : CDCDCDCD; +5DF6 : CDCDCDCD; +5DF7 : CDCDCDCD; +5DF8 : CDCDCDCD; +5DF9 : CDCDCDCD; +5DFA : CDCDCDCD; +5DFB : CDCDCDCD; +5DFC : CDCDCDCD; +5DFD : CDCDCDCD; +5DFE : CDCDCDCD; +5DFF : CDCDCDCD; +5E00 : CDCDCDCD; +5E01 : CDCDCDCD; +5E02 : CDCDCDCD; +5E03 : CDCDCDCD; +5E04 : CDCDCDCD; +5E05 : CDCDCDCD; +5E06 : CDCDCDCD; +5E07 : CDCDCDCD; +5E08 : CDCDCDCD; +5E09 : CDCDCDCD; +5E0A : CDCDCDCD; +5E0B : CDCDCDCD; +5E0C : CDCDCDCD; +5E0D : CDCDCDCD; +5E0E : CDCDCDCD; +5E0F : CDCDCDCD; +5E10 : CDCDCDCD; +5E11 : CDCDCDCD; +5E12 : CDCDCDCD; +5E13 : CDCDCDCD; +5E14 : CDCDCDCD; +5E15 : CDCDCDCD; +5E16 : CDCDCDCD; +5E17 : CDCDCDCD; +5E18 : CDCDCDCD; +5E19 : CDCDCDCD; +5E1A : CDCDCDCD; +5E1B : CDCDCDCD; +5E1C : CDCDCDCD; +5E1D : CDCDCDCD; +5E1E : CDCDCDCD; +5E1F : CDCDCDCD; +5E20 : CDCDCDCD; +5E21 : CDCDCDCD; +5E22 : CDCDCDCD; +5E23 : CDCDCDCD; +5E24 : CDCDCDCD; +5E25 : CDCDCDCD; +5E26 : CDCDCDCD; +5E27 : CDCDCDCD; +5E28 : CDCDCDCD; +5E29 : CDCDCDCD; +5E2A : CDCDCDCD; +5E2B : CDCDCDCD; +5E2C : CDCDCDCD; +5E2D : CDCDCDCD; +5E2E : CDCDCDCD; +5E2F : CDCDCDCD; +5E30 : CDCDCDCD; +5E31 : CDCDCDCD; +5E32 : CDCDCDCD; +5E33 : CDCDCDCD; +5E34 : CDCDCDCD; +5E35 : CDCDCDCD; +5E36 : CDCDCDCD; +5E37 : CDCDCDCD; +5E38 : CDCDCDCD; +5E39 : CDCDCDCD; +5E3A : CDCDCDCD; +5E3B : CDCDCDCD; +5E3C : CDCDCDCD; +5E3D : CDCDCDCD; +5E3E : CDCDCDCD; +5E3F : CDCDCDCD; +5E40 : CDCDCDCD; +5E41 : CDCDCDCD; +5E42 : CDCDCDCD; +5E43 : CDCDCDCD; +5E44 : CDCDCDCD; +5E45 : CDCDCDCD; +5E46 : CDCDCDCD; +5E47 : CDCDCDCD; +5E48 : CDCDCDCD; +5E49 : CDCDCDCD; +5E4A : CDCDCDCD; +5E4B : CDCDCDCD; +5E4C : CDCDCDCD; +5E4D : CDCDCDCD; +5E4E : CDCDCDCD; +5E4F : CDCDCDCD; +5E50 : CDCDCDCD; +5E51 : CDCDCDCD; +5E52 : CDCDCDCD; +5E53 : CDCDCDCD; +5E54 : CDCDCDCD; +5E55 : CDCDCDCD; +5E56 : CDCDCDCD; +5E57 : CDCDCDCD; +5E58 : CDCDCDCD; +5E59 : CDCDCDCD; +5E5A : CDCDCDCD; +5E5B : CDCDCDCD; +5E5C : CDCDCDCD; +5E5D : CDCDCDCD; +5E5E : CDCDCDCD; +5E5F : CDCDCDCD; +5E60 : CDCDCDCD; +5E61 : CDCDCDCD; +5E62 : CDCDCDCD; +5E63 : CDCDCDCD; +5E64 : CDCDCDCD; +5E65 : CDCDCDCD; +5E66 : CDCDCDCD; +5E67 : CDCDCDCD; +5E68 : CDCDCDCD; +5E69 : CDCDCDCD; +5E6A : CDCDCDCD; +5E6B : CDCDCDCD; +5E6C : CDCDCDCD; +5E6D : CDCDCDCD; +5E6E : CDCDCDCD; +5E6F : CDCDCDCD; +5E70 : CDCDCDCD; +5E71 : CDCDCDCD; +5E72 : CDCDCDCD; +5E73 : CDCDCDCD; +5E74 : CDCDCDCD; +5E75 : CDCDCDCD; +5E76 : CDCDCDCD; +5E77 : CDCDCDCD; +5E78 : CDCDCDCD; +5E79 : CDCDCDCD; +5E7A : CDCDCDCD; +5E7B : CDCDCDCD; +5E7C : CDCDCDCD; +5E7D : CDCDCDCD; +5E7E : CDCDCDCD; +5E7F : CDCDCDCD; +5E80 : CDCDCDCD; +5E81 : CDCDCDCD; +5E82 : CDCDCDCD; +5E83 : CDCDCDCD; +5E84 : CDCDCDCD; +5E85 : CDCDCDCD; +5E86 : CDCDCDCD; +5E87 : CDCDCDCD; +5E88 : CDCDCDCD; +5E89 : CDCDCDCD; +5E8A : CDCDCDCD; +5E8B : CDCDCDCD; +5E8C : CDCDCDCD; +5E8D : CDCDCDCD; +5E8E : CDCDCDCD; +5E8F : CDCDCDCD; +5E90 : CDCDCDCD; +5E91 : CDCDCDCD; +5E92 : CDCDCDCD; +5E93 : CDCDCDCD; +5E94 : CDCDCDCD; +5E95 : CDCDCDCD; +5E96 : CDCDCDCD; +5E97 : CDCDCDCD; +5E98 : CDCDCDCD; +5E99 : CDCDCDCD; +5E9A : CDCDCDCD; +5E9B : CDCDCDCD; +5E9C : CDCDCDCD; +5E9D : CDCDCDCD; +5E9E : CDCDCDCD; +5E9F : CDCDCDCD; +5EA0 : CDCDCDCD; +5EA1 : CDCDCDCD; +5EA2 : CDCDCDCD; +5EA3 : CDCDCDCD; +5EA4 : CDCDCDCD; +5EA5 : CDCDCDCD; +5EA6 : CDCDCDCD; +5EA7 : CDCDCDCD; +5EA8 : CDCDCDCD; +5EA9 : CDCDCDCD; +5EAA : CDCDCDCD; +5EAB : CDCDCDCD; +5EAC : CDCDCDCD; +5EAD : CDCDCDCD; +5EAE : CDCDCDCD; +5EAF : CDCDCDCD; +5EB0 : CDCDCDCD; +5EB1 : CDCDCDCD; +5EB2 : CDCDCDCD; +5EB3 : CDCDCDCD; +5EB4 : CDCDCDCD; +5EB5 : CDCDCDCD; +5EB6 : CDCDCDCD; +5EB7 : CDCDCDCD; +5EB8 : CDCDCDCD; +5EB9 : CDCDCDCD; +5EBA : CDCDCDCD; +5EBB : CDCDCDCD; +5EBC : CDCDCDCD; +5EBD : CDCDCDCD; +5EBE : CDCDCDCD; +5EBF : CDCDCDCD; +5EC0 : CDCDCDCD; +5EC1 : CDCDCDCD; +5EC2 : CDCDCDCD; +5EC3 : CDCDCDCD; +5EC4 : CDCDCDCD; +5EC5 : CDCDCDCD; +5EC6 : CDCDCDCD; +5EC7 : CDCDCDCD; +5EC8 : CDCDCDCD; +5EC9 : CDCDCDCD; +5ECA : CDCDCDCD; +5ECB : CDCDCDCD; +5ECC : CDCDCDCD; +5ECD : CDCDCDCD; +5ECE : CDCDCDCD; +5ECF : CDCDCDCD; +5ED0 : CDCDCDCD; +5ED1 : CDCDCDCD; +5ED2 : CDCDCDCD; +5ED3 : CDCDCDCD; +5ED4 : CDCDCDCD; +5ED5 : CDCDCDCD; +5ED6 : CDCDCDCD; +5ED7 : CDCDCDCD; +5ED8 : CDCDCDCD; +5ED9 : CDCDCDCD; +5EDA : CDCDCDCD; +5EDB : CDCDCDCD; +5EDC : CDCDCDCD; +5EDD : CDCDCDCD; +5EDE : CDCDCDCD; +5EDF : CDCDCDCD; +5EE0 : CDCDCDCD; +5EE1 : CDCDCDCD; +5EE2 : CDCDCDCD; +5EE3 : CDCDCDCD; +5EE4 : CDCDCDCD; +5EE5 : CDCDCDCD; +5EE6 : CDCDCDCD; +5EE7 : CDCDCDCD; +5EE8 : CDCDCDCD; +5EE9 : CDCDCDCD; +5EEA : CDCDCDCD; +5EEB : CDCDCDCD; +5EEC : CDCDCDCD; +5EED : CDCDCDCD; +5EEE : CDCDCDCD; +5EEF : CDCDCDCD; +5EF0 : CDCDCDCD; +5EF1 : CDCDCDCD; +5EF2 : CDCDCDCD; +5EF3 : CDCDCDCD; +5EF4 : CDCDCDCD; +5EF5 : CDCDCDCD; +5EF6 : CDCDCDCD; +5EF7 : CDCDCDCD; +5EF8 : CDCDCDCD; +5EF9 : CDCDCDCD; +5EFA : CDCDCDCD; +5EFB : CDCDCDCD; +5EFC : CDCDCDCD; +5EFD : CDCDCDCD; +5EFE : CDCDCDCD; +5EFF : CDCDCDCD; +5F00 : CDCDCDCD; +5F01 : CDCDCDCD; +5F02 : CDCDCDCD; +5F03 : CDCDCDCD; +5F04 : CDCDCDCD; +5F05 : CDCDCDCD; +5F06 : CDCDCDCD; +5F07 : CDCDCDCD; +5F08 : CDCDCDCD; +5F09 : CDCDCDCD; +5F0A : CDCDCDCD; +5F0B : CDCDCDCD; +5F0C : CDCDCDCD; +5F0D : CDCDCDCD; +5F0E : CDCDCDCD; +5F0F : CDCDCDCD; +5F10 : CDCDCDCD; +5F11 : CDCDCDCD; +5F12 : CDCDCDCD; +5F13 : CDCDCDCD; +5F14 : CDCDCDCD; +5F15 : CDCDCDCD; +5F16 : CDCDCDCD; +5F17 : CDCDCDCD; +5F18 : CDCDCDCD; +5F19 : CDCDCDCD; +5F1A : CDCDCDCD; +5F1B : CDCDCDCD; +5F1C : CDCDCDCD; +5F1D : CDCDCDCD; +5F1E : CDCDCDCD; +5F1F : CDCDCDCD; +5F20 : CDCDCDCD; +5F21 : CDCDCDCD; +5F22 : CDCDCDCD; +5F23 : CDCDCDCD; +5F24 : CDCDCDCD; +5F25 : CDCDCDCD; +5F26 : CDCDCDCD; +5F27 : CDCDCDCD; +5F28 : CDCDCDCD; +5F29 : CDCDCDCD; +5F2A : CDCDCDCD; +5F2B : CDCDCDCD; +5F2C : CDCDCDCD; +5F2D : CDCDCDCD; +5F2E : CDCDCDCD; +5F2F : CDCDCDCD; +5F30 : CDCDCDCD; +5F31 : CDCDCDCD; +5F32 : CDCDCDCD; +5F33 : CDCDCDCD; +5F34 : CDCDCDCD; +5F35 : CDCDCDCD; +5F36 : CDCDCDCD; +5F37 : CDCDCDCD; +5F38 : CDCDCDCD; +5F39 : CDCDCDCD; +5F3A : CDCDCDCD; +5F3B : CDCDCDCD; +5F3C : CDCDCDCD; +5F3D : CDCDCDCD; +5F3E : CDCDCDCD; +5F3F : CDCDCDCD; +5F40 : CDCDCDCD; +5F41 : CDCDCDCD; +5F42 : CDCDCDCD; +5F43 : CDCDCDCD; +5F44 : CDCDCDCD; +5F45 : CDCDCDCD; +5F46 : CDCDCDCD; +5F47 : CDCDCDCD; +5F48 : CDCDCDCD; +5F49 : CDCDCDCD; +5F4A : CDCDCDCD; +5F4B : CDCDCDCD; +5F4C : CDCDCDCD; +5F4D : CDCDCDCD; +5F4E : CDCDCDCD; +5F4F : CDCDCDCD; +5F50 : CDCDCDCD; +5F51 : CDCDCDCD; +5F52 : CDCDCDCD; +5F53 : CDCDCDCD; +5F54 : CDCDCDCD; +5F55 : CDCDCDCD; +5F56 : CDCDCDCD; +5F57 : CDCDCDCD; +5F58 : CDCDCDCD; +5F59 : CDCDCDCD; +5F5A : CDCDCDCD; +5F5B : CDCDCDCD; +5F5C : CDCDCDCD; +5F5D : CDCDCDCD; +5F5E : CDCDCDCD; +5F5F : CDCDCDCD; +5F60 : CDCDCDCD; +5F61 : CDCDCDCD; +5F62 : CDCDCDCD; +5F63 : CDCDCDCD; +5F64 : CDCDCDCD; +5F65 : CDCDCDCD; +5F66 : CDCDCDCD; +5F67 : CDCDCDCD; +5F68 : CDCDCDCD; +5F69 : CDCDCDCD; +5F6A : CDCDCDCD; +5F6B : CDCDCDCD; +5F6C : CDCDCDCD; +5F6D : CDCDCDCD; +5F6E : CDCDCDCD; +5F6F : CDCDCDCD; +5F70 : CDCDCDCD; +5F71 : CDCDCDCD; +5F72 : CDCDCDCD; +5F73 : CDCDCDCD; +5F74 : CDCDCDCD; +5F75 : CDCDCDCD; +5F76 : CDCDCDCD; +5F77 : CDCDCDCD; +5F78 : CDCDCDCD; +5F79 : CDCDCDCD; +5F7A : CDCDCDCD; +5F7B : CDCDCDCD; +5F7C : CDCDCDCD; +5F7D : CDCDCDCD; +5F7E : CDCDCDCD; +5F7F : CDCDCDCD; +5F80 : CDCDCDCD; +5F81 : CDCDCDCD; +5F82 : CDCDCDCD; +5F83 : CDCDCDCD; +5F84 : CDCDCDCD; +5F85 : CDCDCDCD; +5F86 : CDCDCDCD; +5F87 : CDCDCDCD; +5F88 : CDCDCDCD; +5F89 : CDCDCDCD; +5F8A : CDCDCDCD; +5F8B : CDCDCDCD; +5F8C : CDCDCDCD; +5F8D : CDCDCDCD; +5F8E : CDCDCDCD; +5F8F : CDCDCDCD; +5F90 : CDCDCDCD; +5F91 : CDCDCDCD; +5F92 : CDCDCDCD; +5F93 : CDCDCDCD; +5F94 : CDCDCDCD; +5F95 : CDCDCDCD; +5F96 : CDCDCDCD; +5F97 : CDCDCDCD; +5F98 : CDCDCDCD; +5F99 : CDCDCDCD; +5F9A : CDCDCDCD; +5F9B : CDCDCDCD; +5F9C : CDCDCDCD; +5F9D : CDCDCDCD; +5F9E : CDCDCDCD; +5F9F : CDCDCDCD; +5FA0 : CDCDCDCD; +5FA1 : CDCDCDCD; +5FA2 : CDCDCDCD; +5FA3 : CDCDCDCD; +5FA4 : CDCDCDCD; +5FA5 : CDCDCDCD; +5FA6 : CDCDCDCD; +5FA7 : CDCDCDCD; +5FA8 : CDCDCDCD; +5FA9 : CDCDCDCD; +5FAA : CDCDCDCD; +5FAB : CDCDCDCD; +5FAC : CDCDCDCD; +5FAD : CDCDCDCD; +5FAE : CDCDCDCD; +5FAF : CDCDCDCD; +5FB0 : CDCDCDCD; +5FB1 : CDCDCDCD; +5FB2 : CDCDCDCD; +5FB3 : CDCDCDCD; +5FB4 : CDCDCDCD; +5FB5 : CDCDCDCD; +5FB6 : CDCDCDCD; +5FB7 : CDCDCDCD; +5FB8 : CDCDCDCD; +5FB9 : CDCDCDCD; +5FBA : CDCDCDCD; +5FBB : CDCDCDCD; +5FBC : CDCDCDCD; +5FBD : CDCDCDCD; +5FBE : CDCDCDCD; +5FBF : CDCDCDCD; +5FC0 : CDCDCDCD; +5FC1 : CDCDCDCD; +5FC2 : CDCDCDCD; +5FC3 : CDCDCDCD; +5FC4 : CDCDCDCD; +5FC5 : CDCDCDCD; +5FC6 : CDCDCDCD; +5FC7 : CDCDCDCD; +5FC8 : CDCDCDCD; +5FC9 : CDCDCDCD; +5FCA : CDCDCDCD; +5FCB : CDCDCDCD; +5FCC : CDCDCDCD; +5FCD : CDCDCDCD; +5FCE : CDCDCDCD; +5FCF : CDCDCDCD; +5FD0 : CDCDCDCD; +5FD1 : CDCDCDCD; +5FD2 : CDCDCDCD; +5FD3 : CDCDCDCD; +5FD4 : CDCDCDCD; +5FD5 : CDCDCDCD; +5FD6 : CDCDCDCD; +5FD7 : CDCDCDCD; +5FD8 : CDCDCDCD; +5FD9 : CDCDCDCD; +5FDA : CDCDCDCD; +5FDB : CDCDCDCD; +5FDC : CDCDCDCD; +5FDD : CDCDCDCD; +5FDE : CDCDCDCD; +5FDF : CDCDCDCD; +5FE0 : CDCDCDCD; +5FE1 : CDCDCDCD; +5FE2 : CDCDCDCD; +5FE3 : CDCDCDCD; +5FE4 : CDCDCDCD; +5FE5 : CDCDCDCD; +5FE6 : CDCDCDCD; +5FE7 : CDCDCDCD; +5FE8 : CDCDCDCD; +5FE9 : CDCDCDCD; +5FEA : CDCDCDCD; +5FEB : CDCDCDCD; +5FEC : CDCDCDCD; +5FED : CDCDCDCD; +5FEE : CDCDCDCD; +5FEF : CDCDCDCD; +5FF0 : CDCDCDCD; +5FF1 : CDCDCDCD; +5FF2 : CDCDCDCD; +5FF3 : CDCDCDCD; +5FF4 : CDCDCDCD; +5FF5 : CDCDCDCD; +5FF6 : CDCDCDCD; +5FF7 : CDCDCDCD; +5FF8 : CDCDCDCD; +5FF9 : CDCDCDCD; +5FFA : CDCDCDCD; +5FFB : CDCDCDCD; +5FFC : CDCDCDCD; +5FFD : CDCDCDCD; +5FFE : CDCDCDCD; +5FFF : CDCDCDCD; +6000 : CDCDCDCD; +6001 : CDCDCDCD; +6002 : CDCDCDCD; +6003 : CDCDCDCD; +6004 : CDCDCDCD; +6005 : CDCDCDCD; +6006 : CDCDCDCD; +6007 : CDCDCDCD; +6008 : CDCDCDCD; +6009 : CDCDCDCD; +600A : CDCDCDCD; +600B : CDCDCDCD; +600C : CDCDCDCD; +600D : CDCDCDCD; +600E : CDCDCDCD; +600F : CDCDCDCD; +6010 : CDCDCDCD; +6011 : CDCDCDCD; +6012 : CDCDCDCD; +6013 : CDCDCDCD; +6014 : CDCDCDCD; +6015 : CDCDCDCD; +6016 : CDCDCDCD; +6017 : CDCDCDCD; +6018 : CDCDCDCD; +6019 : CDCDCDCD; +601A : CDCDCDCD; +601B : CDCDCDCD; +601C : CDCDCDCD; +601D : CDCDCDCD; +601E : CDCDCDCD; +601F : CDCDCDCD; +6020 : CDCDCDCD; +6021 : CDCDCDCD; +6022 : CDCDCDCD; +6023 : CDCDCDCD; +6024 : CDCDCDCD; +6025 : CDCDCDCD; +6026 : CDCDCDCD; +6027 : CDCDCDCD; +6028 : CDCDCDCD; +6029 : CDCDCDCD; +602A : CDCDCDCD; +602B : CDCDCDCD; +602C : CDCDCDCD; +602D : CDCDCDCD; +602E : CDCDCDCD; +602F : CDCDCDCD; +6030 : CDCDCDCD; +6031 : CDCDCDCD; +6032 : CDCDCDCD; +6033 : CDCDCDCD; +6034 : CDCDCDCD; +6035 : CDCDCDCD; +6036 : CDCDCDCD; +6037 : CDCDCDCD; +6038 : CDCDCDCD; +6039 : CDCDCDCD; +603A : CDCDCDCD; +603B : CDCDCDCD; +603C : CDCDCDCD; +603D : CDCDCDCD; +603E : CDCDCDCD; +603F : CDCDCDCD; +6040 : CDCDCDCD; +6041 : CDCDCDCD; +6042 : CDCDCDCD; +6043 : CDCDCDCD; +6044 : CDCDCDCD; +6045 : CDCDCDCD; +6046 : CDCDCDCD; +6047 : CDCDCDCD; +6048 : CDCDCDCD; +6049 : CDCDCDCD; +604A : CDCDCDCD; +604B : CDCDCDCD; +604C : CDCDCDCD; +604D : CDCDCDCD; +604E : CDCDCDCD; +604F : CDCDCDCD; +6050 : CDCDCDCD; +6051 : CDCDCDCD; +6052 : CDCDCDCD; +6053 : CDCDCDCD; +6054 : CDCDCDCD; +6055 : CDCDCDCD; +6056 : CDCDCDCD; +6057 : CDCDCDCD; +6058 : CDCDCDCD; +6059 : CDCDCDCD; +605A : CDCDCDCD; +605B : CDCDCDCD; +605C : CDCDCDCD; +605D : CDCDCDCD; +605E : CDCDCDCD; +605F : CDCDCDCD; +6060 : CDCDCDCD; +6061 : CDCDCDCD; +6062 : CDCDCDCD; +6063 : CDCDCDCD; +6064 : CDCDCDCD; +6065 : CDCDCDCD; +6066 : CDCDCDCD; +6067 : CDCDCDCD; +6068 : CDCDCDCD; +6069 : CDCDCDCD; +606A : CDCDCDCD; +606B : CDCDCDCD; +606C : CDCDCDCD; +606D : CDCDCDCD; +606E : CDCDCDCD; +606F : CDCDCDCD; +6070 : CDCDCDCD; +6071 : CDCDCDCD; +6072 : CDCDCDCD; +6073 : CDCDCDCD; +6074 : CDCDCDCD; +6075 : CDCDCDCD; +6076 : CDCDCDCD; +6077 : CDCDCDCD; +6078 : CDCDCDCD; +6079 : CDCDCDCD; +607A : CDCDCDCD; +607B : CDCDCDCD; +607C : CDCDCDCD; +607D : CDCDCDCD; +607E : CDCDCDCD; +607F : CDCDCDCD; +6080 : CDCDCDCD; +6081 : CDCDCDCD; +6082 : CDCDCDCD; +6083 : CDCDCDCD; +6084 : CDCDCDCD; +6085 : CDCDCDCD; +6086 : CDCDCDCD; +6087 : CDCDCDCD; +6088 : CDCDCDCD; +6089 : CDCDCDCD; +608A : CDCDCDCD; +608B : CDCDCDCD; +608C : CDCDCDCD; +608D : CDCDCDCD; +608E : CDCDCDCD; +608F : CDCDCDCD; +6090 : CDCDCDCD; +6091 : CDCDCDCD; +6092 : CDCDCDCD; +6093 : CDCDCDCD; +6094 : CDCDCDCD; +6095 : CDCDCDCD; +6096 : CDCDCDCD; +6097 : CDCDCDCD; +6098 : CDCDCDCD; +6099 : CDCDCDCD; +609A : CDCDCDCD; +609B : CDCDCDCD; +609C : CDCDCDCD; +609D : CDCDCDCD; +609E : CDCDCDCD; +609F : CDCDCDCD; +60A0 : CDCDCDCD; +60A1 : CDCDCDCD; +60A2 : CDCDCDCD; +60A3 : CDCDCDCD; +60A4 : CDCDCDCD; +60A5 : CDCDCDCD; +60A6 : CDCDCDCD; +60A7 : CDCDCDCD; +60A8 : CDCDCDCD; +60A9 : CDCDCDCD; +60AA : CDCDCDCD; +60AB : CDCDCDCD; +60AC : CDCDCDCD; +60AD : CDCDCDCD; +60AE : CDCDCDCD; +60AF : CDCDCDCD; +60B0 : CDCDCDCD; +60B1 : CDCDCDCD; +60B2 : CDCDCDCD; +60B3 : CDCDCDCD; +60B4 : CDCDCDCD; +60B5 : CDCDCDCD; +60B6 : CDCDCDCD; +60B7 : CDCDCDCD; +60B8 : CDCDCDCD; +60B9 : CDCDCDCD; +60BA : CDCDCDCD; +60BB : CDCDCDCD; +60BC : CDCDCDCD; +60BD : CDCDCDCD; +60BE : CDCDCDCD; +60BF : CDCDCDCD; +60C0 : CDCDCDCD; +60C1 : CDCDCDCD; +60C2 : CDCDCDCD; +60C3 : CDCDCDCD; +60C4 : CDCDCDCD; +60C5 : CDCDCDCD; +60C6 : CDCDCDCD; +60C7 : CDCDCDCD; +60C8 : CDCDCDCD; +60C9 : CDCDCDCD; +60CA : CDCDCDCD; +60CB : CDCDCDCD; +60CC : CDCDCDCD; +60CD : CDCDCDCD; +60CE : CDCDCDCD; +60CF : CDCDCDCD; +60D0 : CDCDCDCD; +60D1 : CDCDCDCD; +60D2 : CDCDCDCD; +60D3 : CDCDCDCD; +60D4 : CDCDCDCD; +60D5 : CDCDCDCD; +60D6 : CDCDCDCD; +60D7 : CDCDCDCD; +60D8 : CDCDCDCD; +60D9 : CDCDCDCD; +60DA : CDCDCDCD; +60DB : CDCDCDCD; +60DC : CDCDCDCD; +60DD : CDCDCDCD; +60DE : CDCDCDCD; +60DF : CDCDCDCD; +60E0 : CDCDCDCD; +60E1 : CDCDCDCD; +60E2 : CDCDCDCD; +60E3 : CDCDCDCD; +60E4 : CDCDCDCD; +60E5 : CDCDCDCD; +60E6 : CDCDCDCD; +60E7 : CDCDCDCD; +60E8 : CDCDCDCD; +60E9 : CDCDCDCD; +60EA : CDCDCDCD; +60EB : CDCDCDCD; +60EC : CDCDCDCD; +60ED : CDCDCDCD; +60EE : CDCDCDCD; +60EF : CDCDCDCD; +60F0 : CDCDCDCD; +60F1 : CDCDCDCD; +60F2 : CDCDCDCD; +60F3 : CDCDCDCD; +60F4 : CDCDCDCD; +60F5 : CDCDCDCD; +60F6 : CDCDCDCD; +60F7 : CDCDCDCD; +60F8 : CDCDCDCD; +60F9 : CDCDCDCD; +60FA : CDCDCDCD; +60FB : CDCDCDCD; +60FC : CDCDCDCD; +60FD : CDCDCDCD; +60FE : CDCDCDCD; +60FF : CDCDCDCD; +6100 : CDCDCDCD; +6101 : CDCDCDCD; +6102 : CDCDCDCD; +6103 : CDCDCDCD; +6104 : CDCDCDCD; +6105 : CDCDCDCD; +6106 : CDCDCDCD; +6107 : CDCDCDCD; +6108 : CDCDCDCD; +6109 : CDCDCDCD; +610A : CDCDCDCD; +610B : CDCDCDCD; +610C : CDCDCDCD; +610D : CDCDCDCD; +610E : CDCDCDCD; +610F : CDCDCDCD; +6110 : CDCDCDCD; +6111 : CDCDCDCD; +6112 : CDCDCDCD; +6113 : CDCDCDCD; +6114 : CDCDCDCD; +6115 : CDCDCDCD; +6116 : CDCDCDCD; +6117 : CDCDCDCD; +6118 : CDCDCDCD; +6119 : CDCDCDCD; +611A : CDCDCDCD; +611B : CDCDCDCD; +611C : CDCDCDCD; +611D : CDCDCDCD; +611E : CDCDCDCD; +611F : CDCDCDCD; +6120 : CDCDCDCD; +6121 : CDCDCDCD; +6122 : CDCDCDCD; +6123 : CDCDCDCD; +6124 : CDCDCDCD; +6125 : CDCDCDCD; +6126 : CDCDCDCD; +6127 : CDCDCDCD; +6128 : CDCDCDCD; +6129 : CDCDCDCD; +612A : CDCDCDCD; +612B : CDCDCDCD; +612C : CDCDCDCD; +612D : CDCDCDCD; +612E : CDCDCDCD; +612F : CDCDCDCD; +6130 : CDCDCDCD; +6131 : CDCDCDCD; +6132 : CDCDCDCD; +6133 : CDCDCDCD; +6134 : CDCDCDCD; +6135 : CDCDCDCD; +6136 : CDCDCDCD; +6137 : CDCDCDCD; +6138 : CDCDCDCD; +6139 : CDCDCDCD; +613A : CDCDCDCD; +613B : CDCDCDCD; +613C : CDCDCDCD; +613D : CDCDCDCD; +613E : CDCDCDCD; +613F : CDCDCDCD; +6140 : CDCDCDCD; +6141 : CDCDCDCD; +6142 : CDCDCDCD; +6143 : CDCDCDCD; +6144 : CDCDCDCD; +6145 : CDCDCDCD; +6146 : CDCDCDCD; +6147 : CDCDCDCD; +6148 : CDCDCDCD; +6149 : CDCDCDCD; +614A : CDCDCDCD; +614B : CDCDCDCD; +614C : CDCDCDCD; +614D : CDCDCDCD; +614E : CDCDCDCD; +614F : CDCDCDCD; +6150 : CDCDCDCD; +6151 : CDCDCDCD; +6152 : CDCDCDCD; +6153 : CDCDCDCD; +6154 : CDCDCDCD; +6155 : CDCDCDCD; +6156 : CDCDCDCD; +6157 : CDCDCDCD; +6158 : CDCDCDCD; +6159 : CDCDCDCD; +615A : CDCDCDCD; +615B : CDCDCDCD; +615C : CDCDCDCD; +615D : CDCDCDCD; +615E : CDCDCDCD; +615F : CDCDCDCD; +6160 : CDCDCDCD; +6161 : CDCDCDCD; +6162 : CDCDCDCD; +6163 : CDCDCDCD; +6164 : CDCDCDCD; +6165 : CDCDCDCD; +6166 : CDCDCDCD; +6167 : CDCDCDCD; +6168 : CDCDCDCD; +6169 : CDCDCDCD; +616A : CDCDCDCD; +616B : CDCDCDCD; +616C : CDCDCDCD; +616D : CDCDCDCD; +616E : CDCDCDCD; +616F : CDCDCDCD; +6170 : CDCDCDCD; +6171 : CDCDCDCD; +6172 : CDCDCDCD; +6173 : CDCDCDCD; +6174 : CDCDCDCD; +6175 : CDCDCDCD; +6176 : CDCDCDCD; +6177 : CDCDCDCD; +6178 : CDCDCDCD; +6179 : CDCDCDCD; +617A : CDCDCDCD; +617B : CDCDCDCD; +617C : CDCDCDCD; +617D : CDCDCDCD; +617E : CDCDCDCD; +617F : CDCDCDCD; +6180 : CDCDCDCD; +6181 : CDCDCDCD; +6182 : CDCDCDCD; +6183 : CDCDCDCD; +6184 : CDCDCDCD; +6185 : CDCDCDCD; +6186 : CDCDCDCD; +6187 : CDCDCDCD; +6188 : CDCDCDCD; +6189 : CDCDCDCD; +618A : CDCDCDCD; +618B : CDCDCDCD; +618C : CDCDCDCD; +618D : CDCDCDCD; +618E : CDCDCDCD; +618F : CDCDCDCD; +6190 : CDCDCDCD; +6191 : CDCDCDCD; +6192 : CDCDCDCD; +6193 : CDCDCDCD; +6194 : CDCDCDCD; +6195 : CDCDCDCD; +6196 : CDCDCDCD; +6197 : CDCDCDCD; +6198 : CDCDCDCD; +6199 : CDCDCDCD; +619A : CDCDCDCD; +619B : CDCDCDCD; +619C : CDCDCDCD; +619D : CDCDCDCD; +619E : CDCDCDCD; +619F : CDCDCDCD; +61A0 : CDCDCDCD; +61A1 : CDCDCDCD; +61A2 : CDCDCDCD; +61A3 : CDCDCDCD; +61A4 : CDCDCDCD; +61A5 : CDCDCDCD; +61A6 : CDCDCDCD; +61A7 : CDCDCDCD; +61A8 : CDCDCDCD; +61A9 : CDCDCDCD; +61AA : CDCDCDCD; +61AB : CDCDCDCD; +61AC : CDCDCDCD; +61AD : CDCDCDCD; +61AE : CDCDCDCD; +61AF : CDCDCDCD; +61B0 : CDCDCDCD; +61B1 : CDCDCDCD; +61B2 : CDCDCDCD; +61B3 : CDCDCDCD; +61B4 : CDCDCDCD; +61B5 : CDCDCDCD; +61B6 : CDCDCDCD; +61B7 : CDCDCDCD; +61B8 : CDCDCDCD; +61B9 : CDCDCDCD; +61BA : CDCDCDCD; +61BB : CDCDCDCD; +61BC : CDCDCDCD; +61BD : CDCDCDCD; +61BE : CDCDCDCD; +61BF : CDCDCDCD; +61C0 : CDCDCDCD; +61C1 : CDCDCDCD; +61C2 : CDCDCDCD; +61C3 : CDCDCDCD; +61C4 : CDCDCDCD; +61C5 : CDCDCDCD; +61C6 : CDCDCDCD; +61C7 : CDCDCDCD; +61C8 : CDCDCDCD; +61C9 : CDCDCDCD; +61CA : CDCDCDCD; +61CB : CDCDCDCD; +61CC : CDCDCDCD; +61CD : CDCDCDCD; +61CE : CDCDCDCD; +61CF : CDCDCDCD; +61D0 : CDCDCDCD; +61D1 : CDCDCDCD; +61D2 : CDCDCDCD; +61D3 : CDCDCDCD; +61D4 : CDCDCDCD; +61D5 : CDCDCDCD; +61D6 : CDCDCDCD; +61D7 : CDCDCDCD; +61D8 : CDCDCDCD; +61D9 : CDCDCDCD; +61DA : CDCDCDCD; +61DB : CDCDCDCD; +61DC : CDCDCDCD; +61DD : CDCDCDCD; +61DE : CDCDCDCD; +61DF : CDCDCDCD; +61E0 : CDCDCDCD; +61E1 : CDCDCDCD; +61E2 : CDCDCDCD; +61E3 : CDCDCDCD; +61E4 : CDCDCDCD; +61E5 : CDCDCDCD; +61E6 : CDCDCDCD; +61E7 : CDCDCDCD; +61E8 : CDCDCDCD; +61E9 : CDCDCDCD; +61EA : CDCDCDCD; +61EB : CDCDCDCD; +61EC : CDCDCDCD; +61ED : CDCDCDCD; +61EE : CDCDCDCD; +61EF : CDCDCDCD; +61F0 : CDCDCDCD; +61F1 : CDCDCDCD; +61F2 : CDCDCDCD; +61F3 : CDCDCDCD; +61F4 : CDCDCDCD; +61F5 : CDCDCDCD; +61F6 : CDCDCDCD; +61F7 : CDCDCDCD; +61F8 : CDCDCDCD; +61F9 : CDCDCDCD; +61FA : CDCDCDCD; +61FB : CDCDCDCD; +61FC : CDCDCDCD; +61FD : CDCDCDCD; +61FE : CDCDCDCD; +61FF : CDCDCDCD; +6200 : CDCDCDCD; +6201 : CDCDCDCD; +6202 : CDCDCDCD; +6203 : CDCDCDCD; +6204 : CDCDCDCD; +6205 : CDCDCDCD; +6206 : CDCDCDCD; +6207 : CDCDCDCD; +6208 : CDCDCDCD; +6209 : CDCDCDCD; +620A : CDCDCDCD; +620B : CDCDCDCD; +620C : CDCDCDCD; +620D : CDCDCDCD; +620E : CDCDCDCD; +620F : CDCDCDCD; +6210 : CDCDCDCD; +6211 : CDCDCDCD; +6212 : CDCDCDCD; +6213 : CDCDCDCD; +6214 : CDCDCDCD; +6215 : CDCDCDCD; +6216 : CDCDCDCD; +6217 : CDCDCDCD; +6218 : CDCDCDCD; +6219 : CDCDCDCD; +621A : CDCDCDCD; +621B : CDCDCDCD; +621C : CDCDCDCD; +621D : CDCDCDCD; +621E : CDCDCDCD; +621F : CDCDCDCD; +6220 : CDCDCDCD; +6221 : CDCDCDCD; +6222 : CDCDCDCD; +6223 : CDCDCDCD; +6224 : CDCDCDCD; +6225 : CDCDCDCD; +6226 : CDCDCDCD; +6227 : CDCDCDCD; +6228 : CDCDCDCD; +6229 : CDCDCDCD; +622A : CDCDCDCD; +622B : CDCDCDCD; +622C : CDCDCDCD; +622D : CDCDCDCD; +622E : CDCDCDCD; +622F : CDCDCDCD; +6230 : CDCDCDCD; +6231 : CDCDCDCD; +6232 : CDCDCDCD; +6233 : CDCDCDCD; +6234 : CDCDCDCD; +6235 : CDCDCDCD; +6236 : CDCDCDCD; +6237 : CDCDCDCD; +6238 : CDCDCDCD; +6239 : CDCDCDCD; +623A : CDCDCDCD; +623B : CDCDCDCD; +623C : CDCDCDCD; +623D : CDCDCDCD; +623E : CDCDCDCD; +623F : CDCDCDCD; +6240 : CDCDCDCD; +6241 : CDCDCDCD; +6242 : CDCDCDCD; +6243 : CDCDCDCD; +6244 : CDCDCDCD; +6245 : CDCDCDCD; +6246 : CDCDCDCD; +6247 : CDCDCDCD; +6248 : CDCDCDCD; +6249 : CDCDCDCD; +624A : CDCDCDCD; +624B : CDCDCDCD; +624C : CDCDCDCD; +624D : CDCDCDCD; +624E : CDCDCDCD; +624F : CDCDCDCD; +6250 : CDCDCDCD; +6251 : CDCDCDCD; +6252 : CDCDCDCD; +6253 : CDCDCDCD; +6254 : CDCDCDCD; +6255 : CDCDCDCD; +6256 : CDCDCDCD; +6257 : CDCDCDCD; +6258 : CDCDCDCD; +6259 : CDCDCDCD; +625A : CDCDCDCD; +625B : CDCDCDCD; +625C : CDCDCDCD; +625D : CDCDCDCD; +625E : CDCDCDCD; +625F : CDCDCDCD; +6260 : CDCDCDCD; +6261 : CDCDCDCD; +6262 : CDCDCDCD; +6263 : CDCDCDCD; +6264 : CDCDCDCD; +6265 : CDCDCDCD; +6266 : CDCDCDCD; +6267 : CDCDCDCD; +6268 : CDCDCDCD; +6269 : CDCDCDCD; +626A : CDCDCDCD; +626B : CDCDCDCD; +626C : CDCDCDCD; +626D : CDCDCDCD; +626E : CDCDCDCD; +626F : CDCDCDCD; +6270 : CDCDCDCD; +6271 : CDCDCDCD; +6272 : CDCDCDCD; +6273 : CDCDCDCD; +6274 : CDCDCDCD; +6275 : CDCDCDCD; +6276 : CDCDCDCD; +6277 : CDCDCDCD; +6278 : CDCDCDCD; +6279 : CDCDCDCD; +627A : CDCDCDCD; +627B : CDCDCDCD; +627C : CDCDCDCD; +627D : CDCDCDCD; +627E : CDCDCDCD; +627F : CDCDCDCD; +6280 : CDCDCDCD; +6281 : CDCDCDCD; +6282 : CDCDCDCD; +6283 : CDCDCDCD; +6284 : CDCDCDCD; +6285 : CDCDCDCD; +6286 : CDCDCDCD; +6287 : CDCDCDCD; +6288 : CDCDCDCD; +6289 : CDCDCDCD; +628A : CDCDCDCD; +628B : CDCDCDCD; +628C : CDCDCDCD; +628D : CDCDCDCD; +628E : CDCDCDCD; +628F : CDCDCDCD; +6290 : CDCDCDCD; +6291 : CDCDCDCD; +6292 : CDCDCDCD; +6293 : CDCDCDCD; +6294 : CDCDCDCD; +6295 : CDCDCDCD; +6296 : CDCDCDCD; +6297 : CDCDCDCD; +6298 : CDCDCDCD; +6299 : CDCDCDCD; +629A : CDCDCDCD; +629B : CDCDCDCD; +629C : CDCDCDCD; +629D : CDCDCDCD; +629E : CDCDCDCD; +629F : CDCDCDCD; +62A0 : CDCDCDCD; +62A1 : CDCDCDCD; +62A2 : CDCDCDCD; +62A3 : CDCDCDCD; +62A4 : CDCDCDCD; +62A5 : CDCDCDCD; +62A6 : CDCDCDCD; +62A7 : CDCDCDCD; +62A8 : CDCDCDCD; +62A9 : CDCDCDCD; +62AA : CDCDCDCD; +62AB : CDCDCDCD; +62AC : CDCDCDCD; +62AD : CDCDCDCD; +62AE : CDCDCDCD; +62AF : CDCDCDCD; +62B0 : CDCDCDCD; +62B1 : CDCDCDCD; +62B2 : CDCDCDCD; +62B3 : CDCDCDCD; +62B4 : CDCDCDCD; +62B5 : CDCDCDCD; +62B6 : CDCDCDCD; +62B7 : CDCDCDCD; +62B8 : CDCDCDCD; +62B9 : CDCDCDCD; +62BA : CDCDCDCD; +62BB : CDCDCDCD; +62BC : CDCDCDCD; +62BD : CDCDCDCD; +62BE : CDCDCDCD; +62BF : CDCDCDCD; +62C0 : CDCDCDCD; +62C1 : CDCDCDCD; +62C2 : CDCDCDCD; +62C3 : CDCDCDCD; +62C4 : CDCDCDCD; +62C5 : CDCDCDCD; +62C6 : CDCDCDCD; +62C7 : CDCDCDCD; +62C8 : CDCDCDCD; +62C9 : CDCDCDCD; +62CA : CDCDCDCD; +62CB : CDCDCDCD; +62CC : CDCDCDCD; +62CD : CDCDCDCD; +62CE : CDCDCDCD; +62CF : CDCDCDCD; +62D0 : CDCDCDCD; +62D1 : CDCDCDCD; +62D2 : CDCDCDCD; +62D3 : CDCDCDCD; +62D4 : CDCDCDCD; +62D5 : CDCDCDCD; +62D6 : CDCDCDCD; +62D7 : CDCDCDCD; +62D8 : CDCDCDCD; +62D9 : CDCDCDCD; +62DA : CDCDCDCD; +62DB : CDCDCDCD; +62DC : CDCDCDCD; +62DD : CDCDCDCD; +62DE : CDCDCDCD; +62DF : CDCDCDCD; +62E0 : CDCDCDCD; +62E1 : CDCDCDCD; +62E2 : CDCDCDCD; +62E3 : CDCDCDCD; +62E4 : CDCDCDCD; +62E5 : CDCDCDCD; +62E6 : CDCDCDCD; +62E7 : CDCDCDCD; +62E8 : CDCDCDCD; +62E9 : CDCDCDCD; +62EA : CDCDCDCD; +62EB : CDCDCDCD; +62EC : CDCDCDCD; +62ED : CDCDCDCD; +62EE : CDCDCDCD; +62EF : CDCDCDCD; +62F0 : CDCDCDCD; +62F1 : CDCDCDCD; +62F2 : CDCDCDCD; +62F3 : CDCDCDCD; +62F4 : CDCDCDCD; +62F5 : CDCDCDCD; +62F6 : CDCDCDCD; +62F7 : CDCDCDCD; +62F8 : CDCDCDCD; +62F9 : CDCDCDCD; +62FA : CDCDCDCD; +62FB : CDCDCDCD; +62FC : CDCDCDCD; +62FD : CDCDCDCD; +62FE : CDCDCDCD; +62FF : CDCDCDCD; +6300 : CDCDCDCD; +6301 : CDCDCDCD; +6302 : CDCDCDCD; +6303 : CDCDCDCD; +6304 : CDCDCDCD; +6305 : CDCDCDCD; +6306 : CDCDCDCD; +6307 : CDCDCDCD; +6308 : CDCDCDCD; +6309 : CDCDCDCD; +630A : CDCDCDCD; +630B : CDCDCDCD; +630C : CDCDCDCD; +630D : CDCDCDCD; +630E : CDCDCDCD; +630F : CDCDCDCD; +6310 : CDCDCDCD; +6311 : CDCDCDCD; +6312 : CDCDCDCD; +6313 : CDCDCDCD; +6314 : CDCDCDCD; +6315 : CDCDCDCD; +6316 : CDCDCDCD; +6317 : CDCDCDCD; +6318 : CDCDCDCD; +6319 : CDCDCDCD; +631A : CDCDCDCD; +631B : CDCDCDCD; +631C : CDCDCDCD; +631D : CDCDCDCD; +631E : CDCDCDCD; +631F : CDCDCDCD; +6320 : CDCDCDCD; +6321 : CDCDCDCD; +6322 : CDCDCDCD; +6323 : CDCDCDCD; +6324 : CDCDCDCD; +6325 : CDCDCDCD; +6326 : CDCDCDCD; +6327 : CDCDCDCD; +6328 : CDCDCDCD; +6329 : CDCDCDCD; +632A : CDCDCDCD; +632B : CDCDCDCD; +632C : CDCDCDCD; +632D : CDCDCDCD; +632E : CDCDCDCD; +632F : CDCDCDCD; +6330 : CDCDCDCD; +6331 : CDCDCDCD; +6332 : CDCDCDCD; +6333 : CDCDCDCD; +6334 : CDCDCDCD; +6335 : CDCDCDCD; +6336 : CDCDCDCD; +6337 : CDCDCDCD; +6338 : CDCDCDCD; +6339 : CDCDCDCD; +633A : CDCDCDCD; +633B : CDCDCDCD; +633C : CDCDCDCD; +633D : CDCDCDCD; +633E : CDCDCDCD; +633F : CDCDCDCD; +6340 : CDCDCDCD; +6341 : CDCDCDCD; +6342 : CDCDCDCD; +6343 : CDCDCDCD; +6344 : CDCDCDCD; +6345 : CDCDCDCD; +6346 : CDCDCDCD; +6347 : CDCDCDCD; +6348 : CDCDCDCD; +6349 : CDCDCDCD; +634A : CDCDCDCD; +634B : CDCDCDCD; +634C : CDCDCDCD; +634D : CDCDCDCD; +634E : CDCDCDCD; +634F : CDCDCDCD; +6350 : CDCDCDCD; +6351 : CDCDCDCD; +6352 : CDCDCDCD; +6353 : CDCDCDCD; +6354 : CDCDCDCD; +6355 : CDCDCDCD; +6356 : CDCDCDCD; +6357 : CDCDCDCD; +6358 : CDCDCDCD; +6359 : CDCDCDCD; +635A : CDCDCDCD; +635B : CDCDCDCD; +635C : CDCDCDCD; +635D : CDCDCDCD; +635E : CDCDCDCD; +635F : CDCDCDCD; +6360 : CDCDCDCD; +6361 : CDCDCDCD; +6362 : CDCDCDCD; +6363 : CDCDCDCD; +6364 : CDCDCDCD; +6365 : CDCDCDCD; +6366 : CDCDCDCD; +6367 : CDCDCDCD; +6368 : CDCDCDCD; +6369 : CDCDCDCD; +636A : CDCDCDCD; +636B : CDCDCDCD; +636C : CDCDCDCD; +636D : CDCDCDCD; +636E : CDCDCDCD; +636F : CDCDCDCD; +6370 : CDCDCDCD; +6371 : CDCDCDCD; +6372 : CDCDCDCD; +6373 : CDCDCDCD; +6374 : CDCDCDCD; +6375 : CDCDCDCD; +6376 : CDCDCDCD; +6377 : CDCDCDCD; +6378 : CDCDCDCD; +6379 : CDCDCDCD; +637A : CDCDCDCD; +637B : CDCDCDCD; +637C : CDCDCDCD; +637D : CDCDCDCD; +637E : CDCDCDCD; +637F : CDCDCDCD; +6380 : CDCDCDCD; +6381 : CDCDCDCD; +6382 : CDCDCDCD; +6383 : CDCDCDCD; +6384 : CDCDCDCD; +6385 : CDCDCDCD; +6386 : CDCDCDCD; +6387 : CDCDCDCD; +6388 : CDCDCDCD; +6389 : CDCDCDCD; +638A : CDCDCDCD; +638B : CDCDCDCD; +638C : CDCDCDCD; +638D : CDCDCDCD; +638E : CDCDCDCD; +638F : CDCDCDCD; +6390 : CDCDCDCD; +6391 : CDCDCDCD; +6392 : CDCDCDCD; +6393 : CDCDCDCD; +6394 : CDCDCDCD; +6395 : CDCDCDCD; +6396 : CDCDCDCD; +6397 : CDCDCDCD; +6398 : CDCDCDCD; +6399 : CDCDCDCD; +639A : CDCDCDCD; +639B : CDCDCDCD; +639C : CDCDCDCD; +639D : CDCDCDCD; +639E : CDCDCDCD; +639F : CDCDCDCD; +63A0 : CDCDCDCD; +63A1 : CDCDCDCD; +63A2 : CDCDCDCD; +63A3 : CDCDCDCD; +63A4 : CDCDCDCD; +63A5 : CDCDCDCD; +63A6 : CDCDCDCD; +63A7 : CDCDCDCD; +63A8 : CDCDCDCD; +63A9 : CDCDCDCD; +63AA : CDCDCDCD; +63AB : CDCDCDCD; +63AC : CDCDCDCD; +63AD : CDCDCDCD; +63AE : CDCDCDCD; +63AF : CDCDCDCD; +63B0 : CDCDCDCD; +63B1 : CDCDCDCD; +63B2 : CDCDCDCD; +63B3 : CDCDCDCD; +63B4 : CDCDCDCD; +63B5 : CDCDCDCD; +63B6 : CDCDCDCD; +63B7 : CDCDCDCD; +63B8 : CDCDCDCD; +63B9 : CDCDCDCD; +63BA : CDCDCDCD; +63BB : CDCDCDCD; +63BC : CDCDCDCD; +63BD : CDCDCDCD; +63BE : CDCDCDCD; +63BF : CDCDCDCD; +63C0 : CDCDCDCD; +63C1 : CDCDCDCD; +63C2 : CDCDCDCD; +63C3 : CDCDCDCD; +63C4 : CDCDCDCD; +63C5 : CDCDCDCD; +63C6 : CDCDCDCD; +63C7 : CDCDCDCD; +63C8 : CDCDCDCD; +63C9 : CDCDCDCD; +63CA : CDCDCDCD; +63CB : CDCDCDCD; +63CC : CDCDCDCD; +63CD : CDCDCDCD; +63CE : CDCDCDCD; +63CF : CDCDCDCD; +63D0 : CDCDCDCD; +63D1 : CDCDCDCD; +63D2 : CDCDCDCD; +63D3 : CDCDCDCD; +63D4 : CDCDCDCD; +63D5 : CDCDCDCD; +63D6 : CDCDCDCD; +63D7 : CDCDCDCD; +63D8 : CDCDCDCD; +63D9 : CDCDCDCD; +63DA : CDCDCDCD; +63DB : CDCDCDCD; +63DC : CDCDCDCD; +63DD : CDCDCDCD; +63DE : CDCDCDCD; +63DF : CDCDCDCD; +63E0 : CDCDCDCD; +63E1 : CDCDCDCD; +63E2 : CDCDCDCD; +63E3 : CDCDCDCD; +63E4 : CDCDCDCD; +63E5 : CDCDCDCD; +63E6 : CDCDCDCD; +63E7 : CDCDCDCD; +63E8 : CDCDCDCD; +63E9 : CDCDCDCD; +63EA : CDCDCDCD; +63EB : CDCDCDCD; +63EC : CDCDCDCD; +63ED : CDCDCDCD; +63EE : CDCDCDCD; +63EF : CDCDCDCD; +63F0 : CDCDCDCD; +63F1 : CDCDCDCD; +63F2 : CDCDCDCD; +63F3 : CDCDCDCD; +63F4 : CDCDCDCD; +63F5 : CDCDCDCD; +63F6 : CDCDCDCD; +63F7 : CDCDCDCD; +63F8 : CDCDCDCD; +63F9 : CDCDCDCD; +63FA : CDCDCDCD; +63FB : CDCDCDCD; +63FC : CDCDCDCD; +63FD : CDCDCDCD; +63FE : CDCDCDCD; +63FF : CDCDCDCD; +6400 : CDCDCDCD; +6401 : CDCDCDCD; +6402 : CDCDCDCD; +6403 : CDCDCDCD; +6404 : CDCDCDCD; +6405 : CDCDCDCD; +6406 : CDCDCDCD; +6407 : CDCDCDCD; +6408 : CDCDCDCD; +6409 : CDCDCDCD; +640A : CDCDCDCD; +640B : CDCDCDCD; +640C : CDCDCDCD; +640D : CDCDCDCD; +640E : CDCDCDCD; +640F : CDCDCDCD; +6410 : CDCDCDCD; +6411 : CDCDCDCD; +6412 : CDCDCDCD; +6413 : CDCDCDCD; +6414 : CDCDCDCD; +6415 : CDCDCDCD; +6416 : CDCDCDCD; +6417 : CDCDCDCD; +6418 : CDCDCDCD; +6419 : CDCDCDCD; +641A : CDCDCDCD; +641B : CDCDCDCD; +641C : CDCDCDCD; +641D : CDCDCDCD; +641E : CDCDCDCD; +641F : CDCDCDCD; +6420 : CDCDCDCD; +6421 : CDCDCDCD; +6422 : CDCDCDCD; +6423 : CDCDCDCD; +6424 : CDCDCDCD; +6425 : CDCDCDCD; +6426 : CDCDCDCD; +6427 : CDCDCDCD; +6428 : CDCDCDCD; +6429 : CDCDCDCD; +642A : CDCDCDCD; +642B : CDCDCDCD; +642C : CDCDCDCD; +642D : CDCDCDCD; +642E : CDCDCDCD; +642F : CDCDCDCD; +6430 : CDCDCDCD; +6431 : CDCDCDCD; +6432 : CDCDCDCD; +6433 : CDCDCDCD; +6434 : CDCDCDCD; +6435 : CDCDCDCD; +6436 : CDCDCDCD; +6437 : CDCDCDCD; +6438 : CDCDCDCD; +6439 : CDCDCDCD; +643A : CDCDCDCD; +643B : CDCDCDCD; +643C : CDCDCDCD; +643D : CDCDCDCD; +643E : CDCDCDCD; +643F : CDCDCDCD; +6440 : CDCDCDCD; +6441 : CDCDCDCD; +6442 : CDCDCDCD; +6443 : CDCDCDCD; +6444 : CDCDCDCD; +6445 : CDCDCDCD; +6446 : CDCDCDCD; +6447 : CDCDCDCD; +6448 : CDCDCDCD; +6449 : CDCDCDCD; +644A : CDCDCDCD; +644B : CDCDCDCD; +644C : CDCDCDCD; +644D : CDCDCDCD; +644E : CDCDCDCD; +644F : CDCDCDCD; +6450 : CDCDCDCD; +6451 : CDCDCDCD; +6452 : CDCDCDCD; +6453 : CDCDCDCD; +6454 : CDCDCDCD; +6455 : CDCDCDCD; +6456 : CDCDCDCD; +6457 : CDCDCDCD; +6458 : CDCDCDCD; +6459 : CDCDCDCD; +645A : CDCDCDCD; +645B : CDCDCDCD; +645C : CDCDCDCD; +645D : CDCDCDCD; +645E : CDCDCDCD; +645F : CDCDCDCD; +6460 : CDCDCDCD; +6461 : CDCDCDCD; +6462 : CDCDCDCD; +6463 : CDCDCDCD; +6464 : CDCDCDCD; +6465 : CDCDCDCD; +6466 : CDCDCDCD; +6467 : CDCDCDCD; +6468 : CDCDCDCD; +6469 : CDCDCDCD; +646A : CDCDCDCD; +646B : CDCDCDCD; +646C : CDCDCDCD; +646D : CDCDCDCD; +646E : CDCDCDCD; +646F : CDCDCDCD; +6470 : CDCDCDCD; +6471 : CDCDCDCD; +6472 : CDCDCDCD; +6473 : CDCDCDCD; +6474 : CDCDCDCD; +6475 : CDCDCDCD; +6476 : CDCDCDCD; +6477 : CDCDCDCD; +6478 : CDCDCDCD; +6479 : CDCDCDCD; +647A : CDCDCDCD; +647B : CDCDCDCD; +647C : CDCDCDCD; +647D : CDCDCDCD; +647E : CDCDCDCD; +647F : CDCDCDCD; +6480 : CDCDCDCD; +6481 : CDCDCDCD; +6482 : CDCDCDCD; +6483 : CDCDCDCD; +6484 : CDCDCDCD; +6485 : CDCDCDCD; +6486 : CDCDCDCD; +6487 : CDCDCDCD; +6488 : CDCDCDCD; +6489 : CDCDCDCD; +648A : CDCDCDCD; +648B : CDCDCDCD; +648C : CDCDCDCD; +648D : CDCDCDCD; +648E : CDCDCDCD; +648F : CDCDCDCD; +6490 : CDCDCDCD; +6491 : CDCDCDCD; +6492 : CDCDCDCD; +6493 : CDCDCDCD; +6494 : CDCDCDCD; +6495 : CDCDCDCD; +6496 : CDCDCDCD; +6497 : CDCDCDCD; +6498 : CDCDCDCD; +6499 : CDCDCDCD; +649A : CDCDCDCD; +649B : CDCDCDCD; +649C : CDCDCDCD; +649D : CDCDCDCD; +649E : CDCDCDCD; +649F : CDCDCDCD; +64A0 : CDCDCDCD; +64A1 : CDCDCDCD; +64A2 : CDCDCDCD; +64A3 : CDCDCDCD; +64A4 : CDCDCDCD; +64A5 : CDCDCDCD; +64A6 : CDCDCDCD; +64A7 : CDCDCDCD; +64A8 : CDCDCDCD; +64A9 : CDCDCDCD; +64AA : CDCDCDCD; +64AB : CDCDCDCD; +64AC : CDCDCDCD; +64AD : CDCDCDCD; +64AE : CDCDCDCD; +64AF : CDCDCDCD; +64B0 : CDCDCDCD; +64B1 : CDCDCDCD; +64B2 : CDCDCDCD; +64B3 : CDCDCDCD; +64B4 : CDCDCDCD; +64B5 : CDCDCDCD; +64B6 : CDCDCDCD; +64B7 : CDCDCDCD; +64B8 : CDCDCDCD; +64B9 : CDCDCDCD; +64BA : CDCDCDCD; +64BB : CDCDCDCD; +64BC : CDCDCDCD; +64BD : CDCDCDCD; +64BE : CDCDCDCD; +64BF : CDCDCDCD; +64C0 : CDCDCDCD; +64C1 : CDCDCDCD; +64C2 : CDCDCDCD; +64C3 : CDCDCDCD; +64C4 : CDCDCDCD; +64C5 : CDCDCDCD; +64C6 : CDCDCDCD; +64C7 : CDCDCDCD; +64C8 : CDCDCDCD; +64C9 : CDCDCDCD; +64CA : CDCDCDCD; +64CB : CDCDCDCD; +64CC : CDCDCDCD; +64CD : CDCDCDCD; +64CE : CDCDCDCD; +64CF : CDCDCDCD; +64D0 : CDCDCDCD; +64D1 : CDCDCDCD; +64D2 : CDCDCDCD; +64D3 : CDCDCDCD; +64D4 : CDCDCDCD; +64D5 : CDCDCDCD; +64D6 : CDCDCDCD; +64D7 : CDCDCDCD; +64D8 : CDCDCDCD; +64D9 : CDCDCDCD; +64DA : CDCDCDCD; +64DB : CDCDCDCD; +64DC : CDCDCDCD; +64DD : CDCDCDCD; +64DE : CDCDCDCD; +64DF : CDCDCDCD; +64E0 : CDCDCDCD; +64E1 : CDCDCDCD; +64E2 : CDCDCDCD; +64E3 : CDCDCDCD; +64E4 : CDCDCDCD; +64E5 : CDCDCDCD; +64E6 : CDCDCDCD; +64E7 : CDCDCDCD; +64E8 : CDCDCDCD; +64E9 : CDCDCDCD; +64EA : CDCDCDCD; +64EB : CDCDCDCD; +64EC : CDCDCDCD; +64ED : CDCDCDCD; +64EE : CDCDCDCD; +64EF : CDCDCDCD; +64F0 : CDCDCDCD; +64F1 : CDCDCDCD; +64F2 : CDCDCDCD; +64F3 : CDCDCDCD; +64F4 : CDCDCDCD; +64F5 : CDCDCDCD; +64F6 : CDCDCDCD; +64F7 : CDCDCDCD; +64F8 : CDCDCDCD; +64F9 : CDCDCDCD; +64FA : CDCDCDCD; +64FB : CDCDCDCD; +64FC : CDCDCDCD; +64FD : CDCDCDCD; +64FE : CDCDCDCD; +64FF : CDCDCDCD; +6500 : CDCDCDCD; +6501 : CDCDCDCD; +6502 : CDCDCDCD; +6503 : CDCDCDCD; +6504 : CDCDCDCD; +6505 : CDCDCDCD; +6506 : CDCDCDCD; +6507 : CDCDCDCD; +6508 : CDCDCDCD; +6509 : CDCDCDCD; +650A : CDCDCDCD; +650B : CDCDCDCD; +650C : CDCDCDCD; +650D : CDCDCDCD; +650E : CDCDCDCD; +650F : CDCDCDCD; +6510 : CDCDCDCD; +6511 : CDCDCDCD; +6512 : CDCDCDCD; +6513 : CDCDCDCD; +6514 : CDCDCDCD; +6515 : CDCDCDCD; +6516 : CDCDCDCD; +6517 : CDCDCDCD; +6518 : CDCDCDCD; +6519 : CDCDCDCD; +651A : CDCDCDCD; +651B : CDCDCDCD; +651C : CDCDCDCD; +651D : CDCDCDCD; +651E : CDCDCDCD; +651F : CDCDCDCD; +6520 : CDCDCDCD; +6521 : CDCDCDCD; +6522 : CDCDCDCD; +6523 : CDCDCDCD; +6524 : CDCDCDCD; +6525 : CDCDCDCD; +6526 : CDCDCDCD; +6527 : CDCDCDCD; +6528 : CDCDCDCD; +6529 : CDCDCDCD; +652A : CDCDCDCD; +652B : CDCDCDCD; +652C : CDCDCDCD; +652D : CDCDCDCD; +652E : CDCDCDCD; +652F : CDCDCDCD; +6530 : CDCDCDCD; +6531 : CDCDCDCD; +6532 : CDCDCDCD; +6533 : CDCDCDCD; +6534 : CDCDCDCD; +6535 : CDCDCDCD; +6536 : CDCDCDCD; +6537 : CDCDCDCD; +6538 : CDCDCDCD; +6539 : CDCDCDCD; +653A : CDCDCDCD; +653B : CDCDCDCD; +653C : CDCDCDCD; +653D : CDCDCDCD; +653E : CDCDCDCD; +653F : CDCDCDCD; +6540 : CDCDCDCD; +6541 : CDCDCDCD; +6542 : CDCDCDCD; +6543 : CDCDCDCD; +6544 : CDCDCDCD; +6545 : CDCDCDCD; +6546 : CDCDCDCD; +6547 : CDCDCDCD; +6548 : CDCDCDCD; +6549 : CDCDCDCD; +654A : CDCDCDCD; +654B : CDCDCDCD; +654C : CDCDCDCD; +654D : CDCDCDCD; +654E : CDCDCDCD; +654F : CDCDCDCD; +6550 : CDCDCDCD; +6551 : CDCDCDCD; +6552 : CDCDCDCD; +6553 : CDCDCDCD; +6554 : CDCDCDCD; +6555 : CDCDCDCD; +6556 : CDCDCDCD; +6557 : CDCDCDCD; +6558 : CDCDCDCD; +6559 : CDCDCDCD; +655A : CDCDCDCD; +655B : CDCDCDCD; +655C : CDCDCDCD; +655D : CDCDCDCD; +655E : CDCDCDCD; +655F : CDCDCDCD; +6560 : CDCDCDCD; +6561 : CDCDCDCD; +6562 : CDCDCDCD; +6563 : CDCDCDCD; +6564 : CDCDCDCD; +6565 : CDCDCDCD; +6566 : CDCDCDCD; +6567 : CDCDCDCD; +6568 : CDCDCDCD; +6569 : CDCDCDCD; +656A : CDCDCDCD; +656B : CDCDCDCD; +656C : CDCDCDCD; +656D : CDCDCDCD; +656E : CDCDCDCD; +656F : CDCDCDCD; +6570 : CDCDCDCD; +6571 : CDCDCDCD; +6572 : CDCDCDCD; +6573 : CDCDCDCD; +6574 : CDCDCDCD; +6575 : CDCDCDCD; +6576 : CDCDCDCD; +6577 : CDCDCDCD; +6578 : CDCDCDCD; +6579 : CDCDCDCD; +657A : CDCDCDCD; +657B : CDCDCDCD; +657C : CDCDCDCD; +657D : CDCDCDCD; +657E : CDCDCDCD; +657F : CDCDCDCD; +6580 : CDCDCDCD; +6581 : CDCDCDCD; +6582 : CDCDCDCD; +6583 : CDCDCDCD; +6584 : CDCDCDCD; +6585 : CDCDCDCD; +6586 : CDCDCDCD; +6587 : CDCDCDCD; +6588 : CDCDCDCD; +6589 : CDCDCDCD; +658A : CDCDCDCD; +658B : CDCDCDCD; +658C : CDCDCDCD; +658D : CDCDCDCD; +658E : CDCDCDCD; +658F : CDCDCDCD; +6590 : CDCDCDCD; +6591 : CDCDCDCD; +6592 : CDCDCDCD; +6593 : CDCDCDCD; +6594 : CDCDCDCD; +6595 : CDCDCDCD; +6596 : CDCDCDCD; +6597 : CDCDCDCD; +6598 : CDCDCDCD; +6599 : CDCDCDCD; +659A : CDCDCDCD; +659B : CDCDCDCD; +659C : CDCDCDCD; +659D : CDCDCDCD; +659E : CDCDCDCD; +659F : CDCDCDCD; +65A0 : CDCDCDCD; +65A1 : CDCDCDCD; +65A2 : CDCDCDCD; +65A3 : CDCDCDCD; +65A4 : CDCDCDCD; +65A5 : CDCDCDCD; +65A6 : CDCDCDCD; +65A7 : CDCDCDCD; +65A8 : CDCDCDCD; +65A9 : CDCDCDCD; +65AA : CDCDCDCD; +65AB : CDCDCDCD; +65AC : CDCDCDCD; +65AD : CDCDCDCD; +65AE : CDCDCDCD; +65AF : CDCDCDCD; +65B0 : CDCDCDCD; +65B1 : CDCDCDCD; +65B2 : CDCDCDCD; +65B3 : CDCDCDCD; +65B4 : CDCDCDCD; +65B5 : CDCDCDCD; +65B6 : CDCDCDCD; +65B7 : CDCDCDCD; +65B8 : CDCDCDCD; +65B9 : CDCDCDCD; +65BA : CDCDCDCD; +65BB : CDCDCDCD; +65BC : CDCDCDCD; +65BD : CDCDCDCD; +65BE : CDCDCDCD; +65BF : CDCDCDCD; +65C0 : CDCDCDCD; +65C1 : CDCDCDCD; +65C2 : CDCDCDCD; +65C3 : CDCDCDCD; +65C4 : CDCDCDCD; +65C5 : CDCDCDCD; +65C6 : CDCDCDCD; +65C7 : CDCDCDCD; +65C8 : CDCDCDCD; +65C9 : CDCDCDCD; +65CA : CDCDCDCD; +65CB : CDCDCDCD; +65CC : CDCDCDCD; +65CD : CDCDCDCD; +65CE : CDCDCDCD; +65CF : CDCDCDCD; +65D0 : CDCDCDCD; +65D1 : CDCDCDCD; +65D2 : CDCDCDCD; +65D3 : CDCDCDCD; +65D4 : CDCDCDCD; +65D5 : CDCDCDCD; +65D6 : CDCDCDCD; +65D7 : CDCDCDCD; +65D8 : CDCDCDCD; +65D9 : CDCDCDCD; +65DA : CDCDCDCD; +65DB : CDCDCDCD; +65DC : CDCDCDCD; +65DD : CDCDCDCD; +65DE : CDCDCDCD; +65DF : CDCDCDCD; +65E0 : CDCDCDCD; +65E1 : CDCDCDCD; +65E2 : CDCDCDCD; +65E3 : CDCDCDCD; +65E4 : CDCDCDCD; +65E5 : CDCDCDCD; +65E6 : CDCDCDCD; +65E7 : CDCDCDCD; +65E8 : CDCDCDCD; +65E9 : CDCDCDCD; +65EA : CDCDCDCD; +65EB : CDCDCDCD; +65EC : CDCDCDCD; +65ED : CDCDCDCD; +65EE : CDCDCDCD; +65EF : CDCDCDCD; +65F0 : CDCDCDCD; +65F1 : CDCDCDCD; +65F2 : CDCDCDCD; +65F3 : CDCDCDCD; +65F4 : CDCDCDCD; +65F5 : CDCDCDCD; +65F6 : CDCDCDCD; +65F7 : CDCDCDCD; +65F8 : CDCDCDCD; +65F9 : CDCDCDCD; +65FA : CDCDCDCD; +65FB : CDCDCDCD; +65FC : CDCDCDCD; +65FD : CDCDCDCD; +65FE : CDCDCDCD; +65FF : CDCDCDCD; +6600 : CDCDCDCD; +6601 : CDCDCDCD; +6602 : CDCDCDCD; +6603 : CDCDCDCD; +6604 : CDCDCDCD; +6605 : CDCDCDCD; +6606 : CDCDCDCD; +6607 : CDCDCDCD; +6608 : CDCDCDCD; +6609 : CDCDCDCD; +660A : CDCDCDCD; +660B : CDCDCDCD; +660C : CDCDCDCD; +660D : CDCDCDCD; +660E : CDCDCDCD; +660F : CDCDCDCD; +6610 : CDCDCDCD; +6611 : CDCDCDCD; +6612 : CDCDCDCD; +6613 : CDCDCDCD; +6614 : CDCDCDCD; +6615 : CDCDCDCD; +6616 : CDCDCDCD; +6617 : CDCDCDCD; +6618 : CDCDCDCD; +6619 : CDCDCDCD; +661A : CDCDCDCD; +661B : CDCDCDCD; +661C : CDCDCDCD; +661D : CDCDCDCD; +661E : CDCDCDCD; +661F : CDCDCDCD; +6620 : CDCDCDCD; +6621 : CDCDCDCD; +6622 : CDCDCDCD; +6623 : CDCDCDCD; +6624 : CDCDCDCD; +6625 : CDCDCDCD; +6626 : CDCDCDCD; +6627 : CDCDCDCD; +6628 : CDCDCDCD; +6629 : CDCDCDCD; +662A : CDCDCDCD; +662B : CDCDCDCD; +662C : CDCDCDCD; +662D : CDCDCDCD; +662E : CDCDCDCD; +662F : CDCDCDCD; +6630 : CDCDCDCD; +6631 : CDCDCDCD; +6632 : CDCDCDCD; +6633 : CDCDCDCD; +6634 : CDCDCDCD; +6635 : CDCDCDCD; +6636 : CDCDCDCD; +6637 : CDCDCDCD; +6638 : CDCDCDCD; +6639 : CDCDCDCD; +663A : CDCDCDCD; +663B : CDCDCDCD; +663C : CDCDCDCD; +663D : CDCDCDCD; +663E : CDCDCDCD; +663F : CDCDCDCD; +6640 : CDCDCDCD; +6641 : CDCDCDCD; +6642 : CDCDCDCD; +6643 : CDCDCDCD; +6644 : CDCDCDCD; +6645 : CDCDCDCD; +6646 : CDCDCDCD; +6647 : CDCDCDCD; +6648 : CDCDCDCD; +6649 : CDCDCDCD; +664A : CDCDCDCD; +664B : CDCDCDCD; +664C : CDCDCDCD; +664D : CDCDCDCD; +664E : CDCDCDCD; +664F : CDCDCDCD; +6650 : CDCDCDCD; +6651 : CDCDCDCD; +6652 : CDCDCDCD; +6653 : CDCDCDCD; +6654 : CDCDCDCD; +6655 : CDCDCDCD; +6656 : CDCDCDCD; +6657 : CDCDCDCD; +6658 : CDCDCDCD; +6659 : CDCDCDCD; +665A : CDCDCDCD; +665B : CDCDCDCD; +665C : CDCDCDCD; +665D : CDCDCDCD; +665E : CDCDCDCD; +665F : CDCDCDCD; +6660 : CDCDCDCD; +6661 : CDCDCDCD; +6662 : CDCDCDCD; +6663 : CDCDCDCD; +6664 : CDCDCDCD; +6665 : CDCDCDCD; +6666 : CDCDCDCD; +6667 : CDCDCDCD; +6668 : CDCDCDCD; +6669 : CDCDCDCD; +666A : CDCDCDCD; +666B : CDCDCDCD; +666C : CDCDCDCD; +666D : CDCDCDCD; +666E : CDCDCDCD; +666F : CDCDCDCD; +6670 : CDCDCDCD; +6671 : CDCDCDCD; +6672 : CDCDCDCD; +6673 : CDCDCDCD; +6674 : CDCDCDCD; +6675 : CDCDCDCD; +6676 : CDCDCDCD; +6677 : CDCDCDCD; +6678 : CDCDCDCD; +6679 : CDCDCDCD; +667A : CDCDCDCD; +667B : CDCDCDCD; +667C : CDCDCDCD; +667D : CDCDCDCD; +667E : CDCDCDCD; +667F : CDCDCDCD; +6680 : CDCDCDCD; +6681 : CDCDCDCD; +6682 : CDCDCDCD; +6683 : CDCDCDCD; +6684 : CDCDCDCD; +6685 : CDCDCDCD; +6686 : CDCDCDCD; +6687 : CDCDCDCD; +6688 : CDCDCDCD; +6689 : CDCDCDCD; +668A : CDCDCDCD; +668B : CDCDCDCD; +668C : CDCDCDCD; +668D : CDCDCDCD; +668E : CDCDCDCD; +668F : CDCDCDCD; +6690 : CDCDCDCD; +6691 : CDCDCDCD; +6692 : CDCDCDCD; +6693 : CDCDCDCD; +6694 : CDCDCDCD; +6695 : CDCDCDCD; +6696 : CDCDCDCD; +6697 : CDCDCDCD; +6698 : CDCDCDCD; +6699 : CDCDCDCD; +669A : CDCDCDCD; +669B : CDCDCDCD; +669C : CDCDCDCD; +669D : CDCDCDCD; +669E : CDCDCDCD; +669F : CDCDCDCD; +66A0 : CDCDCDCD; +66A1 : CDCDCDCD; +66A2 : CDCDCDCD; +66A3 : CDCDCDCD; +66A4 : CDCDCDCD; +66A5 : CDCDCDCD; +66A6 : CDCDCDCD; +66A7 : CDCDCDCD; +66A8 : CDCDCDCD; +66A9 : CDCDCDCD; +66AA : CDCDCDCD; +66AB : CDCDCDCD; +66AC : CDCDCDCD; +66AD : CDCDCDCD; +66AE : CDCDCDCD; +66AF : CDCDCDCD; +66B0 : CDCDCDCD; +66B1 : CDCDCDCD; +66B2 : CDCDCDCD; +66B3 : CDCDCDCD; +66B4 : CDCDCDCD; +66B5 : CDCDCDCD; +66B6 : CDCDCDCD; +66B7 : CDCDCDCD; +66B8 : CDCDCDCD; +66B9 : CDCDCDCD; +66BA : CDCDCDCD; +66BB : CDCDCDCD; +66BC : CDCDCDCD; +66BD : CDCDCDCD; +66BE : CDCDCDCD; +66BF : CDCDCDCD; +66C0 : CDCDCDCD; +66C1 : CDCDCDCD; +66C2 : CDCDCDCD; +66C3 : CDCDCDCD; +66C4 : CDCDCDCD; +66C5 : CDCDCDCD; +66C6 : CDCDCDCD; +66C7 : CDCDCDCD; +66C8 : CDCDCDCD; +66C9 : CDCDCDCD; +66CA : CDCDCDCD; +66CB : CDCDCDCD; +66CC : CDCDCDCD; +66CD : CDCDCDCD; +66CE : CDCDCDCD; +66CF : CDCDCDCD; +66D0 : CDCDCDCD; +66D1 : CDCDCDCD; +66D2 : CDCDCDCD; +66D3 : CDCDCDCD; +66D4 : CDCDCDCD; +66D5 : CDCDCDCD; +66D6 : CDCDCDCD; +66D7 : CDCDCDCD; +66D8 : CDCDCDCD; +66D9 : CDCDCDCD; +66DA : CDCDCDCD; +66DB : CDCDCDCD; +66DC : CDCDCDCD; +66DD : CDCDCDCD; +66DE : CDCDCDCD; +66DF : CDCDCDCD; +66E0 : CDCDCDCD; +66E1 : CDCDCDCD; +66E2 : CDCDCDCD; +66E3 : CDCDCDCD; +66E4 : CDCDCDCD; +66E5 : CDCDCDCD; +66E6 : CDCDCDCD; +66E7 : CDCDCDCD; +66E8 : CDCDCDCD; +66E9 : CDCDCDCD; +66EA : CDCDCDCD; +66EB : CDCDCDCD; +66EC : CDCDCDCD; +66ED : CDCDCDCD; +66EE : CDCDCDCD; +66EF : CDCDCDCD; +66F0 : CDCDCDCD; +66F1 : CDCDCDCD; +66F2 : CDCDCDCD; +66F3 : CDCDCDCD; +66F4 : CDCDCDCD; +66F5 : CDCDCDCD; +66F6 : CDCDCDCD; +66F7 : CDCDCDCD; +66F8 : CDCDCDCD; +66F9 : CDCDCDCD; +66FA : CDCDCDCD; +66FB : CDCDCDCD; +66FC : CDCDCDCD; +66FD : CDCDCDCD; +66FE : CDCDCDCD; +66FF : CDCDCDCD; +6700 : CDCDCDCD; +6701 : CDCDCDCD; +6702 : CDCDCDCD; +6703 : CDCDCDCD; +6704 : CDCDCDCD; +6705 : CDCDCDCD; +6706 : CDCDCDCD; +6707 : CDCDCDCD; +6708 : CDCDCDCD; +6709 : CDCDCDCD; +670A : CDCDCDCD; +670B : CDCDCDCD; +670C : CDCDCDCD; +670D : CDCDCDCD; +670E : CDCDCDCD; +670F : CDCDCDCD; +6710 : CDCDCDCD; +6711 : CDCDCDCD; +6712 : CDCDCDCD; +6713 : CDCDCDCD; +6714 : CDCDCDCD; +6715 : CDCDCDCD; +6716 : CDCDCDCD; +6717 : CDCDCDCD; +6718 : CDCDCDCD; +6719 : CDCDCDCD; +671A : CDCDCDCD; +671B : CDCDCDCD; +671C : CDCDCDCD; +671D : CDCDCDCD; +671E : CDCDCDCD; +671F : CDCDCDCD; +6720 : CDCDCDCD; +6721 : CDCDCDCD; +6722 : CDCDCDCD; +6723 : CDCDCDCD; +6724 : CDCDCDCD; +6725 : CDCDCDCD; +6726 : CDCDCDCD; +6727 : CDCDCDCD; +6728 : CDCDCDCD; +6729 : CDCDCDCD; +672A : CDCDCDCD; +672B : CDCDCDCD; +672C : CDCDCDCD; +672D : CDCDCDCD; +672E : CDCDCDCD; +672F : CDCDCDCD; +6730 : CDCDCDCD; +6731 : CDCDCDCD; +6732 : CDCDCDCD; +6733 : CDCDCDCD; +6734 : CDCDCDCD; +6735 : CDCDCDCD; +6736 : CDCDCDCD; +6737 : CDCDCDCD; +6738 : CDCDCDCD; +6739 : CDCDCDCD; +673A : CDCDCDCD; +673B : CDCDCDCD; +673C : CDCDCDCD; +673D : CDCDCDCD; +673E : CDCDCDCD; +673F : CDCDCDCD; +6740 : CDCDCDCD; +6741 : CDCDCDCD; +6742 : CDCDCDCD; +6743 : CDCDCDCD; +6744 : CDCDCDCD; +6745 : CDCDCDCD; +6746 : CDCDCDCD; +6747 : CDCDCDCD; +6748 : CDCDCDCD; +6749 : CDCDCDCD; +674A : CDCDCDCD; +674B : CDCDCDCD; +674C : CDCDCDCD; +674D : CDCDCDCD; +674E : CDCDCDCD; +674F : CDCDCDCD; +6750 : CDCDCDCD; +6751 : CDCDCDCD; +6752 : CDCDCDCD; +6753 : CDCDCDCD; +6754 : CDCDCDCD; +6755 : CDCDCDCD; +6756 : CDCDCDCD; +6757 : CDCDCDCD; +6758 : CDCDCDCD; +6759 : CDCDCDCD; +675A : CDCDCDCD; +675B : CDCDCDCD; +675C : CDCDCDCD; +675D : CDCDCDCD; +675E : CDCDCDCD; +675F : CDCDCDCD; +6760 : CDCDCDCD; +6761 : CDCDCDCD; +6762 : CDCDCDCD; +6763 : CDCDCDCD; +6764 : CDCDCDCD; +6765 : CDCDCDCD; +6766 : CDCDCDCD; +6767 : CDCDCDCD; +6768 : CDCDCDCD; +6769 : CDCDCDCD; +676A : CDCDCDCD; +676B : CDCDCDCD; +676C : CDCDCDCD; +676D : CDCDCDCD; +676E : CDCDCDCD; +676F : CDCDCDCD; +6770 : CDCDCDCD; +6771 : CDCDCDCD; +6772 : CDCDCDCD; +6773 : CDCDCDCD; +6774 : CDCDCDCD; +6775 : CDCDCDCD; +6776 : CDCDCDCD; +6777 : CDCDCDCD; +6778 : CDCDCDCD; +6779 : CDCDCDCD; +677A : CDCDCDCD; +677B : CDCDCDCD; +677C : CDCDCDCD; +677D : CDCDCDCD; +677E : CDCDCDCD; +677F : CDCDCDCD; +6780 : CDCDCDCD; +6781 : CDCDCDCD; +6782 : CDCDCDCD; +6783 : CDCDCDCD; +6784 : CDCDCDCD; +6785 : CDCDCDCD; +6786 : CDCDCDCD; +6787 : CDCDCDCD; +6788 : CDCDCDCD; +6789 : CDCDCDCD; +678A : CDCDCDCD; +678B : CDCDCDCD; +678C : CDCDCDCD; +678D : CDCDCDCD; +678E : CDCDCDCD; +678F : CDCDCDCD; +6790 : CDCDCDCD; +6791 : CDCDCDCD; +6792 : CDCDCDCD; +6793 : CDCDCDCD; +6794 : CDCDCDCD; +6795 : CDCDCDCD; +6796 : CDCDCDCD; +6797 : CDCDCDCD; +6798 : CDCDCDCD; +6799 : CDCDCDCD; +679A : CDCDCDCD; +679B : CDCDCDCD; +679C : CDCDCDCD; +679D : CDCDCDCD; +679E : CDCDCDCD; +679F : CDCDCDCD; +67A0 : CDCDCDCD; +67A1 : CDCDCDCD; +67A2 : CDCDCDCD; +67A3 : CDCDCDCD; +67A4 : CDCDCDCD; +67A5 : CDCDCDCD; +67A6 : CDCDCDCD; +67A7 : CDCDCDCD; +67A8 : CDCDCDCD; +67A9 : CDCDCDCD; +67AA : CDCDCDCD; +67AB : CDCDCDCD; +67AC : CDCDCDCD; +67AD : CDCDCDCD; +67AE : CDCDCDCD; +67AF : CDCDCDCD; +67B0 : CDCDCDCD; +67B1 : CDCDCDCD; +67B2 : CDCDCDCD; +67B3 : CDCDCDCD; +67B4 : CDCDCDCD; +67B5 : CDCDCDCD; +67B6 : CDCDCDCD; +67B7 : CDCDCDCD; +67B8 : CDCDCDCD; +67B9 : CDCDCDCD; +67BA : CDCDCDCD; +67BB : CDCDCDCD; +67BC : CDCDCDCD; +67BD : CDCDCDCD; +67BE : CDCDCDCD; +67BF : CDCDCDCD; +67C0 : CDCDCDCD; +67C1 : CDCDCDCD; +67C2 : CDCDCDCD; +67C3 : CDCDCDCD; +67C4 : CDCDCDCD; +67C5 : CDCDCDCD; +67C6 : CDCDCDCD; +67C7 : CDCDCDCD; +67C8 : CDCDCDCD; +67C9 : CDCDCDCD; +67CA : CDCDCDCD; +67CB : CDCDCDCD; +67CC : CDCDCDCD; +67CD : CDCDCDCD; +67CE : CDCDCDCD; +67CF : CDCDCDCD; +67D0 : CDCDCDCD; +67D1 : CDCDCDCD; +67D2 : CDCDCDCD; +67D3 : CDCDCDCD; +67D4 : CDCDCDCD; +67D5 : CDCDCDCD; +67D6 : CDCDCDCD; +67D7 : CDCDCDCD; +67D8 : CDCDCDCD; +67D9 : CDCDCDCD; +67DA : CDCDCDCD; +67DB : CDCDCDCD; +67DC : CDCDCDCD; +67DD : CDCDCDCD; +67DE : CDCDCDCD; +67DF : CDCDCDCD; +67E0 : CDCDCDCD; +67E1 : CDCDCDCD; +67E2 : CDCDCDCD; +67E3 : CDCDCDCD; +67E4 : CDCDCDCD; +67E5 : CDCDCDCD; +67E6 : CDCDCDCD; +67E7 : CDCDCDCD; +67E8 : CDCDCDCD; +67E9 : CDCDCDCD; +67EA : CDCDCDCD; +67EB : CDCDCDCD; +67EC : CDCDCDCD; +67ED : CDCDCDCD; +67EE : CDCDCDCD; +67EF : CDCDCDCD; +67F0 : CDCDCDCD; +67F1 : CDCDCDCD; +67F2 : CDCDCDCD; +67F3 : CDCDCDCD; +67F4 : CDCDCDCD; +67F5 : CDCDCDCD; +67F6 : CDCDCDCD; +67F7 : CDCDCDCD; +67F8 : CDCDCDCD; +67F9 : CDCDCDCD; +67FA : CDCDCDCD; +67FB : CDCDCDCD; +67FC : CDCDCDCD; +67FD : CDCDCDCD; +67FE : CDCDCDCD; +67FF : CDCDCDCD; +6800 : CDCDCDCD; +6801 : CDCDCDCD; +6802 : CDCDCDCD; +6803 : CDCDCDCD; +6804 : CDCDCDCD; +6805 : CDCDCDCD; +6806 : CDCDCDCD; +6807 : CDCDCDCD; +6808 : CDCDCDCD; +6809 : CDCDCDCD; +680A : CDCDCDCD; +680B : CDCDCDCD; +680C : CDCDCDCD; +680D : CDCDCDCD; +680E : CDCDCDCD; +680F : CDCDCDCD; +6810 : CDCDCDCD; +6811 : CDCDCDCD; +6812 : CDCDCDCD; +6813 : CDCDCDCD; +6814 : CDCDCDCD; +6815 : CDCDCDCD; +6816 : CDCDCDCD; +6817 : CDCDCDCD; +6818 : CDCDCDCD; +6819 : CDCDCDCD; +681A : CDCDCDCD; +681B : CDCDCDCD; +681C : CDCDCDCD; +681D : CDCDCDCD; +681E : CDCDCDCD; +681F : CDCDCDCD; +6820 : CDCDCDCD; +6821 : CDCDCDCD; +6822 : CDCDCDCD; +6823 : CDCDCDCD; +6824 : CDCDCDCD; +6825 : CDCDCDCD; +6826 : CDCDCDCD; +6827 : CDCDCDCD; +6828 : CDCDCDCD; +6829 : CDCDCDCD; +682A : CDCDCDCD; +682B : CDCDCDCD; +682C : CDCDCDCD; +682D : CDCDCDCD; +682E : CDCDCDCD; +682F : CDCDCDCD; +6830 : CDCDCDCD; +6831 : CDCDCDCD; +6832 : CDCDCDCD; +6833 : CDCDCDCD; +6834 : CDCDCDCD; +6835 : CDCDCDCD; +6836 : CDCDCDCD; +6837 : CDCDCDCD; +6838 : CDCDCDCD; +6839 : CDCDCDCD; +683A : CDCDCDCD; +683B : CDCDCDCD; +683C : CDCDCDCD; +683D : CDCDCDCD; +683E : CDCDCDCD; +683F : CDCDCDCD; +6840 : CDCDCDCD; +6841 : CDCDCDCD; +6842 : CDCDCDCD; +6843 : CDCDCDCD; +6844 : CDCDCDCD; +6845 : CDCDCDCD; +6846 : CDCDCDCD; +6847 : CDCDCDCD; +6848 : CDCDCDCD; +6849 : CDCDCDCD; +684A : CDCDCDCD; +684B : CDCDCDCD; +684C : CDCDCDCD; +684D : CDCDCDCD; +684E : CDCDCDCD; +684F : CDCDCDCD; +6850 : CDCDCDCD; +6851 : CDCDCDCD; +6852 : CDCDCDCD; +6853 : CDCDCDCD; +6854 : CDCDCDCD; +6855 : CDCDCDCD; +6856 : CDCDCDCD; +6857 : CDCDCDCD; +6858 : CDCDCDCD; +6859 : CDCDCDCD; +685A : CDCDCDCD; +685B : CDCDCDCD; +685C : CDCDCDCD; +685D : CDCDCDCD; +685E : CDCDCDCD; +685F : CDCDCDCD; +6860 : CDCDCDCD; +6861 : CDCDCDCD; +6862 : CDCDCDCD; +6863 : CDCDCDCD; +6864 : CDCDCDCD; +6865 : CDCDCDCD; +6866 : CDCDCDCD; +6867 : CDCDCDCD; +6868 : CDCDCDCD; +6869 : CDCDCDCD; +686A : CDCDCDCD; +686B : CDCDCDCD; +686C : CDCDCDCD; +686D : CDCDCDCD; +686E : CDCDCDCD; +686F : CDCDCDCD; +6870 : CDCDCDCD; +6871 : CDCDCDCD; +6872 : CDCDCDCD; +6873 : CDCDCDCD; +6874 : CDCDCDCD; +6875 : CDCDCDCD; +6876 : CDCDCDCD; +6877 : CDCDCDCD; +6878 : CDCDCDCD; +6879 : CDCDCDCD; +687A : CDCDCDCD; +687B : CDCDCDCD; +687C : CDCDCDCD; +687D : CDCDCDCD; +687E : CDCDCDCD; +687F : CDCDCDCD; +6880 : CDCDCDCD; +6881 : CDCDCDCD; +6882 : CDCDCDCD; +6883 : CDCDCDCD; +6884 : CDCDCDCD; +6885 : CDCDCDCD; +6886 : CDCDCDCD; +6887 : CDCDCDCD; +6888 : CDCDCDCD; +6889 : CDCDCDCD; +688A : CDCDCDCD; +688B : CDCDCDCD; +688C : CDCDCDCD; +688D : CDCDCDCD; +688E : CDCDCDCD; +688F : CDCDCDCD; +6890 : CDCDCDCD; +6891 : CDCDCDCD; +6892 : CDCDCDCD; +6893 : CDCDCDCD; +6894 : CDCDCDCD; +6895 : CDCDCDCD; +6896 : CDCDCDCD; +6897 : CDCDCDCD; +6898 : CDCDCDCD; +6899 : CDCDCDCD; +689A : CDCDCDCD; +689B : CDCDCDCD; +689C : CDCDCDCD; +689D : CDCDCDCD; +689E : CDCDCDCD; +689F : CDCDCDCD; +68A0 : CDCDCDCD; +68A1 : CDCDCDCD; +68A2 : CDCDCDCD; +68A3 : CDCDCDCD; +68A4 : CDCDCDCD; +68A5 : CDCDCDCD; +68A6 : CDCDCDCD; +68A7 : CDCDCDCD; +68A8 : CDCDCDCD; +68A9 : CDCDCDCD; +68AA : CDCDCDCD; +68AB : CDCDCDCD; +68AC : CDCDCDCD; +68AD : CDCDCDCD; +68AE : CDCDCDCD; +68AF : CDCDCDCD; +68B0 : CDCDCDCD; +68B1 : CDCDCDCD; +68B2 : CDCDCDCD; +68B3 : CDCDCDCD; +68B4 : CDCDCDCD; +68B5 : CDCDCDCD; +68B6 : CDCDCDCD; +68B7 : CDCDCDCD; +68B8 : CDCDCDCD; +68B9 : CDCDCDCD; +68BA : CDCDCDCD; +68BB : CDCDCDCD; +68BC : CDCDCDCD; +68BD : CDCDCDCD; +68BE : CDCDCDCD; +68BF : CDCDCDCD; +68C0 : CDCDCDCD; +68C1 : CDCDCDCD; +68C2 : CDCDCDCD; +68C3 : CDCDCDCD; +68C4 : CDCDCDCD; +68C5 : CDCDCDCD; +68C6 : CDCDCDCD; +68C7 : CDCDCDCD; +68C8 : CDCDCDCD; +68C9 : CDCDCDCD; +68CA : CDCDCDCD; +68CB : CDCDCDCD; +68CC : CDCDCDCD; +68CD : CDCDCDCD; +68CE : CDCDCDCD; +68CF : CDCDCDCD; +68D0 : CDCDCDCD; +68D1 : CDCDCDCD; +68D2 : CDCDCDCD; +68D3 : CDCDCDCD; +68D4 : CDCDCDCD; +68D5 : CDCDCDCD; +68D6 : CDCDCDCD; +68D7 : CDCDCDCD; +68D8 : CDCDCDCD; +68D9 : CDCDCDCD; +68DA : CDCDCDCD; +68DB : CDCDCDCD; +68DC : CDCDCDCD; +68DD : CDCDCDCD; +68DE : CDCDCDCD; +68DF : CDCDCDCD; +68E0 : CDCDCDCD; +68E1 : CDCDCDCD; +68E2 : CDCDCDCD; +68E3 : CDCDCDCD; +68E4 : CDCDCDCD; +68E5 : CDCDCDCD; +68E6 : CDCDCDCD; +68E7 : CDCDCDCD; +68E8 : CDCDCDCD; +68E9 : CDCDCDCD; +68EA : CDCDCDCD; +68EB : CDCDCDCD; +68EC : CDCDCDCD; +68ED : CDCDCDCD; +68EE : CDCDCDCD; +68EF : CDCDCDCD; +68F0 : CDCDCDCD; +68F1 : CDCDCDCD; +68F2 : CDCDCDCD; +68F3 : CDCDCDCD; +68F4 : CDCDCDCD; +68F5 : CDCDCDCD; +68F6 : CDCDCDCD; +68F7 : CDCDCDCD; +68F8 : CDCDCDCD; +68F9 : CDCDCDCD; +68FA : CDCDCDCD; +68FB : CDCDCDCD; +68FC : CDCDCDCD; +68FD : CDCDCDCD; +68FE : CDCDCDCD; +68FF : CDCDCDCD; +6900 : CDCDCDCD; +6901 : CDCDCDCD; +6902 : CDCDCDCD; +6903 : CDCDCDCD; +6904 : CDCDCDCD; +6905 : CDCDCDCD; +6906 : CDCDCDCD; +6907 : CDCDCDCD; +6908 : CDCDCDCD; +6909 : CDCDCDCD; +690A : CDCDCDCD; +690B : CDCDCDCD; +690C : CDCDCDCD; +690D : CDCDCDCD; +690E : CDCDCDCD; +690F : CDCDCDCD; +6910 : CDCDCDCD; +6911 : CDCDCDCD; +6912 : CDCDCDCD; +6913 : CDCDCDCD; +6914 : CDCDCDCD; +6915 : CDCDCDCD; +6916 : CDCDCDCD; +6917 : CDCDCDCD; +6918 : CDCDCDCD; +6919 : CDCDCDCD; +691A : CDCDCDCD; +691B : CDCDCDCD; +691C : CDCDCDCD; +691D : CDCDCDCD; +691E : CDCDCDCD; +691F : CDCDCDCD; +6920 : CDCDCDCD; +6921 : CDCDCDCD; +6922 : CDCDCDCD; +6923 : CDCDCDCD; +6924 : CDCDCDCD; +6925 : CDCDCDCD; +6926 : CDCDCDCD; +6927 : CDCDCDCD; +6928 : CDCDCDCD; +6929 : CDCDCDCD; +692A : CDCDCDCD; +692B : CDCDCDCD; +692C : CDCDCDCD; +692D : CDCDCDCD; +692E : CDCDCDCD; +692F : CDCDCDCD; +6930 : CDCDCDCD; +6931 : CDCDCDCD; +6932 : CDCDCDCD; +6933 : CDCDCDCD; +6934 : CDCDCDCD; +6935 : CDCDCDCD; +6936 : CDCDCDCD; +6937 : CDCDCDCD; +6938 : CDCDCDCD; +6939 : CDCDCDCD; +693A : CDCDCDCD; +693B : CDCDCDCD; +693C : CDCDCDCD; +693D : CDCDCDCD; +693E : CDCDCDCD; +693F : CDCDCDCD; +6940 : CDCDCDCD; +6941 : CDCDCDCD; +6942 : CDCDCDCD; +6943 : CDCDCDCD; +6944 : CDCDCDCD; +6945 : CDCDCDCD; +6946 : CDCDCDCD; +6947 : CDCDCDCD; +6948 : CDCDCDCD; +6949 : CDCDCDCD; +694A : CDCDCDCD; +694B : CDCDCDCD; +694C : CDCDCDCD; +694D : CDCDCDCD; +694E : CDCDCDCD; +694F : CDCDCDCD; +6950 : CDCDCDCD; +6951 : CDCDCDCD; +6952 : CDCDCDCD; +6953 : CDCDCDCD; +6954 : CDCDCDCD; +6955 : CDCDCDCD; +6956 : CDCDCDCD; +6957 : CDCDCDCD; +6958 : CDCDCDCD; +6959 : CDCDCDCD; +695A : CDCDCDCD; +695B : CDCDCDCD; +695C : CDCDCDCD; +695D : CDCDCDCD; +695E : CDCDCDCD; +695F : CDCDCDCD; +6960 : CDCDCDCD; +6961 : CDCDCDCD; +6962 : CDCDCDCD; +6963 : CDCDCDCD; +6964 : CDCDCDCD; +6965 : CDCDCDCD; +6966 : CDCDCDCD; +6967 : CDCDCDCD; +6968 : CDCDCDCD; +6969 : CDCDCDCD; +696A : CDCDCDCD; +696B : CDCDCDCD; +696C : CDCDCDCD; +696D : CDCDCDCD; +696E : CDCDCDCD; +696F : CDCDCDCD; +6970 : CDCDCDCD; +6971 : CDCDCDCD; +6972 : CDCDCDCD; +6973 : CDCDCDCD; +6974 : CDCDCDCD; +6975 : CDCDCDCD; +6976 : CDCDCDCD; +6977 : CDCDCDCD; +6978 : CDCDCDCD; +6979 : CDCDCDCD; +697A : CDCDCDCD; +697B : CDCDCDCD; +697C : CDCDCDCD; +697D : CDCDCDCD; +697E : CDCDCDCD; +697F : CDCDCDCD; +6980 : CDCDCDCD; +6981 : CDCDCDCD; +6982 : CDCDCDCD; +6983 : CDCDCDCD; +6984 : CDCDCDCD; +6985 : CDCDCDCD; +6986 : CDCDCDCD; +6987 : CDCDCDCD; +6988 : CDCDCDCD; +6989 : CDCDCDCD; +698A : CDCDCDCD; +698B : CDCDCDCD; +698C : CDCDCDCD; +698D : CDCDCDCD; +698E : CDCDCDCD; +698F : CDCDCDCD; +6990 : CDCDCDCD; +6991 : CDCDCDCD; +6992 : CDCDCDCD; +6993 : CDCDCDCD; +6994 : CDCDCDCD; +6995 : CDCDCDCD; +6996 : CDCDCDCD; +6997 : CDCDCDCD; +6998 : CDCDCDCD; +6999 : CDCDCDCD; +699A : CDCDCDCD; +699B : CDCDCDCD; +699C : CDCDCDCD; +699D : CDCDCDCD; +699E : CDCDCDCD; +699F : CDCDCDCD; +69A0 : CDCDCDCD; +69A1 : CDCDCDCD; +69A2 : CDCDCDCD; +69A3 : CDCDCDCD; +69A4 : CDCDCDCD; +69A5 : CDCDCDCD; +69A6 : CDCDCDCD; +69A7 : CDCDCDCD; +69A8 : CDCDCDCD; +69A9 : CDCDCDCD; +69AA : CDCDCDCD; +69AB : CDCDCDCD; +69AC : CDCDCDCD; +69AD : CDCDCDCD; +69AE : CDCDCDCD; +69AF : CDCDCDCD; +69B0 : CDCDCDCD; +69B1 : CDCDCDCD; +69B2 : CDCDCDCD; +69B3 : CDCDCDCD; +69B4 : CDCDCDCD; +69B5 : CDCDCDCD; +69B6 : CDCDCDCD; +69B7 : CDCDCDCD; +69B8 : CDCDCDCD; +69B9 : CDCDCDCD; +69BA : CDCDCDCD; +69BB : CDCDCDCD; +69BC : CDCDCDCD; +69BD : CDCDCDCD; +69BE : CDCDCDCD; +69BF : CDCDCDCD; +69C0 : CDCDCDCD; +69C1 : CDCDCDCD; +69C2 : CDCDCDCD; +69C3 : CDCDCDCD; +69C4 : CDCDCDCD; +69C5 : CDCDCDCD; +69C6 : CDCDCDCD; +69C7 : CDCDCDCD; +69C8 : CDCDCDCD; +69C9 : CDCDCDCD; +69CA : CDCDCDCD; +69CB : CDCDCDCD; +69CC : CDCDCDCD; +69CD : CDCDCDCD; +69CE : CDCDCDCD; +69CF : CDCDCDCD; +69D0 : CDCDCDCD; +69D1 : CDCDCDCD; +69D2 : CDCDCDCD; +69D3 : CDCDCDCD; +69D4 : CDCDCDCD; +69D5 : CDCDCDCD; +69D6 : CDCDCDCD; +69D7 : CDCDCDCD; +69D8 : CDCDCDCD; +69D9 : CDCDCDCD; +69DA : CDCDCDCD; +69DB : CDCDCDCD; +69DC : CDCDCDCD; +69DD : CDCDCDCD; +69DE : CDCDCDCD; +69DF : CDCDCDCD; +69E0 : CDCDCDCD; +69E1 : CDCDCDCD; +69E2 : CDCDCDCD; +69E3 : CDCDCDCD; +69E4 : CDCDCDCD; +69E5 : CDCDCDCD; +69E6 : CDCDCDCD; +69E7 : CDCDCDCD; +69E8 : CDCDCDCD; +69E9 : CDCDCDCD; +69EA : CDCDCDCD; +69EB : CDCDCDCD; +69EC : CDCDCDCD; +69ED : CDCDCDCD; +69EE : CDCDCDCD; +69EF : CDCDCDCD; +69F0 : CDCDCDCD; +69F1 : CDCDCDCD; +69F2 : CDCDCDCD; +69F3 : CDCDCDCD; +69F4 : CDCDCDCD; +69F5 : CDCDCDCD; +69F6 : CDCDCDCD; +69F7 : CDCDCDCD; +69F8 : CDCDCDCD; +69F9 : CDCDCDCD; +69FA : CDCDCDCD; +69FB : CDCDCDCD; +69FC : CDCDCDCD; +69FD : CDCDCDCD; +69FE : CDCDCDCD; +69FF : CDCDCDCD; +6A00 : CDCDCDCD; +6A01 : CDCDCDCD; +6A02 : CDCDCDCD; +6A03 : CDCDCDCD; +6A04 : CDCDCDCD; +6A05 : CDCDCDCD; +6A06 : CDCDCDCD; +6A07 : CDCDCDCD; +6A08 : CDCDCDCD; +6A09 : CDCDCDCD; +6A0A : CDCDCDCD; +6A0B : CDCDCDCD; +6A0C : CDCDCDCD; +6A0D : CDCDCDCD; +6A0E : CDCDCDCD; +6A0F : CDCDCDCD; +6A10 : CDCDCDCD; +6A11 : CDCDCDCD; +6A12 : CDCDCDCD; +6A13 : CDCDCDCD; +6A14 : CDCDCDCD; +6A15 : CDCDCDCD; +6A16 : CDCDCDCD; +6A17 : CDCDCDCD; +6A18 : CDCDCDCD; +6A19 : CDCDCDCD; +6A1A : CDCDCDCD; +6A1B : CDCDCDCD; +6A1C : CDCDCDCD; +6A1D : CDCDCDCD; +6A1E : CDCDCDCD; +6A1F : CDCDCDCD; +6A20 : CDCDCDCD; +6A21 : CDCDCDCD; +6A22 : CDCDCDCD; +6A23 : CDCDCDCD; +6A24 : CDCDCDCD; +6A25 : CDCDCDCD; +6A26 : CDCDCDCD; +6A27 : CDCDCDCD; +6A28 : CDCDCDCD; +6A29 : CDCDCDCD; +6A2A : CDCDCDCD; +6A2B : CDCDCDCD; +6A2C : CDCDCDCD; +6A2D : CDCDCDCD; +6A2E : CDCDCDCD; +6A2F : CDCDCDCD; +6A30 : CDCDCDCD; +6A31 : CDCDCDCD; +6A32 : CDCDCDCD; +6A33 : CDCDCDCD; +6A34 : CDCDCDCD; +6A35 : CDCDCDCD; +6A36 : CDCDCDCD; +6A37 : CDCDCDCD; +6A38 : CDCDCDCD; +6A39 : CDCDCDCD; +6A3A : CDCDCDCD; +6A3B : CDCDCDCD; +6A3C : CDCDCDCD; +6A3D : CDCDCDCD; +6A3E : CDCDCDCD; +6A3F : CDCDCDCD; +6A40 : CDCDCDCD; +6A41 : CDCDCDCD; +6A42 : CDCDCDCD; +6A43 : CDCDCDCD; +6A44 : CDCDCDCD; +6A45 : CDCDCDCD; +6A46 : CDCDCDCD; +6A47 : CDCDCDCD; +6A48 : CDCDCDCD; +6A49 : CDCDCDCD; +6A4A : CDCDCDCD; +6A4B : CDCDCDCD; +6A4C : CDCDCDCD; +6A4D : CDCDCDCD; +6A4E : CDCDCDCD; +6A4F : CDCDCDCD; +6A50 : CDCDCDCD; +6A51 : CDCDCDCD; +6A52 : CDCDCDCD; +6A53 : CDCDCDCD; +6A54 : CDCDCDCD; +6A55 : CDCDCDCD; +6A56 : CDCDCDCD; +6A57 : CDCDCDCD; +6A58 : CDCDCDCD; +6A59 : CDCDCDCD; +6A5A : CDCDCDCD; +6A5B : CDCDCDCD; +6A5C : CDCDCDCD; +6A5D : CDCDCDCD; +6A5E : CDCDCDCD; +6A5F : CDCDCDCD; +6A60 : CDCDCDCD; +6A61 : CDCDCDCD; +6A62 : CDCDCDCD; +6A63 : CDCDCDCD; +6A64 : CDCDCDCD; +6A65 : CDCDCDCD; +6A66 : CDCDCDCD; +6A67 : CDCDCDCD; +6A68 : CDCDCDCD; +6A69 : CDCDCDCD; +6A6A : CDCDCDCD; +6A6B : CDCDCDCD; +6A6C : CDCDCDCD; +6A6D : CDCDCDCD; +6A6E : CDCDCDCD; +6A6F : CDCDCDCD; +6A70 : CDCDCDCD; +6A71 : CDCDCDCD; +6A72 : CDCDCDCD; +6A73 : CDCDCDCD; +6A74 : CDCDCDCD; +6A75 : CDCDCDCD; +6A76 : CDCDCDCD; +6A77 : CDCDCDCD; +6A78 : CDCDCDCD; +6A79 : CDCDCDCD; +6A7A : CDCDCDCD; +6A7B : CDCDCDCD; +6A7C : CDCDCDCD; +6A7D : CDCDCDCD; +6A7E : CDCDCDCD; +6A7F : CDCDCDCD; +6A80 : CDCDCDCD; +6A81 : CDCDCDCD; +6A82 : CDCDCDCD; +6A83 : CDCDCDCD; +6A84 : CDCDCDCD; +6A85 : CDCDCDCD; +6A86 : CDCDCDCD; +6A87 : CDCDCDCD; +6A88 : CDCDCDCD; +6A89 : CDCDCDCD; +6A8A : CDCDCDCD; +6A8B : CDCDCDCD; +6A8C : CDCDCDCD; +6A8D : CDCDCDCD; +6A8E : CDCDCDCD; +6A8F : CDCDCDCD; +6A90 : CDCDCDCD; +6A91 : CDCDCDCD; +6A92 : CDCDCDCD; +6A93 : CDCDCDCD; +6A94 : CDCDCDCD; +6A95 : CDCDCDCD; +6A96 : CDCDCDCD; +6A97 : CDCDCDCD; +6A98 : CDCDCDCD; +6A99 : CDCDCDCD; +6A9A : CDCDCDCD; +6A9B : CDCDCDCD; +6A9C : CDCDCDCD; +6A9D : CDCDCDCD; +6A9E : CDCDCDCD; +6A9F : CDCDCDCD; +6AA0 : CDCDCDCD; +6AA1 : CDCDCDCD; +6AA2 : CDCDCDCD; +6AA3 : CDCDCDCD; +6AA4 : CDCDCDCD; +6AA5 : CDCDCDCD; +6AA6 : CDCDCDCD; +6AA7 : CDCDCDCD; +6AA8 : CDCDCDCD; +6AA9 : CDCDCDCD; +6AAA : CDCDCDCD; +6AAB : CDCDCDCD; +6AAC : CDCDCDCD; +6AAD : CDCDCDCD; +6AAE : CDCDCDCD; +6AAF : CDCDCDCD; +6AB0 : CDCDCDCD; +6AB1 : CDCDCDCD; +6AB2 : CDCDCDCD; +6AB3 : CDCDCDCD; +6AB4 : CDCDCDCD; +6AB5 : CDCDCDCD; +6AB6 : CDCDCDCD; +6AB7 : CDCDCDCD; +6AB8 : CDCDCDCD; +6AB9 : CDCDCDCD; +6ABA : CDCDCDCD; +6ABB : CDCDCDCD; +6ABC : CDCDCDCD; +6ABD : CDCDCDCD; +6ABE : CDCDCDCD; +6ABF : CDCDCDCD; +6AC0 : CDCDCDCD; +6AC1 : CDCDCDCD; +6AC2 : CDCDCDCD; +6AC3 : CDCDCDCD; +6AC4 : CDCDCDCD; +6AC5 : CDCDCDCD; +6AC6 : CDCDCDCD; +6AC7 : CDCDCDCD; +6AC8 : CDCDCDCD; +6AC9 : CDCDCDCD; +6ACA : CDCDCDCD; +6ACB : CDCDCDCD; +6ACC : CDCDCDCD; +6ACD : CDCDCDCD; +6ACE : CDCDCDCD; +6ACF : CDCDCDCD; +6AD0 : CDCDCDCD; +6AD1 : CDCDCDCD; +6AD2 : CDCDCDCD; +6AD3 : CDCDCDCD; +6AD4 : CDCDCDCD; +6AD5 : CDCDCDCD; +6AD6 : CDCDCDCD; +6AD7 : CDCDCDCD; +6AD8 : CDCDCDCD; +6AD9 : CDCDCDCD; +6ADA : CDCDCDCD; +6ADB : CDCDCDCD; +6ADC : CDCDCDCD; +6ADD : CDCDCDCD; +6ADE : CDCDCDCD; +6ADF : CDCDCDCD; +6AE0 : CDCDCDCD; +6AE1 : CDCDCDCD; +6AE2 : CDCDCDCD; +6AE3 : CDCDCDCD; +6AE4 : CDCDCDCD; +6AE5 : CDCDCDCD; +6AE6 : CDCDCDCD; +6AE7 : CDCDCDCD; +6AE8 : CDCDCDCD; +6AE9 : CDCDCDCD; +6AEA : CDCDCDCD; +6AEB : CDCDCDCD; +6AEC : CDCDCDCD; +6AED : CDCDCDCD; +6AEE : CDCDCDCD; +6AEF : CDCDCDCD; +6AF0 : CDCDCDCD; +6AF1 : CDCDCDCD; +6AF2 : CDCDCDCD; +6AF3 : CDCDCDCD; +6AF4 : CDCDCDCD; +6AF5 : CDCDCDCD; +6AF6 : CDCDCDCD; +6AF7 : CDCDCDCD; +6AF8 : CDCDCDCD; +6AF9 : CDCDCDCD; +6AFA : CDCDCDCD; +6AFB : CDCDCDCD; +6AFC : CDCDCDCD; +6AFD : CDCDCDCD; +6AFE : CDCDCDCD; +6AFF : CDCDCDCD; +6B00 : CDCDCDCD; +6B01 : CDCDCDCD; +6B02 : CDCDCDCD; +6B03 : CDCDCDCD; +6B04 : CDCDCDCD; +6B05 : CDCDCDCD; +6B06 : CDCDCDCD; +6B07 : CDCDCDCD; +6B08 : CDCDCDCD; +6B09 : CDCDCDCD; +6B0A : CDCDCDCD; +6B0B : CDCDCDCD; +6B0C : CDCDCDCD; +6B0D : CDCDCDCD; +6B0E : CDCDCDCD; +6B0F : CDCDCDCD; +6B10 : CDCDCDCD; +6B11 : CDCDCDCD; +6B12 : CDCDCDCD; +6B13 : CDCDCDCD; +6B14 : CDCDCDCD; +6B15 : CDCDCDCD; +6B16 : CDCDCDCD; +6B17 : CDCDCDCD; +6B18 : CDCDCDCD; +6B19 : CDCDCDCD; +6B1A : CDCDCDCD; +6B1B : CDCDCDCD; +6B1C : CDCDCDCD; +6B1D : CDCDCDCD; +6B1E : CDCDCDCD; +6B1F : CDCDCDCD; +6B20 : CDCDCDCD; +6B21 : CDCDCDCD; +6B22 : CDCDCDCD; +6B23 : CDCDCDCD; +6B24 : CDCDCDCD; +6B25 : CDCDCDCD; +6B26 : CDCDCDCD; +6B27 : CDCDCDCD; +6B28 : CDCDCDCD; +6B29 : CDCDCDCD; +6B2A : CDCDCDCD; +6B2B : CDCDCDCD; +6B2C : CDCDCDCD; +6B2D : CDCDCDCD; +6B2E : CDCDCDCD; +6B2F : CDCDCDCD; +6B30 : CDCDCDCD; +6B31 : CDCDCDCD; +6B32 : CDCDCDCD; +6B33 : CDCDCDCD; +6B34 : CDCDCDCD; +6B35 : CDCDCDCD; +6B36 : CDCDCDCD; +6B37 : CDCDCDCD; +6B38 : CDCDCDCD; +6B39 : CDCDCDCD; +6B3A : CDCDCDCD; +6B3B : CDCDCDCD; +6B3C : CDCDCDCD; +6B3D : CDCDCDCD; +6B3E : CDCDCDCD; +6B3F : CDCDCDCD; +6B40 : CDCDCDCD; +6B41 : CDCDCDCD; +6B42 : CDCDCDCD; +6B43 : CDCDCDCD; +6B44 : CDCDCDCD; +6B45 : CDCDCDCD; +6B46 : CDCDCDCD; +6B47 : CDCDCDCD; +6B48 : CDCDCDCD; +6B49 : CDCDCDCD; +6B4A : CDCDCDCD; +6B4B : CDCDCDCD; +6B4C : CDCDCDCD; +6B4D : CDCDCDCD; +6B4E : CDCDCDCD; +6B4F : CDCDCDCD; +6B50 : CDCDCDCD; +6B51 : CDCDCDCD; +6B52 : CDCDCDCD; +6B53 : CDCDCDCD; +6B54 : CDCDCDCD; +6B55 : CDCDCDCD; +6B56 : CDCDCDCD; +6B57 : CDCDCDCD; +6B58 : CDCDCDCD; +6B59 : CDCDCDCD; +6B5A : CDCDCDCD; +6B5B : CDCDCDCD; +6B5C : CDCDCDCD; +6B5D : CDCDCDCD; +6B5E : CDCDCDCD; +6B5F : CDCDCDCD; +6B60 : CDCDCDCD; +6B61 : CDCDCDCD; +6B62 : CDCDCDCD; +6B63 : CDCDCDCD; +6B64 : CDCDCDCD; +6B65 : CDCDCDCD; +6B66 : CDCDCDCD; +6B67 : CDCDCDCD; +6B68 : CDCDCDCD; +6B69 : CDCDCDCD; +6B6A : CDCDCDCD; +6B6B : CDCDCDCD; +6B6C : CDCDCDCD; +6B6D : CDCDCDCD; +6B6E : CDCDCDCD; +6B6F : CDCDCDCD; +6B70 : CDCDCDCD; +6B71 : CDCDCDCD; +6B72 : CDCDCDCD; +6B73 : CDCDCDCD; +6B74 : CDCDCDCD; +6B75 : CDCDCDCD; +6B76 : CDCDCDCD; +6B77 : CDCDCDCD; +6B78 : CDCDCDCD; +6B79 : CDCDCDCD; +6B7A : CDCDCDCD; +6B7B : CDCDCDCD; +6B7C : CDCDCDCD; +6B7D : CDCDCDCD; +6B7E : CDCDCDCD; +6B7F : CDCDCDCD; +6B80 : CDCDCDCD; +6B81 : CDCDCDCD; +6B82 : CDCDCDCD; +6B83 : CDCDCDCD; +6B84 : CDCDCDCD; +6B85 : CDCDCDCD; +6B86 : CDCDCDCD; +6B87 : CDCDCDCD; +6B88 : CDCDCDCD; +6B89 : CDCDCDCD; +6B8A : CDCDCDCD; +6B8B : CDCDCDCD; +6B8C : CDCDCDCD; +6B8D : CDCDCDCD; +6B8E : CDCDCDCD; +6B8F : CDCDCDCD; +6B90 : CDCDCDCD; +6B91 : CDCDCDCD; +6B92 : CDCDCDCD; +6B93 : CDCDCDCD; +6B94 : CDCDCDCD; +6B95 : CDCDCDCD; +6B96 : CDCDCDCD; +6B97 : CDCDCDCD; +6B98 : CDCDCDCD; +6B99 : CDCDCDCD; +6B9A : CDCDCDCD; +6B9B : CDCDCDCD; +6B9C : CDCDCDCD; +6B9D : CDCDCDCD; +6B9E : CDCDCDCD; +6B9F : CDCDCDCD; +6BA0 : CDCDCDCD; +6BA1 : CDCDCDCD; +6BA2 : CDCDCDCD; +6BA3 : CDCDCDCD; +6BA4 : CDCDCDCD; +6BA5 : CDCDCDCD; +6BA6 : CDCDCDCD; +6BA7 : CDCDCDCD; +6BA8 : CDCDCDCD; +6BA9 : CDCDCDCD; +6BAA : CDCDCDCD; +6BAB : CDCDCDCD; +6BAC : CDCDCDCD; +6BAD : CDCDCDCD; +6BAE : CDCDCDCD; +6BAF : CDCDCDCD; +6BB0 : CDCDCDCD; +6BB1 : CDCDCDCD; +6BB2 : CDCDCDCD; +6BB3 : CDCDCDCD; +6BB4 : CDCDCDCD; +6BB5 : CDCDCDCD; +6BB6 : CDCDCDCD; +6BB7 : CDCDCDCD; +6BB8 : CDCDCDCD; +6BB9 : CDCDCDCD; +6BBA : CDCDCDCD; +6BBB : CDCDCDCD; +6BBC : CDCDCDCD; +6BBD : CDCDCDCD; +6BBE : CDCDCDCD; +6BBF : CDCDCDCD; +6BC0 : CDCDCDCD; +6BC1 : CDCDCDCD; +6BC2 : CDCDCDCD; +6BC3 : CDCDCDCD; +6BC4 : CDCDCDCD; +6BC5 : CDCDCDCD; +6BC6 : CDCDCDCD; +6BC7 : CDCDCDCD; +6BC8 : CDCDCDCD; +6BC9 : CDCDCDCD; +6BCA : CDCDCDCD; +6BCB : CDCDCDCD; +6BCC : CDCDCDCD; +6BCD : CDCDCDCD; +6BCE : CDCDCDCD; +6BCF : CDCDCDCD; +6BD0 : CDCDCDCD; +6BD1 : CDCDCDCD; +6BD2 : CDCDCDCD; +6BD3 : CDCDCDCD; +6BD4 : CDCDCDCD; +6BD5 : CDCDCDCD; +6BD6 : CDCDCDCD; +6BD7 : CDCDCDCD; +6BD8 : CDCDCDCD; +6BD9 : CDCDCDCD; +6BDA : CDCDCDCD; +6BDB : CDCDCDCD; +6BDC : CDCDCDCD; +6BDD : CDCDCDCD; +6BDE : CDCDCDCD; +6BDF : CDCDCDCD; +6BE0 : CDCDCDCD; +6BE1 : CDCDCDCD; +6BE2 : CDCDCDCD; +6BE3 : CDCDCDCD; +6BE4 : CDCDCDCD; +6BE5 : CDCDCDCD; +6BE6 : CDCDCDCD; +6BE7 : CDCDCDCD; +6BE8 : CDCDCDCD; +6BE9 : CDCDCDCD; +6BEA : CDCDCDCD; +6BEB : CDCDCDCD; +6BEC : CDCDCDCD; +6BED : CDCDCDCD; +6BEE : CDCDCDCD; +6BEF : CDCDCDCD; +6BF0 : CDCDCDCD; +6BF1 : CDCDCDCD; +6BF2 : CDCDCDCD; +6BF3 : CDCDCDCD; +6BF4 : CDCDCDCD; +6BF5 : CDCDCDCD; +6BF6 : CDCDCDCD; +6BF7 : CDCDCDCD; +6BF8 : CDCDCDCD; +6BF9 : CDCDCDCD; +6BFA : CDCDCDCD; +6BFB : CDCDCDCD; +6BFC : CDCDCDCD; +6BFD : CDCDCDCD; +6BFE : CDCDCDCD; +6BFF : CDCDCDCD; +6C00 : CDCDCDCD; +6C01 : CDCDCDCD; +6C02 : CDCDCDCD; +6C03 : CDCDCDCD; +6C04 : CDCDCDCD; +6C05 : CDCDCDCD; +6C06 : CDCDCDCD; +6C07 : CDCDCDCD; +6C08 : CDCDCDCD; +6C09 : CDCDCDCD; +6C0A : CDCDCDCD; +6C0B : CDCDCDCD; +6C0C : CDCDCDCD; +6C0D : CDCDCDCD; +6C0E : CDCDCDCD; +6C0F : CDCDCDCD; +6C10 : CDCDCDCD; +6C11 : CDCDCDCD; +6C12 : CDCDCDCD; +6C13 : CDCDCDCD; +6C14 : CDCDCDCD; +6C15 : CDCDCDCD; +6C16 : CDCDCDCD; +6C17 : CDCDCDCD; +6C18 : CDCDCDCD; +6C19 : CDCDCDCD; +6C1A : CDCDCDCD; +6C1B : CDCDCDCD; +6C1C : CDCDCDCD; +6C1D : CDCDCDCD; +6C1E : CDCDCDCD; +6C1F : CDCDCDCD; +6C20 : CDCDCDCD; +6C21 : CDCDCDCD; +6C22 : CDCDCDCD; +6C23 : CDCDCDCD; +6C24 : CDCDCDCD; +6C25 : CDCDCDCD; +6C26 : CDCDCDCD; +6C27 : CDCDCDCD; +6C28 : CDCDCDCD; +6C29 : CDCDCDCD; +6C2A : CDCDCDCD; +6C2B : CDCDCDCD; +6C2C : CDCDCDCD; +6C2D : CDCDCDCD; +6C2E : CDCDCDCD; +6C2F : CDCDCDCD; +6C30 : CDCDCDCD; +6C31 : CDCDCDCD; +6C32 : CDCDCDCD; +6C33 : CDCDCDCD; +6C34 : CDCDCDCD; +6C35 : CDCDCDCD; +6C36 : CDCDCDCD; +6C37 : CDCDCDCD; +6C38 : CDCDCDCD; +6C39 : CDCDCDCD; +6C3A : CDCDCDCD; +6C3B : CDCDCDCD; +6C3C : CDCDCDCD; +6C3D : CDCDCDCD; +6C3E : CDCDCDCD; +6C3F : CDCDCDCD; +6C40 : CDCDCDCD; +6C41 : CDCDCDCD; +6C42 : CDCDCDCD; +6C43 : CDCDCDCD; +6C44 : CDCDCDCD; +6C45 : CDCDCDCD; +6C46 : CDCDCDCD; +6C47 : CDCDCDCD; +6C48 : CDCDCDCD; +6C49 : CDCDCDCD; +6C4A : CDCDCDCD; +6C4B : CDCDCDCD; +6C4C : CDCDCDCD; +6C4D : CDCDCDCD; +6C4E : CDCDCDCD; +6C4F : CDCDCDCD; +6C50 : CDCDCDCD; +6C51 : CDCDCDCD; +6C52 : CDCDCDCD; +6C53 : CDCDCDCD; +6C54 : CDCDCDCD; +6C55 : CDCDCDCD; +6C56 : CDCDCDCD; +6C57 : CDCDCDCD; +6C58 : CDCDCDCD; +6C59 : CDCDCDCD; +6C5A : CDCDCDCD; +6C5B : CDCDCDCD; +6C5C : CDCDCDCD; +6C5D : CDCDCDCD; +6C5E : CDCDCDCD; +6C5F : CDCDCDCD; +6C60 : CDCDCDCD; +6C61 : CDCDCDCD; +6C62 : CDCDCDCD; +6C63 : CDCDCDCD; +6C64 : CDCDCDCD; +6C65 : CDCDCDCD; +6C66 : CDCDCDCD; +6C67 : CDCDCDCD; +6C68 : CDCDCDCD; +6C69 : CDCDCDCD; +6C6A : CDCDCDCD; +6C6B : CDCDCDCD; +6C6C : CDCDCDCD; +6C6D : CDCDCDCD; +6C6E : CDCDCDCD; +6C6F : CDCDCDCD; +6C70 : CDCDCDCD; +6C71 : CDCDCDCD; +6C72 : CDCDCDCD; +6C73 : CDCDCDCD; +6C74 : CDCDCDCD; +6C75 : CDCDCDCD; +6C76 : CDCDCDCD; +6C77 : CDCDCDCD; +6C78 : CDCDCDCD; +6C79 : CDCDCDCD; +6C7A : CDCDCDCD; +6C7B : CDCDCDCD; +6C7C : CDCDCDCD; +6C7D : CDCDCDCD; +6C7E : CDCDCDCD; +6C7F : CDCDCDCD; +6C80 : CDCDCDCD; +6C81 : CDCDCDCD; +6C82 : CDCDCDCD; +6C83 : CDCDCDCD; +6C84 : CDCDCDCD; +6C85 : CDCDCDCD; +6C86 : CDCDCDCD; +6C87 : CDCDCDCD; +6C88 : CDCDCDCD; +6C89 : CDCDCDCD; +6C8A : CDCDCDCD; +6C8B : CDCDCDCD; +6C8C : CDCDCDCD; +6C8D : CDCDCDCD; +6C8E : CDCDCDCD; +6C8F : CDCDCDCD; +6C90 : CDCDCDCD; +6C91 : CDCDCDCD; +6C92 : CDCDCDCD; +6C93 : CDCDCDCD; +6C94 : CDCDCDCD; +6C95 : CDCDCDCD; +6C96 : CDCDCDCD; +6C97 : CDCDCDCD; +6C98 : CDCDCDCD; +6C99 : CDCDCDCD; +6C9A : CDCDCDCD; +6C9B : CDCDCDCD; +6C9C : CDCDCDCD; +6C9D : CDCDCDCD; +6C9E : CDCDCDCD; +6C9F : CDCDCDCD; +6CA0 : CDCDCDCD; +6CA1 : CDCDCDCD; +6CA2 : CDCDCDCD; +6CA3 : CDCDCDCD; +6CA4 : CDCDCDCD; +6CA5 : CDCDCDCD; +6CA6 : CDCDCDCD; +6CA7 : CDCDCDCD; +6CA8 : CDCDCDCD; +6CA9 : CDCDCDCD; +6CAA : CDCDCDCD; +6CAB : CDCDCDCD; +6CAC : CDCDCDCD; +6CAD : CDCDCDCD; +6CAE : CDCDCDCD; +6CAF : CDCDCDCD; +6CB0 : CDCDCDCD; +6CB1 : CDCDCDCD; +6CB2 : CDCDCDCD; +6CB3 : CDCDCDCD; +6CB4 : CDCDCDCD; +6CB5 : CDCDCDCD; +6CB6 : CDCDCDCD; +6CB7 : CDCDCDCD; +6CB8 : CDCDCDCD; +6CB9 : CDCDCDCD; +6CBA : CDCDCDCD; +6CBB : CDCDCDCD; +6CBC : CDCDCDCD; +6CBD : CDCDCDCD; +6CBE : CDCDCDCD; +6CBF : CDCDCDCD; +6CC0 : CDCDCDCD; +6CC1 : CDCDCDCD; +6CC2 : CDCDCDCD; +6CC3 : CDCDCDCD; +6CC4 : CDCDCDCD; +6CC5 : CDCDCDCD; +6CC6 : CDCDCDCD; +6CC7 : CDCDCDCD; +6CC8 : CDCDCDCD; +6CC9 : CDCDCDCD; +6CCA : CDCDCDCD; +6CCB : CDCDCDCD; +6CCC : CDCDCDCD; +6CCD : CDCDCDCD; +6CCE : CDCDCDCD; +6CCF : CDCDCDCD; +6CD0 : CDCDCDCD; +6CD1 : CDCDCDCD; +6CD2 : CDCDCDCD; +6CD3 : CDCDCDCD; +6CD4 : CDCDCDCD; +6CD5 : CDCDCDCD; +6CD6 : CDCDCDCD; +6CD7 : CDCDCDCD; +6CD8 : CDCDCDCD; +6CD9 : CDCDCDCD; +6CDA : CDCDCDCD; +6CDB : CDCDCDCD; +6CDC : CDCDCDCD; +6CDD : CDCDCDCD; +6CDE : CDCDCDCD; +6CDF : CDCDCDCD; +6CE0 : CDCDCDCD; +6CE1 : CDCDCDCD; +6CE2 : CDCDCDCD; +6CE3 : CDCDCDCD; +6CE4 : CDCDCDCD; +6CE5 : CDCDCDCD; +6CE6 : CDCDCDCD; +6CE7 : CDCDCDCD; +6CE8 : CDCDCDCD; +6CE9 : CDCDCDCD; +6CEA : CDCDCDCD; +6CEB : CDCDCDCD; +6CEC : CDCDCDCD; +6CED : CDCDCDCD; +6CEE : CDCDCDCD; +6CEF : CDCDCDCD; +6CF0 : CDCDCDCD; +6CF1 : CDCDCDCD; +6CF2 : CDCDCDCD; +6CF3 : CDCDCDCD; +6CF4 : CDCDCDCD; +6CF5 : CDCDCDCD; +6CF6 : CDCDCDCD; +6CF7 : CDCDCDCD; +6CF8 : CDCDCDCD; +6CF9 : CDCDCDCD; +6CFA : CDCDCDCD; +6CFB : CDCDCDCD; +6CFC : CDCDCDCD; +6CFD : CDCDCDCD; +6CFE : CDCDCDCD; +6CFF : CDCDCDCD; +6D00 : CDCDCDCD; +6D01 : CDCDCDCD; +6D02 : CDCDCDCD; +6D03 : CDCDCDCD; +6D04 : CDCDCDCD; +6D05 : CDCDCDCD; +6D06 : CDCDCDCD; +6D07 : CDCDCDCD; +6D08 : CDCDCDCD; +6D09 : CDCDCDCD; +6D0A : CDCDCDCD; +6D0B : CDCDCDCD; +6D0C : CDCDCDCD; +6D0D : CDCDCDCD; +6D0E : CDCDCDCD; +6D0F : CDCDCDCD; +6D10 : CDCDCDCD; +6D11 : CDCDCDCD; +6D12 : CDCDCDCD; +6D13 : CDCDCDCD; +6D14 : CDCDCDCD; +6D15 : CDCDCDCD; +6D16 : CDCDCDCD; +6D17 : CDCDCDCD; +6D18 : CDCDCDCD; +6D19 : CDCDCDCD; +6D1A : CDCDCDCD; +6D1B : CDCDCDCD; +6D1C : CDCDCDCD; +6D1D : CDCDCDCD; +6D1E : CDCDCDCD; +6D1F : CDCDCDCD; +6D20 : CDCDCDCD; +6D21 : CDCDCDCD; +6D22 : CDCDCDCD; +6D23 : CDCDCDCD; +6D24 : CDCDCDCD; +6D25 : CDCDCDCD; +6D26 : CDCDCDCD; +6D27 : CDCDCDCD; +6D28 : CDCDCDCD; +6D29 : CDCDCDCD; +6D2A : CDCDCDCD; +6D2B : CDCDCDCD; +6D2C : CDCDCDCD; +6D2D : CDCDCDCD; +6D2E : CDCDCDCD; +6D2F : CDCDCDCD; +6D30 : CDCDCDCD; +6D31 : CDCDCDCD; +6D32 : CDCDCDCD; +6D33 : CDCDCDCD; +6D34 : CDCDCDCD; +6D35 : CDCDCDCD; +6D36 : CDCDCDCD; +6D37 : CDCDCDCD; +6D38 : CDCDCDCD; +6D39 : CDCDCDCD; +6D3A : CDCDCDCD; +6D3B : CDCDCDCD; +6D3C : CDCDCDCD; +6D3D : CDCDCDCD; +6D3E : CDCDCDCD; +6D3F : CDCDCDCD; +6D40 : CDCDCDCD; +6D41 : CDCDCDCD; +6D42 : CDCDCDCD; +6D43 : CDCDCDCD; +6D44 : CDCDCDCD; +6D45 : CDCDCDCD; +6D46 : CDCDCDCD; +6D47 : CDCDCDCD; +6D48 : CDCDCDCD; +6D49 : CDCDCDCD; +6D4A : CDCDCDCD; +6D4B : CDCDCDCD; +6D4C : CDCDCDCD; +6D4D : CDCDCDCD; +6D4E : CDCDCDCD; +6D4F : CDCDCDCD; +6D50 : CDCDCDCD; +6D51 : CDCDCDCD; +6D52 : CDCDCDCD; +6D53 : CDCDCDCD; +6D54 : CDCDCDCD; +6D55 : CDCDCDCD; +6D56 : CDCDCDCD; +6D57 : CDCDCDCD; +6D58 : CDCDCDCD; +6D59 : CDCDCDCD; +6D5A : CDCDCDCD; +6D5B : CDCDCDCD; +6D5C : CDCDCDCD; +6D5D : CDCDCDCD; +6D5E : CDCDCDCD; +6D5F : CDCDCDCD; +6D60 : CDCDCDCD; +6D61 : CDCDCDCD; +6D62 : CDCDCDCD; +6D63 : CDCDCDCD; +6D64 : CDCDCDCD; +6D65 : CDCDCDCD; +6D66 : CDCDCDCD; +6D67 : CDCDCDCD; +6D68 : CDCDCDCD; +6D69 : CDCDCDCD; +6D6A : CDCDCDCD; +6D6B : CDCDCDCD; +6D6C : CDCDCDCD; +6D6D : CDCDCDCD; +6D6E : CDCDCDCD; +6D6F : CDCDCDCD; +6D70 : CDCDCDCD; +6D71 : CDCDCDCD; +6D72 : CDCDCDCD; +6D73 : CDCDCDCD; +6D74 : CDCDCDCD; +6D75 : CDCDCDCD; +6D76 : CDCDCDCD; +6D77 : CDCDCDCD; +6D78 : CDCDCDCD; +6D79 : CDCDCDCD; +6D7A : CDCDCDCD; +6D7B : CDCDCDCD; +6D7C : CDCDCDCD; +6D7D : CDCDCDCD; +6D7E : CDCDCDCD; +6D7F : CDCDCDCD; +6D80 : CDCDCDCD; +6D81 : CDCDCDCD; +6D82 : CDCDCDCD; +6D83 : CDCDCDCD; +6D84 : CDCDCDCD; +6D85 : CDCDCDCD; +6D86 : CDCDCDCD; +6D87 : CDCDCDCD; +6D88 : CDCDCDCD; +6D89 : CDCDCDCD; +6D8A : CDCDCDCD; +6D8B : CDCDCDCD; +6D8C : CDCDCDCD; +6D8D : CDCDCDCD; +6D8E : CDCDCDCD; +6D8F : CDCDCDCD; +6D90 : CDCDCDCD; +6D91 : CDCDCDCD; +6D92 : CDCDCDCD; +6D93 : CDCDCDCD; +6D94 : CDCDCDCD; +6D95 : CDCDCDCD; +6D96 : CDCDCDCD; +6D97 : CDCDCDCD; +6D98 : CDCDCDCD; +6D99 : CDCDCDCD; +6D9A : CDCDCDCD; +6D9B : CDCDCDCD; +6D9C : CDCDCDCD; +6D9D : CDCDCDCD; +6D9E : CDCDCDCD; +6D9F : CDCDCDCD; +6DA0 : CDCDCDCD; +6DA1 : CDCDCDCD; +6DA2 : CDCDCDCD; +6DA3 : CDCDCDCD; +6DA4 : CDCDCDCD; +6DA5 : CDCDCDCD; +6DA6 : CDCDCDCD; +6DA7 : CDCDCDCD; +6DA8 : CDCDCDCD; +6DA9 : CDCDCDCD; +6DAA : CDCDCDCD; +6DAB : CDCDCDCD; +6DAC : CDCDCDCD; +6DAD : CDCDCDCD; +6DAE : CDCDCDCD; +6DAF : CDCDCDCD; +6DB0 : CDCDCDCD; +6DB1 : CDCDCDCD; +6DB2 : CDCDCDCD; +6DB3 : CDCDCDCD; +6DB4 : CDCDCDCD; +6DB5 : CDCDCDCD; +6DB6 : CDCDCDCD; +6DB7 : CDCDCDCD; +6DB8 : CDCDCDCD; +6DB9 : CDCDCDCD; +6DBA : CDCDCDCD; +6DBB : CDCDCDCD; +6DBC : CDCDCDCD; +6DBD : CDCDCDCD; +6DBE : CDCDCDCD; +6DBF : CDCDCDCD; +6DC0 : CDCDCDCD; +6DC1 : CDCDCDCD; +6DC2 : CDCDCDCD; +6DC3 : CDCDCDCD; +6DC4 : CDCDCDCD; +6DC5 : CDCDCDCD; +6DC6 : CDCDCDCD; +6DC7 : CDCDCDCD; +6DC8 : CDCDCDCD; +6DC9 : CDCDCDCD; +6DCA : CDCDCDCD; +6DCB : CDCDCDCD; +6DCC : CDCDCDCD; +6DCD : CDCDCDCD; +6DCE : CDCDCDCD; +6DCF : CDCDCDCD; +6DD0 : CDCDCDCD; +6DD1 : CDCDCDCD; +6DD2 : CDCDCDCD; +6DD3 : CDCDCDCD; +6DD4 : CDCDCDCD; +6DD5 : CDCDCDCD; +6DD6 : CDCDCDCD; +6DD7 : CDCDCDCD; +6DD8 : CDCDCDCD; +6DD9 : CDCDCDCD; +6DDA : CDCDCDCD; +6DDB : CDCDCDCD; +6DDC : CDCDCDCD; +6DDD : CDCDCDCD; +6DDE : CDCDCDCD; +6DDF : CDCDCDCD; +6DE0 : CDCDCDCD; +6DE1 : CDCDCDCD; +6DE2 : CDCDCDCD; +6DE3 : CDCDCDCD; +6DE4 : CDCDCDCD; +6DE5 : CDCDCDCD; +6DE6 : CDCDCDCD; +6DE7 : CDCDCDCD; +6DE8 : CDCDCDCD; +6DE9 : CDCDCDCD; +6DEA : CDCDCDCD; +6DEB : CDCDCDCD; +6DEC : CDCDCDCD; +6DED : CDCDCDCD; +6DEE : CDCDCDCD; +6DEF : CDCDCDCD; +6DF0 : CDCDCDCD; +6DF1 : CDCDCDCD; +6DF2 : CDCDCDCD; +6DF3 : CDCDCDCD; +6DF4 : CDCDCDCD; +6DF5 : CDCDCDCD; +6DF6 : CDCDCDCD; +6DF7 : CDCDCDCD; +6DF8 : CDCDCDCD; +6DF9 : CDCDCDCD; +6DFA : CDCDCDCD; +6DFB : CDCDCDCD; +6DFC : CDCDCDCD; +6DFD : CDCDCDCD; +6DFE : CDCDCDCD; +6DFF : CDCDCDCD; +6E00 : CDCDCDCD; +6E01 : CDCDCDCD; +6E02 : CDCDCDCD; +6E03 : CDCDCDCD; +6E04 : CDCDCDCD; +6E05 : CDCDCDCD; +6E06 : CDCDCDCD; +6E07 : CDCDCDCD; +6E08 : CDCDCDCD; +6E09 : CDCDCDCD; +6E0A : CDCDCDCD; +6E0B : CDCDCDCD; +6E0C : CDCDCDCD; +6E0D : CDCDCDCD; +6E0E : CDCDCDCD; +6E0F : CDCDCDCD; +6E10 : CDCDCDCD; +6E11 : CDCDCDCD; +6E12 : CDCDCDCD; +6E13 : CDCDCDCD; +6E14 : CDCDCDCD; +6E15 : CDCDCDCD; +6E16 : CDCDCDCD; +6E17 : CDCDCDCD; +6E18 : CDCDCDCD; +6E19 : CDCDCDCD; +6E1A : CDCDCDCD; +6E1B : CDCDCDCD; +6E1C : CDCDCDCD; +6E1D : CDCDCDCD; +6E1E : CDCDCDCD; +6E1F : CDCDCDCD; +6E20 : CDCDCDCD; +6E21 : CDCDCDCD; +6E22 : CDCDCDCD; +6E23 : CDCDCDCD; +6E24 : CDCDCDCD; +6E25 : CDCDCDCD; +6E26 : CDCDCDCD; +6E27 : CDCDCDCD; +6E28 : CDCDCDCD; +6E29 : CDCDCDCD; +6E2A : CDCDCDCD; +6E2B : CDCDCDCD; +6E2C : CDCDCDCD; +6E2D : CDCDCDCD; +6E2E : CDCDCDCD; +6E2F : CDCDCDCD; +6E30 : CDCDCDCD; +6E31 : CDCDCDCD; +6E32 : CDCDCDCD; +6E33 : CDCDCDCD; +6E34 : CDCDCDCD; +6E35 : CDCDCDCD; +6E36 : CDCDCDCD; +6E37 : CDCDCDCD; +6E38 : CDCDCDCD; +6E39 : CDCDCDCD; +6E3A : CDCDCDCD; +6E3B : CDCDCDCD; +6E3C : CDCDCDCD; +6E3D : CDCDCDCD; +6E3E : CDCDCDCD; +6E3F : CDCDCDCD; +6E40 : CDCDCDCD; +6E41 : CDCDCDCD; +6E42 : CDCDCDCD; +6E43 : CDCDCDCD; +6E44 : CDCDCDCD; +6E45 : CDCDCDCD; +6E46 : CDCDCDCD; +6E47 : CDCDCDCD; +6E48 : CDCDCDCD; +6E49 : CDCDCDCD; +6E4A : CDCDCDCD; +6E4B : CDCDCDCD; +6E4C : CDCDCDCD; +6E4D : CDCDCDCD; +6E4E : CDCDCDCD; +6E4F : CDCDCDCD; +6E50 : CDCDCDCD; +6E51 : CDCDCDCD; +6E52 : CDCDCDCD; +6E53 : CDCDCDCD; +6E54 : CDCDCDCD; +6E55 : CDCDCDCD; +6E56 : CDCDCDCD; +6E57 : CDCDCDCD; +6E58 : CDCDCDCD; +6E59 : CDCDCDCD; +6E5A : CDCDCDCD; +6E5B : CDCDCDCD; +6E5C : CDCDCDCD; +6E5D : CDCDCDCD; +6E5E : CDCDCDCD; +6E5F : CDCDCDCD; +6E60 : CDCDCDCD; +6E61 : CDCDCDCD; +6E62 : CDCDCDCD; +6E63 : CDCDCDCD; +6E64 : CDCDCDCD; +6E65 : CDCDCDCD; +6E66 : CDCDCDCD; +6E67 : CDCDCDCD; +6E68 : CDCDCDCD; +6E69 : CDCDCDCD; +6E6A : CDCDCDCD; +6E6B : CDCDCDCD; +6E6C : CDCDCDCD; +6E6D : CDCDCDCD; +6E6E : CDCDCDCD; +6E6F : CDCDCDCD; +6E70 : CDCDCDCD; +6E71 : CDCDCDCD; +6E72 : CDCDCDCD; +6E73 : CDCDCDCD; +6E74 : CDCDCDCD; +6E75 : CDCDCDCD; +6E76 : CDCDCDCD; +6E77 : CDCDCDCD; +6E78 : CDCDCDCD; +6E79 : CDCDCDCD; +6E7A : CDCDCDCD; +6E7B : CDCDCDCD; +6E7C : CDCDCDCD; +6E7D : CDCDCDCD; +6E7E : CDCDCDCD; +6E7F : CDCDCDCD; +6E80 : CDCDCDCD; +6E81 : CDCDCDCD; +6E82 : CDCDCDCD; +6E83 : CDCDCDCD; +6E84 : CDCDCDCD; +6E85 : CDCDCDCD; +6E86 : CDCDCDCD; +6E87 : CDCDCDCD; +6E88 : CDCDCDCD; +6E89 : CDCDCDCD; +6E8A : CDCDCDCD; +6E8B : CDCDCDCD; +6E8C : CDCDCDCD; +6E8D : CDCDCDCD; +6E8E : CDCDCDCD; +6E8F : CDCDCDCD; +6E90 : CDCDCDCD; +6E91 : CDCDCDCD; +6E92 : CDCDCDCD; +6E93 : CDCDCDCD; +6E94 : CDCDCDCD; +6E95 : CDCDCDCD; +6E96 : CDCDCDCD; +6E97 : CDCDCDCD; +6E98 : CDCDCDCD; +6E99 : CDCDCDCD; +6E9A : CDCDCDCD; +6E9B : CDCDCDCD; +6E9C : CDCDCDCD; +6E9D : CDCDCDCD; +6E9E : CDCDCDCD; +6E9F : CDCDCDCD; +6EA0 : CDCDCDCD; +6EA1 : CDCDCDCD; +6EA2 : CDCDCDCD; +6EA3 : CDCDCDCD; +6EA4 : CDCDCDCD; +6EA5 : CDCDCDCD; +6EA6 : CDCDCDCD; +6EA7 : CDCDCDCD; +6EA8 : CDCDCDCD; +6EA9 : CDCDCDCD; +6EAA : CDCDCDCD; +6EAB : CDCDCDCD; +6EAC : CDCDCDCD; +6EAD : CDCDCDCD; +6EAE : CDCDCDCD; +6EAF : CDCDCDCD; +6EB0 : CDCDCDCD; +6EB1 : CDCDCDCD; +6EB2 : CDCDCDCD; +6EB3 : CDCDCDCD; +6EB4 : CDCDCDCD; +6EB5 : CDCDCDCD; +6EB6 : CDCDCDCD; +6EB7 : CDCDCDCD; +6EB8 : CDCDCDCD; +6EB9 : CDCDCDCD; +6EBA : CDCDCDCD; +6EBB : CDCDCDCD; +6EBC : CDCDCDCD; +6EBD : CDCDCDCD; +6EBE : CDCDCDCD; +6EBF : CDCDCDCD; +6EC0 : CDCDCDCD; +6EC1 : CDCDCDCD; +6EC2 : CDCDCDCD; +6EC3 : CDCDCDCD; +6EC4 : CDCDCDCD; +6EC5 : CDCDCDCD; +6EC6 : CDCDCDCD; +6EC7 : CDCDCDCD; +6EC8 : CDCDCDCD; +6EC9 : CDCDCDCD; +6ECA : CDCDCDCD; +6ECB : CDCDCDCD; +6ECC : CDCDCDCD; +6ECD : CDCDCDCD; +6ECE : CDCDCDCD; +6ECF : CDCDCDCD; +6ED0 : CDCDCDCD; +6ED1 : CDCDCDCD; +6ED2 : CDCDCDCD; +6ED3 : CDCDCDCD; +6ED4 : CDCDCDCD; +6ED5 : CDCDCDCD; +6ED6 : CDCDCDCD; +6ED7 : CDCDCDCD; +6ED8 : CDCDCDCD; +6ED9 : CDCDCDCD; +6EDA : CDCDCDCD; +6EDB : CDCDCDCD; +6EDC : CDCDCDCD; +6EDD : CDCDCDCD; +6EDE : CDCDCDCD; +6EDF : CDCDCDCD; +6EE0 : CDCDCDCD; +6EE1 : CDCDCDCD; +6EE2 : CDCDCDCD; +6EE3 : CDCDCDCD; +6EE4 : CDCDCDCD; +6EE5 : CDCDCDCD; +6EE6 : CDCDCDCD; +6EE7 : CDCDCDCD; +6EE8 : CDCDCDCD; +6EE9 : CDCDCDCD; +6EEA : CDCDCDCD; +6EEB : CDCDCDCD; +6EEC : CDCDCDCD; +6EED : CDCDCDCD; +6EEE : CDCDCDCD; +6EEF : CDCDCDCD; +6EF0 : CDCDCDCD; +6EF1 : CDCDCDCD; +6EF2 : CDCDCDCD; +6EF3 : CDCDCDCD; +6EF4 : CDCDCDCD; +6EF5 : CDCDCDCD; +6EF6 : CDCDCDCD; +6EF7 : CDCDCDCD; +6EF8 : CDCDCDCD; +6EF9 : CDCDCDCD; +6EFA : CDCDCDCD; +6EFB : CDCDCDCD; +6EFC : CDCDCDCD; +6EFD : CDCDCDCD; +6EFE : CDCDCDCD; +6EFF : CDCDCDCD; +6F00 : CDCDCDCD; +6F01 : CDCDCDCD; +6F02 : CDCDCDCD; +6F03 : CDCDCDCD; +6F04 : CDCDCDCD; +6F05 : CDCDCDCD; +6F06 : CDCDCDCD; +6F07 : CDCDCDCD; +6F08 : CDCDCDCD; +6F09 : CDCDCDCD; +6F0A : CDCDCDCD; +6F0B : CDCDCDCD; +6F0C : CDCDCDCD; +6F0D : CDCDCDCD; +6F0E : CDCDCDCD; +6F0F : CDCDCDCD; +6F10 : CDCDCDCD; +6F11 : CDCDCDCD; +6F12 : CDCDCDCD; +6F13 : CDCDCDCD; +6F14 : CDCDCDCD; +6F15 : CDCDCDCD; +6F16 : CDCDCDCD; +6F17 : CDCDCDCD; +6F18 : CDCDCDCD; +6F19 : CDCDCDCD; +6F1A : CDCDCDCD; +6F1B : CDCDCDCD; +6F1C : CDCDCDCD; +6F1D : CDCDCDCD; +6F1E : CDCDCDCD; +6F1F : CDCDCDCD; +6F20 : CDCDCDCD; +6F21 : CDCDCDCD; +6F22 : CDCDCDCD; +6F23 : CDCDCDCD; +6F24 : CDCDCDCD; +6F25 : CDCDCDCD; +6F26 : CDCDCDCD; +6F27 : CDCDCDCD; +6F28 : CDCDCDCD; +6F29 : CDCDCDCD; +6F2A : CDCDCDCD; +6F2B : CDCDCDCD; +6F2C : CDCDCDCD; +6F2D : CDCDCDCD; +6F2E : CDCDCDCD; +6F2F : CDCDCDCD; +6F30 : CDCDCDCD; +6F31 : CDCDCDCD; +6F32 : CDCDCDCD; +6F33 : CDCDCDCD; +6F34 : CDCDCDCD; +6F35 : CDCDCDCD; +6F36 : CDCDCDCD; +6F37 : CDCDCDCD; +6F38 : CDCDCDCD; +6F39 : CDCDCDCD; +6F3A : CDCDCDCD; +6F3B : CDCDCDCD; +6F3C : CDCDCDCD; +6F3D : CDCDCDCD; +6F3E : CDCDCDCD; +6F3F : CDCDCDCD; +6F40 : CDCDCDCD; +6F41 : CDCDCDCD; +6F42 : CDCDCDCD; +6F43 : CDCDCDCD; +6F44 : CDCDCDCD; +6F45 : CDCDCDCD; +6F46 : CDCDCDCD; +6F47 : CDCDCDCD; +6F48 : CDCDCDCD; +6F49 : CDCDCDCD; +6F4A : CDCDCDCD; +6F4B : CDCDCDCD; +6F4C : CDCDCDCD; +6F4D : CDCDCDCD; +6F4E : CDCDCDCD; +6F4F : CDCDCDCD; +6F50 : CDCDCDCD; +6F51 : CDCDCDCD; +6F52 : CDCDCDCD; +6F53 : CDCDCDCD; +6F54 : CDCDCDCD; +6F55 : CDCDCDCD; +6F56 : CDCDCDCD; +6F57 : CDCDCDCD; +6F58 : CDCDCDCD; +6F59 : CDCDCDCD; +6F5A : CDCDCDCD; +6F5B : CDCDCDCD; +6F5C : CDCDCDCD; +6F5D : CDCDCDCD; +6F5E : CDCDCDCD; +6F5F : CDCDCDCD; +6F60 : CDCDCDCD; +6F61 : CDCDCDCD; +6F62 : CDCDCDCD; +6F63 : CDCDCDCD; +6F64 : CDCDCDCD; +6F65 : CDCDCDCD; +6F66 : CDCDCDCD; +6F67 : CDCDCDCD; +6F68 : CDCDCDCD; +6F69 : CDCDCDCD; +6F6A : CDCDCDCD; +6F6B : CDCDCDCD; +6F6C : CDCDCDCD; +6F6D : CDCDCDCD; +6F6E : CDCDCDCD; +6F6F : CDCDCDCD; +6F70 : CDCDCDCD; +6F71 : CDCDCDCD; +6F72 : CDCDCDCD; +6F73 : CDCDCDCD; +6F74 : CDCDCDCD; +6F75 : CDCDCDCD; +6F76 : CDCDCDCD; +6F77 : CDCDCDCD; +6F78 : CDCDCDCD; +6F79 : CDCDCDCD; +6F7A : CDCDCDCD; +6F7B : CDCDCDCD; +6F7C : CDCDCDCD; +6F7D : CDCDCDCD; +6F7E : CDCDCDCD; +6F7F : CDCDCDCD; +6F80 : CDCDCDCD; +6F81 : CDCDCDCD; +6F82 : CDCDCDCD; +6F83 : CDCDCDCD; +6F84 : CDCDCDCD; +6F85 : CDCDCDCD; +6F86 : CDCDCDCD; +6F87 : CDCDCDCD; +6F88 : CDCDCDCD; +6F89 : CDCDCDCD; +6F8A : CDCDCDCD; +6F8B : CDCDCDCD; +6F8C : CDCDCDCD; +6F8D : CDCDCDCD; +6F8E : CDCDCDCD; +6F8F : CDCDCDCD; +6F90 : CDCDCDCD; +6F91 : CDCDCDCD; +6F92 : CDCDCDCD; +6F93 : CDCDCDCD; +6F94 : CDCDCDCD; +6F95 : CDCDCDCD; +6F96 : CDCDCDCD; +6F97 : CDCDCDCD; +6F98 : CDCDCDCD; +6F99 : CDCDCDCD; +6F9A : CDCDCDCD; +6F9B : CDCDCDCD; +6F9C : CDCDCDCD; +6F9D : CDCDCDCD; +6F9E : CDCDCDCD; +6F9F : CDCDCDCD; +6FA0 : CDCDCDCD; +6FA1 : CDCDCDCD; +6FA2 : CDCDCDCD; +6FA3 : CDCDCDCD; +6FA4 : CDCDCDCD; +6FA5 : CDCDCDCD; +6FA6 : CDCDCDCD; +6FA7 : CDCDCDCD; +6FA8 : CDCDCDCD; +6FA9 : CDCDCDCD; +6FAA : CDCDCDCD; +6FAB : CDCDCDCD; +6FAC : CDCDCDCD; +6FAD : CDCDCDCD; +6FAE : CDCDCDCD; +6FAF : CDCDCDCD; +6FB0 : CDCDCDCD; +6FB1 : CDCDCDCD; +6FB2 : CDCDCDCD; +6FB3 : CDCDCDCD; +6FB4 : CDCDCDCD; +6FB5 : CDCDCDCD; +6FB6 : CDCDCDCD; +6FB7 : CDCDCDCD; +6FB8 : CDCDCDCD; +6FB9 : CDCDCDCD; +6FBA : CDCDCDCD; +6FBB : CDCDCDCD; +6FBC : CDCDCDCD; +6FBD : CDCDCDCD; +6FBE : CDCDCDCD; +6FBF : CDCDCDCD; +6FC0 : CDCDCDCD; +6FC1 : CDCDCDCD; +6FC2 : CDCDCDCD; +6FC3 : CDCDCDCD; +6FC4 : CDCDCDCD; +6FC5 : CDCDCDCD; +6FC6 : CDCDCDCD; +6FC7 : CDCDCDCD; +6FC8 : CDCDCDCD; +6FC9 : CDCDCDCD; +6FCA : CDCDCDCD; +6FCB : CDCDCDCD; +6FCC : CDCDCDCD; +6FCD : CDCDCDCD; +6FCE : CDCDCDCD; +6FCF : CDCDCDCD; +6FD0 : CDCDCDCD; +6FD1 : CDCDCDCD; +6FD2 : CDCDCDCD; +6FD3 : CDCDCDCD; +6FD4 : CDCDCDCD; +6FD5 : CDCDCDCD; +6FD6 : CDCDCDCD; +6FD7 : CDCDCDCD; +6FD8 : CDCDCDCD; +6FD9 : CDCDCDCD; +6FDA : CDCDCDCD; +6FDB : CDCDCDCD; +6FDC : CDCDCDCD; +6FDD : CDCDCDCD; +6FDE : CDCDCDCD; +6FDF : CDCDCDCD; +6FE0 : CDCDCDCD; +6FE1 : CDCDCDCD; +6FE2 : CDCDCDCD; +6FE3 : CDCDCDCD; +6FE4 : CDCDCDCD; +6FE5 : CDCDCDCD; +6FE6 : CDCDCDCD; +6FE7 : CDCDCDCD; +6FE8 : CDCDCDCD; +6FE9 : CDCDCDCD; +6FEA : CDCDCDCD; +6FEB : CDCDCDCD; +6FEC : CDCDCDCD; +6FED : CDCDCDCD; +6FEE : CDCDCDCD; +6FEF : CDCDCDCD; +6FF0 : CDCDCDCD; +6FF1 : CDCDCDCD; +6FF2 : CDCDCDCD; +6FF3 : CDCDCDCD; +6FF4 : CDCDCDCD; +6FF5 : CDCDCDCD; +6FF6 : CDCDCDCD; +6FF7 : CDCDCDCD; +6FF8 : CDCDCDCD; +6FF9 : CDCDCDCD; +6FFA : CDCDCDCD; +6FFB : CDCDCDCD; +6FFC : CDCDCDCD; +6FFD : CDCDCDCD; +6FFE : CDCDCDCD; +6FFF : CDCDCDCD; +7000 : CDCDCDCD; +7001 : CDCDCDCD; +7002 : CDCDCDCD; +7003 : CDCDCDCD; +7004 : CDCDCDCD; +7005 : CDCDCDCD; +7006 : CDCDCDCD; +7007 : CDCDCDCD; +7008 : CDCDCDCD; +7009 : CDCDCDCD; +700A : CDCDCDCD; +700B : CDCDCDCD; +700C : CDCDCDCD; +700D : CDCDCDCD; +700E : CDCDCDCD; +700F : CDCDCDCD; +7010 : CDCDCDCD; +7011 : CDCDCDCD; +7012 : CDCDCDCD; +7013 : CDCDCDCD; +7014 : CDCDCDCD; +7015 : CDCDCDCD; +7016 : CDCDCDCD; +7017 : CDCDCDCD; +7018 : CDCDCDCD; +7019 : CDCDCDCD; +701A : CDCDCDCD; +701B : CDCDCDCD; +701C : CDCDCDCD; +701D : CDCDCDCD; +701E : CDCDCDCD; +701F : CDCDCDCD; +7020 : CDCDCDCD; +7021 : CDCDCDCD; +7022 : CDCDCDCD; +7023 : CDCDCDCD; +7024 : CDCDCDCD; +7025 : CDCDCDCD; +7026 : CDCDCDCD; +7027 : CDCDCDCD; +7028 : CDCDCDCD; +7029 : CDCDCDCD; +702A : CDCDCDCD; +702B : CDCDCDCD; +702C : CDCDCDCD; +702D : CDCDCDCD; +702E : CDCDCDCD; +702F : CDCDCDCD; +7030 : CDCDCDCD; +7031 : CDCDCDCD; +7032 : CDCDCDCD; +7033 : CDCDCDCD; +7034 : CDCDCDCD; +7035 : CDCDCDCD; +7036 : CDCDCDCD; +7037 : CDCDCDCD; +7038 : CDCDCDCD; +7039 : CDCDCDCD; +703A : CDCDCDCD; +703B : CDCDCDCD; +703C : CDCDCDCD; +703D : CDCDCDCD; +703E : CDCDCDCD; +703F : CDCDCDCD; +7040 : CDCDCDCD; +7041 : CDCDCDCD; +7042 : CDCDCDCD; +7043 : CDCDCDCD; +7044 : CDCDCDCD; +7045 : CDCDCDCD; +7046 : CDCDCDCD; +7047 : CDCDCDCD; +7048 : CDCDCDCD; +7049 : CDCDCDCD; +704A : CDCDCDCD; +704B : CDCDCDCD; +704C : CDCDCDCD; +704D : CDCDCDCD; +704E : CDCDCDCD; +704F : CDCDCDCD; +7050 : CDCDCDCD; +7051 : CDCDCDCD; +7052 : CDCDCDCD; +7053 : CDCDCDCD; +7054 : CDCDCDCD; +7055 : CDCDCDCD; +7056 : CDCDCDCD; +7057 : CDCDCDCD; +7058 : CDCDCDCD; +7059 : CDCDCDCD; +705A : CDCDCDCD; +705B : CDCDCDCD; +705C : CDCDCDCD; +705D : CDCDCDCD; +705E : CDCDCDCD; +705F : CDCDCDCD; +7060 : CDCDCDCD; +7061 : CDCDCDCD; +7062 : CDCDCDCD; +7063 : CDCDCDCD; +7064 : CDCDCDCD; +7065 : CDCDCDCD; +7066 : CDCDCDCD; +7067 : CDCDCDCD; +7068 : CDCDCDCD; +7069 : CDCDCDCD; +706A : CDCDCDCD; +706B : CDCDCDCD; +706C : CDCDCDCD; +706D : CDCDCDCD; +706E : CDCDCDCD; +706F : CDCDCDCD; +7070 : CDCDCDCD; +7071 : CDCDCDCD; +7072 : CDCDCDCD; +7073 : CDCDCDCD; +7074 : CDCDCDCD; +7075 : CDCDCDCD; +7076 : CDCDCDCD; +7077 : CDCDCDCD; +7078 : CDCDCDCD; +7079 : CDCDCDCD; +707A : CDCDCDCD; +707B : CDCDCDCD; +707C : CDCDCDCD; +707D : CDCDCDCD; +707E : CDCDCDCD; +707F : CDCDCDCD; +7080 : CDCDCDCD; +7081 : CDCDCDCD; +7082 : CDCDCDCD; +7083 : CDCDCDCD; +7084 : CDCDCDCD; +7085 : CDCDCDCD; +7086 : CDCDCDCD; +7087 : CDCDCDCD; +7088 : CDCDCDCD; +7089 : CDCDCDCD; +708A : CDCDCDCD; +708B : CDCDCDCD; +708C : CDCDCDCD; +708D : CDCDCDCD; +708E : CDCDCDCD; +708F : CDCDCDCD; +7090 : CDCDCDCD; +7091 : CDCDCDCD; +7092 : CDCDCDCD; +7093 : CDCDCDCD; +7094 : CDCDCDCD; +7095 : CDCDCDCD; +7096 : CDCDCDCD; +7097 : CDCDCDCD; +7098 : CDCDCDCD; +7099 : CDCDCDCD; +709A : CDCDCDCD; +709B : CDCDCDCD; +709C : CDCDCDCD; +709D : CDCDCDCD; +709E : CDCDCDCD; +709F : CDCDCDCD; +70A0 : CDCDCDCD; +70A1 : CDCDCDCD; +70A2 : CDCDCDCD; +70A3 : CDCDCDCD; +70A4 : CDCDCDCD; +70A5 : CDCDCDCD; +70A6 : CDCDCDCD; +70A7 : CDCDCDCD; +70A8 : CDCDCDCD; +70A9 : CDCDCDCD; +70AA : CDCDCDCD; +70AB : CDCDCDCD; +70AC : CDCDCDCD; +70AD : CDCDCDCD; +70AE : CDCDCDCD; +70AF : CDCDCDCD; +70B0 : CDCDCDCD; +70B1 : CDCDCDCD; +70B2 : CDCDCDCD; +70B3 : CDCDCDCD; +70B4 : CDCDCDCD; +70B5 : CDCDCDCD; +70B6 : CDCDCDCD; +70B7 : CDCDCDCD; +70B8 : CDCDCDCD; +70B9 : CDCDCDCD; +70BA : CDCDCDCD; +70BB : CDCDCDCD; +70BC : CDCDCDCD; +70BD : CDCDCDCD; +70BE : CDCDCDCD; +70BF : CDCDCDCD; +70C0 : CDCDCDCD; +70C1 : CDCDCDCD; +70C2 : CDCDCDCD; +70C3 : CDCDCDCD; +70C4 : CDCDCDCD; +70C5 : CDCDCDCD; +70C6 : CDCDCDCD; +70C7 : CDCDCDCD; +70C8 : CDCDCDCD; +70C9 : CDCDCDCD; +70CA : CDCDCDCD; +70CB : CDCDCDCD; +70CC : CDCDCDCD; +70CD : CDCDCDCD; +70CE : CDCDCDCD; +70CF : CDCDCDCD; +70D0 : CDCDCDCD; +70D1 : CDCDCDCD; +70D2 : CDCDCDCD; +70D3 : CDCDCDCD; +70D4 : CDCDCDCD; +70D5 : CDCDCDCD; +70D6 : CDCDCDCD; +70D7 : CDCDCDCD; +70D8 : CDCDCDCD; +70D9 : CDCDCDCD; +70DA : CDCDCDCD; +70DB : CDCDCDCD; +70DC : CDCDCDCD; +70DD : CDCDCDCD; +70DE : CDCDCDCD; +70DF : CDCDCDCD; +70E0 : CDCDCDCD; +70E1 : CDCDCDCD; +70E2 : CDCDCDCD; +70E3 : CDCDCDCD; +70E4 : CDCDCDCD; +70E5 : CDCDCDCD; +70E6 : CDCDCDCD; +70E7 : CDCDCDCD; +70E8 : CDCDCDCD; +70E9 : CDCDCDCD; +70EA : CDCDCDCD; +70EB : CDCDCDCD; +70EC : CDCDCDCD; +70ED : CDCDCDCD; +70EE : CDCDCDCD; +70EF : CDCDCDCD; +70F0 : CDCDCDCD; +70F1 : CDCDCDCD; +70F2 : CDCDCDCD; +70F3 : CDCDCDCD; +70F4 : CDCDCDCD; +70F5 : CDCDCDCD; +70F6 : CDCDCDCD; +70F7 : CDCDCDCD; +70F8 : CDCDCDCD; +70F9 : CDCDCDCD; +70FA : CDCDCDCD; +70FB : CDCDCDCD; +70FC : CDCDCDCD; +70FD : CDCDCDCD; +70FE : CDCDCDCD; +70FF : CDCDCDCD; +7100 : CDCDCDCD; +7101 : CDCDCDCD; +7102 : CDCDCDCD; +7103 : CDCDCDCD; +7104 : CDCDCDCD; +7105 : CDCDCDCD; +7106 : CDCDCDCD; +7107 : CDCDCDCD; +7108 : CDCDCDCD; +7109 : CDCDCDCD; +710A : CDCDCDCD; +710B : CDCDCDCD; +710C : CDCDCDCD; +710D : CDCDCDCD; +710E : CDCDCDCD; +710F : CDCDCDCD; +7110 : CDCDCDCD; +7111 : CDCDCDCD; +7112 : CDCDCDCD; +7113 : CDCDCDCD; +7114 : CDCDCDCD; +7115 : CDCDCDCD; +7116 : CDCDCDCD; +7117 : CDCDCDCD; +7118 : CDCDCDCD; +7119 : CDCDCDCD; +711A : CDCDCDCD; +711B : CDCDCDCD; +711C : CDCDCDCD; +711D : CDCDCDCD; +711E : CDCDCDCD; +711F : CDCDCDCD; +7120 : CDCDCDCD; +7121 : CDCDCDCD; +7122 : CDCDCDCD; +7123 : CDCDCDCD; +7124 : CDCDCDCD; +7125 : CDCDCDCD; +7126 : CDCDCDCD; +7127 : CDCDCDCD; +7128 : CDCDCDCD; +7129 : CDCDCDCD; +712A : CDCDCDCD; +712B : CDCDCDCD; +712C : CDCDCDCD; +712D : CDCDCDCD; +712E : CDCDCDCD; +712F : CDCDCDCD; +7130 : CDCDCDCD; +7131 : CDCDCDCD; +7132 : CDCDCDCD; +7133 : CDCDCDCD; +7134 : CDCDCDCD; +7135 : CDCDCDCD; +7136 : CDCDCDCD; +7137 : CDCDCDCD; +7138 : CDCDCDCD; +7139 : CDCDCDCD; +713A : CDCDCDCD; +713B : CDCDCDCD; +713C : CDCDCDCD; +713D : CDCDCDCD; +713E : CDCDCDCD; +713F : CDCDCDCD; +7140 : CDCDCDCD; +7141 : CDCDCDCD; +7142 : CDCDCDCD; +7143 : CDCDCDCD; +7144 : CDCDCDCD; +7145 : CDCDCDCD; +7146 : CDCDCDCD; +7147 : CDCDCDCD; +7148 : CDCDCDCD; +7149 : CDCDCDCD; +714A : CDCDCDCD; +714B : CDCDCDCD; +714C : CDCDCDCD; +714D : CDCDCDCD; +714E : CDCDCDCD; +714F : CDCDCDCD; +7150 : CDCDCDCD; +7151 : CDCDCDCD; +7152 : CDCDCDCD; +7153 : CDCDCDCD; +7154 : CDCDCDCD; +7155 : CDCDCDCD; +7156 : CDCDCDCD; +7157 : CDCDCDCD; +7158 : CDCDCDCD; +7159 : CDCDCDCD; +715A : CDCDCDCD; +715B : CDCDCDCD; +715C : CDCDCDCD; +715D : CDCDCDCD; +715E : CDCDCDCD; +715F : CDCDCDCD; +7160 : CDCDCDCD; +7161 : CDCDCDCD; +7162 : CDCDCDCD; +7163 : CDCDCDCD; +7164 : CDCDCDCD; +7165 : CDCDCDCD; +7166 : CDCDCDCD; +7167 : CDCDCDCD; +7168 : CDCDCDCD; +7169 : CDCDCDCD; +716A : CDCDCDCD; +716B : CDCDCDCD; +716C : CDCDCDCD; +716D : CDCDCDCD; +716E : CDCDCDCD; +716F : CDCDCDCD; +7170 : CDCDCDCD; +7171 : CDCDCDCD; +7172 : CDCDCDCD; +7173 : CDCDCDCD; +7174 : CDCDCDCD; +7175 : CDCDCDCD; +7176 : CDCDCDCD; +7177 : CDCDCDCD; +7178 : CDCDCDCD; +7179 : CDCDCDCD; +717A : CDCDCDCD; +717B : CDCDCDCD; +717C : CDCDCDCD; +717D : CDCDCDCD; +717E : CDCDCDCD; +717F : CDCDCDCD; +7180 : CDCDCDCD; +7181 : CDCDCDCD; +7182 : CDCDCDCD; +7183 : CDCDCDCD; +7184 : CDCDCDCD; +7185 : CDCDCDCD; +7186 : CDCDCDCD; +7187 : CDCDCDCD; +7188 : CDCDCDCD; +7189 : CDCDCDCD; +718A : CDCDCDCD; +718B : CDCDCDCD; +718C : CDCDCDCD; +718D : CDCDCDCD; +718E : CDCDCDCD; +718F : CDCDCDCD; +7190 : CDCDCDCD; +7191 : CDCDCDCD; +7192 : CDCDCDCD; +7193 : CDCDCDCD; +7194 : CDCDCDCD; +7195 : CDCDCDCD; +7196 : CDCDCDCD; +7197 : CDCDCDCD; +7198 : CDCDCDCD; +7199 : CDCDCDCD; +719A : CDCDCDCD; +719B : CDCDCDCD; +719C : CDCDCDCD; +719D : CDCDCDCD; +719E : CDCDCDCD; +719F : CDCDCDCD; +71A0 : CDCDCDCD; +71A1 : CDCDCDCD; +71A2 : CDCDCDCD; +71A3 : CDCDCDCD; +71A4 : CDCDCDCD; +71A5 : CDCDCDCD; +71A6 : CDCDCDCD; +71A7 : CDCDCDCD; +71A8 : CDCDCDCD; +71A9 : CDCDCDCD; +71AA : CDCDCDCD; +71AB : CDCDCDCD; +71AC : CDCDCDCD; +71AD : CDCDCDCD; +71AE : CDCDCDCD; +71AF : CDCDCDCD; +71B0 : CDCDCDCD; +71B1 : CDCDCDCD; +71B2 : CDCDCDCD; +71B3 : CDCDCDCD; +71B4 : CDCDCDCD; +71B5 : CDCDCDCD; +71B6 : CDCDCDCD; +71B7 : CDCDCDCD; +71B8 : CDCDCDCD; +71B9 : CDCDCDCD; +71BA : CDCDCDCD; +71BB : CDCDCDCD; +71BC : CDCDCDCD; +71BD : CDCDCDCD; +71BE : CDCDCDCD; +71BF : CDCDCDCD; +71C0 : CDCDCDCD; +71C1 : CDCDCDCD; +71C2 : CDCDCDCD; +71C3 : CDCDCDCD; +71C4 : CDCDCDCD; +71C5 : CDCDCDCD; +71C6 : CDCDCDCD; +71C7 : CDCDCDCD; +71C8 : CDCDCDCD; +71C9 : CDCDCDCD; +71CA : CDCDCDCD; +71CB : CDCDCDCD; +71CC : CDCDCDCD; +71CD : CDCDCDCD; +71CE : CDCDCDCD; +71CF : CDCDCDCD; +71D0 : CDCDCDCD; +71D1 : CDCDCDCD; +71D2 : CDCDCDCD; +71D3 : CDCDCDCD; +71D4 : CDCDCDCD; +71D5 : CDCDCDCD; +71D6 : CDCDCDCD; +71D7 : CDCDCDCD; +71D8 : CDCDCDCD; +71D9 : CDCDCDCD; +71DA : CDCDCDCD; +71DB : CDCDCDCD; +71DC : CDCDCDCD; +71DD : CDCDCDCD; +71DE : CDCDCDCD; +71DF : CDCDCDCD; +71E0 : CDCDCDCD; +71E1 : CDCDCDCD; +71E2 : CDCDCDCD; +71E3 : CDCDCDCD; +71E4 : CDCDCDCD; +71E5 : CDCDCDCD; +71E6 : CDCDCDCD; +71E7 : CDCDCDCD; +71E8 : CDCDCDCD; +71E9 : CDCDCDCD; +71EA : CDCDCDCD; +71EB : CDCDCDCD; +71EC : CDCDCDCD; +71ED : CDCDCDCD; +71EE : CDCDCDCD; +71EF : CDCDCDCD; +71F0 : CDCDCDCD; +71F1 : CDCDCDCD; +71F2 : CDCDCDCD; +71F3 : CDCDCDCD; +71F4 : CDCDCDCD; +71F5 : CDCDCDCD; +71F6 : CDCDCDCD; +71F7 : CDCDCDCD; +71F8 : CDCDCDCD; +71F9 : CDCDCDCD; +71FA : CDCDCDCD; +71FB : CDCDCDCD; +71FC : CDCDCDCD; +71FD : CDCDCDCD; +71FE : CDCDCDCD; +71FF : CDCDCDCD; +7200 : CDCDCDCD; +7201 : CDCDCDCD; +7202 : CDCDCDCD; +7203 : CDCDCDCD; +7204 : CDCDCDCD; +7205 : CDCDCDCD; +7206 : CDCDCDCD; +7207 : CDCDCDCD; +7208 : CDCDCDCD; +7209 : CDCDCDCD; +720A : CDCDCDCD; +720B : CDCDCDCD; +720C : CDCDCDCD; +720D : CDCDCDCD; +720E : CDCDCDCD; +720F : CDCDCDCD; +7210 : CDCDCDCD; +7211 : CDCDCDCD; +7212 : CDCDCDCD; +7213 : CDCDCDCD; +7214 : CDCDCDCD; +7215 : CDCDCDCD; +7216 : CDCDCDCD; +7217 : CDCDCDCD; +7218 : CDCDCDCD; +7219 : CDCDCDCD; +721A : CDCDCDCD; +721B : CDCDCDCD; +721C : CDCDCDCD; +721D : CDCDCDCD; +721E : CDCDCDCD; +721F : CDCDCDCD; +7220 : CDCDCDCD; +7221 : CDCDCDCD; +7222 : CDCDCDCD; +7223 : CDCDCDCD; +7224 : CDCDCDCD; +7225 : CDCDCDCD; +7226 : CDCDCDCD; +7227 : CDCDCDCD; +7228 : CDCDCDCD; +7229 : CDCDCDCD; +722A : CDCDCDCD; +722B : CDCDCDCD; +722C : CDCDCDCD; +722D : CDCDCDCD; +722E : CDCDCDCD; +722F : CDCDCDCD; +7230 : CDCDCDCD; +7231 : CDCDCDCD; +7232 : CDCDCDCD; +7233 : CDCDCDCD; +7234 : CDCDCDCD; +7235 : CDCDCDCD; +7236 : CDCDCDCD; +7237 : CDCDCDCD; +7238 : CDCDCDCD; +7239 : CDCDCDCD; +723A : CDCDCDCD; +723B : CDCDCDCD; +723C : CDCDCDCD; +723D : CDCDCDCD; +723E : CDCDCDCD; +723F : CDCDCDCD; +7240 : CDCDCDCD; +7241 : CDCDCDCD; +7242 : CDCDCDCD; +7243 : CDCDCDCD; +7244 : CDCDCDCD; +7245 : CDCDCDCD; +7246 : CDCDCDCD; +7247 : CDCDCDCD; +7248 : CDCDCDCD; +7249 : CDCDCDCD; +724A : CDCDCDCD; +724B : CDCDCDCD; +724C : CDCDCDCD; +724D : CDCDCDCD; +724E : CDCDCDCD; +724F : CDCDCDCD; +7250 : CDCDCDCD; +7251 : CDCDCDCD; +7252 : CDCDCDCD; +7253 : CDCDCDCD; +7254 : CDCDCDCD; +7255 : CDCDCDCD; +7256 : CDCDCDCD; +7257 : CDCDCDCD; +7258 : CDCDCDCD; +7259 : CDCDCDCD; +725A : CDCDCDCD; +725B : CDCDCDCD; +725C : CDCDCDCD; +725D : CDCDCDCD; +725E : CDCDCDCD; +725F : CDCDCDCD; +7260 : CDCDCDCD; +7261 : CDCDCDCD; +7262 : CDCDCDCD; +7263 : CDCDCDCD; +7264 : CDCDCDCD; +7265 : CDCDCDCD; +7266 : CDCDCDCD; +7267 : CDCDCDCD; +7268 : CDCDCDCD; +7269 : CDCDCDCD; +726A : CDCDCDCD; +726B : CDCDCDCD; +726C : CDCDCDCD; +726D : CDCDCDCD; +726E : CDCDCDCD; +726F : CDCDCDCD; +7270 : CDCDCDCD; +7271 : CDCDCDCD; +7272 : CDCDCDCD; +7273 : CDCDCDCD; +7274 : CDCDCDCD; +7275 : CDCDCDCD; +7276 : CDCDCDCD; +7277 : CDCDCDCD; +7278 : CDCDCDCD; +7279 : CDCDCDCD; +727A : CDCDCDCD; +727B : CDCDCDCD; +727C : CDCDCDCD; +727D : CDCDCDCD; +727E : CDCDCDCD; +727F : CDCDCDCD; +7280 : CDCDCDCD; +7281 : CDCDCDCD; +7282 : CDCDCDCD; +7283 : CDCDCDCD; +7284 : CDCDCDCD; +7285 : CDCDCDCD; +7286 : CDCDCDCD; +7287 : CDCDCDCD; +7288 : CDCDCDCD; +7289 : CDCDCDCD; +728A : CDCDCDCD; +728B : CDCDCDCD; +728C : CDCDCDCD; +728D : CDCDCDCD; +728E : CDCDCDCD; +728F : CDCDCDCD; +7290 : CDCDCDCD; +7291 : CDCDCDCD; +7292 : CDCDCDCD; +7293 : CDCDCDCD; +7294 : CDCDCDCD; +7295 : CDCDCDCD; +7296 : CDCDCDCD; +7297 : CDCDCDCD; +7298 : CDCDCDCD; +7299 : CDCDCDCD; +729A : CDCDCDCD; +729B : CDCDCDCD; +729C : CDCDCDCD; +729D : CDCDCDCD; +729E : CDCDCDCD; +729F : CDCDCDCD; +72A0 : CDCDCDCD; +72A1 : CDCDCDCD; +72A2 : CDCDCDCD; +72A3 : CDCDCDCD; +72A4 : CDCDCDCD; +72A5 : CDCDCDCD; +72A6 : CDCDCDCD; +72A7 : CDCDCDCD; +72A8 : CDCDCDCD; +72A9 : CDCDCDCD; +72AA : CDCDCDCD; +72AB : CDCDCDCD; +72AC : CDCDCDCD; +72AD : CDCDCDCD; +72AE : CDCDCDCD; +72AF : CDCDCDCD; +72B0 : CDCDCDCD; +72B1 : CDCDCDCD; +72B2 : CDCDCDCD; +72B3 : CDCDCDCD; +72B4 : CDCDCDCD; +72B5 : CDCDCDCD; +72B6 : CDCDCDCD; +72B7 : CDCDCDCD; +72B8 : CDCDCDCD; +72B9 : CDCDCDCD; +72BA : CDCDCDCD; +72BB : CDCDCDCD; +72BC : CDCDCDCD; +72BD : CDCDCDCD; +72BE : CDCDCDCD; +72BF : CDCDCDCD; +72C0 : CDCDCDCD; +72C1 : CDCDCDCD; +72C2 : CDCDCDCD; +72C3 : CDCDCDCD; +72C4 : CDCDCDCD; +72C5 : CDCDCDCD; +72C6 : CDCDCDCD; +72C7 : CDCDCDCD; +72C8 : CDCDCDCD; +72C9 : CDCDCDCD; +72CA : CDCDCDCD; +72CB : CDCDCDCD; +72CC : CDCDCDCD; +72CD : CDCDCDCD; +72CE : CDCDCDCD; +72CF : CDCDCDCD; +72D0 : CDCDCDCD; +72D1 : CDCDCDCD; +72D2 : CDCDCDCD; +72D3 : CDCDCDCD; +72D4 : CDCDCDCD; +72D5 : CDCDCDCD; +72D6 : CDCDCDCD; +72D7 : CDCDCDCD; +72D8 : CDCDCDCD; +72D9 : CDCDCDCD; +72DA : CDCDCDCD; +72DB : CDCDCDCD; +72DC : CDCDCDCD; +72DD : CDCDCDCD; +72DE : CDCDCDCD; +72DF : CDCDCDCD; +72E0 : CDCDCDCD; +72E1 : CDCDCDCD; +72E2 : CDCDCDCD; +72E3 : CDCDCDCD; +72E4 : CDCDCDCD; +72E5 : CDCDCDCD; +72E6 : CDCDCDCD; +72E7 : CDCDCDCD; +72E8 : CDCDCDCD; +72E9 : CDCDCDCD; +72EA : CDCDCDCD; +72EB : CDCDCDCD; +72EC : CDCDCDCD; +72ED : CDCDCDCD; +72EE : CDCDCDCD; +72EF : CDCDCDCD; +72F0 : CDCDCDCD; +72F1 : CDCDCDCD; +72F2 : CDCDCDCD; +72F3 : CDCDCDCD; +72F4 : CDCDCDCD; +72F5 : CDCDCDCD; +72F6 : CDCDCDCD; +72F7 : CDCDCDCD; +72F8 : CDCDCDCD; +72F9 : CDCDCDCD; +72FA : CDCDCDCD; +72FB : CDCDCDCD; +72FC : CDCDCDCD; +72FD : CDCDCDCD; +72FE : CDCDCDCD; +72FF : CDCDCDCD; +7300 : CDCDCDCD; +7301 : CDCDCDCD; +7302 : CDCDCDCD; +7303 : CDCDCDCD; +7304 : CDCDCDCD; +7305 : CDCDCDCD; +7306 : CDCDCDCD; +7307 : CDCDCDCD; +7308 : CDCDCDCD; +7309 : CDCDCDCD; +730A : CDCDCDCD; +730B : CDCDCDCD; +730C : CDCDCDCD; +730D : CDCDCDCD; +730E : CDCDCDCD; +730F : CDCDCDCD; +7310 : CDCDCDCD; +7311 : CDCDCDCD; +7312 : CDCDCDCD; +7313 : CDCDCDCD; +7314 : CDCDCDCD; +7315 : CDCDCDCD; +7316 : CDCDCDCD; +7317 : CDCDCDCD; +7318 : CDCDCDCD; +7319 : CDCDCDCD; +731A : CDCDCDCD; +731B : CDCDCDCD; +731C : CDCDCDCD; +731D : CDCDCDCD; +731E : CDCDCDCD; +731F : CDCDCDCD; +7320 : CDCDCDCD; +7321 : CDCDCDCD; +7322 : CDCDCDCD; +7323 : CDCDCDCD; +7324 : CDCDCDCD; +7325 : CDCDCDCD; +7326 : CDCDCDCD; +7327 : CDCDCDCD; +7328 : CDCDCDCD; +7329 : CDCDCDCD; +732A : CDCDCDCD; +732B : CDCDCDCD; +732C : CDCDCDCD; +732D : CDCDCDCD; +732E : CDCDCDCD; +732F : CDCDCDCD; +7330 : CDCDCDCD; +7331 : CDCDCDCD; +7332 : CDCDCDCD; +7333 : CDCDCDCD; +7334 : CDCDCDCD; +7335 : CDCDCDCD; +7336 : CDCDCDCD; +7337 : CDCDCDCD; +7338 : CDCDCDCD; +7339 : CDCDCDCD; +733A : CDCDCDCD; +733B : CDCDCDCD; +733C : CDCDCDCD; +733D : CDCDCDCD; +733E : CDCDCDCD; +733F : CDCDCDCD; +7340 : CDCDCDCD; +7341 : CDCDCDCD; +7342 : CDCDCDCD; +7343 : CDCDCDCD; +7344 : CDCDCDCD; +7345 : CDCDCDCD; +7346 : CDCDCDCD; +7347 : CDCDCDCD; +7348 : CDCDCDCD; +7349 : CDCDCDCD; +734A : CDCDCDCD; +734B : CDCDCDCD; +734C : CDCDCDCD; +734D : CDCDCDCD; +734E : CDCDCDCD; +734F : CDCDCDCD; +7350 : CDCDCDCD; +7351 : CDCDCDCD; +7352 : CDCDCDCD; +7353 : CDCDCDCD; +7354 : CDCDCDCD; +7355 : CDCDCDCD; +7356 : CDCDCDCD; +7357 : CDCDCDCD; +7358 : CDCDCDCD; +7359 : CDCDCDCD; +735A : CDCDCDCD; +735B : CDCDCDCD; +735C : CDCDCDCD; +735D : CDCDCDCD; +735E : CDCDCDCD; +735F : CDCDCDCD; +7360 : CDCDCDCD; +7361 : CDCDCDCD; +7362 : CDCDCDCD; +7363 : CDCDCDCD; +7364 : CDCDCDCD; +7365 : CDCDCDCD; +7366 : CDCDCDCD; +7367 : CDCDCDCD; +7368 : CDCDCDCD; +7369 : CDCDCDCD; +736A : CDCDCDCD; +736B : CDCDCDCD; +736C : CDCDCDCD; +736D : CDCDCDCD; +736E : CDCDCDCD; +736F : CDCDCDCD; +7370 : CDCDCDCD; +7371 : CDCDCDCD; +7372 : CDCDCDCD; +7373 : CDCDCDCD; +7374 : CDCDCDCD; +7375 : CDCDCDCD; +7376 : CDCDCDCD; +7377 : CDCDCDCD; +7378 : CDCDCDCD; +7379 : CDCDCDCD; +737A : CDCDCDCD; +737B : CDCDCDCD; +737C : CDCDCDCD; +737D : CDCDCDCD; +737E : CDCDCDCD; +737F : CDCDCDCD; +7380 : CDCDCDCD; +7381 : CDCDCDCD; +7382 : CDCDCDCD; +7383 : CDCDCDCD; +7384 : CDCDCDCD; +7385 : CDCDCDCD; +7386 : CDCDCDCD; +7387 : CDCDCDCD; +7388 : CDCDCDCD; +7389 : CDCDCDCD; +738A : CDCDCDCD; +738B : CDCDCDCD; +738C : CDCDCDCD; +738D : CDCDCDCD; +738E : CDCDCDCD; +738F : CDCDCDCD; +7390 : CDCDCDCD; +7391 : CDCDCDCD; +7392 : CDCDCDCD; +7393 : CDCDCDCD; +7394 : CDCDCDCD; +7395 : CDCDCDCD; +7396 : CDCDCDCD; +7397 : CDCDCDCD; +7398 : CDCDCDCD; +7399 : CDCDCDCD; +739A : CDCDCDCD; +739B : CDCDCDCD; +739C : CDCDCDCD; +739D : CDCDCDCD; +739E : CDCDCDCD; +739F : CDCDCDCD; +73A0 : CDCDCDCD; +73A1 : CDCDCDCD; +73A2 : CDCDCDCD; +73A3 : CDCDCDCD; +73A4 : CDCDCDCD; +73A5 : CDCDCDCD; +73A6 : CDCDCDCD; +73A7 : CDCDCDCD; +73A8 : CDCDCDCD; +73A9 : CDCDCDCD; +73AA : CDCDCDCD; +73AB : CDCDCDCD; +73AC : CDCDCDCD; +73AD : CDCDCDCD; +73AE : CDCDCDCD; +73AF : CDCDCDCD; +73B0 : CDCDCDCD; +73B1 : CDCDCDCD; +73B2 : CDCDCDCD; +73B3 : CDCDCDCD; +73B4 : CDCDCDCD; +73B5 : CDCDCDCD; +73B6 : CDCDCDCD; +73B7 : CDCDCDCD; +73B8 : CDCDCDCD; +73B9 : CDCDCDCD; +73BA : CDCDCDCD; +73BB : CDCDCDCD; +73BC : CDCDCDCD; +73BD : CDCDCDCD; +73BE : CDCDCDCD; +73BF : CDCDCDCD; +73C0 : CDCDCDCD; +73C1 : CDCDCDCD; +73C2 : CDCDCDCD; +73C3 : CDCDCDCD; +73C4 : CDCDCDCD; +73C5 : CDCDCDCD; +73C6 : CDCDCDCD; +73C7 : CDCDCDCD; +73C8 : CDCDCDCD; +73C9 : CDCDCDCD; +73CA : CDCDCDCD; +73CB : CDCDCDCD; +73CC : CDCDCDCD; +73CD : CDCDCDCD; +73CE : CDCDCDCD; +73CF : CDCDCDCD; +73D0 : CDCDCDCD; +73D1 : CDCDCDCD; +73D2 : CDCDCDCD; +73D3 : CDCDCDCD; +73D4 : CDCDCDCD; +73D5 : CDCDCDCD; +73D6 : CDCDCDCD; +73D7 : CDCDCDCD; +73D8 : CDCDCDCD; +73D9 : CDCDCDCD; +73DA : CDCDCDCD; +73DB : CDCDCDCD; +73DC : CDCDCDCD; +73DD : CDCDCDCD; +73DE : CDCDCDCD; +73DF : CDCDCDCD; +73E0 : CDCDCDCD; +73E1 : CDCDCDCD; +73E2 : CDCDCDCD; +73E3 : CDCDCDCD; +73E4 : CDCDCDCD; +73E5 : CDCDCDCD; +73E6 : CDCDCDCD; +73E7 : CDCDCDCD; +73E8 : CDCDCDCD; +73E9 : CDCDCDCD; +73EA : CDCDCDCD; +73EB : CDCDCDCD; +73EC : CDCDCDCD; +73ED : CDCDCDCD; +73EE : CDCDCDCD; +73EF : CDCDCDCD; +73F0 : CDCDCDCD; +73F1 : CDCDCDCD; +73F2 : CDCDCDCD; +73F3 : CDCDCDCD; +73F4 : CDCDCDCD; +73F5 : CDCDCDCD; +73F6 : CDCDCDCD; +73F7 : CDCDCDCD; +73F8 : CDCDCDCD; +73F9 : CDCDCDCD; +73FA : CDCDCDCD; +73FB : CDCDCDCD; +73FC : CDCDCDCD; +73FD : CDCDCDCD; +73FE : CDCDCDCD; +73FF : CDCDCDCD; +7400 : CDCDCDCD; +7401 : CDCDCDCD; +7402 : CDCDCDCD; +7403 : CDCDCDCD; +7404 : CDCDCDCD; +7405 : CDCDCDCD; +7406 : CDCDCDCD; +7407 : CDCDCDCD; +7408 : CDCDCDCD; +7409 : CDCDCDCD; +740A : CDCDCDCD; +740B : CDCDCDCD; +740C : CDCDCDCD; +740D : CDCDCDCD; +740E : CDCDCDCD; +740F : CDCDCDCD; +7410 : CDCDCDCD; +7411 : CDCDCDCD; +7412 : CDCDCDCD; +7413 : CDCDCDCD; +7414 : CDCDCDCD; +7415 : CDCDCDCD; +7416 : CDCDCDCD; +7417 : CDCDCDCD; +7418 : CDCDCDCD; +7419 : CDCDCDCD; +741A : CDCDCDCD; +741B : CDCDCDCD; +741C : CDCDCDCD; +741D : CDCDCDCD; +741E : CDCDCDCD; +741F : CDCDCDCD; +7420 : CDCDCDCD; +7421 : CDCDCDCD; +7422 : CDCDCDCD; +7423 : CDCDCDCD; +7424 : CDCDCDCD; +7425 : CDCDCDCD; +7426 : CDCDCDCD; +7427 : CDCDCDCD; +7428 : CDCDCDCD; +7429 : CDCDCDCD; +742A : CDCDCDCD; +742B : CDCDCDCD; +742C : CDCDCDCD; +742D : CDCDCDCD; +742E : CDCDCDCD; +742F : CDCDCDCD; +7430 : CDCDCDCD; +7431 : CDCDCDCD; +7432 : CDCDCDCD; +7433 : CDCDCDCD; +7434 : CDCDCDCD; +7435 : CDCDCDCD; +7436 : CDCDCDCD; +7437 : CDCDCDCD; +7438 : CDCDCDCD; +7439 : CDCDCDCD; +743A : CDCDCDCD; +743B : CDCDCDCD; +743C : CDCDCDCD; +743D : CDCDCDCD; +743E : CDCDCDCD; +743F : CDCDCDCD; +7440 : CDCDCDCD; +7441 : CDCDCDCD; +7442 : CDCDCDCD; +7443 : CDCDCDCD; +7444 : CDCDCDCD; +7445 : CDCDCDCD; +7446 : CDCDCDCD; +7447 : CDCDCDCD; +7448 : CDCDCDCD; +7449 : CDCDCDCD; +744A : CDCDCDCD; +744B : CDCDCDCD; +744C : CDCDCDCD; +744D : CDCDCDCD; +744E : CDCDCDCD; +744F : CDCDCDCD; +7450 : CDCDCDCD; +7451 : CDCDCDCD; +7452 : CDCDCDCD; +7453 : CDCDCDCD; +7454 : CDCDCDCD; +7455 : CDCDCDCD; +7456 : CDCDCDCD; +7457 : CDCDCDCD; +7458 : CDCDCDCD; +7459 : CDCDCDCD; +745A : CDCDCDCD; +745B : CDCDCDCD; +745C : CDCDCDCD; +745D : CDCDCDCD; +745E : CDCDCDCD; +745F : CDCDCDCD; +7460 : CDCDCDCD; +7461 : CDCDCDCD; +7462 : CDCDCDCD; +7463 : CDCDCDCD; +7464 : CDCDCDCD; +7465 : CDCDCDCD; +7466 : CDCDCDCD; +7467 : CDCDCDCD; +7468 : CDCDCDCD; +7469 : CDCDCDCD; +746A : CDCDCDCD; +746B : CDCDCDCD; +746C : CDCDCDCD; +746D : CDCDCDCD; +746E : CDCDCDCD; +746F : CDCDCDCD; +7470 : CDCDCDCD; +7471 : CDCDCDCD; +7472 : CDCDCDCD; +7473 : CDCDCDCD; +7474 : CDCDCDCD; +7475 : CDCDCDCD; +7476 : CDCDCDCD; +7477 : CDCDCDCD; +7478 : CDCDCDCD; +7479 : CDCDCDCD; +747A : CDCDCDCD; +747B : CDCDCDCD; +747C : CDCDCDCD; +747D : CDCDCDCD; +747E : CDCDCDCD; +747F : CDCDCDCD; +7480 : CDCDCDCD; +7481 : CDCDCDCD; +7482 : CDCDCDCD; +7483 : CDCDCDCD; +7484 : CDCDCDCD; +7485 : CDCDCDCD; +7486 : CDCDCDCD; +7487 : CDCDCDCD; +7488 : CDCDCDCD; +7489 : CDCDCDCD; +748A : CDCDCDCD; +748B : CDCDCDCD; +748C : CDCDCDCD; +748D : CDCDCDCD; +748E : CDCDCDCD; +748F : CDCDCDCD; +7490 : CDCDCDCD; +7491 : CDCDCDCD; +7492 : CDCDCDCD; +7493 : CDCDCDCD; +7494 : CDCDCDCD; +7495 : CDCDCDCD; +7496 : CDCDCDCD; +7497 : CDCDCDCD; +7498 : CDCDCDCD; +7499 : CDCDCDCD; +749A : CDCDCDCD; +749B : CDCDCDCD; +749C : CDCDCDCD; +749D : CDCDCDCD; +749E : CDCDCDCD; +749F : CDCDCDCD; +74A0 : CDCDCDCD; +74A1 : CDCDCDCD; +74A2 : CDCDCDCD; +74A3 : CDCDCDCD; +74A4 : CDCDCDCD; +74A5 : CDCDCDCD; +74A6 : CDCDCDCD; +74A7 : CDCDCDCD; +74A8 : CDCDCDCD; +74A9 : CDCDCDCD; +74AA : CDCDCDCD; +74AB : CDCDCDCD; +74AC : CDCDCDCD; +74AD : CDCDCDCD; +74AE : CDCDCDCD; +74AF : CDCDCDCD; +74B0 : CDCDCDCD; +74B1 : CDCDCDCD; +74B2 : CDCDCDCD; +74B3 : CDCDCDCD; +74B4 : CDCDCDCD; +74B5 : CDCDCDCD; +74B6 : CDCDCDCD; +74B7 : CDCDCDCD; +74B8 : CDCDCDCD; +74B9 : CDCDCDCD; +74BA : CDCDCDCD; +74BB : CDCDCDCD; +74BC : CDCDCDCD; +74BD : CDCDCDCD; +74BE : CDCDCDCD; +74BF : CDCDCDCD; +74C0 : CDCDCDCD; +74C1 : CDCDCDCD; +74C2 : CDCDCDCD; +74C3 : CDCDCDCD; +74C4 : CDCDCDCD; +74C5 : CDCDCDCD; +74C6 : CDCDCDCD; +74C7 : CDCDCDCD; +74C8 : CDCDCDCD; +74C9 : CDCDCDCD; +74CA : CDCDCDCD; +74CB : CDCDCDCD; +74CC : CDCDCDCD; +74CD : CDCDCDCD; +74CE : CDCDCDCD; +74CF : CDCDCDCD; +74D0 : CDCDCDCD; +74D1 : CDCDCDCD; +74D2 : CDCDCDCD; +74D3 : CDCDCDCD; +74D4 : CDCDCDCD; +74D5 : CDCDCDCD; +74D6 : CDCDCDCD; +74D7 : CDCDCDCD; +74D8 : CDCDCDCD; +74D9 : CDCDCDCD; +74DA : CDCDCDCD; +74DB : CDCDCDCD; +74DC : CDCDCDCD; +74DD : CDCDCDCD; +74DE : CDCDCDCD; +74DF : CDCDCDCD; +74E0 : CDCDCDCD; +74E1 : CDCDCDCD; +74E2 : CDCDCDCD; +74E3 : CDCDCDCD; +74E4 : CDCDCDCD; +74E5 : CDCDCDCD; +74E6 : CDCDCDCD; +74E7 : CDCDCDCD; +74E8 : CDCDCDCD; +74E9 : CDCDCDCD; +74EA : CDCDCDCD; +74EB : CDCDCDCD; +74EC : CDCDCDCD; +74ED : CDCDCDCD; +74EE : CDCDCDCD; +74EF : CDCDCDCD; +74F0 : CDCDCDCD; +74F1 : CDCDCDCD; +74F2 : CDCDCDCD; +74F3 : CDCDCDCD; +74F4 : CDCDCDCD; +74F5 : CDCDCDCD; +74F6 : CDCDCDCD; +74F7 : CDCDCDCD; +74F8 : CDCDCDCD; +74F9 : CDCDCDCD; +74FA : CDCDCDCD; +74FB : CDCDCDCD; +74FC : CDCDCDCD; +74FD : CDCDCDCD; +74FE : CDCDCDCD; +74FF : CDCDCDCD; +7500 : CDCDCDCD; +7501 : CDCDCDCD; +7502 : CDCDCDCD; +7503 : CDCDCDCD; +7504 : CDCDCDCD; +7505 : CDCDCDCD; +7506 : CDCDCDCD; +7507 : CDCDCDCD; +7508 : CDCDCDCD; +7509 : CDCDCDCD; +750A : CDCDCDCD; +750B : CDCDCDCD; +750C : CDCDCDCD; +750D : CDCDCDCD; +750E : CDCDCDCD; +750F : CDCDCDCD; +7510 : CDCDCDCD; +7511 : CDCDCDCD; +7512 : CDCDCDCD; +7513 : CDCDCDCD; +7514 : CDCDCDCD; +7515 : CDCDCDCD; +7516 : CDCDCDCD; +7517 : CDCDCDCD; +7518 : CDCDCDCD; +7519 : CDCDCDCD; +751A : CDCDCDCD; +751B : CDCDCDCD; +751C : CDCDCDCD; +751D : CDCDCDCD; +751E : CDCDCDCD; +751F : CDCDCDCD; +7520 : CDCDCDCD; +7521 : CDCDCDCD; +7522 : CDCDCDCD; +7523 : CDCDCDCD; +7524 : CDCDCDCD; +7525 : CDCDCDCD; +7526 : CDCDCDCD; +7527 : CDCDCDCD; +7528 : CDCDCDCD; +7529 : CDCDCDCD; +752A : CDCDCDCD; +752B : CDCDCDCD; +752C : CDCDCDCD; +752D : CDCDCDCD; +752E : CDCDCDCD; +752F : CDCDCDCD; +7530 : CDCDCDCD; +7531 : CDCDCDCD; +7532 : CDCDCDCD; +7533 : CDCDCDCD; +7534 : CDCDCDCD; +7535 : CDCDCDCD; +7536 : CDCDCDCD; +7537 : CDCDCDCD; +7538 : CDCDCDCD; +7539 : CDCDCDCD; +753A : CDCDCDCD; +753B : CDCDCDCD; +753C : CDCDCDCD; +753D : CDCDCDCD; +753E : CDCDCDCD; +753F : CDCDCDCD; +7540 : CDCDCDCD; +7541 : CDCDCDCD; +7542 : CDCDCDCD; +7543 : CDCDCDCD; +7544 : CDCDCDCD; +7545 : CDCDCDCD; +7546 : CDCDCDCD; +7547 : CDCDCDCD; +7548 : CDCDCDCD; +7549 : CDCDCDCD; +754A : CDCDCDCD; +754B : CDCDCDCD; +754C : CDCDCDCD; +754D : CDCDCDCD; +754E : CDCDCDCD; +754F : CDCDCDCD; +7550 : CDCDCDCD; +7551 : CDCDCDCD; +7552 : CDCDCDCD; +7553 : CDCDCDCD; +7554 : CDCDCDCD; +7555 : CDCDCDCD; +7556 : CDCDCDCD; +7557 : CDCDCDCD; +7558 : CDCDCDCD; +7559 : CDCDCDCD; +755A : CDCDCDCD; +755B : CDCDCDCD; +755C : CDCDCDCD; +755D : CDCDCDCD; +755E : CDCDCDCD; +755F : CDCDCDCD; +7560 : CDCDCDCD; +7561 : CDCDCDCD; +7562 : CDCDCDCD; +7563 : CDCDCDCD; +7564 : CDCDCDCD; +7565 : CDCDCDCD; +7566 : CDCDCDCD; +7567 : CDCDCDCD; +7568 : CDCDCDCD; +7569 : CDCDCDCD; +756A : CDCDCDCD; +756B : CDCDCDCD; +756C : CDCDCDCD; +756D : CDCDCDCD; +756E : CDCDCDCD; +756F : CDCDCDCD; +7570 : CDCDCDCD; +7571 : CDCDCDCD; +7572 : CDCDCDCD; +7573 : CDCDCDCD; +7574 : CDCDCDCD; +7575 : CDCDCDCD; +7576 : CDCDCDCD; +7577 : CDCDCDCD; +7578 : CDCDCDCD; +7579 : CDCDCDCD; +757A : CDCDCDCD; +757B : CDCDCDCD; +757C : CDCDCDCD; +757D : CDCDCDCD; +757E : CDCDCDCD; +757F : CDCDCDCD; +7580 : CDCDCDCD; +7581 : CDCDCDCD; +7582 : CDCDCDCD; +7583 : CDCDCDCD; +7584 : CDCDCDCD; +7585 : CDCDCDCD; +7586 : CDCDCDCD; +7587 : CDCDCDCD; +7588 : CDCDCDCD; +7589 : CDCDCDCD; +758A : CDCDCDCD; +758B : CDCDCDCD; +758C : CDCDCDCD; +758D : CDCDCDCD; +758E : CDCDCDCD; +758F : CDCDCDCD; +7590 : CDCDCDCD; +7591 : CDCDCDCD; +7592 : CDCDCDCD; +7593 : CDCDCDCD; +7594 : CDCDCDCD; +7595 : CDCDCDCD; +7596 : CDCDCDCD; +7597 : CDCDCDCD; +7598 : CDCDCDCD; +7599 : CDCDCDCD; +759A : CDCDCDCD; +759B : CDCDCDCD; +759C : CDCDCDCD; +759D : CDCDCDCD; +759E : CDCDCDCD; +759F : CDCDCDCD; +75A0 : CDCDCDCD; +75A1 : CDCDCDCD; +75A2 : CDCDCDCD; +75A3 : CDCDCDCD; +75A4 : CDCDCDCD; +75A5 : CDCDCDCD; +75A6 : CDCDCDCD; +75A7 : CDCDCDCD; +75A8 : CDCDCDCD; +75A9 : CDCDCDCD; +75AA : CDCDCDCD; +75AB : CDCDCDCD; +75AC : CDCDCDCD; +75AD : CDCDCDCD; +75AE : CDCDCDCD; +75AF : CDCDCDCD; +75B0 : CDCDCDCD; +75B1 : CDCDCDCD; +75B2 : CDCDCDCD; +75B3 : CDCDCDCD; +75B4 : CDCDCDCD; +75B5 : CDCDCDCD; +75B6 : CDCDCDCD; +75B7 : CDCDCDCD; +75B8 : CDCDCDCD; +75B9 : CDCDCDCD; +75BA : CDCDCDCD; +75BB : CDCDCDCD; +75BC : CDCDCDCD; +75BD : CDCDCDCD; +75BE : CDCDCDCD; +75BF : CDCDCDCD; +75C0 : CDCDCDCD; +75C1 : CDCDCDCD; +75C2 : CDCDCDCD; +75C3 : CDCDCDCD; +75C4 : CDCDCDCD; +75C5 : CDCDCDCD; +75C6 : CDCDCDCD; +75C7 : CDCDCDCD; +75C8 : CDCDCDCD; +75C9 : CDCDCDCD; +75CA : CDCDCDCD; +75CB : CDCDCDCD; +75CC : CDCDCDCD; +75CD : CDCDCDCD; +75CE : CDCDCDCD; +75CF : CDCDCDCD; +75D0 : CDCDCDCD; +75D1 : CDCDCDCD; +75D2 : CDCDCDCD; +75D3 : CDCDCDCD; +75D4 : CDCDCDCD; +75D5 : CDCDCDCD; +75D6 : CDCDCDCD; +75D7 : CDCDCDCD; +75D8 : CDCDCDCD; +75D9 : CDCDCDCD; +75DA : CDCDCDCD; +75DB : CDCDCDCD; +75DC : CDCDCDCD; +75DD : CDCDCDCD; +75DE : CDCDCDCD; +75DF : CDCDCDCD; +75E0 : CDCDCDCD; +75E1 : CDCDCDCD; +75E2 : CDCDCDCD; +75E3 : CDCDCDCD; +75E4 : CDCDCDCD; +75E5 : CDCDCDCD; +75E6 : CDCDCDCD; +75E7 : CDCDCDCD; +75E8 : CDCDCDCD; +75E9 : CDCDCDCD; +75EA : CDCDCDCD; +75EB : CDCDCDCD; +75EC : CDCDCDCD; +75ED : CDCDCDCD; +75EE : CDCDCDCD; +75EF : CDCDCDCD; +75F0 : CDCDCDCD; +75F1 : CDCDCDCD; +75F2 : CDCDCDCD; +75F3 : CDCDCDCD; +75F4 : CDCDCDCD; +75F5 : CDCDCDCD; +75F6 : CDCDCDCD; +75F7 : CDCDCDCD; +75F8 : CDCDCDCD; +75F9 : CDCDCDCD; +75FA : CDCDCDCD; +75FB : CDCDCDCD; +75FC : CDCDCDCD; +75FD : CDCDCDCD; +75FE : CDCDCDCD; +75FF : CDCDCDCD; +7600 : CDCDCDCD; +7601 : CDCDCDCD; +7602 : CDCDCDCD; +7603 : CDCDCDCD; +7604 : CDCDCDCD; +7605 : CDCDCDCD; +7606 : CDCDCDCD; +7607 : CDCDCDCD; +7608 : CDCDCDCD; +7609 : CDCDCDCD; +760A : CDCDCDCD; +760B : CDCDCDCD; +760C : CDCDCDCD; +760D : CDCDCDCD; +760E : CDCDCDCD; +760F : CDCDCDCD; +7610 : CDCDCDCD; +7611 : CDCDCDCD; +7612 : CDCDCDCD; +7613 : CDCDCDCD; +7614 : CDCDCDCD; +7615 : CDCDCDCD; +7616 : CDCDCDCD; +7617 : CDCDCDCD; +7618 : CDCDCDCD; +7619 : CDCDCDCD; +761A : CDCDCDCD; +761B : CDCDCDCD; +761C : CDCDCDCD; +761D : CDCDCDCD; +761E : CDCDCDCD; +761F : CDCDCDCD; +7620 : CDCDCDCD; +7621 : CDCDCDCD; +7622 : CDCDCDCD; +7623 : CDCDCDCD; +7624 : CDCDCDCD; +7625 : CDCDCDCD; +7626 : CDCDCDCD; +7627 : CDCDCDCD; +7628 : CDCDCDCD; +7629 : CDCDCDCD; +762A : CDCDCDCD; +762B : CDCDCDCD; +762C : CDCDCDCD; +762D : CDCDCDCD; +762E : CDCDCDCD; +762F : CDCDCDCD; +7630 : CDCDCDCD; +7631 : CDCDCDCD; +7632 : CDCDCDCD; +7633 : CDCDCDCD; +7634 : CDCDCDCD; +7635 : CDCDCDCD; +7636 : CDCDCDCD; +7637 : CDCDCDCD; +7638 : CDCDCDCD; +7639 : CDCDCDCD; +763A : CDCDCDCD; +763B : CDCDCDCD; +763C : CDCDCDCD; +763D : CDCDCDCD; +763E : CDCDCDCD; +763F : CDCDCDCD; +7640 : CDCDCDCD; +7641 : CDCDCDCD; +7642 : CDCDCDCD; +7643 : CDCDCDCD; +7644 : CDCDCDCD; +7645 : CDCDCDCD; +7646 : CDCDCDCD; +7647 : CDCDCDCD; +7648 : CDCDCDCD; +7649 : CDCDCDCD; +764A : CDCDCDCD; +764B : CDCDCDCD; +764C : CDCDCDCD; +764D : CDCDCDCD; +764E : CDCDCDCD; +764F : CDCDCDCD; +7650 : CDCDCDCD; +7651 : CDCDCDCD; +7652 : CDCDCDCD; +7653 : CDCDCDCD; +7654 : CDCDCDCD; +7655 : CDCDCDCD; +7656 : CDCDCDCD; +7657 : CDCDCDCD; +7658 : CDCDCDCD; +7659 : CDCDCDCD; +765A : CDCDCDCD; +765B : CDCDCDCD; +765C : CDCDCDCD; +765D : CDCDCDCD; +765E : CDCDCDCD; +765F : CDCDCDCD; +7660 : CDCDCDCD; +7661 : CDCDCDCD; +7662 : CDCDCDCD; +7663 : CDCDCDCD; +7664 : CDCDCDCD; +7665 : CDCDCDCD; +7666 : CDCDCDCD; +7667 : CDCDCDCD; +7668 : CDCDCDCD; +7669 : CDCDCDCD; +766A : CDCDCDCD; +766B : CDCDCDCD; +766C : CDCDCDCD; +766D : CDCDCDCD; +766E : CDCDCDCD; +766F : CDCDCDCD; +7670 : CDCDCDCD; +7671 : CDCDCDCD; +7672 : CDCDCDCD; +7673 : CDCDCDCD; +7674 : CDCDCDCD; +7675 : CDCDCDCD; +7676 : CDCDCDCD; +7677 : CDCDCDCD; +7678 : CDCDCDCD; +7679 : CDCDCDCD; +767A : CDCDCDCD; +767B : CDCDCDCD; +767C : CDCDCDCD; +767D : CDCDCDCD; +767E : CDCDCDCD; +767F : CDCDCDCD; +7680 : CDCDCDCD; +7681 : CDCDCDCD; +7682 : CDCDCDCD; +7683 : CDCDCDCD; +7684 : CDCDCDCD; +7685 : CDCDCDCD; +7686 : CDCDCDCD; +7687 : CDCDCDCD; +7688 : CDCDCDCD; +7689 : CDCDCDCD; +768A : CDCDCDCD; +768B : CDCDCDCD; +768C : CDCDCDCD; +768D : CDCDCDCD; +768E : CDCDCDCD; +768F : CDCDCDCD; +7690 : CDCDCDCD; +7691 : CDCDCDCD; +7692 : CDCDCDCD; +7693 : CDCDCDCD; +7694 : CDCDCDCD; +7695 : CDCDCDCD; +7696 : CDCDCDCD; +7697 : CDCDCDCD; +7698 : CDCDCDCD; +7699 : CDCDCDCD; +769A : CDCDCDCD; +769B : CDCDCDCD; +769C : CDCDCDCD; +769D : CDCDCDCD; +769E : CDCDCDCD; +769F : CDCDCDCD; +76A0 : CDCDCDCD; +76A1 : CDCDCDCD; +76A2 : CDCDCDCD; +76A3 : CDCDCDCD; +76A4 : CDCDCDCD; +76A5 : CDCDCDCD; +76A6 : CDCDCDCD; +76A7 : CDCDCDCD; +76A8 : CDCDCDCD; +76A9 : CDCDCDCD; +76AA : CDCDCDCD; +76AB : CDCDCDCD; +76AC : CDCDCDCD; +76AD : CDCDCDCD; +76AE : CDCDCDCD; +76AF : CDCDCDCD; +76B0 : CDCDCDCD; +76B1 : CDCDCDCD; +76B2 : CDCDCDCD; +76B3 : CDCDCDCD; +76B4 : CDCDCDCD; +76B5 : CDCDCDCD; +76B6 : CDCDCDCD; +76B7 : CDCDCDCD; +76B8 : CDCDCDCD; +76B9 : CDCDCDCD; +76BA : CDCDCDCD; +76BB : CDCDCDCD; +76BC : CDCDCDCD; +76BD : CDCDCDCD; +76BE : CDCDCDCD; +76BF : CDCDCDCD; +76C0 : CDCDCDCD; +76C1 : CDCDCDCD; +76C2 : CDCDCDCD; +76C3 : CDCDCDCD; +76C4 : CDCDCDCD; +76C5 : CDCDCDCD; +76C6 : CDCDCDCD; +76C7 : CDCDCDCD; +76C8 : CDCDCDCD; +76C9 : CDCDCDCD; +76CA : CDCDCDCD; +76CB : CDCDCDCD; +76CC : CDCDCDCD; +76CD : CDCDCDCD; +76CE : CDCDCDCD; +76CF : CDCDCDCD; +76D0 : CDCDCDCD; +76D1 : CDCDCDCD; +76D2 : CDCDCDCD; +76D3 : CDCDCDCD; +76D4 : CDCDCDCD; +76D5 : CDCDCDCD; +76D6 : CDCDCDCD; +76D7 : CDCDCDCD; +76D8 : CDCDCDCD; +76D9 : CDCDCDCD; +76DA : CDCDCDCD; +76DB : CDCDCDCD; +76DC : CDCDCDCD; +76DD : CDCDCDCD; +76DE : CDCDCDCD; +76DF : CDCDCDCD; +76E0 : CDCDCDCD; +76E1 : CDCDCDCD; +76E2 : CDCDCDCD; +76E3 : CDCDCDCD; +76E4 : CDCDCDCD; +76E5 : CDCDCDCD; +76E6 : CDCDCDCD; +76E7 : CDCDCDCD; +76E8 : CDCDCDCD; +76E9 : CDCDCDCD; +76EA : CDCDCDCD; +76EB : CDCDCDCD; +76EC : CDCDCDCD; +76ED : CDCDCDCD; +76EE : CDCDCDCD; +76EF : CDCDCDCD; +76F0 : CDCDCDCD; +76F1 : CDCDCDCD; +76F2 : CDCDCDCD; +76F3 : CDCDCDCD; +76F4 : CDCDCDCD; +76F5 : CDCDCDCD; +76F6 : CDCDCDCD; +76F7 : CDCDCDCD; +76F8 : CDCDCDCD; +76F9 : CDCDCDCD; +76FA : CDCDCDCD; +76FB : CDCDCDCD; +76FC : CDCDCDCD; +76FD : CDCDCDCD; +76FE : CDCDCDCD; +76FF : CDCDCDCD; +7700 : CDCDCDCD; +7701 : CDCDCDCD; +7702 : CDCDCDCD; +7703 : CDCDCDCD; +7704 : CDCDCDCD; +7705 : CDCDCDCD; +7706 : CDCDCDCD; +7707 : CDCDCDCD; +7708 : CDCDCDCD; +7709 : CDCDCDCD; +770A : CDCDCDCD; +770B : CDCDCDCD; +770C : CDCDCDCD; +770D : CDCDCDCD; +770E : CDCDCDCD; +770F : CDCDCDCD; +7710 : CDCDCDCD; +7711 : CDCDCDCD; +7712 : CDCDCDCD; +7713 : CDCDCDCD; +7714 : CDCDCDCD; +7715 : CDCDCDCD; +7716 : CDCDCDCD; +7717 : CDCDCDCD; +7718 : CDCDCDCD; +7719 : CDCDCDCD; +771A : CDCDCDCD; +771B : CDCDCDCD; +771C : CDCDCDCD; +771D : CDCDCDCD; +771E : CDCDCDCD; +771F : CDCDCDCD; +7720 : CDCDCDCD; +7721 : CDCDCDCD; +7722 : CDCDCDCD; +7723 : CDCDCDCD; +7724 : CDCDCDCD; +7725 : CDCDCDCD; +7726 : CDCDCDCD; +7727 : CDCDCDCD; +7728 : CDCDCDCD; +7729 : CDCDCDCD; +772A : CDCDCDCD; +772B : CDCDCDCD; +772C : CDCDCDCD; +772D : CDCDCDCD; +772E : CDCDCDCD; +772F : CDCDCDCD; +7730 : CDCDCDCD; +7731 : CDCDCDCD; +7732 : CDCDCDCD; +7733 : CDCDCDCD; +7734 : CDCDCDCD; +7735 : CDCDCDCD; +7736 : CDCDCDCD; +7737 : CDCDCDCD; +7738 : CDCDCDCD; +7739 : CDCDCDCD; +773A : CDCDCDCD; +773B : CDCDCDCD; +773C : CDCDCDCD; +773D : CDCDCDCD; +773E : CDCDCDCD; +773F : CDCDCDCD; +7740 : CDCDCDCD; +7741 : CDCDCDCD; +7742 : CDCDCDCD; +7743 : CDCDCDCD; +7744 : CDCDCDCD; +7745 : CDCDCDCD; +7746 : CDCDCDCD; +7747 : CDCDCDCD; +7748 : CDCDCDCD; +7749 : CDCDCDCD; +774A : CDCDCDCD; +774B : CDCDCDCD; +774C : CDCDCDCD; +774D : CDCDCDCD; +774E : CDCDCDCD; +774F : CDCDCDCD; +7750 : CDCDCDCD; +7751 : CDCDCDCD; +7752 : CDCDCDCD; +7753 : CDCDCDCD; +7754 : CDCDCDCD; +7755 : CDCDCDCD; +7756 : CDCDCDCD; +7757 : CDCDCDCD; +7758 : CDCDCDCD; +7759 : CDCDCDCD; +775A : CDCDCDCD; +775B : CDCDCDCD; +775C : CDCDCDCD; +775D : CDCDCDCD; +775E : CDCDCDCD; +775F : CDCDCDCD; +7760 : CDCDCDCD; +7761 : CDCDCDCD; +7762 : CDCDCDCD; +7763 : CDCDCDCD; +7764 : CDCDCDCD; +7765 : CDCDCDCD; +7766 : CDCDCDCD; +7767 : CDCDCDCD; +7768 : CDCDCDCD; +7769 : CDCDCDCD; +776A : CDCDCDCD; +776B : CDCDCDCD; +776C : CDCDCDCD; +776D : CDCDCDCD; +776E : CDCDCDCD; +776F : CDCDCDCD; +7770 : CDCDCDCD; +7771 : CDCDCDCD; +7772 : CDCDCDCD; +7773 : CDCDCDCD; +7774 : CDCDCDCD; +7775 : CDCDCDCD; +7776 : CDCDCDCD; +7777 : CDCDCDCD; +7778 : CDCDCDCD; +7779 : CDCDCDCD; +777A : CDCDCDCD; +777B : CDCDCDCD; +777C : CDCDCDCD; +777D : CDCDCDCD; +777E : CDCDCDCD; +777F : CDCDCDCD; +7780 : CDCDCDCD; +7781 : CDCDCDCD; +7782 : CDCDCDCD; +7783 : CDCDCDCD; +7784 : CDCDCDCD; +7785 : CDCDCDCD; +7786 : CDCDCDCD; +7787 : CDCDCDCD; +7788 : CDCDCDCD; +7789 : CDCDCDCD; +778A : CDCDCDCD; +778B : CDCDCDCD; +778C : CDCDCDCD; +778D : CDCDCDCD; +778E : CDCDCDCD; +778F : CDCDCDCD; +7790 : CDCDCDCD; +7791 : CDCDCDCD; +7792 : CDCDCDCD; +7793 : CDCDCDCD; +7794 : CDCDCDCD; +7795 : CDCDCDCD; +7796 : CDCDCDCD; +7797 : CDCDCDCD; +7798 : CDCDCDCD; +7799 : CDCDCDCD; +779A : CDCDCDCD; +779B : CDCDCDCD; +779C : CDCDCDCD; +779D : CDCDCDCD; +779E : CDCDCDCD; +779F : CDCDCDCD; +77A0 : CDCDCDCD; +77A1 : CDCDCDCD; +77A2 : CDCDCDCD; +77A3 : CDCDCDCD; +77A4 : CDCDCDCD; +77A5 : CDCDCDCD; +77A6 : CDCDCDCD; +77A7 : CDCDCDCD; +77A8 : CDCDCDCD; +77A9 : CDCDCDCD; +77AA : CDCDCDCD; +77AB : CDCDCDCD; +77AC : CDCDCDCD; +77AD : CDCDCDCD; +77AE : CDCDCDCD; +77AF : CDCDCDCD; +77B0 : CDCDCDCD; +77B1 : CDCDCDCD; +77B2 : CDCDCDCD; +77B3 : CDCDCDCD; +77B4 : CDCDCDCD; +77B5 : CDCDCDCD; +77B6 : CDCDCDCD; +77B7 : CDCDCDCD; +77B8 : CDCDCDCD; +77B9 : CDCDCDCD; +77BA : CDCDCDCD; +77BB : CDCDCDCD; +77BC : CDCDCDCD; +77BD : CDCDCDCD; +77BE : CDCDCDCD; +77BF : CDCDCDCD; +77C0 : CDCDCDCD; +77C1 : CDCDCDCD; +77C2 : CDCDCDCD; +77C3 : CDCDCDCD; +77C4 : CDCDCDCD; +77C5 : CDCDCDCD; +77C6 : CDCDCDCD; +77C7 : CDCDCDCD; +77C8 : CDCDCDCD; +77C9 : CDCDCDCD; +77CA : CDCDCDCD; +77CB : CDCDCDCD; +77CC : CDCDCDCD; +77CD : CDCDCDCD; +77CE : CDCDCDCD; +77CF : CDCDCDCD; +77D0 : CDCDCDCD; +77D1 : CDCDCDCD; +77D2 : CDCDCDCD; +77D3 : CDCDCDCD; +77D4 : CDCDCDCD; +77D5 : CDCDCDCD; +77D6 : CDCDCDCD; +77D7 : CDCDCDCD; +77D8 : CDCDCDCD; +77D9 : CDCDCDCD; +77DA : CDCDCDCD; +77DB : CDCDCDCD; +77DC : CDCDCDCD; +77DD : CDCDCDCD; +77DE : CDCDCDCD; +77DF : CDCDCDCD; +77E0 : CDCDCDCD; +77E1 : CDCDCDCD; +77E2 : CDCDCDCD; +77E3 : CDCDCDCD; +77E4 : CDCDCDCD; +77E5 : CDCDCDCD; +77E6 : CDCDCDCD; +77E7 : CDCDCDCD; +77E8 : CDCDCDCD; +77E9 : CDCDCDCD; +77EA : CDCDCDCD; +77EB : CDCDCDCD; +77EC : CDCDCDCD; +77ED : CDCDCDCD; +77EE : CDCDCDCD; +77EF : CDCDCDCD; +77F0 : CDCDCDCD; +77F1 : CDCDCDCD; +77F2 : CDCDCDCD; +77F3 : CDCDCDCD; +77F4 : CDCDCDCD; +77F5 : CDCDCDCD; +77F6 : CDCDCDCD; +77F7 : CDCDCDCD; +77F8 : CDCDCDCD; +77F9 : CDCDCDCD; +77FA : CDCDCDCD; +77FB : CDCDCDCD; +77FC : CDCDCDCD; +77FD : CDCDCDCD; +77FE : CDCDCDCD; +77FF : CDCDCDCD; +7800 : CDCDCDCD; +7801 : CDCDCDCD; +7802 : CDCDCDCD; +7803 : CDCDCDCD; +7804 : CDCDCDCD; +7805 : CDCDCDCD; +7806 : CDCDCDCD; +7807 : CDCDCDCD; +7808 : CDCDCDCD; +7809 : CDCDCDCD; +780A : CDCDCDCD; +780B : CDCDCDCD; +780C : CDCDCDCD; +780D : CDCDCDCD; +780E : CDCDCDCD; +780F : CDCDCDCD; +7810 : CDCDCDCD; +7811 : CDCDCDCD; +7812 : CDCDCDCD; +7813 : CDCDCDCD; +7814 : CDCDCDCD; +7815 : CDCDCDCD; +7816 : CDCDCDCD; +7817 : CDCDCDCD; +7818 : CDCDCDCD; +7819 : CDCDCDCD; +781A : CDCDCDCD; +781B : CDCDCDCD; +781C : CDCDCDCD; +781D : CDCDCDCD; +781E : CDCDCDCD; +781F : CDCDCDCD; +7820 : CDCDCDCD; +7821 : CDCDCDCD; +7822 : CDCDCDCD; +7823 : CDCDCDCD; +7824 : CDCDCDCD; +7825 : CDCDCDCD; +7826 : CDCDCDCD; +7827 : CDCDCDCD; +7828 : CDCDCDCD; +7829 : CDCDCDCD; +782A : CDCDCDCD; +782B : CDCDCDCD; +782C : CDCDCDCD; +782D : CDCDCDCD; +782E : CDCDCDCD; +782F : CDCDCDCD; +7830 : CDCDCDCD; +7831 : CDCDCDCD; +7832 : CDCDCDCD; +7833 : CDCDCDCD; +7834 : CDCDCDCD; +7835 : CDCDCDCD; +7836 : CDCDCDCD; +7837 : CDCDCDCD; +7838 : CDCDCDCD; +7839 : CDCDCDCD; +783A : CDCDCDCD; +783B : CDCDCDCD; +783C : CDCDCDCD; +783D : CDCDCDCD; +783E : CDCDCDCD; +783F : CDCDCDCD; +7840 : CDCDCDCD; +7841 : CDCDCDCD; +7842 : CDCDCDCD; +7843 : CDCDCDCD; +7844 : CDCDCDCD; +7845 : CDCDCDCD; +7846 : CDCDCDCD; +7847 : CDCDCDCD; +7848 : CDCDCDCD; +7849 : CDCDCDCD; +784A : CDCDCDCD; +784B : CDCDCDCD; +784C : CDCDCDCD; +784D : CDCDCDCD; +784E : CDCDCDCD; +784F : CDCDCDCD; +7850 : CDCDCDCD; +7851 : CDCDCDCD; +7852 : CDCDCDCD; +7853 : CDCDCDCD; +7854 : CDCDCDCD; +7855 : CDCDCDCD; +7856 : CDCDCDCD; +7857 : CDCDCDCD; +7858 : CDCDCDCD; +7859 : CDCDCDCD; +785A : CDCDCDCD; +785B : CDCDCDCD; +785C : CDCDCDCD; +785D : CDCDCDCD; +785E : CDCDCDCD; +785F : CDCDCDCD; +7860 : CDCDCDCD; +7861 : CDCDCDCD; +7862 : CDCDCDCD; +7863 : CDCDCDCD; +7864 : CDCDCDCD; +7865 : CDCDCDCD; +7866 : CDCDCDCD; +7867 : CDCDCDCD; +7868 : CDCDCDCD; +7869 : CDCDCDCD; +786A : CDCDCDCD; +786B : CDCDCDCD; +786C : CDCDCDCD; +786D : CDCDCDCD; +786E : CDCDCDCD; +786F : CDCDCDCD; +7870 : CDCDCDCD; +7871 : CDCDCDCD; +7872 : CDCDCDCD; +7873 : CDCDCDCD; +7874 : CDCDCDCD; +7875 : CDCDCDCD; +7876 : CDCDCDCD; +7877 : CDCDCDCD; +7878 : CDCDCDCD; +7879 : CDCDCDCD; +787A : CDCDCDCD; +787B : CDCDCDCD; +787C : CDCDCDCD; +787D : CDCDCDCD; +787E : CDCDCDCD; +787F : CDCDCDCD; +7880 : CDCDCDCD; +7881 : CDCDCDCD; +7882 : CDCDCDCD; +7883 : CDCDCDCD; +7884 : CDCDCDCD; +7885 : CDCDCDCD; +7886 : CDCDCDCD; +7887 : CDCDCDCD; +7888 : CDCDCDCD; +7889 : CDCDCDCD; +788A : CDCDCDCD; +788B : CDCDCDCD; +788C : CDCDCDCD; +788D : CDCDCDCD; +788E : CDCDCDCD; +788F : CDCDCDCD; +7890 : CDCDCDCD; +7891 : CDCDCDCD; +7892 : CDCDCDCD; +7893 : CDCDCDCD; +7894 : CDCDCDCD; +7895 : CDCDCDCD; +7896 : CDCDCDCD; +7897 : CDCDCDCD; +7898 : CDCDCDCD; +7899 : CDCDCDCD; +789A : CDCDCDCD; +789B : CDCDCDCD; +789C : CDCDCDCD; +789D : CDCDCDCD; +789E : CDCDCDCD; +789F : CDCDCDCD; +78A0 : CDCDCDCD; +78A1 : CDCDCDCD; +78A2 : CDCDCDCD; +78A3 : CDCDCDCD; +78A4 : CDCDCDCD; +78A5 : CDCDCDCD; +78A6 : CDCDCDCD; +78A7 : CDCDCDCD; +78A8 : CDCDCDCD; +78A9 : CDCDCDCD; +78AA : CDCDCDCD; +78AB : CDCDCDCD; +78AC : CDCDCDCD; +78AD : CDCDCDCD; +78AE : CDCDCDCD; +78AF : CDCDCDCD; +78B0 : CDCDCDCD; +78B1 : CDCDCDCD; +78B2 : CDCDCDCD; +78B3 : CDCDCDCD; +78B4 : CDCDCDCD; +78B5 : CDCDCDCD; +78B6 : CDCDCDCD; +78B7 : CDCDCDCD; +78B8 : CDCDCDCD; +78B9 : CDCDCDCD; +78BA : CDCDCDCD; +78BB : CDCDCDCD; +78BC : CDCDCDCD; +78BD : CDCDCDCD; +78BE : CDCDCDCD; +78BF : CDCDCDCD; +78C0 : CDCDCDCD; +78C1 : CDCDCDCD; +78C2 : CDCDCDCD; +78C3 : CDCDCDCD; +78C4 : CDCDCDCD; +78C5 : CDCDCDCD; +78C6 : CDCDCDCD; +78C7 : CDCDCDCD; +78C8 : CDCDCDCD; +78C9 : CDCDCDCD; +78CA : CDCDCDCD; +78CB : CDCDCDCD; +78CC : CDCDCDCD; +78CD : CDCDCDCD; +78CE : CDCDCDCD; +78CF : CDCDCDCD; +78D0 : CDCDCDCD; +78D1 : CDCDCDCD; +78D2 : CDCDCDCD; +78D3 : CDCDCDCD; +78D4 : CDCDCDCD; +78D5 : CDCDCDCD; +78D6 : CDCDCDCD; +78D7 : CDCDCDCD; +78D8 : CDCDCDCD; +78D9 : CDCDCDCD; +78DA : CDCDCDCD; +78DB : CDCDCDCD; +78DC : CDCDCDCD; +78DD : CDCDCDCD; +78DE : CDCDCDCD; +78DF : CDCDCDCD; +78E0 : CDCDCDCD; +78E1 : CDCDCDCD; +78E2 : CDCDCDCD; +78E3 : CDCDCDCD; +78E4 : CDCDCDCD; +78E5 : CDCDCDCD; +78E6 : CDCDCDCD; +78E7 : CDCDCDCD; +78E8 : CDCDCDCD; +78E9 : CDCDCDCD; +78EA : CDCDCDCD; +78EB : CDCDCDCD; +78EC : CDCDCDCD; +78ED : CDCDCDCD; +78EE : CDCDCDCD; +78EF : CDCDCDCD; +78F0 : CDCDCDCD; +78F1 : CDCDCDCD; +78F2 : CDCDCDCD; +78F3 : CDCDCDCD; +78F4 : CDCDCDCD; +78F5 : CDCDCDCD; +78F6 : CDCDCDCD; +78F7 : CDCDCDCD; +78F8 : CDCDCDCD; +78F9 : CDCDCDCD; +78FA : CDCDCDCD; +78FB : CDCDCDCD; +78FC : CDCDCDCD; +78FD : CDCDCDCD; +78FE : CDCDCDCD; +78FF : CDCDCDCD; +7900 : CDCDCDCD; +7901 : CDCDCDCD; +7902 : CDCDCDCD; +7903 : CDCDCDCD; +7904 : CDCDCDCD; +7905 : CDCDCDCD; +7906 : CDCDCDCD; +7907 : CDCDCDCD; +7908 : CDCDCDCD; +7909 : CDCDCDCD; +790A : CDCDCDCD; +790B : CDCDCDCD; +790C : CDCDCDCD; +790D : CDCDCDCD; +790E : CDCDCDCD; +790F : CDCDCDCD; +7910 : CDCDCDCD; +7911 : CDCDCDCD; +7912 : CDCDCDCD; +7913 : CDCDCDCD; +7914 : CDCDCDCD; +7915 : CDCDCDCD; +7916 : CDCDCDCD; +7917 : CDCDCDCD; +7918 : CDCDCDCD; +7919 : CDCDCDCD; +791A : CDCDCDCD; +791B : CDCDCDCD; +791C : CDCDCDCD; +791D : CDCDCDCD; +791E : CDCDCDCD; +791F : CDCDCDCD; +7920 : CDCDCDCD; +7921 : CDCDCDCD; +7922 : CDCDCDCD; +7923 : CDCDCDCD; +7924 : CDCDCDCD; +7925 : CDCDCDCD; +7926 : CDCDCDCD; +7927 : CDCDCDCD; +7928 : CDCDCDCD; +7929 : CDCDCDCD; +792A : CDCDCDCD; +792B : CDCDCDCD; +792C : CDCDCDCD; +792D : CDCDCDCD; +792E : CDCDCDCD; +792F : CDCDCDCD; +7930 : CDCDCDCD; +7931 : CDCDCDCD; +7932 : CDCDCDCD; +7933 : CDCDCDCD; +7934 : CDCDCDCD; +7935 : CDCDCDCD; +7936 : CDCDCDCD; +7937 : CDCDCDCD; +7938 : CDCDCDCD; +7939 : CDCDCDCD; +793A : CDCDCDCD; +793B : CDCDCDCD; +793C : CDCDCDCD; +793D : CDCDCDCD; +793E : CDCDCDCD; +793F : CDCDCDCD; +7940 : CDCDCDCD; +7941 : CDCDCDCD; +7942 : CDCDCDCD; +7943 : CDCDCDCD; +7944 : CDCDCDCD; +7945 : CDCDCDCD; +7946 : CDCDCDCD; +7947 : CDCDCDCD; +7948 : CDCDCDCD; +7949 : CDCDCDCD; +794A : CDCDCDCD; +794B : CDCDCDCD; +794C : CDCDCDCD; +794D : CDCDCDCD; +794E : CDCDCDCD; +794F : CDCDCDCD; +7950 : CDCDCDCD; +7951 : CDCDCDCD; +7952 : CDCDCDCD; +7953 : CDCDCDCD; +7954 : CDCDCDCD; +7955 : CDCDCDCD; +7956 : CDCDCDCD; +7957 : CDCDCDCD; +7958 : CDCDCDCD; +7959 : CDCDCDCD; +795A : CDCDCDCD; +795B : CDCDCDCD; +795C : CDCDCDCD; +795D : CDCDCDCD; +795E : CDCDCDCD; +795F : CDCDCDCD; +7960 : CDCDCDCD; +7961 : CDCDCDCD; +7962 : CDCDCDCD; +7963 : CDCDCDCD; +7964 : CDCDCDCD; +7965 : CDCDCDCD; +7966 : CDCDCDCD; +7967 : CDCDCDCD; +7968 : CDCDCDCD; +7969 : CDCDCDCD; +796A : CDCDCDCD; +796B : CDCDCDCD; +796C : CDCDCDCD; +796D : CDCDCDCD; +796E : CDCDCDCD; +796F : CDCDCDCD; +7970 : CDCDCDCD; +7971 : CDCDCDCD; +7972 : CDCDCDCD; +7973 : CDCDCDCD; +7974 : CDCDCDCD; +7975 : CDCDCDCD; +7976 : CDCDCDCD; +7977 : CDCDCDCD; +7978 : CDCDCDCD; +7979 : CDCDCDCD; +797A : CDCDCDCD; +797B : CDCDCDCD; +797C : CDCDCDCD; +797D : CDCDCDCD; +797E : CDCDCDCD; +797F : CDCDCDCD; +7980 : CDCDCDCD; +7981 : CDCDCDCD; +7982 : CDCDCDCD; +7983 : CDCDCDCD; +7984 : CDCDCDCD; +7985 : CDCDCDCD; +7986 : CDCDCDCD; +7987 : CDCDCDCD; +7988 : CDCDCDCD; +7989 : CDCDCDCD; +798A : CDCDCDCD; +798B : CDCDCDCD; +798C : CDCDCDCD; +798D : CDCDCDCD; +798E : CDCDCDCD; +798F : CDCDCDCD; +7990 : CDCDCDCD; +7991 : CDCDCDCD; +7992 : CDCDCDCD; +7993 : CDCDCDCD; +7994 : CDCDCDCD; +7995 : CDCDCDCD; +7996 : CDCDCDCD; +7997 : CDCDCDCD; +7998 : CDCDCDCD; +7999 : CDCDCDCD; +799A : CDCDCDCD; +799B : CDCDCDCD; +799C : CDCDCDCD; +799D : CDCDCDCD; +799E : CDCDCDCD; +799F : CDCDCDCD; +79A0 : CDCDCDCD; +79A1 : CDCDCDCD; +79A2 : CDCDCDCD; +79A3 : CDCDCDCD; +79A4 : CDCDCDCD; +79A5 : CDCDCDCD; +79A6 : CDCDCDCD; +79A7 : CDCDCDCD; +79A8 : CDCDCDCD; +79A9 : CDCDCDCD; +79AA : CDCDCDCD; +79AB : CDCDCDCD; +79AC : CDCDCDCD; +79AD : CDCDCDCD; +79AE : CDCDCDCD; +79AF : CDCDCDCD; +79B0 : CDCDCDCD; +79B1 : CDCDCDCD; +79B2 : CDCDCDCD; +79B3 : CDCDCDCD; +79B4 : CDCDCDCD; +79B5 : CDCDCDCD; +79B6 : CDCDCDCD; +79B7 : CDCDCDCD; +79B8 : CDCDCDCD; +79B9 : CDCDCDCD; +79BA : CDCDCDCD; +79BB : CDCDCDCD; +79BC : CDCDCDCD; +79BD : CDCDCDCD; +79BE : CDCDCDCD; +79BF : CDCDCDCD; +79C0 : CDCDCDCD; +79C1 : CDCDCDCD; +79C2 : CDCDCDCD; +79C3 : CDCDCDCD; +79C4 : CDCDCDCD; +79C5 : CDCDCDCD; +79C6 : CDCDCDCD; +79C7 : CDCDCDCD; +79C8 : CDCDCDCD; +79C9 : CDCDCDCD; +79CA : CDCDCDCD; +79CB : CDCDCDCD; +79CC : CDCDCDCD; +79CD : CDCDCDCD; +79CE : CDCDCDCD; +79CF : CDCDCDCD; +79D0 : CDCDCDCD; +79D1 : CDCDCDCD; +79D2 : CDCDCDCD; +79D3 : CDCDCDCD; +79D4 : CDCDCDCD; +79D5 : CDCDCDCD; +79D6 : CDCDCDCD; +79D7 : CDCDCDCD; +79D8 : CDCDCDCD; +79D9 : CDCDCDCD; +79DA : CDCDCDCD; +79DB : CDCDCDCD; +79DC : CDCDCDCD; +79DD : CDCDCDCD; +79DE : CDCDCDCD; +79DF : CDCDCDCD; +79E0 : CDCDCDCD; +79E1 : CDCDCDCD; +79E2 : CDCDCDCD; +79E3 : CDCDCDCD; +79E4 : CDCDCDCD; +79E5 : CDCDCDCD; +79E6 : CDCDCDCD; +79E7 : CDCDCDCD; +79E8 : CDCDCDCD; +79E9 : CDCDCDCD; +79EA : CDCDCDCD; +79EB : CDCDCDCD; +79EC : CDCDCDCD; +79ED : CDCDCDCD; +79EE : CDCDCDCD; +79EF : CDCDCDCD; +79F0 : CDCDCDCD; +79F1 : CDCDCDCD; +79F2 : CDCDCDCD; +79F3 : CDCDCDCD; +79F4 : CDCDCDCD; +79F5 : CDCDCDCD; +79F6 : CDCDCDCD; +79F7 : CDCDCDCD; +79F8 : CDCDCDCD; +79F9 : CDCDCDCD; +79FA : CDCDCDCD; +79FB : CDCDCDCD; +79FC : CDCDCDCD; +79FD : CDCDCDCD; +79FE : CDCDCDCD; +79FF : CDCDCDCD; +7A00 : CDCDCDCD; +7A01 : CDCDCDCD; +7A02 : CDCDCDCD; +7A03 : CDCDCDCD; +7A04 : CDCDCDCD; +7A05 : CDCDCDCD; +7A06 : CDCDCDCD; +7A07 : CDCDCDCD; +7A08 : CDCDCDCD; +7A09 : CDCDCDCD; +7A0A : CDCDCDCD; +7A0B : CDCDCDCD; +7A0C : CDCDCDCD; +7A0D : CDCDCDCD; +7A0E : CDCDCDCD; +7A0F : CDCDCDCD; +7A10 : CDCDCDCD; +7A11 : CDCDCDCD; +7A12 : CDCDCDCD; +7A13 : CDCDCDCD; +7A14 : CDCDCDCD; +7A15 : CDCDCDCD; +7A16 : CDCDCDCD; +7A17 : CDCDCDCD; +7A18 : CDCDCDCD; +7A19 : CDCDCDCD; +7A1A : CDCDCDCD; +7A1B : CDCDCDCD; +7A1C : CDCDCDCD; +7A1D : CDCDCDCD; +7A1E : CDCDCDCD; +7A1F : CDCDCDCD; +7A20 : CDCDCDCD; +7A21 : CDCDCDCD; +7A22 : CDCDCDCD; +7A23 : CDCDCDCD; +7A24 : CDCDCDCD; +7A25 : CDCDCDCD; +7A26 : CDCDCDCD; +7A27 : CDCDCDCD; +7A28 : CDCDCDCD; +7A29 : CDCDCDCD; +7A2A : CDCDCDCD; +7A2B : CDCDCDCD; +7A2C : CDCDCDCD; +7A2D : CDCDCDCD; +7A2E : CDCDCDCD; +7A2F : CDCDCDCD; +7A30 : CDCDCDCD; +7A31 : CDCDCDCD; +7A32 : CDCDCDCD; +7A33 : CDCDCDCD; +7A34 : CDCDCDCD; +7A35 : CDCDCDCD; +7A36 : CDCDCDCD; +7A37 : CDCDCDCD; +7A38 : CDCDCDCD; +7A39 : CDCDCDCD; +7A3A : CDCDCDCD; +7A3B : CDCDCDCD; +7A3C : CDCDCDCD; +7A3D : CDCDCDCD; +7A3E : CDCDCDCD; +7A3F : CDCDCDCD; +7A40 : CDCDCDCD; +7A41 : CDCDCDCD; +7A42 : CDCDCDCD; +7A43 : CDCDCDCD; +7A44 : CDCDCDCD; +7A45 : CDCDCDCD; +7A46 : CDCDCDCD; +7A47 : CDCDCDCD; +7A48 : CDCDCDCD; +7A49 : CDCDCDCD; +7A4A : CDCDCDCD; +7A4B : CDCDCDCD; +7A4C : CDCDCDCD; +7A4D : CDCDCDCD; +7A4E : CDCDCDCD; +7A4F : CDCDCDCD; +7A50 : CDCDCDCD; +7A51 : CDCDCDCD; +7A52 : CDCDCDCD; +7A53 : CDCDCDCD; +7A54 : CDCDCDCD; +7A55 : CDCDCDCD; +7A56 : CDCDCDCD; +7A57 : CDCDCDCD; +7A58 : CDCDCDCD; +7A59 : CDCDCDCD; +7A5A : CDCDCDCD; +7A5B : CDCDCDCD; +7A5C : CDCDCDCD; +7A5D : CDCDCDCD; +7A5E : CDCDCDCD; +7A5F : CDCDCDCD; +7A60 : CDCDCDCD; +7A61 : CDCDCDCD; +7A62 : CDCDCDCD; +7A63 : CDCDCDCD; +7A64 : CDCDCDCD; +7A65 : CDCDCDCD; +7A66 : CDCDCDCD; +7A67 : CDCDCDCD; +7A68 : CDCDCDCD; +7A69 : CDCDCDCD; +7A6A : CDCDCDCD; +7A6B : CDCDCDCD; +7A6C : CDCDCDCD; +7A6D : CDCDCDCD; +7A6E : CDCDCDCD; +7A6F : CDCDCDCD; +7A70 : CDCDCDCD; +7A71 : CDCDCDCD; +7A72 : CDCDCDCD; +7A73 : CDCDCDCD; +7A74 : CDCDCDCD; +7A75 : CDCDCDCD; +7A76 : CDCDCDCD; +7A77 : CDCDCDCD; +7A78 : CDCDCDCD; +7A79 : CDCDCDCD; +7A7A : CDCDCDCD; +7A7B : CDCDCDCD; +7A7C : CDCDCDCD; +7A7D : CDCDCDCD; +7A7E : CDCDCDCD; +7A7F : CDCDCDCD; +7A80 : CDCDCDCD; +7A81 : CDCDCDCD; +7A82 : CDCDCDCD; +7A83 : CDCDCDCD; +7A84 : CDCDCDCD; +7A85 : CDCDCDCD; +7A86 : CDCDCDCD; +7A87 : CDCDCDCD; +7A88 : CDCDCDCD; +7A89 : CDCDCDCD; +7A8A : CDCDCDCD; +7A8B : CDCDCDCD; +7A8C : CDCDCDCD; +7A8D : CDCDCDCD; +7A8E : CDCDCDCD; +7A8F : CDCDCDCD; +7A90 : CDCDCDCD; +7A91 : CDCDCDCD; +7A92 : CDCDCDCD; +7A93 : CDCDCDCD; +7A94 : CDCDCDCD; +7A95 : CDCDCDCD; +7A96 : CDCDCDCD; +7A97 : CDCDCDCD; +7A98 : CDCDCDCD; +7A99 : CDCDCDCD; +7A9A : CDCDCDCD; +7A9B : CDCDCDCD; +7A9C : CDCDCDCD; +7A9D : CDCDCDCD; +7A9E : CDCDCDCD; +7A9F : CDCDCDCD; +7AA0 : CDCDCDCD; +7AA1 : CDCDCDCD; +7AA2 : CDCDCDCD; +7AA3 : CDCDCDCD; +7AA4 : CDCDCDCD; +7AA5 : CDCDCDCD; +7AA6 : CDCDCDCD; +7AA7 : CDCDCDCD; +7AA8 : CDCDCDCD; +7AA9 : CDCDCDCD; +7AAA : CDCDCDCD; +7AAB : CDCDCDCD; +7AAC : CDCDCDCD; +7AAD : CDCDCDCD; +7AAE : CDCDCDCD; +7AAF : CDCDCDCD; +7AB0 : CDCDCDCD; +7AB1 : CDCDCDCD; +7AB2 : CDCDCDCD; +7AB3 : CDCDCDCD; +7AB4 : CDCDCDCD; +7AB5 : CDCDCDCD; +7AB6 : CDCDCDCD; +7AB7 : CDCDCDCD; +7AB8 : CDCDCDCD; +7AB9 : CDCDCDCD; +7ABA : CDCDCDCD; +7ABB : CDCDCDCD; +7ABC : CDCDCDCD; +7ABD : CDCDCDCD; +7ABE : CDCDCDCD; +7ABF : CDCDCDCD; +7AC0 : CDCDCDCD; +7AC1 : CDCDCDCD; +7AC2 : CDCDCDCD; +7AC3 : CDCDCDCD; +7AC4 : CDCDCDCD; +7AC5 : CDCDCDCD; +7AC6 : CDCDCDCD; +7AC7 : CDCDCDCD; +7AC8 : CDCDCDCD; +7AC9 : CDCDCDCD; +7ACA : CDCDCDCD; +7ACB : CDCDCDCD; +7ACC : CDCDCDCD; +7ACD : CDCDCDCD; +7ACE : CDCDCDCD; +7ACF : CDCDCDCD; +7AD0 : CDCDCDCD; +7AD1 : CDCDCDCD; +7AD2 : CDCDCDCD; +7AD3 : CDCDCDCD; +7AD4 : CDCDCDCD; +7AD5 : CDCDCDCD; +7AD6 : CDCDCDCD; +7AD7 : CDCDCDCD; +7AD8 : CDCDCDCD; +7AD9 : CDCDCDCD; +7ADA : CDCDCDCD; +7ADB : CDCDCDCD; +7ADC : CDCDCDCD; +7ADD : CDCDCDCD; +7ADE : CDCDCDCD; +7ADF : CDCDCDCD; +7AE0 : CDCDCDCD; +7AE1 : CDCDCDCD; +7AE2 : CDCDCDCD; +7AE3 : CDCDCDCD; +7AE4 : CDCDCDCD; +7AE5 : CDCDCDCD; +7AE6 : CDCDCDCD; +7AE7 : CDCDCDCD; +7AE8 : CDCDCDCD; +7AE9 : CDCDCDCD; +7AEA : CDCDCDCD; +7AEB : CDCDCDCD; +7AEC : CDCDCDCD; +7AED : CDCDCDCD; +7AEE : CDCDCDCD; +7AEF : CDCDCDCD; +7AF0 : CDCDCDCD; +7AF1 : CDCDCDCD; +7AF2 : CDCDCDCD; +7AF3 : CDCDCDCD; +7AF4 : CDCDCDCD; +7AF5 : CDCDCDCD; +7AF6 : CDCDCDCD; +7AF7 : CDCDCDCD; +7AF8 : CDCDCDCD; +7AF9 : CDCDCDCD; +7AFA : CDCDCDCD; +7AFB : CDCDCDCD; +7AFC : CDCDCDCD; +7AFD : CDCDCDCD; +7AFE : CDCDCDCD; +7AFF : CDCDCDCD; +7B00 : CDCDCDCD; +7B01 : CDCDCDCD; +7B02 : CDCDCDCD; +7B03 : CDCDCDCD; +7B04 : CDCDCDCD; +7B05 : CDCDCDCD; +7B06 : CDCDCDCD; +7B07 : CDCDCDCD; +7B08 : CDCDCDCD; +7B09 : CDCDCDCD; +7B0A : CDCDCDCD; +7B0B : CDCDCDCD; +7B0C : CDCDCDCD; +7B0D : CDCDCDCD; +7B0E : CDCDCDCD; +7B0F : CDCDCDCD; +7B10 : CDCDCDCD; +7B11 : CDCDCDCD; +7B12 : CDCDCDCD; +7B13 : CDCDCDCD; +7B14 : CDCDCDCD; +7B15 : CDCDCDCD; +7B16 : CDCDCDCD; +7B17 : CDCDCDCD; +7B18 : CDCDCDCD; +7B19 : CDCDCDCD; +7B1A : CDCDCDCD; +7B1B : CDCDCDCD; +7B1C : CDCDCDCD; +7B1D : CDCDCDCD; +7B1E : CDCDCDCD; +7B1F : CDCDCDCD; +7B20 : CDCDCDCD; +7B21 : CDCDCDCD; +7B22 : CDCDCDCD; +7B23 : CDCDCDCD; +7B24 : CDCDCDCD; +7B25 : CDCDCDCD; +7B26 : CDCDCDCD; +7B27 : CDCDCDCD; +7B28 : CDCDCDCD; +7B29 : CDCDCDCD; +7B2A : CDCDCDCD; +7B2B : CDCDCDCD; +7B2C : CDCDCDCD; +7B2D : CDCDCDCD; +7B2E : CDCDCDCD; +7B2F : CDCDCDCD; +7B30 : CDCDCDCD; +7B31 : CDCDCDCD; +7B32 : CDCDCDCD; +7B33 : CDCDCDCD; +7B34 : CDCDCDCD; +7B35 : CDCDCDCD; +7B36 : CDCDCDCD; +7B37 : CDCDCDCD; +7B38 : CDCDCDCD; +7B39 : CDCDCDCD; +7B3A : CDCDCDCD; +7B3B : CDCDCDCD; +7B3C : CDCDCDCD; +7B3D : CDCDCDCD; +7B3E : CDCDCDCD; +7B3F : CDCDCDCD; +7B40 : CDCDCDCD; +7B41 : CDCDCDCD; +7B42 : CDCDCDCD; +7B43 : CDCDCDCD; +7B44 : CDCDCDCD; +7B45 : CDCDCDCD; +7B46 : CDCDCDCD; +7B47 : CDCDCDCD; +7B48 : CDCDCDCD; +7B49 : CDCDCDCD; +7B4A : CDCDCDCD; +7B4B : CDCDCDCD; +7B4C : CDCDCDCD; +7B4D : CDCDCDCD; +7B4E : CDCDCDCD; +7B4F : CDCDCDCD; +7B50 : CDCDCDCD; +7B51 : CDCDCDCD; +7B52 : CDCDCDCD; +7B53 : CDCDCDCD; +7B54 : CDCDCDCD; +7B55 : CDCDCDCD; +7B56 : CDCDCDCD; +7B57 : CDCDCDCD; +7B58 : CDCDCDCD; +7B59 : CDCDCDCD; +7B5A : CDCDCDCD; +7B5B : CDCDCDCD; +7B5C : CDCDCDCD; +7B5D : CDCDCDCD; +7B5E : CDCDCDCD; +7B5F : CDCDCDCD; +7B60 : CDCDCDCD; +7B61 : CDCDCDCD; +7B62 : CDCDCDCD; +7B63 : CDCDCDCD; +7B64 : CDCDCDCD; +7B65 : CDCDCDCD; +7B66 : CDCDCDCD; +7B67 : CDCDCDCD; +7B68 : CDCDCDCD; +7B69 : CDCDCDCD; +7B6A : CDCDCDCD; +7B6B : CDCDCDCD; +7B6C : CDCDCDCD; +7B6D : CDCDCDCD; +7B6E : CDCDCDCD; +7B6F : CDCDCDCD; +7B70 : CDCDCDCD; +7B71 : CDCDCDCD; +7B72 : CDCDCDCD; +7B73 : CDCDCDCD; +7B74 : CDCDCDCD; +7B75 : CDCDCDCD; +7B76 : CDCDCDCD; +7B77 : CDCDCDCD; +7B78 : CDCDCDCD; +7B79 : CDCDCDCD; +7B7A : CDCDCDCD; +7B7B : CDCDCDCD; +7B7C : CDCDCDCD; +7B7D : CDCDCDCD; +7B7E : CDCDCDCD; +7B7F : CDCDCDCD; +7B80 : CDCDCDCD; +7B81 : CDCDCDCD; +7B82 : CDCDCDCD; +7B83 : CDCDCDCD; +7B84 : CDCDCDCD; +7B85 : CDCDCDCD; +7B86 : CDCDCDCD; +7B87 : CDCDCDCD; +7B88 : CDCDCDCD; +7B89 : CDCDCDCD; +7B8A : CDCDCDCD; +7B8B : CDCDCDCD; +7B8C : CDCDCDCD; +7B8D : CDCDCDCD; +7B8E : CDCDCDCD; +7B8F : CDCDCDCD; +7B90 : CDCDCDCD; +7B91 : CDCDCDCD; +7B92 : CDCDCDCD; +7B93 : CDCDCDCD; +7B94 : CDCDCDCD; +7B95 : CDCDCDCD; +7B96 : CDCDCDCD; +7B97 : CDCDCDCD; +7B98 : CDCDCDCD; +7B99 : CDCDCDCD; +7B9A : CDCDCDCD; +7B9B : CDCDCDCD; +7B9C : CDCDCDCD; +7B9D : CDCDCDCD; +7B9E : CDCDCDCD; +7B9F : CDCDCDCD; +7BA0 : CDCDCDCD; +7BA1 : CDCDCDCD; +7BA2 : CDCDCDCD; +7BA3 : CDCDCDCD; +7BA4 : CDCDCDCD; +7BA5 : CDCDCDCD; +7BA6 : CDCDCDCD; +7BA7 : CDCDCDCD; +7BA8 : CDCDCDCD; +7BA9 : CDCDCDCD; +7BAA : CDCDCDCD; +7BAB : CDCDCDCD; +7BAC : CDCDCDCD; +7BAD : CDCDCDCD; +7BAE : CDCDCDCD; +7BAF : CDCDCDCD; +7BB0 : CDCDCDCD; +7BB1 : CDCDCDCD; +7BB2 : CDCDCDCD; +7BB3 : CDCDCDCD; +7BB4 : CDCDCDCD; +7BB5 : CDCDCDCD; +7BB6 : CDCDCDCD; +7BB7 : CDCDCDCD; +7BB8 : CDCDCDCD; +7BB9 : CDCDCDCD; +7BBA : CDCDCDCD; +7BBB : CDCDCDCD; +7BBC : CDCDCDCD; +7BBD : CDCDCDCD; +7BBE : CDCDCDCD; +7BBF : CDCDCDCD; +7BC0 : CDCDCDCD; +7BC1 : CDCDCDCD; +7BC2 : CDCDCDCD; +7BC3 : CDCDCDCD; +7BC4 : CDCDCDCD; +7BC5 : CDCDCDCD; +7BC6 : CDCDCDCD; +7BC7 : CDCDCDCD; +7BC8 : CDCDCDCD; +7BC9 : CDCDCDCD; +7BCA : CDCDCDCD; +7BCB : CDCDCDCD; +7BCC : CDCDCDCD; +7BCD : CDCDCDCD; +7BCE : CDCDCDCD; +7BCF : CDCDCDCD; +7BD0 : CDCDCDCD; +7BD1 : CDCDCDCD; +7BD2 : CDCDCDCD; +7BD3 : CDCDCDCD; +7BD4 : CDCDCDCD; +7BD5 : CDCDCDCD; +7BD6 : CDCDCDCD; +7BD7 : CDCDCDCD; +7BD8 : CDCDCDCD; +7BD9 : CDCDCDCD; +7BDA : CDCDCDCD; +7BDB : CDCDCDCD; +7BDC : CDCDCDCD; +7BDD : CDCDCDCD; +7BDE : CDCDCDCD; +7BDF : CDCDCDCD; +7BE0 : CDCDCDCD; +7BE1 : CDCDCDCD; +7BE2 : CDCDCDCD; +7BE3 : CDCDCDCD; +7BE4 : CDCDCDCD; +7BE5 : CDCDCDCD; +7BE6 : CDCDCDCD; +7BE7 : CDCDCDCD; +7BE8 : CDCDCDCD; +7BE9 : CDCDCDCD; +7BEA : CDCDCDCD; +7BEB : CDCDCDCD; +7BEC : CDCDCDCD; +7BED : CDCDCDCD; +7BEE : CDCDCDCD; +7BEF : CDCDCDCD; +7BF0 : CDCDCDCD; +7BF1 : CDCDCDCD; +7BF2 : CDCDCDCD; +7BF3 : CDCDCDCD; +7BF4 : CDCDCDCD; +7BF5 : CDCDCDCD; +7BF6 : CDCDCDCD; +7BF7 : CDCDCDCD; +7BF8 : CDCDCDCD; +7BF9 : CDCDCDCD; +7BFA : CDCDCDCD; +7BFB : CDCDCDCD; +7BFC : CDCDCDCD; +7BFD : CDCDCDCD; +7BFE : CDCDCDCD; +7BFF : CDCDCDCD; +7C00 : CDCDCDCD; +7C01 : CDCDCDCD; +7C02 : CDCDCDCD; +7C03 : CDCDCDCD; +7C04 : CDCDCDCD; +7C05 : CDCDCDCD; +7C06 : CDCDCDCD; +7C07 : CDCDCDCD; +7C08 : CDCDCDCD; +7C09 : CDCDCDCD; +7C0A : CDCDCDCD; +7C0B : CDCDCDCD; +7C0C : CDCDCDCD; +7C0D : CDCDCDCD; +7C0E : CDCDCDCD; +7C0F : CDCDCDCD; +7C10 : CDCDCDCD; +7C11 : CDCDCDCD; +7C12 : CDCDCDCD; +7C13 : CDCDCDCD; +7C14 : CDCDCDCD; +7C15 : CDCDCDCD; +7C16 : CDCDCDCD; +7C17 : CDCDCDCD; +7C18 : CDCDCDCD; +7C19 : CDCDCDCD; +7C1A : CDCDCDCD; +7C1B : CDCDCDCD; +7C1C : CDCDCDCD; +7C1D : CDCDCDCD; +7C1E : CDCDCDCD; +7C1F : CDCDCDCD; +7C20 : CDCDCDCD; +7C21 : CDCDCDCD; +7C22 : CDCDCDCD; +7C23 : CDCDCDCD; +7C24 : CDCDCDCD; +7C25 : CDCDCDCD; +7C26 : CDCDCDCD; +7C27 : CDCDCDCD; +7C28 : CDCDCDCD; +7C29 : CDCDCDCD; +7C2A : CDCDCDCD; +7C2B : CDCDCDCD; +7C2C : CDCDCDCD; +7C2D : CDCDCDCD; +7C2E : CDCDCDCD; +7C2F : CDCDCDCD; +7C30 : CDCDCDCD; +7C31 : CDCDCDCD; +7C32 : CDCDCDCD; +7C33 : CDCDCDCD; +7C34 : CDCDCDCD; +7C35 : CDCDCDCD; +7C36 : CDCDCDCD; +7C37 : CDCDCDCD; +7C38 : CDCDCDCD; +7C39 : CDCDCDCD; +7C3A : CDCDCDCD; +7C3B : CDCDCDCD; +7C3C : CDCDCDCD; +7C3D : CDCDCDCD; +7C3E : CDCDCDCD; +7C3F : CDCDCDCD; +7C40 : CDCDCDCD; +7C41 : CDCDCDCD; +7C42 : CDCDCDCD; +7C43 : CDCDCDCD; +7C44 : CDCDCDCD; +7C45 : CDCDCDCD; +7C46 : CDCDCDCD; +7C47 : CDCDCDCD; +7C48 : CDCDCDCD; +7C49 : CDCDCDCD; +7C4A : CDCDCDCD; +7C4B : CDCDCDCD; +7C4C : CDCDCDCD; +7C4D : CDCDCDCD; +7C4E : CDCDCDCD; +7C4F : CDCDCDCD; +7C50 : CDCDCDCD; +7C51 : CDCDCDCD; +7C52 : CDCDCDCD; +7C53 : CDCDCDCD; +7C54 : CDCDCDCD; +7C55 : CDCDCDCD; +7C56 : CDCDCDCD; +7C57 : CDCDCDCD; +7C58 : CDCDCDCD; +7C59 : CDCDCDCD; +7C5A : CDCDCDCD; +7C5B : CDCDCDCD; +7C5C : CDCDCDCD; +7C5D : CDCDCDCD; +7C5E : CDCDCDCD; +7C5F : CDCDCDCD; +7C60 : CDCDCDCD; +7C61 : CDCDCDCD; +7C62 : CDCDCDCD; +7C63 : CDCDCDCD; +7C64 : CDCDCDCD; +7C65 : CDCDCDCD; +7C66 : CDCDCDCD; +7C67 : CDCDCDCD; +7C68 : CDCDCDCD; +7C69 : CDCDCDCD; +7C6A : CDCDCDCD; +7C6B : CDCDCDCD; +7C6C : CDCDCDCD; +7C6D : CDCDCDCD; +7C6E : CDCDCDCD; +7C6F : CDCDCDCD; +7C70 : CDCDCDCD; +7C71 : CDCDCDCD; +7C72 : CDCDCDCD; +7C73 : CDCDCDCD; +7C74 : CDCDCDCD; +7C75 : CDCDCDCD; +7C76 : CDCDCDCD; +7C77 : CDCDCDCD; +7C78 : CDCDCDCD; +7C79 : CDCDCDCD; +7C7A : CDCDCDCD; +7C7B : CDCDCDCD; +7C7C : CDCDCDCD; +7C7D : CDCDCDCD; +7C7E : CDCDCDCD; +7C7F : CDCDCDCD; +7C80 : CDCDCDCD; +7C81 : CDCDCDCD; +7C82 : CDCDCDCD; +7C83 : CDCDCDCD; +7C84 : CDCDCDCD; +7C85 : CDCDCDCD; +7C86 : CDCDCDCD; +7C87 : CDCDCDCD; +7C88 : CDCDCDCD; +7C89 : CDCDCDCD; +7C8A : CDCDCDCD; +7C8B : CDCDCDCD; +7C8C : CDCDCDCD; +7C8D : CDCDCDCD; +7C8E : CDCDCDCD; +7C8F : CDCDCDCD; +7C90 : CDCDCDCD; +7C91 : CDCDCDCD; +7C92 : CDCDCDCD; +7C93 : CDCDCDCD; +7C94 : CDCDCDCD; +7C95 : CDCDCDCD; +7C96 : CDCDCDCD; +7C97 : CDCDCDCD; +7C98 : CDCDCDCD; +7C99 : CDCDCDCD; +7C9A : CDCDCDCD; +7C9B : CDCDCDCD; +7C9C : CDCDCDCD; +7C9D : CDCDCDCD; +7C9E : CDCDCDCD; +7C9F : CDCDCDCD; +7CA0 : CDCDCDCD; +7CA1 : CDCDCDCD; +7CA2 : CDCDCDCD; +7CA3 : CDCDCDCD; +7CA4 : CDCDCDCD; +7CA5 : CDCDCDCD; +7CA6 : CDCDCDCD; +7CA7 : CDCDCDCD; +7CA8 : CDCDCDCD; +7CA9 : CDCDCDCD; +7CAA : CDCDCDCD; +7CAB : CDCDCDCD; +7CAC : CDCDCDCD; +7CAD : CDCDCDCD; +7CAE : CDCDCDCD; +7CAF : CDCDCDCD; +7CB0 : CDCDCDCD; +7CB1 : CDCDCDCD; +7CB2 : CDCDCDCD; +7CB3 : CDCDCDCD; +7CB4 : CDCDCDCD; +7CB5 : CDCDCDCD; +7CB6 : CDCDCDCD; +7CB7 : CDCDCDCD; +7CB8 : CDCDCDCD; +7CB9 : CDCDCDCD; +7CBA : CDCDCDCD; +7CBB : CDCDCDCD; +7CBC : CDCDCDCD; +7CBD : CDCDCDCD; +7CBE : CDCDCDCD; +7CBF : CDCDCDCD; +7CC0 : CDCDCDCD; +7CC1 : CDCDCDCD; +7CC2 : CDCDCDCD; +7CC3 : CDCDCDCD; +7CC4 : CDCDCDCD; +7CC5 : CDCDCDCD; +7CC6 : CDCDCDCD; +7CC7 : CDCDCDCD; +7CC8 : CDCDCDCD; +7CC9 : CDCDCDCD; +7CCA : CDCDCDCD; +7CCB : CDCDCDCD; +7CCC : CDCDCDCD; +7CCD : CDCDCDCD; +7CCE : CDCDCDCD; +7CCF : CDCDCDCD; +7CD0 : CDCDCDCD; +7CD1 : CDCDCDCD; +7CD2 : CDCDCDCD; +7CD3 : CDCDCDCD; +7CD4 : CDCDCDCD; +7CD5 : CDCDCDCD; +7CD6 : CDCDCDCD; +7CD7 : CDCDCDCD; +7CD8 : CDCDCDCD; +7CD9 : CDCDCDCD; +7CDA : CDCDCDCD; +7CDB : CDCDCDCD; +7CDC : CDCDCDCD; +7CDD : CDCDCDCD; +7CDE : CDCDCDCD; +7CDF : CDCDCDCD; +7CE0 : CDCDCDCD; +7CE1 : CDCDCDCD; +7CE2 : CDCDCDCD; +7CE3 : CDCDCDCD; +7CE4 : CDCDCDCD; +7CE5 : CDCDCDCD; +7CE6 : CDCDCDCD; +7CE7 : CDCDCDCD; +7CE8 : CDCDCDCD; +7CE9 : CDCDCDCD; +7CEA : CDCDCDCD; +7CEB : CDCDCDCD; +7CEC : CDCDCDCD; +7CED : CDCDCDCD; +7CEE : CDCDCDCD; +7CEF : CDCDCDCD; +7CF0 : CDCDCDCD; +7CF1 : CDCDCDCD; +7CF2 : CDCDCDCD; +7CF3 : CDCDCDCD; +7CF4 : CDCDCDCD; +7CF5 : CDCDCDCD; +7CF6 : CDCDCDCD; +7CF7 : CDCDCDCD; +7CF8 : CDCDCDCD; +7CF9 : CDCDCDCD; +7CFA : CDCDCDCD; +7CFB : CDCDCDCD; +7CFC : CDCDCDCD; +7CFD : CDCDCDCD; +7CFE : CDCDCDCD; +7CFF : CDCDCDCD; +7D00 : CDCDCDCD; +7D01 : CDCDCDCD; +7D02 : CDCDCDCD; +7D03 : CDCDCDCD; +7D04 : CDCDCDCD; +7D05 : CDCDCDCD; +7D06 : CDCDCDCD; +7D07 : CDCDCDCD; +7D08 : CDCDCDCD; +7D09 : CDCDCDCD; +7D0A : CDCDCDCD; +7D0B : CDCDCDCD; +7D0C : CDCDCDCD; +7D0D : CDCDCDCD; +7D0E : CDCDCDCD; +7D0F : CDCDCDCD; +7D10 : CDCDCDCD; +7D11 : CDCDCDCD; +7D12 : CDCDCDCD; +7D13 : CDCDCDCD; +7D14 : CDCDCDCD; +7D15 : CDCDCDCD; +7D16 : CDCDCDCD; +7D17 : CDCDCDCD; +7D18 : CDCDCDCD; +7D19 : CDCDCDCD; +7D1A : CDCDCDCD; +7D1B : CDCDCDCD; +7D1C : CDCDCDCD; +7D1D : CDCDCDCD; +7D1E : CDCDCDCD; +7D1F : CDCDCDCD; +7D20 : CDCDCDCD; +7D21 : CDCDCDCD; +7D22 : CDCDCDCD; +7D23 : CDCDCDCD; +7D24 : CDCDCDCD; +7D25 : CDCDCDCD; +7D26 : CDCDCDCD; +7D27 : CDCDCDCD; +7D28 : CDCDCDCD; +7D29 : CDCDCDCD; +7D2A : CDCDCDCD; +7D2B : CDCDCDCD; +7D2C : CDCDCDCD; +7D2D : CDCDCDCD; +7D2E : CDCDCDCD; +7D2F : CDCDCDCD; +7D30 : CDCDCDCD; +7D31 : CDCDCDCD; +7D32 : CDCDCDCD; +7D33 : CDCDCDCD; +7D34 : CDCDCDCD; +7D35 : CDCDCDCD; +7D36 : CDCDCDCD; +7D37 : CDCDCDCD; +7D38 : CDCDCDCD; +7D39 : CDCDCDCD; +7D3A : CDCDCDCD; +7D3B : CDCDCDCD; +7D3C : CDCDCDCD; +7D3D : CDCDCDCD; +7D3E : CDCDCDCD; +7D3F : CDCDCDCD; +7D40 : CDCDCDCD; +7D41 : CDCDCDCD; +7D42 : CDCDCDCD; +7D43 : CDCDCDCD; +7D44 : CDCDCDCD; +7D45 : CDCDCDCD; +7D46 : CDCDCDCD; +7D47 : CDCDCDCD; +7D48 : CDCDCDCD; +7D49 : CDCDCDCD; +7D4A : CDCDCDCD; +7D4B : CDCDCDCD; +7D4C : CDCDCDCD; +7D4D : CDCDCDCD; +7D4E : CDCDCDCD; +7D4F : CDCDCDCD; +7D50 : CDCDCDCD; +7D51 : CDCDCDCD; +7D52 : CDCDCDCD; +7D53 : CDCDCDCD; +7D54 : CDCDCDCD; +7D55 : CDCDCDCD; +7D56 : CDCDCDCD; +7D57 : CDCDCDCD; +7D58 : CDCDCDCD; +7D59 : CDCDCDCD; +7D5A : CDCDCDCD; +7D5B : CDCDCDCD; +7D5C : CDCDCDCD; +7D5D : CDCDCDCD; +7D5E : CDCDCDCD; +7D5F : CDCDCDCD; +7D60 : CDCDCDCD; +7D61 : CDCDCDCD; +7D62 : CDCDCDCD; +7D63 : CDCDCDCD; +7D64 : CDCDCDCD; +7D65 : CDCDCDCD; +7D66 : CDCDCDCD; +7D67 : CDCDCDCD; +7D68 : CDCDCDCD; +7D69 : CDCDCDCD; +7D6A : CDCDCDCD; +7D6B : CDCDCDCD; +7D6C : CDCDCDCD; +7D6D : CDCDCDCD; +7D6E : CDCDCDCD; +7D6F : CDCDCDCD; +7D70 : CDCDCDCD; +7D71 : CDCDCDCD; +7D72 : CDCDCDCD; +7D73 : CDCDCDCD; +7D74 : CDCDCDCD; +7D75 : CDCDCDCD; +7D76 : CDCDCDCD; +7D77 : CDCDCDCD; +7D78 : CDCDCDCD; +7D79 : CDCDCDCD; +7D7A : CDCDCDCD; +7D7B : CDCDCDCD; +7D7C : CDCDCDCD; +7D7D : CDCDCDCD; +7D7E : CDCDCDCD; +7D7F : CDCDCDCD; +7D80 : CDCDCDCD; +7D81 : CDCDCDCD; +7D82 : CDCDCDCD; +7D83 : CDCDCDCD; +7D84 : CDCDCDCD; +7D85 : CDCDCDCD; +7D86 : CDCDCDCD; +7D87 : CDCDCDCD; +7D88 : CDCDCDCD; +7D89 : CDCDCDCD; +7D8A : CDCDCDCD; +7D8B : CDCDCDCD; +7D8C : CDCDCDCD; +7D8D : CDCDCDCD; +7D8E : CDCDCDCD; +7D8F : CDCDCDCD; +7D90 : CDCDCDCD; +7D91 : CDCDCDCD; +7D92 : CDCDCDCD; +7D93 : CDCDCDCD; +7D94 : CDCDCDCD; +7D95 : CDCDCDCD; +7D96 : CDCDCDCD; +7D97 : CDCDCDCD; +7D98 : CDCDCDCD; +7D99 : CDCDCDCD; +7D9A : CDCDCDCD; +7D9B : CDCDCDCD; +7D9C : CDCDCDCD; +7D9D : CDCDCDCD; +7D9E : CDCDCDCD; +7D9F : CDCDCDCD; +7DA0 : CDCDCDCD; +7DA1 : CDCDCDCD; +7DA2 : CDCDCDCD; +7DA3 : CDCDCDCD; +7DA4 : CDCDCDCD; +7DA5 : CDCDCDCD; +7DA6 : CDCDCDCD; +7DA7 : CDCDCDCD; +7DA8 : CDCDCDCD; +7DA9 : CDCDCDCD; +7DAA : CDCDCDCD; +7DAB : CDCDCDCD; +7DAC : CDCDCDCD; +7DAD : CDCDCDCD; +7DAE : CDCDCDCD; +7DAF : CDCDCDCD; +7DB0 : CDCDCDCD; +7DB1 : CDCDCDCD; +7DB2 : CDCDCDCD; +7DB3 : CDCDCDCD; +7DB4 : CDCDCDCD; +7DB5 : CDCDCDCD; +7DB6 : CDCDCDCD; +7DB7 : CDCDCDCD; +7DB8 : CDCDCDCD; +7DB9 : CDCDCDCD; +7DBA : CDCDCDCD; +7DBB : CDCDCDCD; +7DBC : CDCDCDCD; +7DBD : CDCDCDCD; +7DBE : CDCDCDCD; +7DBF : CDCDCDCD; +7DC0 : CDCDCDCD; +7DC1 : CDCDCDCD; +7DC2 : CDCDCDCD; +7DC3 : CDCDCDCD; +7DC4 : CDCDCDCD; +7DC5 : CDCDCDCD; +7DC6 : CDCDCDCD; +7DC7 : CDCDCDCD; +7DC8 : CDCDCDCD; +7DC9 : CDCDCDCD; +7DCA : CDCDCDCD; +7DCB : CDCDCDCD; +7DCC : CDCDCDCD; +7DCD : CDCDCDCD; +7DCE : CDCDCDCD; +7DCF : CDCDCDCD; +7DD0 : CDCDCDCD; +7DD1 : CDCDCDCD; +7DD2 : CDCDCDCD; +7DD3 : CDCDCDCD; +7DD4 : CDCDCDCD; +7DD5 : CDCDCDCD; +7DD6 : CDCDCDCD; +7DD7 : CDCDCDCD; +7DD8 : CDCDCDCD; +7DD9 : CDCDCDCD; +7DDA : CDCDCDCD; +7DDB : CDCDCDCD; +7DDC : CDCDCDCD; +7DDD : CDCDCDCD; +7DDE : CDCDCDCD; +7DDF : CDCDCDCD; +7DE0 : CDCDCDCD; +7DE1 : CDCDCDCD; +7DE2 : CDCDCDCD; +7DE3 : CDCDCDCD; +7DE4 : CDCDCDCD; +7DE5 : CDCDCDCD; +7DE6 : CDCDCDCD; +7DE7 : CDCDCDCD; +7DE8 : CDCDCDCD; +7DE9 : CDCDCDCD; +7DEA : CDCDCDCD; +7DEB : CDCDCDCD; +7DEC : CDCDCDCD; +7DED : CDCDCDCD; +7DEE : CDCDCDCD; +7DEF : CDCDCDCD; +7DF0 : CDCDCDCD; +7DF1 : CDCDCDCD; +7DF2 : CDCDCDCD; +7DF3 : CDCDCDCD; +7DF4 : CDCDCDCD; +7DF5 : CDCDCDCD; +7DF6 : CDCDCDCD; +7DF7 : CDCDCDCD; +7DF8 : CDCDCDCD; +7DF9 : CDCDCDCD; +7DFA : CDCDCDCD; +7DFB : CDCDCDCD; +7DFC : CDCDCDCD; +7DFD : CDCDCDCD; +7DFE : CDCDCDCD; +7DFF : CDCDCDCD; +7E00 : CDCDCDCD; +7E01 : CDCDCDCD; +7E02 : CDCDCDCD; +7E03 : CDCDCDCD; +7E04 : CDCDCDCD; +7E05 : CDCDCDCD; +7E06 : CDCDCDCD; +7E07 : CDCDCDCD; +7E08 : CDCDCDCD; +7E09 : CDCDCDCD; +7E0A : CDCDCDCD; +7E0B : CDCDCDCD; +7E0C : CDCDCDCD; +7E0D : CDCDCDCD; +7E0E : CDCDCDCD; +7E0F : CDCDCDCD; +7E10 : CDCDCDCD; +7E11 : CDCDCDCD; +7E12 : CDCDCDCD; +7E13 : CDCDCDCD; +7E14 : CDCDCDCD; +7E15 : CDCDCDCD; +7E16 : CDCDCDCD; +7E17 : CDCDCDCD; +7E18 : CDCDCDCD; +7E19 : CDCDCDCD; +7E1A : CDCDCDCD; +7E1B : CDCDCDCD; +7E1C : CDCDCDCD; +7E1D : CDCDCDCD; +7E1E : CDCDCDCD; +7E1F : CDCDCDCD; +7E20 : CDCDCDCD; +7E21 : CDCDCDCD; +7E22 : CDCDCDCD; +7E23 : CDCDCDCD; +7E24 : CDCDCDCD; +7E25 : CDCDCDCD; +7E26 : CDCDCDCD; +7E27 : CDCDCDCD; +7E28 : CDCDCDCD; +7E29 : CDCDCDCD; +7E2A : CDCDCDCD; +7E2B : CDCDCDCD; +7E2C : CDCDCDCD; +7E2D : CDCDCDCD; +7E2E : CDCDCDCD; +7E2F : CDCDCDCD; +7E30 : CDCDCDCD; +7E31 : CDCDCDCD; +7E32 : CDCDCDCD; +7E33 : CDCDCDCD; +7E34 : CDCDCDCD; +7E35 : CDCDCDCD; +7E36 : CDCDCDCD; +7E37 : CDCDCDCD; +7E38 : CDCDCDCD; +7E39 : CDCDCDCD; +7E3A : CDCDCDCD; +7E3B : CDCDCDCD; +7E3C : CDCDCDCD; +7E3D : CDCDCDCD; +7E3E : CDCDCDCD; +7E3F : CDCDCDCD; +7E40 : CDCDCDCD; +7E41 : CDCDCDCD; +7E42 : CDCDCDCD; +7E43 : CDCDCDCD; +7E44 : CDCDCDCD; +7E45 : CDCDCDCD; +7E46 : CDCDCDCD; +7E47 : CDCDCDCD; +7E48 : CDCDCDCD; +7E49 : CDCDCDCD; +7E4A : CDCDCDCD; +7E4B : CDCDCDCD; +7E4C : CDCDCDCD; +7E4D : CDCDCDCD; +7E4E : CDCDCDCD; +7E4F : CDCDCDCD; +7E50 : CDCDCDCD; +7E51 : CDCDCDCD; +7E52 : CDCDCDCD; +7E53 : CDCDCDCD; +7E54 : CDCDCDCD; +7E55 : CDCDCDCD; +7E56 : CDCDCDCD; +7E57 : CDCDCDCD; +7E58 : CDCDCDCD; +7E59 : CDCDCDCD; +7E5A : CDCDCDCD; +7E5B : CDCDCDCD; +7E5C : CDCDCDCD; +7E5D : CDCDCDCD; +7E5E : CDCDCDCD; +7E5F : CDCDCDCD; +7E60 : CDCDCDCD; +7E61 : CDCDCDCD; +7E62 : CDCDCDCD; +7E63 : CDCDCDCD; +7E64 : CDCDCDCD; +7E65 : CDCDCDCD; +7E66 : CDCDCDCD; +7E67 : CDCDCDCD; +7E68 : CDCDCDCD; +7E69 : CDCDCDCD; +7E6A : CDCDCDCD; +7E6B : CDCDCDCD; +7E6C : CDCDCDCD; +7E6D : CDCDCDCD; +7E6E : CDCDCDCD; +7E6F : CDCDCDCD; +7E70 : CDCDCDCD; +7E71 : CDCDCDCD; +7E72 : CDCDCDCD; +7E73 : CDCDCDCD; +7E74 : CDCDCDCD; +7E75 : CDCDCDCD; +7E76 : CDCDCDCD; +7E77 : CDCDCDCD; +7E78 : CDCDCDCD; +7E79 : CDCDCDCD; +7E7A : CDCDCDCD; +7E7B : CDCDCDCD; +7E7C : CDCDCDCD; +7E7D : CDCDCDCD; +7E7E : CDCDCDCD; +7E7F : CDCDCDCD; +7E80 : CDCDCDCD; +7E81 : CDCDCDCD; +7E82 : CDCDCDCD; +7E83 : CDCDCDCD; +7E84 : CDCDCDCD; +7E85 : CDCDCDCD; +7E86 : CDCDCDCD; +7E87 : CDCDCDCD; +7E88 : CDCDCDCD; +7E89 : CDCDCDCD; +7E8A : CDCDCDCD; +7E8B : CDCDCDCD; +7E8C : CDCDCDCD; +7E8D : CDCDCDCD; +7E8E : CDCDCDCD; +7E8F : CDCDCDCD; +7E90 : CDCDCDCD; +7E91 : CDCDCDCD; +7E92 : CDCDCDCD; +7E93 : CDCDCDCD; +7E94 : CDCDCDCD; +7E95 : CDCDCDCD; +7E96 : CDCDCDCD; +7E97 : CDCDCDCD; +7E98 : CDCDCDCD; +7E99 : CDCDCDCD; +7E9A : CDCDCDCD; +7E9B : CDCDCDCD; +7E9C : CDCDCDCD; +7E9D : CDCDCDCD; +7E9E : CDCDCDCD; +7E9F : CDCDCDCD; +7EA0 : CDCDCDCD; +7EA1 : CDCDCDCD; +7EA2 : CDCDCDCD; +7EA3 : CDCDCDCD; +7EA4 : CDCDCDCD; +7EA5 : CDCDCDCD; +7EA6 : CDCDCDCD; +7EA7 : CDCDCDCD; +7EA8 : CDCDCDCD; +7EA9 : CDCDCDCD; +7EAA : CDCDCDCD; +7EAB : CDCDCDCD; +7EAC : CDCDCDCD; +7EAD : CDCDCDCD; +7EAE : CDCDCDCD; +7EAF : CDCDCDCD; +7EB0 : CDCDCDCD; +7EB1 : CDCDCDCD; +7EB2 : CDCDCDCD; +7EB3 : CDCDCDCD; +7EB4 : CDCDCDCD; +7EB5 : CDCDCDCD; +7EB6 : CDCDCDCD; +7EB7 : CDCDCDCD; +7EB8 : CDCDCDCD; +7EB9 : CDCDCDCD; +7EBA : CDCDCDCD; +7EBB : CDCDCDCD; +7EBC : CDCDCDCD; +7EBD : CDCDCDCD; +7EBE : CDCDCDCD; +7EBF : CDCDCDCD; +7EC0 : CDCDCDCD; +7EC1 : CDCDCDCD; +7EC2 : CDCDCDCD; +7EC3 : CDCDCDCD; +7EC4 : CDCDCDCD; +7EC5 : CDCDCDCD; +7EC6 : CDCDCDCD; +7EC7 : CDCDCDCD; +7EC8 : CDCDCDCD; +7EC9 : CDCDCDCD; +7ECA : CDCDCDCD; +7ECB : CDCDCDCD; +7ECC : CDCDCDCD; +7ECD : CDCDCDCD; +7ECE : CDCDCDCD; +7ECF : CDCDCDCD; +7ED0 : CDCDCDCD; +7ED1 : CDCDCDCD; +7ED2 : CDCDCDCD; +7ED3 : CDCDCDCD; +7ED4 : CDCDCDCD; +7ED5 : CDCDCDCD; +7ED6 : CDCDCDCD; +7ED7 : CDCDCDCD; +7ED8 : CDCDCDCD; +7ED9 : CDCDCDCD; +7EDA : CDCDCDCD; +7EDB : CDCDCDCD; +7EDC : CDCDCDCD; +7EDD : CDCDCDCD; +7EDE : CDCDCDCD; +7EDF : CDCDCDCD; +7EE0 : CDCDCDCD; +7EE1 : CDCDCDCD; +7EE2 : CDCDCDCD; +7EE3 : CDCDCDCD; +7EE4 : CDCDCDCD; +7EE5 : CDCDCDCD; +7EE6 : CDCDCDCD; +7EE7 : CDCDCDCD; +7EE8 : CDCDCDCD; +7EE9 : CDCDCDCD; +7EEA : CDCDCDCD; +7EEB : CDCDCDCD; +7EEC : CDCDCDCD; +7EED : CDCDCDCD; +7EEE : CDCDCDCD; +7EEF : CDCDCDCD; +7EF0 : CDCDCDCD; +7EF1 : CDCDCDCD; +7EF2 : CDCDCDCD; +7EF3 : CDCDCDCD; +7EF4 : CDCDCDCD; +7EF5 : CDCDCDCD; +7EF6 : CDCDCDCD; +7EF7 : CDCDCDCD; +7EF8 : CDCDCDCD; +7EF9 : CDCDCDCD; +7EFA : CDCDCDCD; +7EFB : CDCDCDCD; +7EFC : CDCDCDCD; +7EFD : CDCDCDCD; +7EFE : CDCDCDCD; +7EFF : CDCDCDCD; +7F00 : CDCDCDCD; +7F01 : CDCDCDCD; +7F02 : CDCDCDCD; +7F03 : CDCDCDCD; +7F04 : CDCDCDCD; +7F05 : CDCDCDCD; +7F06 : CDCDCDCD; +7F07 : CDCDCDCD; +7F08 : CDCDCDCD; +7F09 : CDCDCDCD; +7F0A : CDCDCDCD; +7F0B : CDCDCDCD; +7F0C : CDCDCDCD; +7F0D : CDCDCDCD; +7F0E : CDCDCDCD; +7F0F : CDCDCDCD; +7F10 : CDCDCDCD; +7F11 : CDCDCDCD; +7F12 : CDCDCDCD; +7F13 : CDCDCDCD; +7F14 : CDCDCDCD; +7F15 : CDCDCDCD; +7F16 : CDCDCDCD; +7F17 : CDCDCDCD; +7F18 : CDCDCDCD; +7F19 : CDCDCDCD; +7F1A : CDCDCDCD; +7F1B : CDCDCDCD; +7F1C : CDCDCDCD; +7F1D : CDCDCDCD; +7F1E : CDCDCDCD; +7F1F : CDCDCDCD; +7F20 : CDCDCDCD; +7F21 : CDCDCDCD; +7F22 : CDCDCDCD; +7F23 : CDCDCDCD; +7F24 : CDCDCDCD; +7F25 : CDCDCDCD; +7F26 : CDCDCDCD; +7F27 : CDCDCDCD; +7F28 : CDCDCDCD; +7F29 : CDCDCDCD; +7F2A : CDCDCDCD; +7F2B : CDCDCDCD; +7F2C : CDCDCDCD; +7F2D : CDCDCDCD; +7F2E : CDCDCDCD; +7F2F : CDCDCDCD; +7F30 : CDCDCDCD; +7F31 : CDCDCDCD; +7F32 : CDCDCDCD; +7F33 : CDCDCDCD; +7F34 : CDCDCDCD; +7F35 : CDCDCDCD; +7F36 : CDCDCDCD; +7F37 : CDCDCDCD; +7F38 : CDCDCDCD; +7F39 : CDCDCDCD; +7F3A : CDCDCDCD; +7F3B : CDCDCDCD; +7F3C : CDCDCDCD; +7F3D : CDCDCDCD; +7F3E : CDCDCDCD; +7F3F : CDCDCDCD; +7F40 : CDCDCDCD; +7F41 : CDCDCDCD; +7F42 : CDCDCDCD; +7F43 : CDCDCDCD; +7F44 : CDCDCDCD; +7F45 : CDCDCDCD; +7F46 : CDCDCDCD; +7F47 : CDCDCDCD; +7F48 : CDCDCDCD; +7F49 : CDCDCDCD; +7F4A : CDCDCDCD; +7F4B : CDCDCDCD; +7F4C : CDCDCDCD; +7F4D : CDCDCDCD; +7F4E : CDCDCDCD; +7F4F : CDCDCDCD; +7F50 : CDCDCDCD; +7F51 : CDCDCDCD; +7F52 : CDCDCDCD; +7F53 : CDCDCDCD; +7F54 : CDCDCDCD; +7F55 : CDCDCDCD; +7F56 : CDCDCDCD; +7F57 : CDCDCDCD; +7F58 : CDCDCDCD; +7F59 : CDCDCDCD; +7F5A : CDCDCDCD; +7F5B : CDCDCDCD; +7F5C : CDCDCDCD; +7F5D : CDCDCDCD; +7F5E : CDCDCDCD; +7F5F : CDCDCDCD; +7F60 : CDCDCDCD; +7F61 : CDCDCDCD; +7F62 : CDCDCDCD; +7F63 : CDCDCDCD; +7F64 : CDCDCDCD; +7F65 : CDCDCDCD; +7F66 : CDCDCDCD; +7F67 : CDCDCDCD; +7F68 : CDCDCDCD; +7F69 : CDCDCDCD; +7F6A : CDCDCDCD; +7F6B : CDCDCDCD; +7F6C : CDCDCDCD; +7F6D : CDCDCDCD; +7F6E : CDCDCDCD; +7F6F : CDCDCDCD; +7F70 : CDCDCDCD; +7F71 : CDCDCDCD; +7F72 : CDCDCDCD; +7F73 : CDCDCDCD; +7F74 : CDCDCDCD; +7F75 : CDCDCDCD; +7F76 : CDCDCDCD; +7F77 : CDCDCDCD; +7F78 : CDCDCDCD; +7F79 : CDCDCDCD; +7F7A : CDCDCDCD; +7F7B : CDCDCDCD; +7F7C : CDCDCDCD; +7F7D : CDCDCDCD; +7F7E : CDCDCDCD; +7F7F : CDCDCDCD; +7F80 : CDCDCDCD; +7F81 : CDCDCDCD; +7F82 : CDCDCDCD; +7F83 : CDCDCDCD; +7F84 : CDCDCDCD; +7F85 : CDCDCDCD; +7F86 : CDCDCDCD; +7F87 : CDCDCDCD; +7F88 : CDCDCDCD; +7F89 : CDCDCDCD; +7F8A : CDCDCDCD; +7F8B : CDCDCDCD; +7F8C : CDCDCDCD; +7F8D : CDCDCDCD; +7F8E : CDCDCDCD; +7F8F : CDCDCDCD; +7F90 : CDCDCDCD; +7F91 : CDCDCDCD; +7F92 : CDCDCDCD; +7F93 : CDCDCDCD; +7F94 : CDCDCDCD; +7F95 : CDCDCDCD; +7F96 : CDCDCDCD; +7F97 : CDCDCDCD; +7F98 : CDCDCDCD; +7F99 : CDCDCDCD; +7F9A : CDCDCDCD; +7F9B : CDCDCDCD; +7F9C : CDCDCDCD; +7F9D : CDCDCDCD; +7F9E : CDCDCDCD; +7F9F : CDCDCDCD; +7FA0 : CDCDCDCD; +7FA1 : CDCDCDCD; +7FA2 : CDCDCDCD; +7FA3 : CDCDCDCD; +7FA4 : CDCDCDCD; +7FA5 : CDCDCDCD; +7FA6 : CDCDCDCD; +7FA7 : CDCDCDCD; +7FA8 : CDCDCDCD; +7FA9 : CDCDCDCD; +7FAA : CDCDCDCD; +7FAB : CDCDCDCD; +7FAC : CDCDCDCD; +7FAD : CDCDCDCD; +7FAE : CDCDCDCD; +7FAF : CDCDCDCD; +7FB0 : CDCDCDCD; +7FB1 : CDCDCDCD; +7FB2 : CDCDCDCD; +7FB3 : CDCDCDCD; +7FB4 : CDCDCDCD; +7FB5 : CDCDCDCD; +7FB6 : CDCDCDCD; +7FB7 : CDCDCDCD; +7FB8 : CDCDCDCD; +7FB9 : CDCDCDCD; +7FBA : CDCDCDCD; +7FBB : CDCDCDCD; +7FBC : CDCDCDCD; +7FBD : CDCDCDCD; +7FBE : CDCDCDCD; +7FBF : CDCDCDCD; +7FC0 : CDCDCDCD; +7FC1 : CDCDCDCD; +7FC2 : CDCDCDCD; +7FC3 : CDCDCDCD; +7FC4 : CDCDCDCD; +7FC5 : CDCDCDCD; +7FC6 : CDCDCDCD; +7FC7 : CDCDCDCD; +7FC8 : CDCDCDCD; +7FC9 : CDCDCDCD; +7FCA : CDCDCDCD; +7FCB : CDCDCDCD; +7FCC : CDCDCDCD; +7FCD : CDCDCDCD; +7FCE : CDCDCDCD; +7FCF : CDCDCDCD; +7FD0 : CDCDCDCD; +7FD1 : CDCDCDCD; +7FD2 : CDCDCDCD; +7FD3 : CDCDCDCD; +7FD4 : CDCDCDCD; +7FD5 : CDCDCDCD; +7FD6 : CDCDCDCD; +7FD7 : CDCDCDCD; +7FD8 : CDCDCDCD; +7FD9 : CDCDCDCD; +7FDA : CDCDCDCD; +7FDB : CDCDCDCD; +7FDC : CDCDCDCD; +7FDD : CDCDCDCD; +7FDE : CDCDCDCD; +7FDF : CDCDCDCD; +7FE0 : CDCDCDCD; +7FE1 : CDCDCDCD; +7FE2 : CDCDCDCD; +7FE3 : CDCDCDCD; +7FE4 : CDCDCDCD; +7FE5 : CDCDCDCD; +7FE6 : CDCDCDCD; +7FE7 : CDCDCDCD; +7FE8 : CDCDCDCD; +7FE9 : CDCDCDCD; +7FEA : CDCDCDCD; +7FEB : CDCDCDCD; +7FEC : CDCDCDCD; +7FED : CDCDCDCD; +7FEE : CDCDCDCD; +7FEF : CDCDCDCD; +7FF0 : CDCDCDCD; +7FF1 : CDCDCDCD; +7FF2 : CDCDCDCD; +7FF3 : CDCDCDCD; +7FF4 : CDCDCDCD; +7FF5 : CDCDCDCD; +7FF6 : CDCDCDCD; +7FF7 : CDCDCDCD; +7FF8 : CDCDCDCD; +7FF9 : CDCDCDCD; +7FFA : CDCDCDCD; +7FFB : CDCDCDCD; +7FFC : CDCDCDCD; +7FFD : CDCDCDCD; +7FFE : CDCDCDCD; +7FFF : CDCDCDCD; END; diff --git a/examples/hdl4se_riscv/test_code/test.sh b/examples/hdl4se_riscv/test_code/test.sh index 7925ac303e7c1c487d54e86760a94bd8877a8357..9e2682afc07e1725195c90660b72b2898748c308 100644 --- a/examples/hdl4se_riscv/test_code/test.sh +++ b/examples/hdl4se_riscv/test_code/test.sh @@ -3,5 +3,5 @@ riscv32-unknown-elf-gcc -Wl,-Ttest.ld main_v2.c -o test.elf riscv32-unknown-elf-objcopy test.elf -O ihex test.hex riscv32-unknown-elf-objcopy test.elf -O verilog test.cod riscv32-unknown-elf-objdump -D -M no-aliases,numeric test.elf > test.txt -riscv32-unkonwn-readelf -a test.elf > test.info +riscv32-unknown-elf-readelf -a test.elf > test.info diff --git a/examples/hdl4se_riscv/test_code/test.txt b/examples/hdl4se_riscv/test_code/test.txt index 3a5469aa986153662637d8e26e17941cff303a1a..18002e99070cae5936da21ca58e186c7c98f50af 100644 --- a/examples/hdl4se_riscv/test_code/test.txt +++ b/examples/hdl4se_riscv/test_code/test.txt @@ -5,1124 +5,1368 @@ test.elf: file format elf32-littleriscv Disassembly of section .text: 00000074 : - 74: 00000793 addi x15,x0,0 - 78: 00078863 beq x15,x0,88 - 7c: 00001537 lui x10,0x1 - 80: c9050513 addi x10,x10,-880 # c90 <__libc_fini_array> - 84: 4690006f jal x0,cec - 88: 00008067 jalr x0,0(x1) + 74: 00000793 addi x15,x0,0 + 78: 00078863 beq x15,x0,88 + 7c: 00001537 lui x10,0x1 + 80: 03850513 addi x10,x10,56 # 1038 <__libc_fini_array> + 84: 0100106f jal x0,1094 + 88: 00008067 jalr x0,0(x1) 0000008c <_start>: - 8c: 00001197 auipc x3,0x1 - 90: 7ac18193 addi x3,x3,1964 # 1838 <__global_pointer$> - 94: c4418513 addi x10,x3,-956 # 147c - 98: c6018613 addi x12,x3,-928 # 1498 <__BSS_END__> - 9c: 40a60633 sub x12,x12,x10 - a0: 00000593 addi x11,x0,0 - a4: 1f1000ef jal x1,a94 - a8: 00001517 auipc x10,0x1 - ac: c4450513 addi x10,x10,-956 # cec - b0: 00050863 beq x10,x0,c0 <_start+0x34> - b4: 00001517 auipc x10,0x1 - b8: bdc50513 addi x10,x10,-1060 # c90 <__libc_fini_array> - bc: 431000ef jal x1,cec - c0: 139000ef jal x1,9f8 <__libc_init_array> - c4: 00012503 lw x10,0(x2) - c8: 00410593 addi x11,x2,4 - cc: 00000613 addi x12,x0,0 - d0: 0ac000ef jal x1,17c
- d4: 0f50006f jal x0,9c8 + 8c: 00003197 auipc x3,0x3 + 90: a7418193 addi x3,x3,-1420 # 2b00 <__global_pointer$> + 94: c3418513 addi x10,x3,-972 # 2734 + 98: c5018613 addi x12,x3,-944 # 2750 <__BSS_END__> + 9c: 40a60633 sub x12,x12,x10 + a0: 00000593 addi x11,x0,0 + a4: 599000ef jal x1,e3c + a8: 00001517 auipc x10,0x1 + ac: fec50513 addi x10,x10,-20 # 1094 + b0: 00050863 beq x10,x0,c0 <_start+0x34> + b4: 00001517 auipc x10,0x1 + b8: f8450513 addi x10,x10,-124 # 1038 <__libc_fini_array> + bc: 7d9000ef jal x1,1094 + c0: 4e1000ef jal x1,da0 <__libc_init_array> + c4: 00012503 lw x10,0(x2) + c8: 00410593 addi x11,x2,4 + cc: 00000613 addi x12,x0,0 + d0: 400000ef jal x1,4d0
+ d4: 49d0006f jal x0,d70 000000d8 <__do_global_dtors_aux>: - d8: ff010113 addi x2,x2,-16 - dc: 00812423 sw x8,8(x2) - e0: c441c783 lbu x15,-956(x3) # 147c - e4: 00112623 sw x1,12(x2) - e8: 02079263 bne x15,x0,10c <__do_global_dtors_aux+0x34> - ec: 00000793 addi x15,x0,0 - f0: 00078a63 beq x15,x0,104 <__do_global_dtors_aux+0x2c> - f4: 00001537 lui x10,0x1 - f8: 00050513 addi x10,x10,0 # 1000 <__EH_FRAME_BEGIN__> - fc: 00000097 auipc x1,0x0 - 100: 000000e7 jalr x1,0(x0) # 0 - 104: 00100793 addi x15,x0,1 - 108: c4f18223 sb x15,-956(x3) # 147c - 10c: 00c12083 lw x1,12(x2) - 110: 00812403 lw x8,8(x2) - 114: 01010113 addi x2,x2,16 - 118: 00008067 jalr x0,0(x1) # fc <__do_global_dtors_aux+0x24> + d8: ff010113 addi x2,x2,-16 + dc: 00812423 sw x8,8(x2) + e0: c341c783 lbu x15,-972(x3) # 2734 + e4: 00112623 sw x1,12(x2) + e8: 02079263 bne x15,x0,10c <__do_global_dtors_aux+0x34> + ec: 00000793 addi x15,x0,0 + f0: 00078a63 beq x15,x0,104 <__do_global_dtors_aux+0x2c> + f4: 00002537 lui x10,0x2 + f8: 2c450513 addi x10,x10,708 # 22c4 <__EH_FRAME_BEGIN__> + fc: 00000097 auipc x1,0x0 + 100: 000000e7 jalr x1,0(x0) # 0 + 104: 00100793 addi x15,x0,1 + 108: c2f18a23 sb x15,-972(x3) # 2734 + 10c: 00c12083 lw x1,12(x2) + 110: 00812403 lw x8,8(x2) + 114: 01010113 addi x2,x2,16 + 118: 00008067 jalr x0,0(x1) # fc <__do_global_dtors_aux+0x24> 0000011c : - 11c: 00000793 addi x15,x0,0 - 120: 00078c63 beq x15,x0,138 - 124: 00001537 lui x10,0x1 - 128: c4818593 addi x11,x3,-952 # 1480 - 12c: 00050513 addi x10,x10,0 # 1000 <__EH_FRAME_BEGIN__> - 130: 00000317 auipc x6,0x0 - 134: 00000067 jalr x0,0(x0) # 0 - 138: 00008067 jalr x0,0(x1) + 11c: 00000793 addi x15,x0,0 + 120: 00078c63 beq x15,x0,138 + 124: 00002537 lui x10,0x2 + 128: c3818593 addi x11,x3,-968 # 2738 + 12c: 2c450513 addi x10,x10,708 # 22c4 <__EH_FRAME_BEGIN__> + 130: 00000317 auipc x6,0x0 + 134: 00000067 jalr x0,0(x0) # 0 + 138: 00008067 jalr x0,0(x1) -0000013c : - 13c: fe010113 addi x2,x2,-32 - 140: 00812e23 sw x8,28(x2) - 144: 02010413 addi x8,x2,32 - 148: fea42623 sw x10,-20(x8) - 14c: fec42703 lw x14,-20(x8) - 150: 00a00793 addi x15,x0,10 - 154: 02f777b3 remu x15,x14,x15 - 158: 00001717 auipc x14,0x1 - 15c: 31472703 lw x14,788(x14) # 146c <_GLOBAL_OFFSET_TABLE_+0x4> - 160: 00279793 slli x15,x15,0x2 - 164: 00f707b3 add x15,x14,x15 - 168: 0007a783 lw x15,0(x15) - 16c: 00078513 addi x10,x15,0 - 170: 01c12403 lw x8,28(x2) - 174: 02010113 addi x2,x2,32 - 178: 00008067 jalr x0,0(x1) +0000013c : + 13c: fd010113 addi x2,x2,-48 + 140: 02812623 sw x8,44(x2) + 144: 03010413 addi x8,x2,48 + 148: fca42e23 sw x10,-36(x8) + 14c: fcb42c23 sw x11,-40(x8) + 150: f00007b7 lui x15,0xf0000 + 154: 10078793 addi x15,x15,256 # f0000100 <__global_pointer$+0xefffd600> + 158: fef42423 sw x15,-24(x8) + 15c: fe042623 sw x0,-20(x8) + 160: 0480006f jal x0,1a8 + 164: fe842783 lw x15,-24(x8) + 168: 00878793 addi x15,x15,8 + 16c: 0007a783 lw x15,0(x15) + 170: fef42223 sw x15,-28(x8) + 174: fe442783 lw x15,-28(x8) + 178: 0027f793 andi x15,x15,2 + 17c: 02078663 beq x15,x0,1a8 + 180: fec42783 lw x15,-20(x8) + 184: fdc42703 lw x14,-36(x8) + 188: 00f707b3 add x15,x14,x15 + 18c: 0007c703 lbu x14,0(x15) + 190: fe842783 lw x15,-24(x8) + 194: 00478793 addi x15,x15,4 + 198: 00e7a023 sw x14,0(x15) + 19c: fec42783 lw x15,-20(x8) + 1a0: 00178793 addi x15,x15,1 + 1a4: fef42623 sw x15,-20(x8) + 1a8: fec42703 lw x14,-20(x8) + 1ac: fd842783 lw x15,-40(x8) + 1b0: faf74ae3 blt x14,x15,164 + 1b4: fd842783 lw x15,-40(x8) + 1b8: 00078513 addi x10,x15,0 + 1bc: 02c12403 lw x8,44(x2) + 1c0: 03010113 addi x2,x2,48 + 1c4: 00008067 jalr x0,0(x1) -0000017c
: - 17c: fb010113 addi x2,x2,-80 - 180: 04112623 sw x1,76(x2) - 184: 04812423 sw x8,72(x2) - 188: 04912223 sw x9,68(x2) - 18c: 05010413 addi x8,x2,80 - 190: faa42e23 sw x10,-68(x8) - 194: fab42c23 sw x11,-72(x8) - 198: 00100793 addi x15,x0,1 - 19c: fef42223 sw x15,-28(x8) - 1a0: f00007b7 lui x15,0xf0000 - 1a4: fef42023 sw x15,-32(x8) - 1a8: f00007b7 lui x15,0xf0000 - 1ac: 01078793 addi x15,x15,16 # f0000010 <__global_pointer$+0xefffe7d8> - 1b0: fcf42e23 sw x15,-36(x8) - 1b4: f00007b7 lui x15,0xf0000 - 1b8: 10078793 addi x15,x15,256 # f0000100 <__global_pointer$+0xefffe8c8> - 1bc: fcf42c23 sw x15,-40(x8) - 1c0: 00000793 addi x15,x0,0 - 1c4: 00000813 addi x16,x0,0 - 1c8: fef42423 sw x15,-24(x8) - 1cc: ff042623 sw x16,-20(x8) - 1d0: fdc42783 lw x15,-36(x8) - 1d4: 6f7f0737 lui x14,0x6f7f0 - 1d8: 77d70713 addi x14,x14,1917 # 6f7f077d <__global_pointer$+0x6f7eef45> - 1dc: 00e7a023 sw x14,0(x15) - 1e0: fdc42783 lw x15,-36(x8) - 1e4: 00478793 addi x15,x15,4 - 1e8: 6d665737 lui x14,0x6d665 - 1ec: f5b70713 addi x14,x14,-165 # 6d664f5b <__global_pointer$+0x6d663723> - 1f0: 00e7a023 sw x14,0(x15) - 1f4: fd842783 lw x15,-40(x8) - 1f8: 01078793 addi x15,x15,16 - 1fc: 1b200713 addi x14,x0,434 - 200: 00e7a023 sw x14,0(x15) - 204: fd842783 lw x15,-40(x8) - 208: 00878793 addi x15,x15,8 - 20c: 0007a783 lw x15,0(x15) - 210: fcf42a23 sw x15,-44(x8) - 214: fd442703 lw x14,-44(x8) - 218: 000107b7 lui x15,0x10 - 21c: 00f777b3 and x15,x14,x15 - 220: 02079e63 bne x15,x0,25c - 224: fd842783 lw x15,-40(x8) - 228: 0007a783 lw x15,0(x15) # 10000 <__global_pointer$+0xe7c8> - 22c: fcf42223 sw x15,-60(x8) - 230: fc442783 lw x15,-60(x8) - 234: 0007da63 bge x15,x0,248 - 238: fd842783 lw x15,-40(x8) - 23c: 00478793 addi x15,x15,4 - 240: 02300713 addi x14,x0,35 - 244: 00e7a023 sw x14,0(x15) - 248: fd842783 lw x15,-40(x8) - 24c: 00478793 addi x15,x15,4 - 250: fc442703 lw x14,-60(x8) - 254: 00e7a023 sw x14,0(x15) - 258: 33c0006f jal x0,594 - 25c: fe042783 lw x15,-32(x8) - 260: 0007a783 lw x15,0(x15) - 264: fcf42823 sw x15,-48(x8) - 268: fd042783 lw x15,-48(x8) - 26c: 0017f793 andi x15,x15,1 - 270: 00078c63 beq x15,x0,288 - 274: 00000793 addi x15,x0,0 - 278: 00000813 addi x16,x0,0 - 27c: fef42423 sw x15,-24(x8) - 280: ff042623 sw x16,-20(x8) - 284: 0740006f jal x0,2f8 - 288: fd042783 lw x15,-48(x8) - 28c: 0027f793 andi x15,x15,2 - 290: 00078663 beq x15,x0,29c - 294: fe042223 sw x0,-28(x8) - 298: 0600006f jal x0,2f8 - 29c: fd042783 lw x15,-48(x8) - 2a0: 0047f793 andi x15,x15,4 - 2a4: 00078863 beq x15,x0,2b4 - 2a8: 00100793 addi x15,x0,1 - 2ac: fef42223 sw x15,-28(x8) - 2b0: 0480006f jal x0,2f8 - 2b4: fd042783 lw x15,-48(x8) - 2b8: 0087f793 andi x15,x15,8 - 2bc: 00078c63 beq x15,x0,2d4 - 2c0: fd842783 lw x15,-40(x8) - 2c4: 01078793 addi x15,x15,16 - 2c8: 1b200713 addi x14,x0,434 - 2cc: 00e7a023 sw x14,0(x15) - 2d0: 2c40006f jal x0,594 - 2d4: fd042783 lw x15,-48(x8) - 2d8: 0107f793 andi x15,x15,16 - 2dc: 00078e63 beq x15,x0,2f8 - 2e0: fd842783 lw x15,-40(x8) - 2e4: 01078793 addi x15,x15,16 - 2e8: 00001737 lui x14,0x1 - 2ec: 45870713 addi x14,x14,1112 # 1458 - 2f0: 00e7a023 sw x14,0(x15) - 2f4: 2a00006f jal x0,594 - 2f8: fe442783 lw x15,-28(x8) - 2fc: 02078a63 beq x15,x0,330 - 300: fe842603 lw x12,-24(x8) - 304: fec42683 lw x13,-20(x8) - 308: 00100513 addi x10,x0,1 - 30c: 00000593 addi x11,x0,0 - 310: 00a60733 add x14,x12,x10 - 314: 00070813 addi x16,x14,0 - 318: 00c83833 sltu x16,x16,x12 - 31c: 00b687b3 add x15,x13,x11 - 320: 00f806b3 add x13,x16,x15 - 324: 00068793 addi x15,x13,0 - 328: fee42423 sw x14,-24(x8) - 32c: fef42623 sw x15,-20(x8) - 330: fe842703 lw x14,-24(x8) - 334: fec42783 lw x15,-20(x8) - 338: fce42423 sw x14,-56(x8) - 33c: fcf42623 sw x15,-52(x8) - 340: fc842783 lw x15,-56(x8) - 344: 00078513 addi x10,x15,0 - 348: df5ff0ef jal x1,13c - 34c: 00050493 addi x9,x10,0 - 350: fc842703 lw x14,-56(x8) - 354: fcc42783 lw x15,-52(x8) - 358: 00a00613 addi x12,x0,10 - 35c: 00000693 addi x13,x0,0 - 360: 00070513 addi x10,x14,0 - 364: 00078593 addi x11,x15,0 - 368: 230000ef jal x1,598 <__udivdi3> - 36c: 00050713 addi x14,x10,0 - 370: 00058793 addi x15,x11,0 - 374: 00070793 addi x15,x14,0 - 378: 00078513 addi x10,x15,0 - 37c: dc1ff0ef jal x1,13c - 380: 00050793 addi x15,x10,0 - 384: 00879793 slli x15,x15,0x8 - 388: 00f4e4b3 or x9,x9,x15 - 38c: fc842703 lw x14,-56(x8) - 390: fcc42783 lw x15,-52(x8) - 394: 06400613 addi x12,x0,100 - 398: 00000693 addi x13,x0,0 - 39c: 00070513 addi x10,x14,0 - 3a0: 00078593 addi x11,x15,0 - 3a4: 1f4000ef jal x1,598 <__udivdi3> - 3a8: 00050713 addi x14,x10,0 - 3ac: 00058793 addi x15,x11,0 - 3b0: 00070793 addi x15,x14,0 - 3b4: 00078513 addi x10,x15,0 - 3b8: d85ff0ef jal x1,13c - 3bc: 00050793 addi x15,x10,0 - 3c0: 01079793 slli x15,x15,0x10 - 3c4: 00f4e4b3 or x9,x9,x15 - 3c8: fc842703 lw x14,-56(x8) - 3cc: fcc42783 lw x15,-52(x8) - 3d0: 3e800613 addi x12,x0,1000 - 3d4: 00000693 addi x13,x0,0 - 3d8: 00070513 addi x10,x14,0 - 3dc: 00078593 addi x11,x15,0 - 3e0: 1b8000ef jal x1,598 <__udivdi3> - 3e4: 00050713 addi x14,x10,0 - 3e8: 00058793 addi x15,x11,0 - 3ec: 00070793 addi x15,x14,0 - 3f0: 00078513 addi x10,x15,0 - 3f4: d49ff0ef jal x1,13c - 3f8: 00050793 addi x15,x10,0 - 3fc: 01879793 slli x15,x15,0x18 - 400: 00f4e733 or x14,x9,x15 - 404: fdc42783 lw x15,-36(x8) - 408: 00e7a023 sw x14,0(x15) - 40c: fc842703 lw x14,-56(x8) - 410: fcc42783 lw x15,-52(x8) - 414: 00002637 lui x12,0x2 - 418: 71060613 addi x12,x12,1808 # 2710 <__global_pointer$+0xed8> - 41c: 00000693 addi x13,x0,0 - 420: 00070513 addi x10,x14,0 - 424: 00078593 addi x11,x15,0 - 428: 170000ef jal x1,598 <__udivdi3> - 42c: 00050713 addi x14,x10,0 - 430: 00058793 addi x15,x11,0 - 434: fce42423 sw x14,-56(x8) - 438: fcf42623 sw x15,-52(x8) - 43c: fc842783 lw x15,-56(x8) - 440: 00078513 addi x10,x15,0 - 444: cf9ff0ef jal x1,13c - 448: 00050493 addi x9,x10,0 - 44c: fc842703 lw x14,-56(x8) - 450: fcc42783 lw x15,-52(x8) - 454: 00a00613 addi x12,x0,10 - 458: 00000693 addi x13,x0,0 - 45c: 00070513 addi x10,x14,0 - 460: 00078593 addi x11,x15,0 - 464: 134000ef jal x1,598 <__udivdi3> - 468: 00050713 addi x14,x10,0 - 46c: 00058793 addi x15,x11,0 - 470: 00070793 addi x15,x14,0 - 474: 00078513 addi x10,x15,0 - 478: cc5ff0ef jal x1,13c - 47c: 00050793 addi x15,x10,0 - 480: 00879793 slli x15,x15,0x8 - 484: 00f4e4b3 or x9,x9,x15 - 488: fc842703 lw x14,-56(x8) - 48c: fcc42783 lw x15,-52(x8) - 490: 06400613 addi x12,x0,100 - 494: 00000693 addi x13,x0,0 - 498: 00070513 addi x10,x14,0 - 49c: 00078593 addi x11,x15,0 - 4a0: 0f8000ef jal x1,598 <__udivdi3> - 4a4: 00050713 addi x14,x10,0 - 4a8: 00058793 addi x15,x11,0 - 4ac: 00070793 addi x15,x14,0 - 4b0: 00078513 addi x10,x15,0 - 4b4: c89ff0ef jal x1,13c - 4b8: 00050793 addi x15,x10,0 - 4bc: 01079793 slli x15,x15,0x10 - 4c0: 00f4e4b3 or x9,x9,x15 - 4c4: fc842703 lw x14,-56(x8) - 4c8: fcc42783 lw x15,-52(x8) - 4cc: 3e800613 addi x12,x0,1000 - 4d0: 00000693 addi x13,x0,0 - 4d4: 00070513 addi x10,x14,0 - 4d8: 00078593 addi x11,x15,0 - 4dc: 0bc000ef jal x1,598 <__udivdi3> - 4e0: 00050713 addi x14,x10,0 - 4e4: 00058793 addi x15,x11,0 - 4e8: 00070793 addi x15,x14,0 - 4ec: 00078513 addi x10,x15,0 - 4f0: c4dff0ef jal x1,13c - 4f4: 00050793 addi x15,x10,0 - 4f8: 01879713 slli x14,x15,0x18 - 4fc: fdc42783 lw x15,-36(x8) - 500: 00478793 addi x15,x15,4 - 504: 00e4e733 or x14,x9,x14 - 508: 00e7a023 sw x14,0(x15) - 50c: fc842703 lw x14,-56(x8) - 510: fcc42783 lw x15,-52(x8) - 514: 00002637 lui x12,0x2 - 518: 71060613 addi x12,x12,1808 # 2710 <__global_pointer$+0xed8> - 51c: 00000693 addi x13,x0,0 - 520: 00070513 addi x10,x14,0 - 524: 00078593 addi x11,x15,0 - 528: 070000ef jal x1,598 <__udivdi3> - 52c: 00050713 addi x14,x10,0 - 530: 00058793 addi x15,x11,0 - 534: fce42423 sw x14,-56(x8) - 538: fcf42623 sw x15,-52(x8) - 53c: fc842783 lw x15,-56(x8) - 540: 00078513 addi x10,x15,0 - 544: bf9ff0ef jal x1,13c - 548: 00050493 addi x9,x10,0 - 54c: fc842703 lw x14,-56(x8) - 550: fcc42783 lw x15,-52(x8) - 554: 00a00613 addi x12,x0,10 - 558: 00000693 addi x13,x0,0 - 55c: 00070513 addi x10,x14,0 - 560: 00078593 addi x11,x15,0 - 564: 034000ef jal x1,598 <__udivdi3> - 568: 00050713 addi x14,x10,0 - 56c: 00058793 addi x15,x11,0 - 570: 00070793 addi x15,x14,0 - 574: 00078513 addi x10,x15,0 - 578: bc5ff0ef jal x1,13c - 57c: 00050793 addi x15,x10,0 - 580: 00879713 slli x14,x15,0x8 - 584: fdc42783 lw x15,-36(x8) - 588: 00878793 addi x15,x15,8 - 58c: 00e4e733 or x14,x9,x14 - 590: 00e7a023 sw x14,0(x15) - 594: c71ff06f jal x0,204 +000001c8 : + 1c8: fd010113 addi x2,x2,-48 + 1cc: 02812623 sw x8,44(x2) + 1d0: 03010413 addi x8,x2,48 + 1d4: fca42e23 sw x10,-36(x8) + 1d8: fcb42c23 sw x11,-40(x8) + 1dc: f00007b7 lui x15,0xf0000 + 1e0: 10078793 addi x15,x15,256 # f0000100 <__global_pointer$+0xefffd600> + 1e4: fef42423 sw x15,-24(x8) + 1e8: fd842783 lw x15,-40(x8) + 1ec: 00f04663 blt x0,x15,1f8 + 1f0: 00000793 addi x15,x0,0 + 1f4: 09c0006f jal x0,290 + 1f8: fe042623 sw x0,-20(x8) + 1fc: fe842783 lw x15,-24(x8) + 200: 00878793 addi x15,x15,8 + 204: 0007a783 lw x15,0(x15) + 208: fef42223 sw x15,-28(x8) + 20c: fe442703 lw x14,-28(x8) + 210: 000107b7 lui x15,0x10 + 214: 00f777b3 and x15,x14,x15 + 218: 06079263 bne x15,x0,27c + 21c: fe442783 lw x15,-28(x8) + 220: 0117d793 srli x15,x15,0x11 + 224: fef42223 sw x15,-28(x8) + 228: fd842783 lw x15,-40(x8) + 22c: fe442703 lw x14,-28(x8) + 230: 00f77663 bgeu x14,x15,23c + 234: fe442783 lw x15,-28(x8) + 238: fcf42c23 sw x15,-40(x8) + 23c: fe042623 sw x0,-20(x8) + 240: 02c0006f jal x0,26c + 244: fe842783 lw x15,-24(x8) + 248: 0007a683 lw x13,0(x15) # 10000 <__global_pointer$+0xd500> + 24c: fec42783 lw x15,-20(x8) + 250: fdc42703 lw x14,-36(x8) + 254: 00f707b3 add x15,x14,x15 + 258: 0ff6f713 andi x14,x13,255 + 25c: 00e78023 sb x14,0(x15) + 260: fec42783 lw x15,-20(x8) + 264: 00178793 addi x15,x15,1 + 268: fef42623 sw x15,-20(x8) + 26c: fec42703 lw x14,-20(x8) + 270: fd842783 lw x15,-40(x8) + 274: fcf748e3 blt x14,x15,244 + 278: 00c0006f jal x0,284 + 27c: 00000793 addi x15,x0,0 + 280: 0100006f jal x0,290 + 284: fec42783 lw x15,-20(x8) + 288: f6078ae3 beq x15,x0,1fc + 28c: fec42783 lw x15,-20(x8) + 290: 00078513 addi x10,x15,0 + 294: 02c12403 lw x8,44(x2) + 298: 03010113 addi x2,x2,48 + 29c: 00008067 jalr x0,0(x1) -00000598 <__udivdi3>: - 598: 00050313 addi x6,x10,0 - 59c: 00058893 addi x17,x11,0 - 5a0: 00060713 addi x14,x12,0 - 5a4: 00050813 addi x16,x10,0 - 5a8: 00058793 addi x15,x11,0 - 5ac: 28069263 bne x13,x0,830 <__udivdi3+0x298> - 5b0: 000015b7 lui x11,0x1 - 5b4: df858593 addi x11,x11,-520 # df8 <__clz_tab> - 5b8: 0ec8f663 bgeu x17,x12,6a4 <__udivdi3+0x10c> - 5bc: 000106b7 lui x13,0x10 - 5c0: 0cd67863 bgeu x12,x13,690 <__udivdi3+0xf8> - 5c4: 10063693 sltiu x13,x12,256 - 5c8: 0016c693 xori x13,x13,1 - 5cc: 00369693 slli x13,x13,0x3 - 5d0: 00d65533 srl x10,x12,x13 - 5d4: 00a585b3 add x11,x11,x10 - 5d8: 0005c583 lbu x11,0(x11) - 5dc: 02000513 addi x10,x0,32 - 5e0: 00d586b3 add x13,x11,x13 - 5e4: 40d505b3 sub x11,x10,x13 - 5e8: 00d50c63 beq x10,x13,600 <__udivdi3+0x68> - 5ec: 00b897b3 sll x15,x17,x11 - 5f0: 00d356b3 srl x13,x6,x13 - 5f4: 00b61733 sll x14,x12,x11 - 5f8: 00f6e7b3 or x15,x13,x15 - 5fc: 00b31833 sll x16,x6,x11 - 600: 01075593 srli x11,x14,0x10 - 604: 02b7d333 divu x6,x15,x11 - 608: 01071613 slli x12,x14,0x10 - 60c: 01065613 srli x12,x12,0x10 - 610: 02b7f7b3 remu x15,x15,x11 - 614: 00030513 addi x10,x6,0 # 130 - 618: 026608b3 mul x17,x12,x6 - 61c: 01079693 slli x13,x15,0x10 - 620: 01085793 srli x15,x16,0x10 - 624: 00d7e7b3 or x15,x15,x13 - 628: 0117fe63 bgeu x15,x17,644 <__udivdi3+0xac> - 62c: 00e787b3 add x15,x15,x14 - 630: fff30513 addi x10,x6,-1 - 634: 00e7e863 bltu x15,x14,644 <__udivdi3+0xac> - 638: 0117f663 bgeu x15,x17,644 <__udivdi3+0xac> - 63c: ffe30513 addi x10,x6,-2 - 640: 00e787b3 add x15,x15,x14 - 644: 411787b3 sub x15,x15,x17 - 648: 02b7d8b3 divu x17,x15,x11 - 64c: 01081813 slli x16,x16,0x10 - 650: 01085813 srli x16,x16,0x10 - 654: 02b7f7b3 remu x15,x15,x11 - 658: 031606b3 mul x13,x12,x17 - 65c: 01079793 slli x15,x15,0x10 - 660: 00f86833 or x16,x16,x15 - 664: 00088793 addi x15,x17,0 - 668: 00d87c63 bgeu x16,x13,680 <__udivdi3+0xe8> - 66c: 01070833 add x16,x14,x16 - 670: fff88793 addi x15,x17,-1 - 674: 00e86663 bltu x16,x14,680 <__udivdi3+0xe8> - 678: 00d87463 bgeu x16,x13,680 <__udivdi3+0xe8> - 67c: ffe88793 addi x15,x17,-2 - 680: 01051513 slli x10,x10,0x10 - 684: 00f56533 or x10,x10,x15 - 688: 00000593 addi x11,x0,0 - 68c: 00008067 jalr x0,0(x1) - 690: 01000537 lui x10,0x1000 - 694: 01000693 addi x13,x0,16 - 698: f2a66ce3 bltu x12,x10,5d0 <__udivdi3+0x38> - 69c: 01800693 addi x13,x0,24 - 6a0: f31ff06f jal x0,5d0 <__udivdi3+0x38> - 6a4: 00061463 bne x12,x0,6ac <__udivdi3+0x114> - 6a8: 00100073 ebreak - 6ac: 000107b7 lui x15,0x10 - 6b0: 0cf67063 bgeu x12,x15,770 <__udivdi3+0x1d8> - 6b4: 10063693 sltiu x13,x12,256 - 6b8: 0016c693 xori x13,x13,1 - 6bc: 00369693 slli x13,x13,0x3 - 6c0: 00d657b3 srl x15,x12,x13 - 6c4: 00f585b3 add x11,x11,x15 - 6c8: 0005c783 lbu x15,0(x11) - 6cc: 00d787b3 add x15,x15,x13 - 6d0: 02000693 addi x13,x0,32 - 6d4: 40f685b3 sub x11,x13,x15 - 6d8: 0af69663 bne x13,x15,784 <__udivdi3+0x1ec> - 6dc: 40c887b3 sub x15,x17,x12 - 6e0: 00100593 addi x11,x0,1 - 6e4: 01075893 srli x17,x14,0x10 - 6e8: 0317de33 divu x28,x15,x17 - 6ec: 01071613 slli x12,x14,0x10 - 6f0: 01065613 srli x12,x12,0x10 - 6f4: 01085693 srli x13,x16,0x10 - 6f8: 0317f7b3 remu x15,x15,x17 - 6fc: 000e0513 addi x10,x28,0 - 700: 03c60333 mul x6,x12,x28 - 704: 01079793 slli x15,x15,0x10 - 708: 00f6e7b3 or x15,x13,x15 - 70c: 0067fe63 bgeu x15,x6,728 <__udivdi3+0x190> - 710: 00e787b3 add x15,x15,x14 - 714: fffe0513 addi x10,x28,-1 - 718: 00e7e863 bltu x15,x14,728 <__udivdi3+0x190> - 71c: 0067f663 bgeu x15,x6,728 <__udivdi3+0x190> - 720: ffee0513 addi x10,x28,-2 - 724: 00e787b3 add x15,x15,x14 - 728: 406787b3 sub x15,x15,x6 - 72c: 0317d333 divu x6,x15,x17 - 730: 01081813 slli x16,x16,0x10 - 734: 01085813 srli x16,x16,0x10 - 738: 0317f7b3 remu x15,x15,x17 - 73c: 026606b3 mul x13,x12,x6 - 740: 01079793 slli x15,x15,0x10 - 744: 00f86833 or x16,x16,x15 - 748: 00030793 addi x15,x6,0 - 74c: 00d87c63 bgeu x16,x13,764 <__udivdi3+0x1cc> - 750: 01070833 add x16,x14,x16 - 754: fff30793 addi x15,x6,-1 - 758: 00e86663 bltu x16,x14,764 <__udivdi3+0x1cc> - 75c: 00d87463 bgeu x16,x13,764 <__udivdi3+0x1cc> - 760: ffe30793 addi x15,x6,-2 - 764: 01051513 slli x10,x10,0x10 - 768: 00f56533 or x10,x10,x15 - 76c: 00008067 jalr x0,0(x1) - 770: 010007b7 lui x15,0x1000 - 774: 01000693 addi x13,x0,16 - 778: f4f664e3 bltu x12,x15,6c0 <__udivdi3+0x128> - 77c: 01800693 addi x13,x0,24 - 780: f41ff06f jal x0,6c0 <__udivdi3+0x128> - 784: 00b61733 sll x14,x12,x11 - 788: 00f8d6b3 srl x13,x17,x15 - 78c: 01075513 srli x10,x14,0x10 - 790: 00f357b3 srl x15,x6,x15 - 794: 00b31833 sll x16,x6,x11 - 798: 02a6d333 divu x6,x13,x10 - 79c: 01071613 slli x12,x14,0x10 - 7a0: 00b898b3 sll x17,x17,x11 - 7a4: 01065613 srli x12,x12,0x10 - 7a8: 0117e7b3 or x15,x15,x17 - 7ac: 02a6f6b3 remu x13,x13,x10 - 7b0: 026608b3 mul x17,x12,x6 - 7b4: 01069593 slli x11,x13,0x10 - 7b8: 0107d693 srli x13,x15,0x10 - 7bc: 00b6e6b3 or x13,x13,x11 - 7c0: 00030593 addi x11,x6,0 - 7c4: 0116fe63 bgeu x13,x17,7e0 <__udivdi3+0x248> - 7c8: 00e686b3 add x13,x13,x14 - 7cc: fff30593 addi x11,x6,-1 - 7d0: 00e6e863 bltu x13,x14,7e0 <__udivdi3+0x248> - 7d4: 0116f663 bgeu x13,x17,7e0 <__udivdi3+0x248> - 7d8: ffe30593 addi x11,x6,-2 - 7dc: 00e686b3 add x13,x13,x14 - 7e0: 411686b3 sub x13,x13,x17 - 7e4: 02a6d8b3 divu x17,x13,x10 - 7e8: 01079793 slli x15,x15,0x10 - 7ec: 0107d793 srli x15,x15,0x10 - 7f0: 02a6f6b3 remu x13,x13,x10 - 7f4: 03160633 mul x12,x12,x17 - 7f8: 01069693 slli x13,x13,0x10 - 7fc: 00d7e7b3 or x15,x15,x13 - 800: 00088693 addi x13,x17,0 - 804: 00c7fe63 bgeu x15,x12,820 <__udivdi3+0x288> - 808: 00e787b3 add x15,x15,x14 - 80c: fff88693 addi x13,x17,-1 - 810: 00e7e863 bltu x15,x14,820 <__udivdi3+0x288> - 814: 00c7f663 bgeu x15,x12,820 <__udivdi3+0x288> - 818: ffe88693 addi x13,x17,-2 - 81c: 00e787b3 add x15,x15,x14 - 820: 01059593 slli x11,x11,0x10 - 824: 40c787b3 sub x15,x15,x12 - 828: 00d5e5b3 or x11,x11,x13 - 82c: eb9ff06f jal x0,6e4 <__udivdi3+0x14c> - 830: 18d5e663 bltu x11,x13,9bc <__udivdi3+0x424> - 834: 000107b7 lui x15,0x10 - 838: 04f6f463 bgeu x13,x15,880 <__udivdi3+0x2e8> - 83c: 1006b713 sltiu x14,x13,256 - 840: 00174713 xori x14,x14,1 - 844: 00371713 slli x14,x14,0x3 - 848: 000017b7 lui x15,0x1 - 84c: 00e6d5b3 srl x11,x13,x14 - 850: df878793 addi x15,x15,-520 # df8 <__clz_tab> - 854: 00b787b3 add x15,x15,x11 - 858: 0007c783 lbu x15,0(x15) - 85c: 00e787b3 add x15,x15,x14 - 860: 02000713 addi x14,x0,32 - 864: 40f705b3 sub x11,x14,x15 - 868: 02f71663 bne x14,x15,894 <__udivdi3+0x2fc> - 86c: 00100513 addi x10,x0,1 - 870: e116eee3 bltu x13,x17,68c <__udivdi3+0xf4> - 874: 00c33533 sltu x10,x6,x12 - 878: 00154513 xori x10,x10,1 - 87c: 00008067 jalr x0,0(x1) - 880: 010007b7 lui x15,0x1000 - 884: 01000713 addi x14,x0,16 - 888: fcf6e0e3 bltu x13,x15,848 <__udivdi3+0x2b0> - 88c: 01800713 addi x14,x0,24 - 890: fb9ff06f jal x0,848 <__udivdi3+0x2b0> - 894: 00f65733 srl x14,x12,x15 - 898: 00b696b3 sll x13,x13,x11 - 89c: 00d766b3 or x13,x14,x13 - 8a0: 00f8d733 srl x14,x17,x15 - 8a4: 00b898b3 sll x17,x17,x11 - 8a8: 00f357b3 srl x15,x6,x15 - 8ac: 0117e7b3 or x15,x15,x17 - 8b0: 0106d893 srli x17,x13,0x10 - 8b4: 03175eb3 divu x29,x14,x17 - 8b8: 01069813 slli x16,x13,0x10 - 8bc: 01085813 srli x16,x16,0x10 - 8c0: 00b61633 sll x12,x12,x11 - 8c4: 03177733 remu x14,x14,x17 - 8c8: 03d80e33 mul x28,x16,x29 - 8cc: 01071513 slli x10,x14,0x10 - 8d0: 0107d713 srli x14,x15,0x10 - 8d4: 00a76733 or x14,x14,x10 - 8d8: 000e8513 addi x10,x29,0 - 8dc: 01c77e63 bgeu x14,x28,8f8 <__udivdi3+0x360> - 8e0: 00d70733 add x14,x14,x13 - 8e4: fffe8513 addi x10,x29,-1 - 8e8: 00d76863 bltu x14,x13,8f8 <__udivdi3+0x360> - 8ec: 01c77663 bgeu x14,x28,8f8 <__udivdi3+0x360> - 8f0: ffee8513 addi x10,x29,-2 - 8f4: 00d70733 add x14,x14,x13 - 8f8: 41c70733 sub x14,x14,x28 - 8fc: 03175e33 divu x28,x14,x17 - 900: 01079793 slli x15,x15,0x10 - 904: 0107d793 srli x15,x15,0x10 - 908: 03177733 remu x14,x14,x17 - 90c: 03c80833 mul x16,x16,x28 - 910: 01071713 slli x14,x14,0x10 - 914: 00e7e7b3 or x15,x15,x14 - 918: 000e0713 addi x14,x28,0 - 91c: 0107fe63 bgeu x15,x16,938 <__udivdi3+0x3a0> - 920: 00d787b3 add x15,x15,x13 - 924: fffe0713 addi x14,x28,-1 - 928: 00d7e863 bltu x15,x13,938 <__udivdi3+0x3a0> - 92c: 0107f663 bgeu x15,x16,938 <__udivdi3+0x3a0> - 930: ffee0713 addi x14,x28,-2 - 934: 00d787b3 add x15,x15,x13 - 938: 01051513 slli x10,x10,0x10 - 93c: 00010e37 lui x28,0x10 - 940: 00e56533 or x10,x10,x14 - 944: fffe0693 addi x13,x28,-1 # ffff <__global_pointer$+0xe7c7> - 948: 00d57733 and x14,x10,x13 - 94c: 410787b3 sub x15,x15,x16 - 950: 00d676b3 and x13,x12,x13 - 954: 01055813 srli x16,x10,0x10 - 958: 01065613 srli x12,x12,0x10 - 95c: 02d708b3 mul x17,x14,x13 - 960: 02d806b3 mul x13,x16,x13 - 964: 02c70733 mul x14,x14,x12 - 968: 02c80833 mul x16,x16,x12 - 96c: 00d70633 add x12,x14,x13 - 970: 0108d713 srli x14,x17,0x10 - 974: 00c70733 add x14,x14,x12 - 978: 00d77463 bgeu x14,x13,980 <__udivdi3+0x3e8> - 97c: 01c80833 add x16,x16,x28 - 980: 01075693 srli x13,x14,0x10 - 984: 010686b3 add x13,x13,x16 - 988: 02d7e663 bltu x15,x13,9b4 <__udivdi3+0x41c> - 98c: ced79ee3 bne x15,x13,688 <__udivdi3+0xf0> - 990: 000107b7 lui x15,0x10 - 994: fff78793 addi x15,x15,-1 # ffff <__global_pointer$+0xe7c7> - 998: 00f77733 and x14,x14,x15 - 99c: 01071713 slli x14,x14,0x10 - 9a0: 00f8f8b3 and x17,x17,x15 - 9a4: 00b31333 sll x6,x6,x11 - 9a8: 01170733 add x14,x14,x17 - 9ac: 00000593 addi x11,x0,0 - 9b0: cce37ee3 bgeu x6,x14,68c <__udivdi3+0xf4> - 9b4: fff50513 addi x10,x10,-1 # ffffff <__global_pointer$+0xffe7c7> - 9b8: cd1ff06f jal x0,688 <__udivdi3+0xf0> - 9bc: 00000593 addi x11,x0,0 - 9c0: 00000513 addi x10,x0,0 - 9c4: 00008067 jalr x0,0(x1) +000002a0 : + 2a0: fe010113 addi x2,x2,-32 + 2a4: 00812e23 sw x8,28(x2) + 2a8: 02010413 addi x8,x2,32 + 2ac: fea42623 sw x10,-20(x8) + 2b0: fec42703 lw x14,-20(x8) + 2b4: 00a00793 addi x15,x0,10 + 2b8: 02f777b3 remu x15,x14,x15 + 2bc: 00001737 lui x14,0x1 + 2c0: 17870713 addi x14,x14,376 # 1178 + 2c4: 00279793 slli x15,x15,0x2 + 2c8: 00f707b3 add x15,x14,x15 + 2cc: 0007a783 lw x15,0(x15) + 2d0: 00078513 addi x10,x15,0 + 2d4: 01c12403 lw x8,28(x2) + 2d8: 02010113 addi x2,x2,32 + 2dc: 00008067 jalr x0,0(x1) -000009c8 : - 9c8: ff010113 addi x2,x2,-16 - 9cc: 00000593 addi x11,x0,0 - 9d0: 00812423 sw x8,8(x2) - 9d4: 00112623 sw x1,12(x2) - 9d8: 00050413 addi x8,x10,0 - 9dc: 194000ef jal x1,b70 <__call_exitprocs> - 9e0: c381a503 lw x10,-968(x3) # 1470 <_global_impure_ptr> - 9e4: 03c52783 lw x15,60(x10) - 9e8: 00078463 beq x15,x0,9f0 - 9ec: 000780e7 jalr x1,0(x15) - 9f0: 00040513 addi x10,x8,0 - 9f4: 3a4000ef jal x1,d98 <_exit> +000002e0 : + 2e0: fc010113 addi x2,x2,-64 + 2e4: 02812e23 sw x8,60(x2) + 2e8: 04010413 addi x8,x2,64 + 2ec: fca42623 sw x10,-52(x8) + 2f0: fcb42423 sw x11,-56(x8) + 2f4: fe042423 sw x0,-24(x8) + 2f8: fe042223 sw x0,-28(x8) + 2fc: fc842783 lw x15,-56(x8) + 300: 0407de63 bge x15,x0,35c + 304: fc842783 lw x15,-56(x8) + 308: 40f007b3 sub x15,x0,x15 + 30c: fcf42423 sw x15,-56(x8) + 310: fe042223 sw x0,-28(x8) + 314: 0480006f jal x0,35c + 318: fc842703 lw x14,-56(x8) + 31c: 00a00793 addi x15,x0,10 + 320: 02f767b3 rem x15,x14,x15 + 324: 0ff7f713 andi x14,x15,255 + 328: fe842783 lw x15,-24(x8) + 32c: 00178693 addi x13,x15,1 + 330: fed42423 sw x13,-24(x8) + 334: 00078693 addi x13,x15,0 + 338: fcc42783 lw x15,-52(x8) + 33c: 00d787b3 add x15,x15,x13 + 340: 03070713 addi x14,x14,48 + 344: 0ff77713 andi x14,x14,255 + 348: 00e78023 sb x14,0(x15) + 34c: fc842703 lw x14,-56(x8) + 350: 00a00793 addi x15,x0,10 + 354: 02f747b3 div x15,x14,x15 + 358: fcf42423 sw x15,-56(x8) + 35c: fc842783 lw x15,-56(x8) + 360: faf04ce3 blt x0,x15,318 + 364: fe442783 lw x15,-28(x8) + 368: 02078263 beq x15,x0,38c + 36c: fe842783 lw x15,-24(x8) + 370: 00178713 addi x14,x15,1 + 374: fee42423 sw x14,-24(x8) + 378: 00078713 addi x14,x15,0 + 37c: fcc42783 lw x15,-52(x8) + 380: 00e787b3 add x15,x15,x14 + 384: 02d00713 addi x14,x0,45 + 388: 00e78023 sb x14,0(x15) + 38c: fe042623 sw x0,-20(x8) + 390: 06c0006f jal x0,3fc + 394: fe842783 lw x15,-24(x8) + 398: fff78713 addi x14,x15,-1 + 39c: fec42783 lw x15,-20(x8) + 3a0: 40f707b3 sub x15,x14,x15 + 3a4: fef42023 sw x15,-32(x8) + 3a8: fec42783 lw x15,-20(x8) + 3ac: fcc42703 lw x14,-52(x8) + 3b0: 00f707b3 add x15,x14,x15 + 3b4: 0007c783 lbu x15,0(x15) + 3b8: fcf40fa3 sb x15,-33(x8) + 3bc: fe042783 lw x15,-32(x8) + 3c0: fcc42703 lw x14,-52(x8) + 3c4: 00f70733 add x14,x14,x15 + 3c8: fec42783 lw x15,-20(x8) + 3cc: fcc42683 lw x13,-52(x8) + 3d0: 00f687b3 add x15,x13,x15 + 3d4: 00074703 lbu x14,0(x14) + 3d8: 00e78023 sb x14,0(x15) + 3dc: fe042783 lw x15,-32(x8) + 3e0: fcc42703 lw x14,-52(x8) + 3e4: 00f707b3 add x15,x14,x15 + 3e8: fdf44703 lbu x14,-33(x8) + 3ec: 00e78023 sb x14,0(x15) + 3f0: fec42783 lw x15,-20(x8) + 3f4: 00178793 addi x15,x15,1 + 3f8: fef42623 sw x15,-20(x8) + 3fc: fe842783 lw x15,-24(x8) + 400: 01f7d713 srli x14,x15,0x1f + 404: 00f707b3 add x15,x14,x15 + 408: 4017d793 srai x15,x15,0x1 + 40c: 00078713 addi x14,x15,0 + 410: fec42783 lw x15,-20(x8) + 414: f8e7c0e3 blt x15,x14,394 + 418: fe842783 lw x15,-24(x8) + 41c: fcc42703 lw x14,-52(x8) + 420: 00f707b3 add x15,x14,x15 + 424: 00078023 sb x0,0(x15) + 428: fe842783 lw x15,-24(x8) + 42c: 00078513 addi x10,x15,0 + 430: 03c12403 lw x8,60(x2) + 434: 04010113 addi x2,x2,64 + 438: 00008067 jalr x0,0(x1) -000009f8 <__libc_init_array>: - 9f8: ff010113 addi x2,x2,-16 - 9fc: 00812423 sw x8,8(x2) - a00: 01212023 sw x18,0(x2) - a04: 00001437 lui x8,0x1 - a08: 00001937 lui x18,0x1 - a0c: 02c40793 addi x15,x8,44 # 102c <__init_array_start> - a10: 02c90913 addi x18,x18,44 # 102c <__init_array_start> - a14: 40f90933 sub x18,x18,x15 - a18: 00112623 sw x1,12(x2) - a1c: 00912223 sw x9,4(x2) - a20: 40295913 srai x18,x18,0x2 - a24: 02090063 beq x18,x0,a44 <__libc_init_array+0x4c> - a28: 02c40413 addi x8,x8,44 - a2c: 00000493 addi x9,x0,0 - a30: 00042783 lw x15,0(x8) - a34: 00148493 addi x9,x9,1 - a38: 00440413 addi x8,x8,4 - a3c: 000780e7 jalr x1,0(x15) - a40: fe9918e3 bne x18,x9,a30 <__libc_init_array+0x38> - a44: 00001437 lui x8,0x1 - a48: 00001937 lui x18,0x1 - a4c: 02c40793 addi x15,x8,44 # 102c <__init_array_start> - a50: 03490913 addi x18,x18,52 # 1034 <__do_global_dtors_aux_fini_array_entry> - a54: 40f90933 sub x18,x18,x15 - a58: 40295913 srai x18,x18,0x2 - a5c: 02090063 beq x18,x0,a7c <__libc_init_array+0x84> - a60: 02c40413 addi x8,x8,44 - a64: 00000493 addi x9,x0,0 - a68: 00042783 lw x15,0(x8) - a6c: 00148493 addi x9,x9,1 - a70: 00440413 addi x8,x8,4 - a74: 000780e7 jalr x1,0(x15) - a78: fe9918e3 bne x18,x9,a68 <__libc_init_array+0x70> - a7c: 00c12083 lw x1,12(x2) - a80: 00812403 lw x8,8(x2) - a84: 00412483 lw x9,4(x2) - a88: 00012903 lw x18,0(x2) - a8c: 01010113 addi x2,x2,16 - a90: 00008067 jalr x0,0(x1) +0000043c <_strcat>: + 43c: fd010113 addi x2,x2,-48 + 440: 02812623 sw x8,44(x2) + 444: 03010413 addi x8,x2,48 + 448: fca42e23 sw x10,-36(x8) + 44c: fcb42c23 sw x11,-40(x8) + 450: fdc42783 lw x15,-36(x8) + 454: fef42623 sw x15,-20(x8) + 458: 0100006f jal x0,468 <_strcat+0x2c> + 45c: fdc42783 lw x15,-36(x8) + 460: 00178793 addi x15,x15,1 + 464: fcf42e23 sw x15,-36(x8) + 468: fdc42783 lw x15,-36(x8) + 46c: 0007c783 lbu x15,0(x15) + 470: fe0796e3 bne x15,x0,45c <_strcat+0x20> + 474: 0240006f jal x0,498 <_strcat+0x5c> + 478: fd842703 lw x14,-40(x8) + 47c: 00170793 addi x15,x14,1 + 480: fcf42c23 sw x15,-40(x8) + 484: fdc42783 lw x15,-36(x8) + 488: 00178693 addi x13,x15,1 + 48c: fcd42e23 sw x13,-36(x8) + 490: 00074703 lbu x14,0(x14) + 494: 00e78023 sb x14,0(x15) + 498: fd842783 lw x15,-40(x8) + 49c: 0007c783 lbu x15,0(x15) + 4a0: fc079ce3 bne x15,x0,478 <_strcat+0x3c> + 4a4: fdc42783 lw x15,-36(x8) + 4a8: 00178713 addi x14,x15,1 + 4ac: fce42e23 sw x14,-36(x8) + 4b0: 00078023 sb x0,0(x15) + 4b4: fdc42703 lw x14,-36(x8) + 4b8: fec42783 lw x15,-20(x8) + 4bc: 40f707b3 sub x15,x14,x15 + 4c0: 00078513 addi x10,x15,0 + 4c4: 02c12403 lw x8,44(x2) + 4c8: 03010113 addi x2,x2,48 + 4cc: 00008067 jalr x0,0(x1) -00000a94 : - a94: 00f00313 addi x6,x0,15 - a98: 00050713 addi x14,x10,0 - a9c: 02c37e63 bgeu x6,x12,ad8 - aa0: 00f77793 andi x15,x14,15 - aa4: 0a079063 bne x15,x0,b44 - aa8: 08059263 bne x11,x0,b2c - aac: ff067693 andi x13,x12,-16 - ab0: 00f67613 andi x12,x12,15 - ab4: 00e686b3 add x13,x13,x14 - ab8: 00b72023 sw x11,0(x14) - abc: 00b72223 sw x11,4(x14) - ac0: 00b72423 sw x11,8(x14) - ac4: 00b72623 sw x11,12(x14) - ac8: 01070713 addi x14,x14,16 - acc: fed766e3 bltu x14,x13,ab8 - ad0: 00061463 bne x12,x0,ad8 - ad4: 00008067 jalr x0,0(x1) - ad8: 40c306b3 sub x13,x6,x12 - adc: 00269693 slli x13,x13,0x2 - ae0: 00000297 auipc x5,0x0 - ae4: 005686b3 add x13,x13,x5 - ae8: 00c68067 jalr x0,12(x13) # 1000c <__global_pointer$+0xe7d4> - aec: 00b70723 sb x11,14(x14) - af0: 00b706a3 sb x11,13(x14) - af4: 00b70623 sb x11,12(x14) - af8: 00b705a3 sb x11,11(x14) - afc: 00b70523 sb x11,10(x14) - b00: 00b704a3 sb x11,9(x14) - b04: 00b70423 sb x11,8(x14) - b08: 00b703a3 sb x11,7(x14) - b0c: 00b70323 sb x11,6(x14) - b10: 00b702a3 sb x11,5(x14) - b14: 00b70223 sb x11,4(x14) - b18: 00b701a3 sb x11,3(x14) - b1c: 00b70123 sb x11,2(x14) - b20: 00b700a3 sb x11,1(x14) - b24: 00b70023 sb x11,0(x14) - b28: 00008067 jalr x0,0(x1) - b2c: 0ff5f593 andi x11,x11,255 - b30: 00859693 slli x13,x11,0x8 - b34: 00d5e5b3 or x11,x11,x13 - b38: 01059693 slli x13,x11,0x10 - b3c: 00d5e5b3 or x11,x11,x13 - b40: f6dff06f jal x0,aac - b44: 00279693 slli x13,x15,0x2 - b48: 00000297 auipc x5,0x0 - b4c: 005686b3 add x13,x13,x5 - b50: 00008293 addi x5,x1,0 - b54: fa0680e7 jalr x1,-96(x13) - b58: 00028093 addi x1,x5,0 # b48 - b5c: ff078793 addi x15,x15,-16 - b60: 40f70733 sub x14,x14,x15 - b64: 00f60633 add x12,x12,x15 - b68: f6c378e3 bgeu x6,x12,ad8 - b6c: f3dff06f jal x0,aa8 +000004d0
: + 4d0: ea010113 addi x2,x2,-352 + 4d4: 14112e23 sw x1,348(x2) + 4d8: 14812c23 sw x8,344(x2) + 4dc: 14912a23 sw x9,340(x2) + 4e0: 16010413 addi x8,x2,352 + 4e4: eaa42623 sw x10,-340(x8) + 4e8: eab42423 sw x11,-344(x8) + 4ec: 00100793 addi x15,x0,1 + 4f0: fcf42e23 sw x15,-36(x8) + 4f4: f00007b7 lui x15,0xf0000 + 4f8: fcf42c23 sw x15,-40(x8) + 4fc: f00007b7 lui x15,0xf0000 + 500: 01078793 addi x15,x15,16 # f0000010 <__global_pointer$+0xefffd510> + 504: fcf42a23 sw x15,-44(x8) + 508: f00007b7 lui x15,0xf0000 + 50c: 10078793 addi x15,x15,256 # f0000100 <__global_pointer$+0xefffd600> + 510: fcf42823 sw x15,-48(x8) + 514: 00000793 addi x15,x0,0 + 518: 00000813 addi x16,x0,0 + 51c: fef42423 sw x15,-24(x8) + 520: ff042623 sw x16,-20(x8) + 524: fd442783 lw x15,-44(x8) + 528: 6f7f0737 lui x14,0x6f7f0 + 52c: 77d70713 addi x14,x14,1917 # 6f7f077d <__global_pointer$+0x6f7edc7d> + 530: 00e7a023 sw x14,0(x15) + 534: fd442783 lw x15,-44(x8) + 538: 00478793 addi x15,x15,4 + 53c: 6d665737 lui x14,0x6d665 + 540: f5b70713 addi x14,x14,-165 # 6d664f5b <__global_pointer$+0x6d66245b> + 544: 00e7a023 sw x14,0(x15) + 548: fd042783 lw x15,-48(x8) + 54c: 01078793 addi x15,x15,16 + 550: 1b200713 addi x14,x0,434 + 554: 00e7a023 sw x14,0(x15) + 558: fe042223 sw x0,-28(x8) + 55c: fe042023 sw x0,-32(x8) + 560: 000017b7 lui x15,0x1 + 564: 1a078793 addi x15,x15,416 # 11a0 + 568: fcf42623 sw x15,-52(x8) + 56c: eb840793 addi x15,x8,-328 + 570: 0ff00593 addi x11,x0,255 + 574: 00078513 addi x10,x15,0 + 578: c51ff0ef jal x1,1c8 + 57c: fca42423 sw x10,-56(x8) + 580: fc842783 lw x15,-56(x8) + 584: 02f05a63 bge x0,x15,5b8 + 588: eb840793 addi x15,x8,-328 + 58c: fc842583 lw x11,-56(x8) + 590: 00078513 addi x10,x15,0 + 594: ba9ff0ef jal x1,13c + 598: fe442703 lw x14,-28(x8) + 59c: fc842783 lw x15,-56(x8) + 5a0: 00f707b3 add x15,x14,x15 + 5a4: fef42223 sw x15,-28(x8) + 5a8: fe042703 lw x14,-32(x8) + 5ac: fc842783 lw x15,-56(x8) + 5b0: 00f707b3 add x15,x14,x15 + 5b4: fef42023 sw x15,-32(x8) + 5b8: fe042703 lw x14,-32(x8) + 5bc: 3e700793 addi x15,x0,999 + 5c0: 04e7d263 bge x15,x14,604 + 5c4: eb840793 addi x15,x8,-328 + 5c8: fe442583 lw x11,-28(x8) + 5cc: 00078513 addi x10,x15,0 + 5d0: d11ff0ef jal x1,2e0 + 5d4: fca42223 sw x10,-60(x8) + 5d8: eb840713 addi x14,x8,-328 + 5dc: 000017b7 lui x15,0x1 + 5e0: 1b078593 addi x11,x15,432 # 11b0 + 5e4: 00070513 addi x10,x14,0 + 5e8: e55ff0ef jal x1,43c <_strcat> + 5ec: fca42223 sw x10,-60(x8) + 5f0: eb840793 addi x15,x8,-328 + 5f4: fc442583 lw x11,-60(x8) + 5f8: 00078513 addi x10,x15,0 + 5fc: b41ff0ef jal x1,13c + 600: fe042023 sw x0,-32(x8) + 604: fd842783 lw x15,-40(x8) + 608: 0007a783 lw x15,0(x15) + 60c: fcf42023 sw x15,-64(x8) + 610: fc042783 lw x15,-64(x8) + 614: 0017f793 andi x15,x15,1 + 618: 00078c63 beq x15,x0,630 + 61c: 00000793 addi x15,x0,0 + 620: 00000813 addi x16,x0,0 + 624: fef42423 sw x15,-24(x8) + 628: ff042623 sw x16,-20(x8) + 62c: 0740006f jal x0,6a0 + 630: fc042783 lw x15,-64(x8) + 634: 0027f793 andi x15,x15,2 + 638: 00078663 beq x15,x0,644 + 63c: fc042e23 sw x0,-36(x8) + 640: 0600006f jal x0,6a0 + 644: fc042783 lw x15,-64(x8) + 648: 0047f793 andi x15,x15,4 + 64c: 00078863 beq x15,x0,65c + 650: 00100793 addi x15,x0,1 + 654: fcf42e23 sw x15,-36(x8) + 658: 0480006f jal x0,6a0 + 65c: fc042783 lw x15,-64(x8) + 660: 0087f793 andi x15,x15,8 + 664: 00078c63 beq x15,x0,67c + 668: fd042783 lw x15,-48(x8) + 66c: 01078793 addi x15,x15,16 + 670: 1b200713 addi x14,x0,434 + 674: 00e7a023 sw x14,0(x15) + 678: 2c40006f jal x0,93c + 67c: fc042783 lw x15,-64(x8) + 680: 0107f793 andi x15,x15,16 + 684: 00078e63 beq x15,x0,6a0 + 688: fd042783 lw x15,-48(x8) + 68c: 01078793 addi x15,x15,16 + 690: 00001737 lui x14,0x1 + 694: 45870713 addi x14,x14,1112 # 1458 <__clz_tab+0x294> + 698: 00e7a023 sw x14,0(x15) + 69c: 2a00006f jal x0,93c + 6a0: fdc42783 lw x15,-36(x8) + 6a4: 02078a63 beq x15,x0,6d8 + 6a8: fe842603 lw x12,-24(x8) + 6ac: fec42683 lw x13,-20(x8) + 6b0: 00100513 addi x10,x0,1 + 6b4: 00000593 addi x11,x0,0 + 6b8: 00a60733 add x14,x12,x10 + 6bc: 00070813 addi x16,x14,0 + 6c0: 00c83833 sltu x16,x16,x12 + 6c4: 00b687b3 add x15,x13,x11 + 6c8: 00f806b3 add x13,x16,x15 + 6cc: 00068793 addi x15,x13,0 + 6d0: fee42423 sw x14,-24(x8) + 6d4: fef42623 sw x15,-20(x8) + 6d8: fe042783 lw x15,-32(x8) + 6dc: faf42c23 sw x15,-72(x8) + 6e0: 41f7d793 srai x15,x15,0x1f + 6e4: faf42e23 sw x15,-68(x8) + 6e8: fb842783 lw x15,-72(x8) + 6ec: 00078513 addi x10,x15,0 + 6f0: bb1ff0ef jal x1,2a0 + 6f4: 00050493 addi x9,x10,0 + 6f8: fb842703 lw x14,-72(x8) + 6fc: fbc42783 lw x15,-68(x8) + 700: 00a00613 addi x12,x0,10 + 704: 00000693 addi x13,x0,0 + 708: 00070513 addi x10,x14,0 + 70c: 00078593 addi x11,x15,0 + 710: 230000ef jal x1,940 <__udivdi3> + 714: 00050713 addi x14,x10,0 + 718: 00058793 addi x15,x11,0 + 71c: 00070793 addi x15,x14,0 + 720: 00078513 addi x10,x15,0 + 724: b7dff0ef jal x1,2a0 + 728: 00050793 addi x15,x10,0 + 72c: 00879793 slli x15,x15,0x8 + 730: 00f4e4b3 or x9,x9,x15 + 734: fb842703 lw x14,-72(x8) + 738: fbc42783 lw x15,-68(x8) + 73c: 06400613 addi x12,x0,100 + 740: 00000693 addi x13,x0,0 + 744: 00070513 addi x10,x14,0 + 748: 00078593 addi x11,x15,0 + 74c: 1f4000ef jal x1,940 <__udivdi3> + 750: 00050713 addi x14,x10,0 + 754: 00058793 addi x15,x11,0 + 758: 00070793 addi x15,x14,0 + 75c: 00078513 addi x10,x15,0 + 760: b41ff0ef jal x1,2a0 + 764: 00050793 addi x15,x10,0 + 768: 01079793 slli x15,x15,0x10 + 76c: 00f4e4b3 or x9,x9,x15 + 770: fb842703 lw x14,-72(x8) + 774: fbc42783 lw x15,-68(x8) + 778: 3e800613 addi x12,x0,1000 + 77c: 00000693 addi x13,x0,0 + 780: 00070513 addi x10,x14,0 + 784: 00078593 addi x11,x15,0 + 788: 1b8000ef jal x1,940 <__udivdi3> + 78c: 00050713 addi x14,x10,0 + 790: 00058793 addi x15,x11,0 + 794: 00070793 addi x15,x14,0 + 798: 00078513 addi x10,x15,0 + 79c: b05ff0ef jal x1,2a0 + 7a0: 00050793 addi x15,x10,0 + 7a4: 01879793 slli x15,x15,0x18 + 7a8: 00f4e733 or x14,x9,x15 + 7ac: fd442783 lw x15,-44(x8) + 7b0: 00e7a023 sw x14,0(x15) + 7b4: fb842703 lw x14,-72(x8) + 7b8: fbc42783 lw x15,-68(x8) + 7bc: 00002637 lui x12,0x2 + 7c0: 71060613 addi x12,x12,1808 # 2710 + 7c4: 00000693 addi x13,x0,0 + 7c8: 00070513 addi x10,x14,0 + 7cc: 00078593 addi x11,x15,0 + 7d0: 170000ef jal x1,940 <__udivdi3> + 7d4: 00050713 addi x14,x10,0 + 7d8: 00058793 addi x15,x11,0 + 7dc: fae42c23 sw x14,-72(x8) + 7e0: faf42e23 sw x15,-68(x8) + 7e4: fb842783 lw x15,-72(x8) + 7e8: 00078513 addi x10,x15,0 + 7ec: ab5ff0ef jal x1,2a0 + 7f0: 00050493 addi x9,x10,0 + 7f4: fb842703 lw x14,-72(x8) + 7f8: fbc42783 lw x15,-68(x8) + 7fc: 00a00613 addi x12,x0,10 + 800: 00000693 addi x13,x0,0 + 804: 00070513 addi x10,x14,0 + 808: 00078593 addi x11,x15,0 + 80c: 134000ef jal x1,940 <__udivdi3> + 810: 00050713 addi x14,x10,0 + 814: 00058793 addi x15,x11,0 + 818: 00070793 addi x15,x14,0 + 81c: 00078513 addi x10,x15,0 + 820: a81ff0ef jal x1,2a0 + 824: 00050793 addi x15,x10,0 + 828: 00879793 slli x15,x15,0x8 + 82c: 00f4e4b3 or x9,x9,x15 + 830: fb842703 lw x14,-72(x8) + 834: fbc42783 lw x15,-68(x8) + 838: 06400613 addi x12,x0,100 + 83c: 00000693 addi x13,x0,0 + 840: 00070513 addi x10,x14,0 + 844: 00078593 addi x11,x15,0 + 848: 0f8000ef jal x1,940 <__udivdi3> + 84c: 00050713 addi x14,x10,0 + 850: 00058793 addi x15,x11,0 + 854: 00070793 addi x15,x14,0 + 858: 00078513 addi x10,x15,0 + 85c: a45ff0ef jal x1,2a0 + 860: 00050793 addi x15,x10,0 + 864: 01079793 slli x15,x15,0x10 + 868: 00f4e4b3 or x9,x9,x15 + 86c: fb842703 lw x14,-72(x8) + 870: fbc42783 lw x15,-68(x8) + 874: 3e800613 addi x12,x0,1000 + 878: 00000693 addi x13,x0,0 + 87c: 00070513 addi x10,x14,0 + 880: 00078593 addi x11,x15,0 + 884: 0bc000ef jal x1,940 <__udivdi3> + 888: 00050713 addi x14,x10,0 + 88c: 00058793 addi x15,x11,0 + 890: 00070793 addi x15,x14,0 + 894: 00078513 addi x10,x15,0 + 898: a09ff0ef jal x1,2a0 + 89c: 00050793 addi x15,x10,0 + 8a0: 01879713 slli x14,x15,0x18 + 8a4: fd442783 lw x15,-44(x8) + 8a8: 00478793 addi x15,x15,4 + 8ac: 00e4e733 or x14,x9,x14 + 8b0: 00e7a023 sw x14,0(x15) + 8b4: fb842703 lw x14,-72(x8) + 8b8: fbc42783 lw x15,-68(x8) + 8bc: 00002637 lui x12,0x2 + 8c0: 71060613 addi x12,x12,1808 # 2710 + 8c4: 00000693 addi x13,x0,0 + 8c8: 00070513 addi x10,x14,0 + 8cc: 00078593 addi x11,x15,0 + 8d0: 070000ef jal x1,940 <__udivdi3> + 8d4: 00050713 addi x14,x10,0 + 8d8: 00058793 addi x15,x11,0 + 8dc: fae42c23 sw x14,-72(x8) + 8e0: faf42e23 sw x15,-68(x8) + 8e4: fb842783 lw x15,-72(x8) + 8e8: 00078513 addi x10,x15,0 + 8ec: 9b5ff0ef jal x1,2a0 + 8f0: 00050493 addi x9,x10,0 + 8f4: fb842703 lw x14,-72(x8) + 8f8: fbc42783 lw x15,-68(x8) + 8fc: 00a00613 addi x12,x0,10 + 900: 00000693 addi x13,x0,0 + 904: 00070513 addi x10,x14,0 + 908: 00078593 addi x11,x15,0 + 90c: 034000ef jal x1,940 <__udivdi3> + 910: 00050713 addi x14,x10,0 + 914: 00058793 addi x15,x11,0 + 918: 00070793 addi x15,x14,0 + 91c: 00078513 addi x10,x15,0 + 920: 981ff0ef jal x1,2a0 + 924: 00050793 addi x15,x10,0 + 928: 00879713 slli x14,x15,0x8 + 92c: fd442783 lw x15,-44(x8) + 930: 00878793 addi x15,x15,8 + 934: 00e4e733 or x14,x9,x14 + 938: 00e7a023 sw x14,0(x15) + 93c: c25ff06f jal x0,560 -00000b70 <__call_exitprocs>: - b70: fd010113 addi x2,x2,-48 - b74: 01412c23 sw x20,24(x2) - b78: c381aa03 lw x20,-968(x3) # 1470 <_global_impure_ptr> - b7c: 03212023 sw x18,32(x2) - b80: 02112623 sw x1,44(x2) - b84: 148a2903 lw x18,328(x20) - b88: 02812423 sw x8,40(x2) - b8c: 02912223 sw x9,36(x2) - b90: 01312e23 sw x19,28(x2) - b94: 01512a23 sw x21,20(x2) - b98: 01612823 sw x22,16(x2) - b9c: 01712623 sw x23,12(x2) - ba0: 01812423 sw x24,8(x2) - ba4: 04090063 beq x18,x0,be4 <__call_exitprocs+0x74> - ba8: 00050b13 addi x22,x10,0 - bac: 00058b93 addi x23,x11,0 - bb0: 00100a93 addi x21,x0,1 - bb4: fff00993 addi x19,x0,-1 - bb8: 00492483 lw x9,4(x18) - bbc: fff48413 addi x8,x9,-1 - bc0: 02044263 blt x8,x0,be4 <__call_exitprocs+0x74> - bc4: 00249493 slli x9,x9,0x2 - bc8: 009904b3 add x9,x18,x9 - bcc: 040b8463 beq x23,x0,c14 <__call_exitprocs+0xa4> - bd0: 1044a783 lw x15,260(x9) - bd4: 05778063 beq x15,x23,c14 <__call_exitprocs+0xa4> - bd8: fff40413 addi x8,x8,-1 - bdc: ffc48493 addi x9,x9,-4 - be0: ff3416e3 bne x8,x19,bcc <__call_exitprocs+0x5c> - be4: 02c12083 lw x1,44(x2) - be8: 02812403 lw x8,40(x2) - bec: 02412483 lw x9,36(x2) - bf0: 02012903 lw x18,32(x2) - bf4: 01c12983 lw x19,28(x2) - bf8: 01812a03 lw x20,24(x2) - bfc: 01412a83 lw x21,20(x2) - c00: 01012b03 lw x22,16(x2) - c04: 00c12b83 lw x23,12(x2) - c08: 00812c03 lw x24,8(x2) - c0c: 03010113 addi x2,x2,48 - c10: 00008067 jalr x0,0(x1) - c14: 00492783 lw x15,4(x18) - c18: 0044a683 lw x13,4(x9) - c1c: fff78793 addi x15,x15,-1 - c20: 04878e63 beq x15,x8,c7c <__call_exitprocs+0x10c> - c24: 0004a223 sw x0,4(x9) - c28: fa0688e3 beq x13,x0,bd8 <__call_exitprocs+0x68> - c2c: 18892783 lw x15,392(x18) - c30: 008a9733 sll x14,x21,x8 - c34: 00492c03 lw x24,4(x18) - c38: 00f777b3 and x15,x14,x15 - c3c: 02079263 bne x15,x0,c60 <__call_exitprocs+0xf0> - c40: 000680e7 jalr x1,0(x13) - c44: 00492703 lw x14,4(x18) - c48: 148a2783 lw x15,328(x20) - c4c: 01871463 bne x14,x24,c54 <__call_exitprocs+0xe4> - c50: f92784e3 beq x15,x18,bd8 <__call_exitprocs+0x68> - c54: f80788e3 beq x15,x0,be4 <__call_exitprocs+0x74> - c58: 00078913 addi x18,x15,0 - c5c: f5dff06f jal x0,bb8 <__call_exitprocs+0x48> - c60: 18c92783 lw x15,396(x18) - c64: 0844a583 lw x11,132(x9) - c68: 00f77733 and x14,x14,x15 - c6c: 00071c63 bne x14,x0,c84 <__call_exitprocs+0x114> - c70: 000b0513 addi x10,x22,0 - c74: 000680e7 jalr x1,0(x13) - c78: fcdff06f jal x0,c44 <__call_exitprocs+0xd4> - c7c: 00892223 sw x8,4(x18) - c80: fa9ff06f jal x0,c28 <__call_exitprocs+0xb8> - c84: 00058513 addi x10,x11,0 - c88: 000680e7 jalr x1,0(x13) - c8c: fb9ff06f jal x0,c44 <__call_exitprocs+0xd4> +00000940 <__udivdi3>: + 940: 00050313 addi x6,x10,0 + 944: 00058893 addi x17,x11,0 + 948: 00060713 addi x14,x12,0 + 94c: 00050813 addi x16,x10,0 + 950: 00058793 addi x15,x11,0 + 954: 28069263 bne x13,x0,bd8 <__udivdi3+0x298> + 958: 000015b7 lui x11,0x1 + 95c: 1c458593 addi x11,x11,452 # 11c4 <__clz_tab> + 960: 0ec8f663 bgeu x17,x12,a4c <__udivdi3+0x10c> + 964: 000106b7 lui x13,0x10 + 968: 0cd67863 bgeu x12,x13,a38 <__udivdi3+0xf8> + 96c: 10063693 sltiu x13,x12,256 + 970: 0016c693 xori x13,x13,1 + 974: 00369693 slli x13,x13,0x3 + 978: 00d65533 srl x10,x12,x13 + 97c: 00a585b3 add x11,x11,x10 + 980: 0005c583 lbu x11,0(x11) + 984: 02000513 addi x10,x0,32 + 988: 00d586b3 add x13,x11,x13 + 98c: 40d505b3 sub x11,x10,x13 + 990: 00d50c63 beq x10,x13,9a8 <__udivdi3+0x68> + 994: 00b897b3 sll x15,x17,x11 + 998: 00d356b3 srl x13,x6,x13 + 99c: 00b61733 sll x14,x12,x11 + 9a0: 00f6e7b3 or x15,x13,x15 + 9a4: 00b31833 sll x16,x6,x11 + 9a8: 01075593 srli x11,x14,0x10 + 9ac: 02b7d333 divu x6,x15,x11 + 9b0: 01071613 slli x12,x14,0x10 + 9b4: 01065613 srli x12,x12,0x10 + 9b8: 02b7f7b3 remu x15,x15,x11 + 9bc: 00030513 addi x10,x6,0 # 130 + 9c0: 026608b3 mul x17,x12,x6 + 9c4: 01079693 slli x13,x15,0x10 + 9c8: 01085793 srli x15,x16,0x10 + 9cc: 00d7e7b3 or x15,x15,x13 + 9d0: 0117fe63 bgeu x15,x17,9ec <__udivdi3+0xac> + 9d4: 00e787b3 add x15,x15,x14 + 9d8: fff30513 addi x10,x6,-1 + 9dc: 00e7e863 bltu x15,x14,9ec <__udivdi3+0xac> + 9e0: 0117f663 bgeu x15,x17,9ec <__udivdi3+0xac> + 9e4: ffe30513 addi x10,x6,-2 + 9e8: 00e787b3 add x15,x15,x14 + 9ec: 411787b3 sub x15,x15,x17 + 9f0: 02b7d8b3 divu x17,x15,x11 + 9f4: 01081813 slli x16,x16,0x10 + 9f8: 01085813 srli x16,x16,0x10 + 9fc: 02b7f7b3 remu x15,x15,x11 + a00: 031606b3 mul x13,x12,x17 + a04: 01079793 slli x15,x15,0x10 + a08: 00f86833 or x16,x16,x15 + a0c: 00088793 addi x15,x17,0 + a10: 00d87c63 bgeu x16,x13,a28 <__udivdi3+0xe8> + a14: 01070833 add x16,x14,x16 + a18: fff88793 addi x15,x17,-1 + a1c: 00e86663 bltu x16,x14,a28 <__udivdi3+0xe8> + a20: 00d87463 bgeu x16,x13,a28 <__udivdi3+0xe8> + a24: ffe88793 addi x15,x17,-2 + a28: 01051513 slli x10,x10,0x10 + a2c: 00f56533 or x10,x10,x15 + a30: 00000593 addi x11,x0,0 + a34: 00008067 jalr x0,0(x1) + a38: 01000537 lui x10,0x1000 + a3c: 01000693 addi x13,x0,16 + a40: f2a66ce3 bltu x12,x10,978 <__udivdi3+0x38> + a44: 01800693 addi x13,x0,24 + a48: f31ff06f jal x0,978 <__udivdi3+0x38> + a4c: 00061463 bne x12,x0,a54 <__udivdi3+0x114> + a50: 00100073 ebreak + a54: 000107b7 lui x15,0x10 + a58: 0cf67063 bgeu x12,x15,b18 <__udivdi3+0x1d8> + a5c: 10063693 sltiu x13,x12,256 + a60: 0016c693 xori x13,x13,1 + a64: 00369693 slli x13,x13,0x3 + a68: 00d657b3 srl x15,x12,x13 + a6c: 00f585b3 add x11,x11,x15 + a70: 0005c783 lbu x15,0(x11) + a74: 00d787b3 add x15,x15,x13 + a78: 02000693 addi x13,x0,32 + a7c: 40f685b3 sub x11,x13,x15 + a80: 0af69663 bne x13,x15,b2c <__udivdi3+0x1ec> + a84: 40c887b3 sub x15,x17,x12 + a88: 00100593 addi x11,x0,1 + a8c: 01075893 srli x17,x14,0x10 + a90: 0317de33 divu x28,x15,x17 + a94: 01071613 slli x12,x14,0x10 + a98: 01065613 srli x12,x12,0x10 + a9c: 01085693 srli x13,x16,0x10 + aa0: 0317f7b3 remu x15,x15,x17 + aa4: 000e0513 addi x10,x28,0 + aa8: 03c60333 mul x6,x12,x28 + aac: 01079793 slli x15,x15,0x10 + ab0: 00f6e7b3 or x15,x13,x15 + ab4: 0067fe63 bgeu x15,x6,ad0 <__udivdi3+0x190> + ab8: 00e787b3 add x15,x15,x14 + abc: fffe0513 addi x10,x28,-1 + ac0: 00e7e863 bltu x15,x14,ad0 <__udivdi3+0x190> + ac4: 0067f663 bgeu x15,x6,ad0 <__udivdi3+0x190> + ac8: ffee0513 addi x10,x28,-2 + acc: 00e787b3 add x15,x15,x14 + ad0: 406787b3 sub x15,x15,x6 + ad4: 0317d333 divu x6,x15,x17 + ad8: 01081813 slli x16,x16,0x10 + adc: 01085813 srli x16,x16,0x10 + ae0: 0317f7b3 remu x15,x15,x17 + ae4: 026606b3 mul x13,x12,x6 + ae8: 01079793 slli x15,x15,0x10 + aec: 00f86833 or x16,x16,x15 + af0: 00030793 addi x15,x6,0 + af4: 00d87c63 bgeu x16,x13,b0c <__udivdi3+0x1cc> + af8: 01070833 add x16,x14,x16 + afc: fff30793 addi x15,x6,-1 + b00: 00e86663 bltu x16,x14,b0c <__udivdi3+0x1cc> + b04: 00d87463 bgeu x16,x13,b0c <__udivdi3+0x1cc> + b08: ffe30793 addi x15,x6,-2 + b0c: 01051513 slli x10,x10,0x10 + b10: 00f56533 or x10,x10,x15 + b14: 00008067 jalr x0,0(x1) + b18: 010007b7 lui x15,0x1000 + b1c: 01000693 addi x13,x0,16 + b20: f4f664e3 bltu x12,x15,a68 <__udivdi3+0x128> + b24: 01800693 addi x13,x0,24 + b28: f41ff06f jal x0,a68 <__udivdi3+0x128> + b2c: 00b61733 sll x14,x12,x11 + b30: 00f8d6b3 srl x13,x17,x15 + b34: 01075513 srli x10,x14,0x10 + b38: 00f357b3 srl x15,x6,x15 + b3c: 00b31833 sll x16,x6,x11 + b40: 02a6d333 divu x6,x13,x10 + b44: 01071613 slli x12,x14,0x10 + b48: 00b898b3 sll x17,x17,x11 + b4c: 01065613 srli x12,x12,0x10 + b50: 0117e7b3 or x15,x15,x17 + b54: 02a6f6b3 remu x13,x13,x10 + b58: 026608b3 mul x17,x12,x6 + b5c: 01069593 slli x11,x13,0x10 + b60: 0107d693 srli x13,x15,0x10 + b64: 00b6e6b3 or x13,x13,x11 + b68: 00030593 addi x11,x6,0 + b6c: 0116fe63 bgeu x13,x17,b88 <__udivdi3+0x248> + b70: 00e686b3 add x13,x13,x14 + b74: fff30593 addi x11,x6,-1 + b78: 00e6e863 bltu x13,x14,b88 <__udivdi3+0x248> + b7c: 0116f663 bgeu x13,x17,b88 <__udivdi3+0x248> + b80: ffe30593 addi x11,x6,-2 + b84: 00e686b3 add x13,x13,x14 + b88: 411686b3 sub x13,x13,x17 + b8c: 02a6d8b3 divu x17,x13,x10 + b90: 01079793 slli x15,x15,0x10 + b94: 0107d793 srli x15,x15,0x10 + b98: 02a6f6b3 remu x13,x13,x10 + b9c: 03160633 mul x12,x12,x17 + ba0: 01069693 slli x13,x13,0x10 + ba4: 00d7e7b3 or x15,x15,x13 + ba8: 00088693 addi x13,x17,0 + bac: 00c7fe63 bgeu x15,x12,bc8 <__udivdi3+0x288> + bb0: 00e787b3 add x15,x15,x14 + bb4: fff88693 addi x13,x17,-1 + bb8: 00e7e863 bltu x15,x14,bc8 <__udivdi3+0x288> + bbc: 00c7f663 bgeu x15,x12,bc8 <__udivdi3+0x288> + bc0: ffe88693 addi x13,x17,-2 + bc4: 00e787b3 add x15,x15,x14 + bc8: 01059593 slli x11,x11,0x10 + bcc: 40c787b3 sub x15,x15,x12 + bd0: 00d5e5b3 or x11,x11,x13 + bd4: eb9ff06f jal x0,a8c <__udivdi3+0x14c> + bd8: 18d5e663 bltu x11,x13,d64 <__udivdi3+0x424> + bdc: 000107b7 lui x15,0x10 + be0: 04f6f463 bgeu x13,x15,c28 <__udivdi3+0x2e8> + be4: 1006b713 sltiu x14,x13,256 + be8: 00174713 xori x14,x14,1 + bec: 00371713 slli x14,x14,0x3 + bf0: 000017b7 lui x15,0x1 + bf4: 00e6d5b3 srl x11,x13,x14 + bf8: 1c478793 addi x15,x15,452 # 11c4 <__clz_tab> + bfc: 00b787b3 add x15,x15,x11 + c00: 0007c783 lbu x15,0(x15) + c04: 00e787b3 add x15,x15,x14 + c08: 02000713 addi x14,x0,32 + c0c: 40f705b3 sub x11,x14,x15 + c10: 02f71663 bne x14,x15,c3c <__udivdi3+0x2fc> + c14: 00100513 addi x10,x0,1 + c18: e116eee3 bltu x13,x17,a34 <__udivdi3+0xf4> + c1c: 00c33533 sltu x10,x6,x12 + c20: 00154513 xori x10,x10,1 + c24: 00008067 jalr x0,0(x1) + c28: 010007b7 lui x15,0x1000 + c2c: 01000713 addi x14,x0,16 + c30: fcf6e0e3 bltu x13,x15,bf0 <__udivdi3+0x2b0> + c34: 01800713 addi x14,x0,24 + c38: fb9ff06f jal x0,bf0 <__udivdi3+0x2b0> + c3c: 00f65733 srl x14,x12,x15 + c40: 00b696b3 sll x13,x13,x11 + c44: 00d766b3 or x13,x14,x13 + c48: 00f8d733 srl x14,x17,x15 + c4c: 00b898b3 sll x17,x17,x11 + c50: 00f357b3 srl x15,x6,x15 + c54: 0117e7b3 or x15,x15,x17 + c58: 0106d893 srli x17,x13,0x10 + c5c: 03175eb3 divu x29,x14,x17 + c60: 01069813 slli x16,x13,0x10 + c64: 01085813 srli x16,x16,0x10 + c68: 00b61633 sll x12,x12,x11 + c6c: 03177733 remu x14,x14,x17 + c70: 03d80e33 mul x28,x16,x29 + c74: 01071513 slli x10,x14,0x10 + c78: 0107d713 srli x14,x15,0x10 + c7c: 00a76733 or x14,x14,x10 + c80: 000e8513 addi x10,x29,0 + c84: 01c77e63 bgeu x14,x28,ca0 <__udivdi3+0x360> + c88: 00d70733 add x14,x14,x13 + c8c: fffe8513 addi x10,x29,-1 + c90: 00d76863 bltu x14,x13,ca0 <__udivdi3+0x360> + c94: 01c77663 bgeu x14,x28,ca0 <__udivdi3+0x360> + c98: ffee8513 addi x10,x29,-2 + c9c: 00d70733 add x14,x14,x13 + ca0: 41c70733 sub x14,x14,x28 + ca4: 03175e33 divu x28,x14,x17 + ca8: 01079793 slli x15,x15,0x10 + cac: 0107d793 srli x15,x15,0x10 + cb0: 03177733 remu x14,x14,x17 + cb4: 03c80833 mul x16,x16,x28 + cb8: 01071713 slli x14,x14,0x10 + cbc: 00e7e7b3 or x15,x15,x14 + cc0: 000e0713 addi x14,x28,0 + cc4: 0107fe63 bgeu x15,x16,ce0 <__udivdi3+0x3a0> + cc8: 00d787b3 add x15,x15,x13 + ccc: fffe0713 addi x14,x28,-1 + cd0: 00d7e863 bltu x15,x13,ce0 <__udivdi3+0x3a0> + cd4: 0107f663 bgeu x15,x16,ce0 <__udivdi3+0x3a0> + cd8: ffee0713 addi x14,x28,-2 + cdc: 00d787b3 add x15,x15,x13 + ce0: 01051513 slli x10,x10,0x10 + ce4: 00010e37 lui x28,0x10 + ce8: 00e56533 or x10,x10,x14 + cec: fffe0693 addi x13,x28,-1 # ffff <__global_pointer$+0xd4ff> + cf0: 00d57733 and x14,x10,x13 + cf4: 410787b3 sub x15,x15,x16 + cf8: 00d676b3 and x13,x12,x13 + cfc: 01055813 srli x16,x10,0x10 + d00: 01065613 srli x12,x12,0x10 + d04: 02d708b3 mul x17,x14,x13 + d08: 02d806b3 mul x13,x16,x13 + d0c: 02c70733 mul x14,x14,x12 + d10: 02c80833 mul x16,x16,x12 + d14: 00d70633 add x12,x14,x13 + d18: 0108d713 srli x14,x17,0x10 + d1c: 00c70733 add x14,x14,x12 + d20: 00d77463 bgeu x14,x13,d28 <__udivdi3+0x3e8> + d24: 01c80833 add x16,x16,x28 + d28: 01075693 srli x13,x14,0x10 + d2c: 010686b3 add x13,x13,x16 + d30: 02d7e663 bltu x15,x13,d5c <__udivdi3+0x41c> + d34: ced79ee3 bne x15,x13,a30 <__udivdi3+0xf0> + d38: 000107b7 lui x15,0x10 + d3c: fff78793 addi x15,x15,-1 # ffff <__global_pointer$+0xd4ff> + d40: 00f77733 and x14,x14,x15 + d44: 01071713 slli x14,x14,0x10 + d48: 00f8f8b3 and x17,x17,x15 + d4c: 00b31333 sll x6,x6,x11 + d50: 01170733 add x14,x14,x17 + d54: 00000593 addi x11,x0,0 + d58: cce37ee3 bgeu x6,x14,a34 <__udivdi3+0xf4> + d5c: fff50513 addi x10,x10,-1 # ffffff <__global_pointer$+0xffd4ff> + d60: cd1ff06f jal x0,a30 <__udivdi3+0xf0> + d64: 00000593 addi x11,x0,0 + d68: 00000513 addi x10,x0,0 + d6c: 00008067 jalr x0,0(x1) -00000c90 <__libc_fini_array>: - c90: ff010113 addi x2,x2,-16 - c94: 00812423 sw x8,8(x2) - c98: 000017b7 lui x15,0x1 - c9c: 00001437 lui x8,0x1 - ca0: 03478793 addi x15,x15,52 # 1034 <__do_global_dtors_aux_fini_array_entry> - ca4: 03840413 addi x8,x8,56 # 1038 - ca8: 40f40433 sub x8,x8,x15 - cac: 00912223 sw x9,4(x2) - cb0: 00112623 sw x1,12(x2) - cb4: 40245493 srai x9,x8,0x2 - cb8: 02048063 beq x9,x0,cd8 <__libc_fini_array+0x48> - cbc: ffc40413 addi x8,x8,-4 - cc0: 00f40433 add x8,x8,x15 - cc4: 00042783 lw x15,0(x8) - cc8: fff48493 addi x9,x9,-1 - ccc: ffc40413 addi x8,x8,-4 - cd0: 000780e7 jalr x1,0(x15) - cd4: fe0498e3 bne x9,x0,cc4 <__libc_fini_array+0x34> - cd8: 00c12083 lw x1,12(x2) - cdc: 00812403 lw x8,8(x2) - ce0: 00412483 lw x9,4(x2) - ce4: 01010113 addi x2,x2,16 - ce8: 00008067 jalr x0,0(x1) +00000d70 : + d70: ff010113 addi x2,x2,-16 + d74: 00000593 addi x11,x0,0 + d78: 00812423 sw x8,8(x2) + d7c: 00112623 sw x1,12(x2) + d80: 00050413 addi x8,x10,0 + d84: 194000ef jal x1,f18 <__call_exitprocs> + d88: c281a503 lw x10,-984(x3) # 2728 <_global_impure_ptr> + d8c: 03c52783 lw x15,60(x10) + d90: 00078463 beq x15,x0,d98 + d94: 000780e7 jalr x1,0(x15) + d98: 00040513 addi x10,x8,0 + d9c: 3a4000ef jal x1,1140 <_exit> -00000cec : - cec: 00050593 addi x11,x10,0 - cf0: 00000693 addi x13,x0,0 - cf4: 00000613 addi x12,x0,0 - cf8: 00000513 addi x10,x0,0 - cfc: 0040006f jal x0,d00 <__register_exitproc> +00000da0 <__libc_init_array>: + da0: ff010113 addi x2,x2,-16 + da4: 00812423 sw x8,8(x2) + da8: 01212023 sw x18,0(x2) + dac: 00002437 lui x8,0x2 + db0: 00002937 lui x18,0x2 + db4: 2f040793 addi x15,x8,752 # 22f0 <__init_array_start> + db8: 2f090913 addi x18,x18,752 # 22f0 <__init_array_start> + dbc: 40f90933 sub x18,x18,x15 + dc0: 00112623 sw x1,12(x2) + dc4: 00912223 sw x9,4(x2) + dc8: 40295913 srai x18,x18,0x2 + dcc: 02090063 beq x18,x0,dec <__libc_init_array+0x4c> + dd0: 2f040413 addi x8,x8,752 + dd4: 00000493 addi x9,x0,0 + dd8: 00042783 lw x15,0(x8) + ddc: 00148493 addi x9,x9,1 + de0: 00440413 addi x8,x8,4 + de4: 000780e7 jalr x1,0(x15) + de8: fe9918e3 bne x18,x9,dd8 <__libc_init_array+0x38> + dec: 00002437 lui x8,0x2 + df0: 00002937 lui x18,0x2 + df4: 2f040793 addi x15,x8,752 # 22f0 <__init_array_start> + df8: 2f890913 addi x18,x18,760 # 22f8 <__do_global_dtors_aux_fini_array_entry> + dfc: 40f90933 sub x18,x18,x15 + e00: 40295913 srai x18,x18,0x2 + e04: 02090063 beq x18,x0,e24 <__libc_init_array+0x84> + e08: 2f040413 addi x8,x8,752 + e0c: 00000493 addi x9,x0,0 + e10: 00042783 lw x15,0(x8) + e14: 00148493 addi x9,x9,1 + e18: 00440413 addi x8,x8,4 + e1c: 000780e7 jalr x1,0(x15) + e20: fe9918e3 bne x18,x9,e10 <__libc_init_array+0x70> + e24: 00c12083 lw x1,12(x2) + e28: 00812403 lw x8,8(x2) + e2c: 00412483 lw x9,4(x2) + e30: 00012903 lw x18,0(x2) + e34: 01010113 addi x2,x2,16 + e38: 00008067 jalr x0,0(x1) -00000d00 <__register_exitproc>: - d00: c381a703 lw x14,-968(x3) # 1470 <_global_impure_ptr> - d04: 14872783 lw x15,328(x14) - d08: 04078c63 beq x15,x0,d60 <__register_exitproc+0x60> - d0c: 0047a703 lw x14,4(x15) - d10: 01f00813 addi x16,x0,31 - d14: 06e84e63 blt x16,x14,d90 <__register_exitproc+0x90> - d18: 00271813 slli x16,x14,0x2 - d1c: 02050663 beq x10,x0,d48 <__register_exitproc+0x48> - d20: 01078333 add x6,x15,x16 - d24: 08c32423 sw x12,136(x6) - d28: 1887a883 lw x17,392(x15) - d2c: 00100613 addi x12,x0,1 - d30: 00e61633 sll x12,x12,x14 - d34: 00c8e8b3 or x17,x17,x12 - d38: 1917a423 sw x17,392(x15) - d3c: 10d32423 sw x13,264(x6) - d40: 00200693 addi x13,x0,2 - d44: 02d50463 beq x10,x13,d6c <__register_exitproc+0x6c> - d48: 00170713 addi x14,x14,1 - d4c: 00e7a223 sw x14,4(x15) - d50: 010787b3 add x15,x15,x16 - d54: 00b7a423 sw x11,8(x15) - d58: 00000513 addi x10,x0,0 - d5c: 00008067 jalr x0,0(x1) - d60: 14c70793 addi x15,x14,332 - d64: 14f72423 sw x15,328(x14) - d68: fa5ff06f jal x0,d0c <__register_exitproc+0xc> - d6c: 18c7a683 lw x13,396(x15) - d70: 00170713 addi x14,x14,1 - d74: 00e7a223 sw x14,4(x15) - d78: 00c6e6b3 or x13,x13,x12 - d7c: 18d7a623 sw x13,396(x15) - d80: 010787b3 add x15,x15,x16 - d84: 00b7a423 sw x11,8(x15) - d88: 00000513 addi x10,x0,0 - d8c: 00008067 jalr x0,0(x1) - d90: fff00513 addi x10,x0,-1 - d94: 00008067 jalr x0,0(x1) +00000e3c : + e3c: 00f00313 addi x6,x0,15 + e40: 00050713 addi x14,x10,0 + e44: 02c37e63 bgeu x6,x12,e80 + e48: 00f77793 andi x15,x14,15 + e4c: 0a079063 bne x15,x0,eec + e50: 08059263 bne x11,x0,ed4 + e54: ff067693 andi x13,x12,-16 + e58: 00f67613 andi x12,x12,15 + e5c: 00e686b3 add x13,x13,x14 + e60: 00b72023 sw x11,0(x14) + e64: 00b72223 sw x11,4(x14) + e68: 00b72423 sw x11,8(x14) + e6c: 00b72623 sw x11,12(x14) + e70: 01070713 addi x14,x14,16 + e74: fed766e3 bltu x14,x13,e60 + e78: 00061463 bne x12,x0,e80 + e7c: 00008067 jalr x0,0(x1) + e80: 40c306b3 sub x13,x6,x12 + e84: 00269693 slli x13,x13,0x2 + e88: 00000297 auipc x5,0x0 + e8c: 005686b3 add x13,x13,x5 + e90: 00c68067 jalr x0,12(x13) # 1000c <__global_pointer$+0xd50c> + e94: 00b70723 sb x11,14(x14) + e98: 00b706a3 sb x11,13(x14) + e9c: 00b70623 sb x11,12(x14) + ea0: 00b705a3 sb x11,11(x14) + ea4: 00b70523 sb x11,10(x14) + ea8: 00b704a3 sb x11,9(x14) + eac: 00b70423 sb x11,8(x14) + eb0: 00b703a3 sb x11,7(x14) + eb4: 00b70323 sb x11,6(x14) + eb8: 00b702a3 sb x11,5(x14) + ebc: 00b70223 sb x11,4(x14) + ec0: 00b701a3 sb x11,3(x14) + ec4: 00b70123 sb x11,2(x14) + ec8: 00b700a3 sb x11,1(x14) + ecc: 00b70023 sb x11,0(x14) + ed0: 00008067 jalr x0,0(x1) + ed4: 0ff5f593 andi x11,x11,255 + ed8: 00859693 slli x13,x11,0x8 + edc: 00d5e5b3 or x11,x11,x13 + ee0: 01059693 slli x13,x11,0x10 + ee4: 00d5e5b3 or x11,x11,x13 + ee8: f6dff06f jal x0,e54 + eec: 00279693 slli x13,x15,0x2 + ef0: 00000297 auipc x5,0x0 + ef4: 005686b3 add x13,x13,x5 + ef8: 00008293 addi x5,x1,0 + efc: fa0680e7 jalr x1,-96(x13) + f00: 00028093 addi x1,x5,0 # ef0 + f04: ff078793 addi x15,x15,-16 + f08: 40f70733 sub x14,x14,x15 + f0c: 00f60633 add x12,x12,x15 + f10: f6c378e3 bgeu x6,x12,e80 + f14: f3dff06f jal x0,e50 -00000d98 <_exit>: - d98: 05d00893 addi x17,x0,93 - d9c: 00000073 ecall - da0: 00054463 blt x10,x0,da8 <_exit+0x10> - da4: 0000006f jal x0,da4 <_exit+0xc> - da8: ff010113 addi x2,x2,-16 - dac: 00812423 sw x8,8(x2) - db0: 00050413 addi x8,x10,0 - db4: 00112623 sw x1,12(x2) - db8: 40800433 sub x8,x0,x8 - dbc: 00c000ef jal x1,dc8 <__errno> - dc0: 00852023 sw x8,0(x10) - dc4: 0000006f jal x0,dc4 <_exit+0x2c> +00000f18 <__call_exitprocs>: + f18: fd010113 addi x2,x2,-48 + f1c: 01412c23 sw x20,24(x2) + f20: c281aa03 lw x20,-984(x3) # 2728 <_global_impure_ptr> + f24: 03212023 sw x18,32(x2) + f28: 02112623 sw x1,44(x2) + f2c: 148a2903 lw x18,328(x20) + f30: 02812423 sw x8,40(x2) + f34: 02912223 sw x9,36(x2) + f38: 01312e23 sw x19,28(x2) + f3c: 01512a23 sw x21,20(x2) + f40: 01612823 sw x22,16(x2) + f44: 01712623 sw x23,12(x2) + f48: 01812423 sw x24,8(x2) + f4c: 04090063 beq x18,x0,f8c <__call_exitprocs+0x74> + f50: 00050b13 addi x22,x10,0 + f54: 00058b93 addi x23,x11,0 + f58: 00100a93 addi x21,x0,1 + f5c: fff00993 addi x19,x0,-1 + f60: 00492483 lw x9,4(x18) + f64: fff48413 addi x8,x9,-1 + f68: 02044263 blt x8,x0,f8c <__call_exitprocs+0x74> + f6c: 00249493 slli x9,x9,0x2 + f70: 009904b3 add x9,x18,x9 + f74: 040b8463 beq x23,x0,fbc <__call_exitprocs+0xa4> + f78: 1044a783 lw x15,260(x9) + f7c: 05778063 beq x15,x23,fbc <__call_exitprocs+0xa4> + f80: fff40413 addi x8,x8,-1 + f84: ffc48493 addi x9,x9,-4 + f88: ff3416e3 bne x8,x19,f74 <__call_exitprocs+0x5c> + f8c: 02c12083 lw x1,44(x2) + f90: 02812403 lw x8,40(x2) + f94: 02412483 lw x9,36(x2) + f98: 02012903 lw x18,32(x2) + f9c: 01c12983 lw x19,28(x2) + fa0: 01812a03 lw x20,24(x2) + fa4: 01412a83 lw x21,20(x2) + fa8: 01012b03 lw x22,16(x2) + fac: 00c12b83 lw x23,12(x2) + fb0: 00812c03 lw x24,8(x2) + fb4: 03010113 addi x2,x2,48 + fb8: 00008067 jalr x0,0(x1) + fbc: 00492783 lw x15,4(x18) + fc0: 0044a683 lw x13,4(x9) + fc4: fff78793 addi x15,x15,-1 + fc8: 04878e63 beq x15,x8,1024 <__call_exitprocs+0x10c> + fcc: 0004a223 sw x0,4(x9) + fd0: fa0688e3 beq x13,x0,f80 <__call_exitprocs+0x68> + fd4: 18892783 lw x15,392(x18) + fd8: 008a9733 sll x14,x21,x8 + fdc: 00492c03 lw x24,4(x18) + fe0: 00f777b3 and x15,x14,x15 + fe4: 02079263 bne x15,x0,1008 <__call_exitprocs+0xf0> + fe8: 000680e7 jalr x1,0(x13) + fec: 00492703 lw x14,4(x18) + ff0: 148a2783 lw x15,328(x20) + ff4: 01871463 bne x14,x24,ffc <__call_exitprocs+0xe4> + ff8: f92784e3 beq x15,x18,f80 <__call_exitprocs+0x68> + ffc: f80788e3 beq x15,x0,f8c <__call_exitprocs+0x74> + 1000: 00078913 addi x18,x15,0 + 1004: f5dff06f jal x0,f60 <__call_exitprocs+0x48> + 1008: 18c92783 lw x15,396(x18) + 100c: 0844a583 lw x11,132(x9) + 1010: 00f77733 and x14,x14,x15 + 1014: 00071c63 bne x14,x0,102c <__call_exitprocs+0x114> + 1018: 000b0513 addi x10,x22,0 + 101c: 000680e7 jalr x1,0(x13) + 1020: fcdff06f jal x0,fec <__call_exitprocs+0xd4> + 1024: 00892223 sw x8,4(x18) + 1028: fa9ff06f jal x0,fd0 <__call_exitprocs+0xb8> + 102c: 00058513 addi x10,x11,0 + 1030: 000680e7 jalr x1,0(x13) + 1034: fb9ff06f jal x0,fec <__call_exitprocs+0xd4> -00000dc8 <__errno>: - dc8: c401a503 lw x10,-960(x3) # 1478 <_impure_ptr> - dcc: 00008067 jalr x0,0(x1) +00001038 <__libc_fini_array>: + 1038: ff010113 addi x2,x2,-16 + 103c: 00812423 sw x8,8(x2) + 1040: 000027b7 lui x15,0x2 + 1044: 00002437 lui x8,0x2 + 1048: 2f878793 addi x15,x15,760 # 22f8 <__do_global_dtors_aux_fini_array_entry> + 104c: 2fc40413 addi x8,x8,764 # 22fc <__fini_array_end> + 1050: 40f40433 sub x8,x8,x15 + 1054: 00912223 sw x9,4(x2) + 1058: 00112623 sw x1,12(x2) + 105c: 40245493 srai x9,x8,0x2 + 1060: 02048063 beq x9,x0,1080 <__libc_fini_array+0x48> + 1064: ffc40413 addi x8,x8,-4 + 1068: 00f40433 add x8,x8,x15 + 106c: 00042783 lw x15,0(x8) + 1070: fff48493 addi x9,x9,-1 + 1074: ffc40413 addi x8,x8,-4 + 1078: 000780e7 jalr x1,0(x15) + 107c: fe0498e3 bne x9,x0,106c <__libc_fini_array+0x34> + 1080: 00c12083 lw x1,12(x2) + 1084: 00812403 lw x8,8(x2) + 1088: 00412483 lw x9,4(x2) + 108c: 01010113 addi x2,x2,16 + 1090: 00008067 jalr x0,0(x1) + +00001094 : + 1094: 00050593 addi x11,x10,0 + 1098: 00000693 addi x13,x0,0 + 109c: 00000613 addi x12,x0,0 + 10a0: 00000513 addi x10,x0,0 + 10a4: 0040006f jal x0,10a8 <__register_exitproc> + +000010a8 <__register_exitproc>: + 10a8: c281a703 lw x14,-984(x3) # 2728 <_global_impure_ptr> + 10ac: 14872783 lw x15,328(x14) + 10b0: 04078c63 beq x15,x0,1108 <__register_exitproc+0x60> + 10b4: 0047a703 lw x14,4(x15) + 10b8: 01f00813 addi x16,x0,31 + 10bc: 06e84e63 blt x16,x14,1138 <__register_exitproc+0x90> + 10c0: 00271813 slli x16,x14,0x2 + 10c4: 02050663 beq x10,x0,10f0 <__register_exitproc+0x48> + 10c8: 01078333 add x6,x15,x16 + 10cc: 08c32423 sw x12,136(x6) + 10d0: 1887a883 lw x17,392(x15) + 10d4: 00100613 addi x12,x0,1 + 10d8: 00e61633 sll x12,x12,x14 + 10dc: 00c8e8b3 or x17,x17,x12 + 10e0: 1917a423 sw x17,392(x15) + 10e4: 10d32423 sw x13,264(x6) + 10e8: 00200693 addi x13,x0,2 + 10ec: 02d50463 beq x10,x13,1114 <__register_exitproc+0x6c> + 10f0: 00170713 addi x14,x14,1 + 10f4: 00e7a223 sw x14,4(x15) + 10f8: 010787b3 add x15,x15,x16 + 10fc: 00b7a423 sw x11,8(x15) + 1100: 00000513 addi x10,x0,0 + 1104: 00008067 jalr x0,0(x1) + 1108: 14c70793 addi x15,x14,332 + 110c: 14f72423 sw x15,328(x14) + 1110: fa5ff06f jal x0,10b4 <__register_exitproc+0xc> + 1114: 18c7a683 lw x13,396(x15) + 1118: 00170713 addi x14,x14,1 + 111c: 00e7a223 sw x14,4(x15) + 1120: 00c6e6b3 or x13,x13,x12 + 1124: 18d7a623 sw x13,396(x15) + 1128: 010787b3 add x15,x15,x16 + 112c: 00b7a423 sw x11,8(x15) + 1130: 00000513 addi x10,x0,0 + 1134: 00008067 jalr x0,0(x1) + 1138: fff00513 addi x10,x0,-1 + 113c: 00008067 jalr x0,0(x1) + +00001140 <_exit>: + 1140: 05d00893 addi x17,x0,93 + 1144: 00000073 ecall + 1148: 00054463 blt x10,x0,1150 <_exit+0x10> + 114c: 0000006f jal x0,114c <_exit+0xc> + 1150: ff010113 addi x2,x2,-16 + 1154: 00812423 sw x8,8(x2) + 1158: 00050413 addi x8,x10,0 + 115c: 00112623 sw x1,12(x2) + 1160: 40800433 sub x8,x0,x8 + 1164: 00c000ef jal x1,1170 <__errno> + 1168: 00852023 sw x8,0(x10) + 116c: 0000006f jal x0,116c <_exit+0x2c> + +00001170 <__errno>: + 1170: c301a503 lw x10,-976(x3) # 2730 <_impure_ptr> + 1174: 00008067 jalr x0,0(x1) Disassembly of section .rodata: -00000dd0 : - dd0: 0000003f 00000006 0x60000003f - dd8: 0000005b 0x5b - ddc: 0000004f fnmadd.s f0,f0,f0,f0,rne - de0: 0066 c.slli x0,0x19 - de2: 0000 c.unimp - de4: 006d c.addi x0,27 - de6: 0000 c.unimp - de8: 007d c.addi x0,31 - dea: 0000 c.unimp - dec: 00000007 0x7 - df0: 007f 0x7f - df2: 0000 c.unimp - df4: 0000006f jal x0,df4 +00001178 : + 1178: 0000003f 00000006 0x60000003f + 1180: 0000005b 0x5b + 1184: 0000004f fnmadd.s f0,f0,f0,f0,rne + 1188: 0066 c.slli x0,0x19 + 118a: 0000 c.unimp + 118c: 006d c.addi x0,27 + 118e: 0000 c.unimp + 1190: 007d c.addi x0,31 + 1192: 0000 c.unimp + 1194: 00000007 0x7 + 1198: 007f 0x7f + 119a: 0000 c.unimp + 119c: 0000006f jal x0,119c + 11a0: 6548 c.flw f10,12(x10) + 11a2: 6c6c c.flw f11,92(x8) + 11a4: 57202c6f jal x24,3716 <__global_pointer$+0xc16> + 11a8: 646c726f jal x4,c87ee <__global_pointer$+0xc5cee> + 11ac: 0021 c.addi x0,8 + 11ae: 0000 c.unimp + 11b0: 6220 c.flw f8,64(x12) + 11b2: 7479 c.lui x8,0xffffe + 11b4: 7365 c.lui x6,0xffff9 + 11b6: 7220 c.flw f8,96(x12) + 11b8: 6365 c.lui x6,0x19 + 11ba: 6965 c.lui x18,0x19 + 11bc: 6576 c.flwsp f10,92(x2) + 11be: 0d64 c.addi4spn x9,x2,668 + 11c0: 000a c.slli x0,0x2 + ... -00000df8 <__clz_tab>: - df8: 0100 c.addi4spn x8,x2,128 - dfa: 0202 c.slli64 x4 - dfc: 03030303 lb x6,48(x6) - e00: 0404 c.addi4spn x9,x2,512 - e02: 0404 c.addi4spn x9,x2,512 - e04: 0404 c.addi4spn x9,x2,512 - e06: 0404 c.addi4spn x9,x2,512 - e08: 0505 c.addi x10,1 - e0a: 0505 c.addi x10,1 - e0c: 0505 c.addi x10,1 - e0e: 0505 c.addi x10,1 - e10: 0505 c.addi x10,1 - e12: 0505 c.addi x10,1 - e14: 0505 c.addi x10,1 - e16: 0505 c.addi x10,1 - e18: 0606 c.slli x12,0x1 - e1a: 0606 c.slli x12,0x1 - e1c: 0606 c.slli x12,0x1 - e1e: 0606 c.slli x12,0x1 - e20: 0606 c.slli x12,0x1 - e22: 0606 c.slli x12,0x1 - e24: 0606 c.slli x12,0x1 - e26: 0606 c.slli x12,0x1 - e28: 0606 c.slli x12,0x1 - e2a: 0606 c.slli x12,0x1 - e2c: 0606 c.slli x12,0x1 - e2e: 0606 c.slli x12,0x1 - e30: 0606 c.slli x12,0x1 - e32: 0606 c.slli x12,0x1 - e34: 0606 c.slli x12,0x1 - e36: 0606 c.slli x12,0x1 - e38: 07070707 0x7070707 - e3c: 07070707 0x7070707 - e40: 07070707 0x7070707 - e44: 07070707 0x7070707 - e48: 07070707 0x7070707 - e4c: 07070707 0x7070707 - e50: 07070707 0x7070707 - e54: 07070707 0x7070707 - e58: 07070707 0x7070707 - e5c: 07070707 0x7070707 - e60: 07070707 0x7070707 - e64: 07070707 0x7070707 - e68: 07070707 0x7070707 - e6c: 07070707 0x7070707 - e70: 07070707 0x7070707 - e74: 07070707 0x7070707 - e78: 0808 c.addi4spn x10,x2,16 - e7a: 0808 c.addi4spn x10,x2,16 - e7c: 0808 c.addi4spn x10,x2,16 - e7e: 0808 c.addi4spn x10,x2,16 - e80: 0808 c.addi4spn x10,x2,16 - e82: 0808 c.addi4spn x10,x2,16 - e84: 0808 c.addi4spn x10,x2,16 - e86: 0808 c.addi4spn x10,x2,16 - e88: 0808 c.addi4spn x10,x2,16 - e8a: 0808 c.addi4spn x10,x2,16 - e8c: 0808 c.addi4spn x10,x2,16 - e8e: 0808 c.addi4spn x10,x2,16 - e90: 0808 c.addi4spn x10,x2,16 - e92: 0808 c.addi4spn x10,x2,16 - e94: 0808 c.addi4spn x10,x2,16 - e96: 0808 c.addi4spn x10,x2,16 - e98: 0808 c.addi4spn x10,x2,16 - e9a: 0808 c.addi4spn x10,x2,16 - e9c: 0808 c.addi4spn x10,x2,16 - e9e: 0808 c.addi4spn x10,x2,16 - ea0: 0808 c.addi4spn x10,x2,16 - ea2: 0808 c.addi4spn x10,x2,16 - ea4: 0808 c.addi4spn x10,x2,16 - ea6: 0808 c.addi4spn x10,x2,16 - ea8: 0808 c.addi4spn x10,x2,16 - eaa: 0808 c.addi4spn x10,x2,16 - eac: 0808 c.addi4spn x10,x2,16 - eae: 0808 c.addi4spn x10,x2,16 - eb0: 0808 c.addi4spn x10,x2,16 - eb2: 0808 c.addi4spn x10,x2,16 - eb4: 0808 c.addi4spn x10,x2,16 - eb6: 0808 c.addi4spn x10,x2,16 - eb8: 0808 c.addi4spn x10,x2,16 - eba: 0808 c.addi4spn x10,x2,16 - ebc: 0808 c.addi4spn x10,x2,16 - ebe: 0808 c.addi4spn x10,x2,16 - ec0: 0808 c.addi4spn x10,x2,16 - ec2: 0808 c.addi4spn x10,x2,16 - ec4: 0808 c.addi4spn x10,x2,16 - ec6: 0808 c.addi4spn x10,x2,16 - ec8: 0808 c.addi4spn x10,x2,16 - eca: 0808 c.addi4spn x10,x2,16 - ecc: 0808 c.addi4spn x10,x2,16 - ece: 0808 c.addi4spn x10,x2,16 - ed0: 0808 c.addi4spn x10,x2,16 - ed2: 0808 c.addi4spn x10,x2,16 - ed4: 0808 c.addi4spn x10,x2,16 - ed6: 0808 c.addi4spn x10,x2,16 - ed8: 0808 c.addi4spn x10,x2,16 - eda: 0808 c.addi4spn x10,x2,16 - edc: 0808 c.addi4spn x10,x2,16 - ede: 0808 c.addi4spn x10,x2,16 - ee0: 0808 c.addi4spn x10,x2,16 - ee2: 0808 c.addi4spn x10,x2,16 - ee4: 0808 c.addi4spn x10,x2,16 - ee6: 0808 c.addi4spn x10,x2,16 - ee8: 0808 c.addi4spn x10,x2,16 - eea: 0808 c.addi4spn x10,x2,16 - eec: 0808 c.addi4spn x10,x2,16 - eee: 0808 c.addi4spn x10,x2,16 - ef0: 0808 c.addi4spn x10,x2,16 - ef2: 0808 c.addi4spn x10,x2,16 - ef4: 0808 c.addi4spn x10,x2,16 - ef6: 0808 c.addi4spn x10,x2,16 +000011c4 <__clz_tab>: + 11c4: 0100 c.addi4spn x8,x2,128 + 11c6: 0202 c.slli64 x4 + 11c8: 03030303 lb x6,48(x6) # 19030 <__global_pointer$+0x16530> + 11cc: 0404 c.addi4spn x9,x2,512 + 11ce: 0404 c.addi4spn x9,x2,512 + 11d0: 0404 c.addi4spn x9,x2,512 + 11d2: 0404 c.addi4spn x9,x2,512 + 11d4: 0505 c.addi x10,1 + 11d6: 0505 c.addi x10,1 + 11d8: 0505 c.addi x10,1 + 11da: 0505 c.addi x10,1 + 11dc: 0505 c.addi x10,1 + 11de: 0505 c.addi x10,1 + 11e0: 0505 c.addi x10,1 + 11e2: 0505 c.addi x10,1 + 11e4: 0606 c.slli x12,0x1 + 11e6: 0606 c.slli x12,0x1 + 11e8: 0606 c.slli x12,0x1 + 11ea: 0606 c.slli x12,0x1 + 11ec: 0606 c.slli x12,0x1 + 11ee: 0606 c.slli x12,0x1 + 11f0: 0606 c.slli x12,0x1 + 11f2: 0606 c.slli x12,0x1 + 11f4: 0606 c.slli x12,0x1 + 11f6: 0606 c.slli x12,0x1 + 11f8: 0606 c.slli x12,0x1 + 11fa: 0606 c.slli x12,0x1 + 11fc: 0606 c.slli x12,0x1 + 11fe: 0606 c.slli x12,0x1 + 1200: 0606 c.slli x12,0x1 + 1202: 0606 c.slli x12,0x1 + 1204: 07070707 0x7070707 + 1208: 07070707 0x7070707 + 120c: 07070707 0x7070707 + 1210: 07070707 0x7070707 + 1214: 07070707 0x7070707 + 1218: 07070707 0x7070707 + 121c: 07070707 0x7070707 + 1220: 07070707 0x7070707 + 1224: 07070707 0x7070707 + 1228: 07070707 0x7070707 + 122c: 07070707 0x7070707 + 1230: 07070707 0x7070707 + 1234: 07070707 0x7070707 + 1238: 07070707 0x7070707 + 123c: 07070707 0x7070707 + 1240: 07070707 0x7070707 + 1244: 0808 c.addi4spn x10,x2,16 + 1246: 0808 c.addi4spn x10,x2,16 + 1248: 0808 c.addi4spn x10,x2,16 + 124a: 0808 c.addi4spn x10,x2,16 + 124c: 0808 c.addi4spn x10,x2,16 + 124e: 0808 c.addi4spn x10,x2,16 + 1250: 0808 c.addi4spn x10,x2,16 + 1252: 0808 c.addi4spn x10,x2,16 + 1254: 0808 c.addi4spn x10,x2,16 + 1256: 0808 c.addi4spn x10,x2,16 + 1258: 0808 c.addi4spn x10,x2,16 + 125a: 0808 c.addi4spn x10,x2,16 + 125c: 0808 c.addi4spn x10,x2,16 + 125e: 0808 c.addi4spn x10,x2,16 + 1260: 0808 c.addi4spn x10,x2,16 + 1262: 0808 c.addi4spn x10,x2,16 + 1264: 0808 c.addi4spn x10,x2,16 + 1266: 0808 c.addi4spn x10,x2,16 + 1268: 0808 c.addi4spn x10,x2,16 + 126a: 0808 c.addi4spn x10,x2,16 + 126c: 0808 c.addi4spn x10,x2,16 + 126e: 0808 c.addi4spn x10,x2,16 + 1270: 0808 c.addi4spn x10,x2,16 + 1272: 0808 c.addi4spn x10,x2,16 + 1274: 0808 c.addi4spn x10,x2,16 + 1276: 0808 c.addi4spn x10,x2,16 + 1278: 0808 c.addi4spn x10,x2,16 + 127a: 0808 c.addi4spn x10,x2,16 + 127c: 0808 c.addi4spn x10,x2,16 + 127e: 0808 c.addi4spn x10,x2,16 + 1280: 0808 c.addi4spn x10,x2,16 + 1282: 0808 c.addi4spn x10,x2,16 + 1284: 0808 c.addi4spn x10,x2,16 + 1286: 0808 c.addi4spn x10,x2,16 + 1288: 0808 c.addi4spn x10,x2,16 + 128a: 0808 c.addi4spn x10,x2,16 + 128c: 0808 c.addi4spn x10,x2,16 + 128e: 0808 c.addi4spn x10,x2,16 + 1290: 0808 c.addi4spn x10,x2,16 + 1292: 0808 c.addi4spn x10,x2,16 + 1294: 0808 c.addi4spn x10,x2,16 + 1296: 0808 c.addi4spn x10,x2,16 + 1298: 0808 c.addi4spn x10,x2,16 + 129a: 0808 c.addi4spn x10,x2,16 + 129c: 0808 c.addi4spn x10,x2,16 + 129e: 0808 c.addi4spn x10,x2,16 + 12a0: 0808 c.addi4spn x10,x2,16 + 12a2: 0808 c.addi4spn x10,x2,16 + 12a4: 0808 c.addi4spn x10,x2,16 + 12a6: 0808 c.addi4spn x10,x2,16 + 12a8: 0808 c.addi4spn x10,x2,16 + 12aa: 0808 c.addi4spn x10,x2,16 + 12ac: 0808 c.addi4spn x10,x2,16 + 12ae: 0808 c.addi4spn x10,x2,16 + 12b0: 0808 c.addi4spn x10,x2,16 + 12b2: 0808 c.addi4spn x10,x2,16 + 12b4: 0808 c.addi4spn x10,x2,16 + 12b6: 0808 c.addi4spn x10,x2,16 + 12b8: 0808 c.addi4spn x10,x2,16 + 12ba: 0808 c.addi4spn x10,x2,16 + 12bc: 0808 c.addi4spn x10,x2,16 + 12be: 0808 c.addi4spn x10,x2,16 + 12c0: 0808 c.addi4spn x10,x2,16 + 12c2: 0808 c.addi4spn x10,x2,16 Disassembly of section .eh_frame: -00001000 <__EH_FRAME_BEGIN__>: - 1000: 0010 0x10 - 1002: 0000 c.unimp - 1004: 0000 c.unimp - 1006: 0000 c.unimp - 1008: 00527a03 0x527a03 - 100c: 7c01 c.lui x24,0xfffe0 - 100e: 0101 c.addi x2,0 - 1010: 00020d1b 0x20d1b - 1014: 0010 0x10 - 1016: 0000 c.unimp - 1018: 0018 0x18 - 101a: 0000 c.unimp - 101c: f57c c.fsw f15,108(x10) - 101e: ffff 0xffff - 1020: 0430 c.addi4spn x12,x2,520 - 1022: 0000 c.unimp - 1024: 0000 c.unimp +000022c4 <__EH_FRAME_BEGIN__>: + 22c4: 0010 0x10 + 22c6: 0000 c.unimp + 22c8: 0000 c.unimp + 22ca: 0000 c.unimp + 22cc: 00527a03 0x527a03 + 22d0: 7c01 c.lui x24,0xfffe0 + 22d2: 0101 c.addi x2,0 + 22d4: 00020d1b 0x20d1b + 22d8: 0010 0x10 + 22da: 0000 c.unimp + 22dc: 0018 0x18 + 22de: 0000 c.unimp + 22e0: e660 c.fsw f8,76(x12) + 22e2: ffff 0xffff + 22e4: 0430 c.addi4spn x12,x2,520 + 22e6: 0000 c.unimp + 22e8: 0000 c.unimp ... -00001028 <__FRAME_END__>: - 1028: 0000 c.unimp +000022ec <__FRAME_END__>: + 22ec: 0000 c.unimp ... Disassembly of section .init_array: -0000102c <__init_array_start>: - 102c: 0074 c.addi4spn x13,x2,12 +000022f0 <__init_array_start>: + 22f0: 0074 c.addi4spn x13,x2,12 ... -00001030 <__frame_dummy_init_array_entry>: - 1030: 011c c.addi4spn x15,x2,128 +000022f4 <__frame_dummy_init_array_entry>: + 22f4: 011c c.addi4spn x15,x2,128 ... Disassembly of section .fini_array: -00001034 <__do_global_dtors_aux_fini_array_entry>: - 1034: 00d8 c.addi4spn x14,x2,68 +000022f8 <__do_global_dtors_aux_fini_array_entry>: + 22f8: 00d8 c.addi4spn x14,x2,68 ... Disassembly of section .data: -00001038 : - 1038: 0000 c.unimp - 103a: 0000 c.unimp - 103c: 1324 c.addi4spn x9,x2,424 - 103e: 0000 c.unimp - 1040: 138c c.addi4spn x11,x2,480 - 1042: 0000 c.unimp - 1044: 13f4 c.addi4spn x13,x2,492 +00002300 : + 2300: 0000 c.unimp + 2302: 0000 c.unimp + 2304: 25ec c.fld f11,200(x11) + 2306: 0000 c.unimp + 2308: 2654 c.fld f13,136(x12) + 230a: 0000 c.unimp + 230c: 26bc c.fld f15,72(x13) ... - 10de: 0000 c.unimp - 10e0: 0001 c.addi x0,0 - 10e2: 0000 c.unimp - 10e4: 0000 c.unimp - 10e6: 0000 c.unimp - 10e8: 330e c.fldsp f6,224(x2) - 10ea: abcd c.j 16dc <__BSS_END__+0x244> - 10ec: 1234 c.addi4spn x13,x2,296 - 10ee: e66d c.bnez x12,11d8 - 10f0: deec c.sw x11,124(x13) - 10f2: 0005 c.addi x0,1 - 10f4: 0000000b 0xb - ... - -Disassembly of section .got: - -00001460 <.got>: - 1460: ffff 0xffff - 1462: ffff 0xffff - 1464: 0000 c.unimp - ... - -00001468 <_GLOBAL_OFFSET_TABLE_>: - 1468: 0000 c.unimp - 146a: 0000 c.unimp - 146c: 0dd0 c.addi4spn x12,x2,724 + 23a6: 0000 c.unimp + 23a8: 0001 c.addi x0,0 + 23aa: 0000 c.unimp + 23ac: 0000 c.unimp + 23ae: 0000 c.unimp + 23b0: 330e c.fldsp f6,224(x2) + 23b2: abcd c.j 29a4 <__BSS_END__+0x254> + 23b4: 1234 c.addi4spn x13,x2,296 + 23b6: e66d c.bnez x12,24a0 + 23b8: deec c.sw x11,124(x13) + 23ba: 0005 c.addi x0,1 + 23bc: 0000000b 0xb ... Disassembly of section .sdata: -00001470 <_global_impure_ptr>: - 1470: 1038 c.addi4spn x14,x2,40 +00002728 <_global_impure_ptr>: + 2728: 2300 c.fld f8,0(x14) ... -00001474 <__dso_handle>: - 1474: 0000 c.unimp +0000272c <__dso_handle>: + 272c: 0000 c.unimp ... -00001478 <_impure_ptr>: - 1478: 1038 c.addi4spn x14,x2,40 +00002730 <_impure_ptr>: + 2730: 2300 c.fld f8,0(x14) ... Disassembly of section .bss: -0000147c : - 147c: 0000 c.unimp +00002734 : + 2734: 0000 c.unimp ... -00001480 : +00002738 : ... Disassembly of section .comment: @@ -1132,29 +1376,28 @@ Disassembly of section .comment: 4: 2820 c.fld f8,80(x8) 6: 29554e47 fmsub.s f28,f10,f21,f5,rmm a: 3120 c.fld f8,96(x10) - c: 2e31 c.jal 328 - e: 2e31 c.jal 32a + c: 2e31 c.jal 328 + e: 2e31 c.jal 32a 10: 0030 c.addi4spn x12,x2,8 Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 2041 c.jal 80 + 0: 2541 c.jal 680 2: 0000 c.unimp 4: 7200 c.flw f8,32(x12) 6: 7369 c.lui x6,0xffffa 8: 01007663 bgeu x0,x16,14 - c: 0016 c.slli x0,0x5 - e: 0000 c.unimp + c: 0000001b 0x1b 10: 1004 c.addi4spn x9,x2,32 12: 7205 c.lui x4,0xfffe1 14: 3376 c.fldsp f6,376(x2) 16: 6932 c.flwsp f18,12(x2) 18: 7032 c.flwsp f0,44(x2) 1a: 5f30 c.lw x12,120(x14) - 1c: 326d c.jal fffff9c6 <__global_pointer$+0xffffe18e> + 1c: 326d c.jal fffff9c6 <__global_pointer$+0xffffcec6> 1e: 3070 c.fld f12,224(x8) - ... + 20: 615f 7032 0030 0x307032615f Disassembly of section .debug_aranges: @@ -1167,7 +1410,7 @@ Disassembly of section .debug_aranges: a: 0004 0x4 c: 0000 c.unimp e: 0000 c.unimp - 10: 0598 c.addi4spn x14,x2,704 + 10: 0940 c.addi4spn x8,x2,148 12: 0000 c.unimp 14: 0430 c.addi4spn x12,x2,520 ... @@ -1189,56 +1432,58 @@ Disassembly of section .debug_info: 6: 0401 c.addi x8,0 8: 0000 c.unimp a: 0000 c.unimp - c: 8011 c.srli x8,0x4 + c: 3e11 c.jal fffffb20 <__global_pointer$+0xffffd020> e: 0000 c.unimp 10: 1d00 c.addi4spn x8,x2,688 - 12: 0064 c.addi4spn x9,x2,12 + 12: 005e c.slli x0,0x17 14: 0000 c.unimp 16: 0000 c.unimp 18: 0000 c.unimp - 1a: 0598 c.addi4spn x14,x2,704 + 1a: 0940 c.addi4spn x8,x2,148 1c: 0000 c.unimp 1e: 0430 c.addi4spn x12,x2,520 20: 0000 c.unimp 22: 0000 c.unimp 24: 0000 c.unimp 26: 0804 c.addi4spn x9,x2,16 - 28: 00004c07 flq f24,0(x0) # 0 + 28: 00011a07 0x11a07 2c: 1200 c.addi4spn x8,x2,288 2e: 0504 c.addi4spn x9,x2,640 30: 6e69 c.lui x28,0x1a 32: 0074 c.addi4spn x13,x2,12 34: 0404 c.addi4spn x9,x2,512 - 36: 00005607 0x5607 + 36: 00012407 flw f8,0(x2) 3a: 0400 c.addi4spn x8,x2,512 3c: 0508 c.addi4spn x10,x2,640 - 3e: 003e c.slli x0,0xf + 3e: 010c c.addi4spn x11,x2,128 40: 0000 c.unimp 42: 1004 c.addi4spn x9,x2,32 - 44: bf04 c.fsd f9,56(x14) + 44: c104 c.sw x9,0(x10) 46: 0001 c.addi x0,0 48: 0400 c.addi4spn x8,x2,512 4a: 0601 c.addi x12,0 - 4c: 0074 c.addi4spn x13,x2,12 + 4c: 0142 c.slli x2,0x10 4e: 0000 c.unimp 50: 0104 c.addi4spn x9,x2,128 - 52: 7208 c.flw f10,32(x12) - 54: 0000 c.unimp + 52: 4008 c.lw x10,0(x8) + 54: 0001 c.addi x0,0 56: 0400 c.addi4spn x8,x2,512 58: 0502 c.slli64 x10 5a: 0020 c.addi4spn x8,x2,8 5c: 0000 c.unimp 5e: 0204 c.addi4spn x9,x2,256 - 60: 00017107 0x17107 + 60: 00017307 0x17307 64: 0400 c.addi4spn x8,x2,512 66: 0504 c.addi4spn x9,x2,640 - 68: 00000043 fmadd.s f0,f0,f0,f0,rne + 68: 0111 c.addi x2,4 + 6a: 0000 c.unimp 6c: 0404 c.addi4spn x9,x2,512 - 6e: 00005107 0x5107 + 6e: 00011f07 0x11f07 72: 0400 c.addi4spn x8,x2,512 74: 0801 c.addi x16,0 - 76: 0000007b 0x7b - 7a: 6006 c.flwsp f0,64(x2) + 76: 0149 c.addi x2,18 + 78: 0000 c.unimp + 7a: 6206 c.flwsp f4,64(x2) 7c: 0001 c.addi x0,0 7e: 7b00 c.flw f8,48(x14) 80: 5016 0x5016 @@ -1246,21 +1491,22 @@ Disassembly of section .debug_info: 84: 0900 c.addi4spn x8,x2,144 86: 007a c.slli x0,0x1e 88: 0000 c.unimp - 8a: cc06 c.swsp x1,24(x2) + 8a: ce06 c.swsp x1,28(x2) 8c: 0001 c.addi x0,0 8e: 8000 0x8000 90: 00002d0f 0x2d0f 94: 0600 c.addi4spn x8,x2,768 - 96: 000001cb fnmsub.s f3,f0,f0,f0,rne + 96: 01cd c.addi x3,19 + 98: 0000 c.unimp 9a: 1681 c.addi x13,-32 9c: 0034 c.addi4spn x13,x2,8 9e: 0000 c.unimp - a0: 8506 c.mv x10,x1 + a0: 8706 c.mv x14,x1 a2: 0001 c.addi x0,0 a4: 8400 0x8400 a6: 00003b0f 0x3b0f aa: 0600 c.addi4spn x8,x2,768 - ac: 0184 c.addi4spn x9,x2,192 + ac: 0186 c.slli x3,0x1 ae: 0000 c.unimp b0: 1685 c.addi x13,-31 b2: 0026 c.slli x0,0x9 @@ -1273,16 +1519,16 @@ Disassembly of section .debug_info: c0: 0012 c.slli x0,0x4 c2: 0000 c.unimp c4: 0804 c.addi4spn x9,x2,16 - c6: c404 c.sw x9,8(x8) + c6: c604 c.sw x9,8(x12) c8: 0001 c.addi x0,0 ca: 0400 c.addi4spn x8,x2,512 cc: 0310 c.addi4spn x12,x2,384 - ce: 0196 c.slli x3,0x5 + ce: 0198 c.addi4spn x14,x2,192 d0: 0000 c.unimp d2: 2004 c.fld f9,0(x8) - d4: 0001b703 0x1b703 + d4: 0001b903 0x1b903 d8: 1300 c.addi4spn x8,x2,416 - da: 0168 c.addi4spn x10,x2,140 + da: 016a c.slli x2,0x1a dc: 0000 c.unimp de: 0208 c.addi4spn x10,x2,256 e0: 01ed c.addi x3,27 @@ -1295,7 +1541,8 @@ Disassembly of section .debug_info: f0: 008a c.slli x1,0x2 f2: 0000 c.unimp f4: 1500 c.addi4spn x8,x2,672 - f6: 00000063 beq x0,x0,f6 <__do_global_dtors_aux+0x1e> + f6: 0131 c.addi x2,12 + f8: 0000 c.unimp fa: ed02 c.fswsp f0,152(x2) fc: 1f01 c.addi x30,-32 fe: 008a c.slli x1,0x2 @@ -1331,10 +1578,10 @@ Disassembly of section .debug_info: 140: 0034 c.addi4spn x13,x2,8 142: 0000 c.unimp 144: 00ff 0xff - 146: 3609 c.jal fffffc48 <__global_pointer$+0xffffe410> + 146: 3609 c.jal fffffc48 <__global_pointer$+0xffffd148> 148: 0001 c.addi x0,0 14a: 1a00 c.addi4spn x8,x2,304 - 14c: 0068 c.addi4spn x10,x2,12 + 14c: 0136 c.slli x2,0xd 14e: 0000 c.unimp 150: 0202 c.slli64 x4 152: 1602 c.slli x12,0x20 @@ -1343,10 +1590,10 @@ Disassembly of section .debug_info: 158: 0000081b 0x81b 15c: 0100 c.addi4spn x8,x2,128 15e: 0512 c.slli x10,0x4 - 160: ab01 c.j 670 <__udivdi3+0xd8> + 160: ab01 c.j 670 162: 0000 c.unimp - 164: 9800 0x9800 - 166: 0005 c.addi x0,1 + 164: 4000 c.lw x8,0(x8) + 166: 0009 c.addi x0,2 168: 3000 c.fld f8,32(x8) 16a: 0004 0x4 16c: 0100 c.addi4spn x8,x2,128 @@ -1365,7 +1612,7 @@ Disassembly of section .debug_info: 18a: 1c00 c.addi4spn x8,x2,560 18c: 042e c.slli x8,0xb 18e: 0000 c.unimp - 190: 0598 c.addi4spn x14,x2,704 + 190: 0940 c.addi4spn x8,x2,148 192: 0000 c.unimp 194: 000c 0xc 196: 0000 c.unimp @@ -1413,15 +1660,15 @@ Disassembly of section .debug_info: 1f2: 0000 c.unimp 1f4: 04b6 c.slli x9,0xd 1f6: 0000 c.unimp - 1f8: b101 c.j fffffdf8 <__global_pointer$+0xffffe5c0> + 1f8: b101 c.j fffffdf8 <__global_pointer$+0xffffd2f8> 1fa: 0004 0x4 1fc: cd00 c.sw x8,24(x10) 1fe: 0004 0x4 200: 0100 c.addi4spn x8,x2,128 202: 04bc c.addi4spn x15,x2,584 204: 0000 c.unimp - 206: 000004ef jal x9,206 - 20a: c701 c.beqz x14,212 + 206: 000004ef jal x9,206 + 20a: c701 c.beqz x14,212 20c: 0004 0x4 20e: 1200 c.addi4spn x8,x2,288 210: 0005 c.addi x0,1 @@ -1438,7 +1685,7 @@ Disassembly of section .debug_info: 228: 0000 c.unimp 22a: 0241 c.addi x4,16 22c: 0000 c.unimp - 22e: ec01 c.bnez x8,246 + 22e: ec01 c.bnez x8,246 230: 0004 0x4 232: 6100 c.flw f8,0(x10) 234: 0005 c.addi x0,1 @@ -1450,7 +1697,7 @@ Disassembly of section .debug_info: 240: 0e00 c.addi4spn x8,x2,784 242: 0505 c.addi x10,1 244: 0000 c.unimp - 246: 0600 c.addi4spn x8,x2,768 + 246: 09a8 c.addi4spn x10,x2,216 248: 0000 c.unimp 24a: 0088 c.addi4spn x10,x2,64 24c: 0000 c.unimp @@ -1465,7 +1712,7 @@ Disassembly of section .debug_info: 25e: 0000 c.unimp 260: 05ae c.slli x11,0xb 262: 0000 c.unimp - 264: 2201 c.jal 364 + 264: 2201 c.jal 364 266: 0005 c.addi x0,1 268: ba00 c.fsd f8,48(x12) 26a: 0005 c.addi x0,1 @@ -1474,7 +1721,7 @@ Disassembly of section .debug_info: 270: 0000 c.unimp 272: 05d1 c.addi x11,20 274: 0000 c.unimp - 276: 3a01 c.jal fffffb86 <__global_pointer$+0xffffe34e> + 276: 3a01 c.jal fffffb86 <__global_pointer$+0xffffd086> 278: 0005 c.addi x0,1 27a: e800 c.fsw f8,16(x8) 27c: 0005 c.addi x0,1 @@ -1525,7 +1772,7 @@ Disassembly of section .debug_info: 2dc: 0000 c.unimp 2de: 0700 c.addi4spn x8,x2,896 2e0: 0000 c.unimp - 2e2: ad01 c.j 8f2 <__udivdi3+0x35a> + 2e2: ad01 c.j 8f2 2e4: 0006 c.slli x0,0x1 2e6: 1700 c.addi4spn x8,x2,928 2e8: 01000007 0x1000007 @@ -1533,7 +1780,7 @@ Disassembly of section .debug_info: 2ee: 0000 c.unimp 2f0: 0749 c.addi x14,18 2f2: 0000 c.unimp - 2f4: c501 c.beqz x10,2fc + 2f4: c501 c.beqz x10,2fc 2f6: 0006 c.slli x0,0x1 2f8: 7b00 c.flw f8,48(x14) 2fa: 00000007 0x7 @@ -1544,13 +1791,13 @@ Disassembly of section .debug_info: 308: 000006d7 0x6d7 30c: 07a2 c.slli x15,0x8 30e: 0000 c.unimp - 310: e301 c.bnez x14,310 + 310: e301 c.bnez x14,310 312: 0006 c.slli x0,0x1 314: ae00 c.fsd f8,24(x12) 316: 01000007 0x1000007 - 31a: 000006ef jal x13,31a + 31a: 000006ef jal x13,31a 31e: 000007e7 jalr x15,0(x0) # 0 - 322: fb01 c.bnez x14,232 + 322: fb01 c.bnez x14,232 324: 0006 c.slli x0,0x1 326: f300 c.fsw f8,32(x14) 328: 01000007 0x1000007 @@ -1563,7 +1810,7 @@ Disassembly of section .debug_info: 33c: 0100 c.addi4spn x8,x2,128 33e: 071f 0000 0857 0x8570000071f 344: 0000 c.unimp - 346: 2b01 c.jal 856 <__udivdi3+0x2be> + 346: 2b01 c.jal 856 348: 81000007 0x81000007 34c: 0008 0x8 34e: 0000 c.unimp @@ -1583,13 +1830,13 @@ Disassembly of section .debug_info: 36e: 0000 c.unimp 370: 0e00 c.addi4spn x8,x2,784 372: 000005d7 0x5d7 - 376: 06e4 c.addi4spn x9,x2,844 + 376: 0a8c c.addi4spn x11,x2,336 378: 0000 c.unimp 37a: 008c c.addi4spn x11,x2,64 37c: 0000 c.unimp 37e: 03c2 c.slli x7,0x10 380: 0000 c.unimp - 382: dc01 c.beqz x8,29a + 382: dc01 c.beqz x8,29a 384: 0005 c.addi x0,1 386: d900 c.sw x8,48(x10) 388: 0008 0x8 @@ -1598,7 +1845,7 @@ Disassembly of section .debug_info: 38e: 0000 c.unimp 390: 08e5 c.addi x17,25 392: 0000 c.unimp - 394: f401 c.bnez x8,29c + 394: f401 c.bnez x8,29c 396: 0005 c.addi x0,1 398: f100 c.fsw f8,32(x10) 39a: 0008 0x8 @@ -1616,7 +1863,7 @@ Disassembly of section .debug_info: 3b2: 0000 c.unimp 3b4: 0951 c.addi x18,20 3b6: 0000 c.unimp - 3b8: 2401 c.jal 5b8 <__udivdi3+0x20> + 3b8: 2401 c.jal 5b8 3ba: 0006 c.slli x0,0x1 3bc: 7800 c.flw f8,48(x8) 3be: 0009 c.addi x0,2 @@ -1638,7 +1885,7 @@ Disassembly of section .debug_info: 3e4: 0000 c.unimp 3e6: 09c8 c.addi4spn x10,x2,212 3e8: 0000 c.unimp - 3ea: a601 c.j 6ea <__udivdi3+0x152> + 3ea: a601 c.j 6ea 3ec: 0005 c.addi x0,1 3ee: df00 c.sw x8,56(x14) 3f0: 0009 c.addi x0,2 @@ -1647,7 +1894,7 @@ Disassembly of section .debug_info: 3f6: 0000 c.unimp 3f8: 09f6 c.slli x19,0x1d 3fa: 0000 c.unimp - 3fc: be01 c.j ffffff0c <__global_pointer$+0xffffe6d4> + 3fc: be01 c.j ffffff0c <__global_pointer$+0xffffd40c> 3fe: 0005 c.addi x0,1 400: 2800 c.fld f8,16(x8) 402: 000a c.slli x0,0x2 @@ -1661,7 +1908,7 @@ Disassembly of section .debug_info: 412: 0000 c.unimp 414: 00fe c.slli x1,0x1f 416: 0000 c.unimp - 418: 3601 c.jal ffffff18 <__global_pointer$+0xffffe6e0> + 418: 3601 c.jal ffffff18 <__global_pointer$+0xffffd418> 41a: 0006 c.slli x0,0x1 41c: 8100 0x8100 41e: 000a c.slli x0,0x2 @@ -1672,10 +1919,10 @@ Disassembly of section .debug_info: 428: 0000 c.unimp 42a: 0000 c.unimp 42c: 0000 c.unimp - 42e: aa1e c.fsdsp f7,272(x2) + 42e: ac1e c.fsdsp f7,24(x2) 430: 0001 c.addi x0,0 432: 0100 c.addi4spn x8,x2,128 - 434: ab0103e3 beq x2,x16,fffffeda <__global_pointer$+0xffffe6a2> + 434: ab0103e3 beq x2,x16,fffffeda <__global_pointer$+0xffffd3da> 438: 0000 c.unimp 43a: 0300 c.addi4spn x8,x2,384 43c: 075a c.slli x14,0x16 @@ -1698,7 +1945,7 @@ Disassembly of section .debug_info: 46e: 0300 c.addi4spn x8,x2,384 470: 7272 c.flwsp f4,60(x2) 472: e700 c.fsw f8,8(x14) - 474: 01240b03 lb x22,18(x8) + 474: 01240b03 lb x22,18(x8) # ffffe012 <__global_pointer$+0xffffb512> 478: 0000 c.unimp 47a: 00306403 0x306403 47e: 03e8 c.addi4spn x10,x2,460 @@ -1723,7 +1970,7 @@ Disassembly of section .debug_info: 4ac: 951a c.add x10,x6 4ae: 0000 c.unimp 4b0: 0300 c.addi4spn x8,x2,384 - 4b2: 3071 c.jal fffffd3e <__global_pointer$+0xffffe506> + 4b2: 3071 c.jal fffffd3e <__global_pointer$+0xffffd23e> 4b4: e900 c.fsw f8,16(x10) 4b6: 00950a03 lb x20,9(x10) 4ba: 0000 c.unimp @@ -1747,7 +1994,7 @@ Disassembly of section .debug_info: 4e6: 0500 c.addi4spn x8,x2,640 4e8: 0505 c.addi x10,1 4ea: 0000 c.unimp - 4ec: a502 c.fsdsp f0,136(x2) + 4ec: a702 c.fsdsp f0,392(x2) 4ee: 0001 c.addi x0,0 4f0: 1a00 c.addi4spn x8,x2,304 4f2: 0404 c.addi4spn x9,x2,512 @@ -1771,25 +2018,25 @@ Disassembly of section .debug_info: 51c: 0404 c.addi4spn x9,x2,512 51e: 0095 c.addi x1,5 520: 0000 c.unimp - 522: 9102 c.jalr x2 + 522: 9302 c.jalr x6 524: 0001 c.addi x0,0 526: 2600 c.fld f8,8(x12) 528: 0404 c.addi4spn x9,x2,512 52a: 0095 c.addi x1,5 52c: 0000 c.unimp - 52e: 8c02 c.jr x24 + 52e: 8e02 c.jr x28 530: 0001 c.addi x0,0 532: 2600 c.fld f8,8(x12) 534: 0404 c.addi4spn x9,x2,512 536: 0095 c.addi x1,5 538: 0000 c.unimp - 53a: d802 c.swsp x0,48(x2) + 53a: da02 c.swsp x0,52(x2) 53c: 0001 c.addi x0,0 53e: 2600 c.fld f8,8(x12) 540: 0404 c.addi4spn x9,x2,512 542: 0095 c.addi x1,5 544: 0000 c.unimp - 546: d302 c.swsp x0,164(x2) + 546: d502 c.swsp x0,168(x2) 548: 0001 c.addi x0,0 54a: 2600 c.fld f8,8(x12) 54c: 0404 c.addi4spn x9,x2,512 @@ -1803,7 +2050,7 @@ Disassembly of section .debug_info: 55e: 0500 c.addi4spn x8,x2,640 560: 057d c.addi x10,31 562: 0000 c.unimp - 564: a502 c.fsdsp f0,136(x2) + 564: a702 c.fsdsp f0,392(x2) 566: 0001 c.addi x0,0 568: 3200 c.fld f8,32(x12) 56a: 0404 c.addi4spn x9,x2,512 @@ -1828,25 +2075,25 @@ Disassembly of section .debug_info: 594: 0804 c.addi4spn x9,x2,16 596: 0095 c.addi x1,5 598: 0000 c.unimp - 59a: 9102 c.jalr x2 + 59a: 9302 c.jalr x6 59c: 0001 c.addi x0,0 59e: 4b00 c.lw x8,16(x14) 5a0: 0804 c.addi4spn x9,x2,16 5a2: 0095 c.addi x1,5 5a4: 0000 c.unimp - 5a6: 8c02 c.jr x24 + 5a6: 8e02 c.jr x28 5a8: 0001 c.addi x0,0 5aa: 4b00 c.lw x8,16(x14) 5ac: 0804 c.addi4spn x9,x2,16 5ae: 0095 c.addi x1,5 5b0: 0000 c.unimp - 5b2: d802 c.swsp x0,48(x2) + 5b2: da02 c.swsp x0,52(x2) 5b4: 0001 c.addi x0,0 5b6: 4b00 c.lw x8,16(x14) 5b8: 0804 c.addi4spn x9,x2,16 5ba: 0095 c.addi x1,5 5bc: 0000 c.unimp - 5be: d302 c.swsp x0,164(x2) + 5be: d502 c.swsp x0,168(x2) 5c0: 0001 c.addi x0,0 5c2: 4b00 c.lw x8,16(x14) 5c4: 0804 c.addi4spn x9,x2,16 @@ -1872,25 +2119,25 @@ Disassembly of section .debug_info: 5ee: 0404 c.addi4spn x9,x2,512 5f0: 0095 c.addi x1,5 5f2: 0000 c.unimp - 5f4: 9102 c.jalr x2 + 5f4: 9302 c.jalr x6 5f6: 0001 c.addi x0,0 5f8: 5000 c.lw x8,32(x8) 5fa: 0404 c.addi4spn x9,x2,512 5fc: 0095 c.addi x1,5 5fe: 0000 c.unimp - 600: 8c02 c.jr x24 + 600: 8e02 c.jr x28 602: 0001 c.addi x0,0 604: 5000 c.lw x8,32(x8) 606: 0404 c.addi4spn x9,x2,512 608: 0095 c.addi x1,5 60a: 0000 c.unimp - 60c: d802 c.swsp x0,48(x2) + 60c: da02 c.swsp x0,52(x2) 60e: 0001 c.addi x0,0 610: 5000 c.lw x8,32(x8) 612: 0404 c.addi4spn x9,x2,512 614: 0095 c.addi x1,5 616: 0000 c.unimp - 618: d302 c.swsp x0,164(x2) + 618: d502 c.swsp x0,168(x2) 61a: 0001 c.addi x0,0 61c: 5000 c.lw x8,32(x8) 61e: 0404 c.addi4spn x9,x2,512 @@ -1903,7 +2150,7 @@ Disassembly of section .debug_info: 62e: 0000 c.unimp 630: 0500 c.addi4spn x8,x2,640 632: 0000064f fnmadd.s f12,f0,f0,f0,rne - 636: a502 c.fsdsp f0,136(x2) + 636: a702 c.fsdsp f0,392(x2) 638: 0001 c.addi x0,0 63a: 7300 c.flw f8,32(x14) 63c: 0404 c.addi4spn x9,x2,512 @@ -1927,12 +2174,12 @@ Disassembly of section .debug_info: 666: 0491 c.addi x9,4 668: 0000950f 0x950f 66c: 0300 c.addi4spn x8,x2,384 - 66e: 306d c.jal ffffff18 <__global_pointer$+0xffffe6e0> + 66e: 306d c.jal ffffff18 <__global_pointer$+0xffffd418> 670: 9100 0x9100 672: 1304 c.addi4spn x9,x2,416 674: 0095 c.addi x1,5 676: 0000 c.unimp - 678: d205 c.beqz x12,598 <__udivdi3> + 678: d205 c.beqz x12,598 67a: 0006 c.slli x0,0x1 67c: 0200 c.addi4spn x8,x2,256 67e: 0000002f 0x2f @@ -1946,25 +2193,25 @@ Disassembly of section .debug_info: 690: 9508 0x9508 692: 0000 c.unimp 694: 0200 c.addi4spn x8,x2,256 - 696: 0191 c.addi x3,4 - 698: 0000 c.unimp + 696: 00000193 addi x3,x0,0 69a: 049c c.addi4spn x15,x2,576 69c: 9508 0x9508 69e: 0000 c.unimp 6a0: 0200 c.addi4spn x8,x2,256 - 6a2: 018c c.addi4spn x11,x2,192 + 6a2: 018e c.slli x3,0x3 6a4: 0000 c.unimp 6a6: 049c c.addi4spn x15,x2,576 6a8: 9508 0x9508 6aa: 0000 c.unimp 6ac: 0200 c.addi4spn x8,x2,256 - 6ae: 01d8 c.addi4spn x14,x2,196 + 6ae: 01da c.slli x3,0x16 6b0: 0000 c.unimp 6b2: 049c c.addi4spn x15,x2,576 6b4: 9508 0x9508 6b6: 0000 c.unimp 6b8: 0200 c.addi4spn x8,x2,256 - 6ba: 000001d3 fadd.s f3,f0,f0,rne + 6ba: 01d5 c.addi x3,21 + 6bc: 0000 c.unimp 6be: 049c c.addi4spn x15,x2,576 6c0: 9508 0x9508 6c2: 0000 c.unimp @@ -1973,32 +2220,32 @@ Disassembly of section .debug_info: 6cc: 9508 0x9508 6ce: 0000 c.unimp 6d0: 0000 c.unimp - 6d2: 3805 c.jal ffffff02 <__global_pointer$+0xffffe6ca> + 6d2: 3805 c.jal ffffff02 <__global_pointer$+0xffffd402> 6d4: 02000007 0x2000007 - 6d8: 014c c.addi4spn x11,x2,132 + 6d8: 014e c.slli x2,0x13 6da: 0000 c.unimp 6dc: 049d c.addi x9,7 6de: 9508 0x9508 6e0: 0000 c.unimp 6e2: 0200 c.addi4spn x8,x2,256 - 6e4: 0151 c.addi x2,20 - 6e6: 0000 c.unimp + 6e4: 00000153 fadd.s f2,f0,f0,rne 6e8: 049d c.addi x9,7 6ea: 9508 0x9508 6ec: 0000 c.unimp 6ee: 0200 c.addi4spn x8,x2,256 - 6f0: 0156 c.slli x2,0x15 + 6f0: 0158 c.addi4spn x14,x2,132 6f2: 0000 c.unimp 6f4: 049d c.addi x9,7 6f6: 9508 0x9508 6f8: 0000 c.unimp 6fa: 0200 c.addi4spn x8,x2,256 - 6fc: 0000015b 0x15b + 6fc: 015d c.addi x2,23 + 6fe: 0000 c.unimp 700: 049d c.addi x9,7 702: 9508 0x9508 704: 0000 c.unimp 706: 0200 c.addi4spn x8,x2,256 - 708: 01e2 c.slli x3,0x18 + 708: 01e4 c.addi4spn x9,x2,204 70a: 0000 c.unimp 70c: 049d c.addi x9,7 70e: 9508 0x9508 @@ -2010,9 +2257,7 @@ Disassembly of section .debug_info: 71a: 9508 0x9508 71c: 0000 c.unimp 71e: 0200 c.addi4spn x8,x2,256 - 720: 01dd c.addi x3,23 - 722: 0000 c.unimp - 724: 049d c.addi x9,7 + 720: 01df 0000 049d 0x49d000001df 726: 9508 0x9508 728: 0000 c.unimp 72a: 0200 c.addi4spn x8,x2,256 @@ -2042,8 +2287,9 @@ Disassembly of section .debug_info: 768: a604 c.fsd f9,8(x12) 76a: 0001 c.addi x0,0 76c: 0300 c.addi4spn x8,x2,384 - 76e: 000001e7 jalr x3,0(x0) # 0 - 772: 641d c.lui x8,0x7 + 76e: 01e9 c.addi x3,26 + 770: 0000 c.unimp + 772: 5e1d c.li x28,-25 774: 0000 c.unimp 776: 0000 c.unimp 778: 0000 c.unimp @@ -2053,41 +2299,42 @@ Disassembly of section .debug_info: 782: 6e69 c.lui x28,0x1a 784: 0074 c.addi4spn x13,x2,12 786: 0401 c.addi x8,0 - 788: 00005607 0x5607 + 788: 00012407 flw f8,0(x2) 78c: 0100 c.addi4spn x8,x2,128 78e: 0508 c.addi4spn x10,x2,640 - 790: 003e c.slli x0,0xf + 790: 010c c.addi4spn x11,x2,128 792: 0000 c.unimp 794: 1001 c.addi x0,-32 - 796: bf04 c.fsd f9,56(x14) + 796: c104 c.sw x9,0(x10) 798: 0001 c.addi x0,0 79a: 0100 c.addi4spn x8,x2,128 79c: 0601 c.addi x12,0 - 79e: 0074 c.addi4spn x13,x2,12 + 79e: 0142 c.slli x2,0x10 7a0: 0000 c.unimp 7a2: 0101 c.addi x2,0 - 7a4: 7208 c.flw f10,32(x12) - 7a6: 0000 c.unimp + 7a4: 4008 c.lw x10,0(x8) + 7a6: 0001 c.addi x0,0 7a8: 0100 c.addi4spn x8,x2,128 7aa: 0502 c.slli64 x10 7ac: 0020 c.addi4spn x8,x2,8 7ae: 0000 c.unimp 7b0: 0201 c.addi x4,0 - 7b2: 00017107 0x17107 + 7b2: 00017307 0x17307 7b6: 0100 c.addi4spn x8,x2,128 7b8: 0504 c.addi4spn x9,x2,640 - 7ba: 00000043 fmadd.s f0,f0,f0,f0,rne + 7ba: 0111 c.addi x2,4 + 7bc: 0000 c.unimp 7be: 0401 c.addi x8,0 - 7c0: 00005107 0x5107 + 7c0: 00011f07 0x11f07 7c4: 0100 c.addi4spn x8,x2,128 7c6: 0708 c.addi4spn x10,x2,896 - 7c8: 004c c.addi4spn x11,x2,4 + 7c8: 011a c.slli x2,0x6 7ca: 0000 c.unimp 7cc: 0101 c.addi x2,0 - 7ce: 7b08 c.flw f10,48(x14) - 7d0: 0000 c.unimp + 7ce: 4908 c.lw x10,16(x10) + 7d0: 0001 c.addi x0,0 7d2: 0500 c.addi4spn x8,x2,640 - 7d4: 0160 c.addi4spn x8,x2,140 + 7d4: 0162 c.slli x2,0x18 7d6: 0000 c.unimp 7d8: 7b01 c.lui x22,0xfffe0 7da: 4116 c.lwsp x2,68(x2) @@ -2103,14 +2350,14 @@ Disassembly of section .debug_info: 7ee: 0012 c.slli x0,0x4 7f0: 0000 c.unimp 7f2: 0801 c.addi x16,0 - 7f4: c404 c.sw x9,8(x8) + 7f4: c604 c.sw x9,8(x12) 7f6: 0001 c.addi x0,0 7f8: 0100 c.addi4spn x8,x2,128 7fa: 0310 c.addi4spn x12,x2,384 - 7fc: 0196 c.slli x3,0x5 + 7fc: 0198 c.addi4spn x14,x2,192 7fe: 0000 c.unimp - 800: 2001 c.jal 800 <__udivdi3+0x268> - 802: 0001b703 0x1b703 + 800: 2001 c.jal 800 + 802: 0001b903 0x1b903 806: 0600 c.addi4spn x8,x2,768 808: 007e c.slli x0,0x1f 80a: 0000 c.unimp @@ -2121,8 +2368,8 @@ Disassembly of section .debug_info: 816: 0200 c.addi4spn x8,x2,256 818: 00a6 c.slli x1,0x9 81a: 0000 c.unimp - 81c: 6808 c.flw f10,16(x8) - 81e: 0000 c.unimp + 81c: 3608 c.fld f10,40(x12) + 81e: 0001 c.addi x0,0 820: 0100 c.addi4spn x8,x2,128 822: 0202 c.slli64 x4 824: b616 c.fsdsp f5,296(x2) @@ -2132,27 +2379,27 @@ Disassembly of section .debug_info: 82e: 9e02 c.jalr x28 830: 0f02 c.slli64 x30 832: 0305 c.addi x6,1 - 834: 0df8 c.addi4spn x14,x2,732 + 834: 11c4 c.addi4spn x9,x2,228 836: 0000 c.unimp ... Disassembly of section .debug_abbrev: 00000000 <.debug_abbrev>: - 0: 3401 c.jal fffffa00 <__global_pointer$+0xffffe1c8> + 0: 3401 c.jal fffffa00 <__global_pointer$+0xffffcf00> 2: 3100 c.fld f8,32(x10) 4: 00170213 addi x4,x14,1 8: 0200 c.addi4spn x8,x2,256 a: 0034 c.addi4spn x13,x2,8 c: 213a0e03 lb x28,531(x20) - 10: 3b01 c.jal fffffd20 <__global_pointer$+0xffffe4e8> - 12: 3905 c.jal fffffc42 <__global_pointer$+0xffffe40a> + 10: 3b01 c.jal fffffd20 <__global_pointer$+0xffffd220> + 12: 3905 c.jal fffffc42 <__global_pointer$+0xffffd142> 14: 0013490b 0x13490b 18: 0300 c.addi4spn x8,x2,384 1a: 0034 c.addi4spn x13,x2,8 1c: 213a0803 lb x16,531(x20) - 20: 3b01 c.jal fffffd30 <__global_pointer$+0xffffe4f8> - 22: 3905 c.jal fffffc52 <__global_pointer$+0xffffe41a> + 20: 3b01 c.jal fffffd30 <__global_pointer$+0xffffd230> + 22: 3905 c.jal fffffc52 <__global_pointer$+0xffffd152> 24: 0013490b 0x13490b 28: 0400 c.addi4spn x8,x2,512 2a: 0024 c.addi4spn x9,x2,8 @@ -2182,19 +2429,19 @@ Disassembly of section .debug_abbrev: 6c: 0b00 c.addi4spn x8,x2,400 6e: 0005 c.addi x0,1 70: 213a0803 lb x16,531(x20) - 74: 3b01 c.jal fffffd84 <__global_pointer$+0xffffe54c> + 74: 3b01 c.jal fffffd84 <__global_pointer$+0xffffd284> 76: e321 c.bnez x14,b6 <_start+0x2a> - 78: 490b3907 fld f18,1168(x22) # fffe0490 <__global_pointer$+0xfffdec58> + 78: 490b3907 fld f18,1168(x22) # fffe0490 <__global_pointer$+0xfffdd990> 7c: 0c000013 addi x0,x0,192 80: 000d c.addi x0,3 82: 213a0803 lb x16,531(x20) 86: 3b02 c.fldsp f22,32(x2) - 88: 3905 c.jal fffffcb8 <__global_pointer$+0xffffe480> + 88: 3905 c.jal fffffcb8 <__global_pointer$+0xffffd1b8> 8a: 0013490b 0x13490b 8e: 0d00 c.addi4spn x8,x2,656 90: 0005 c.addi x0,1 92: 213a0803 lb x16,531(x20) - 96: 3b01 c.jal fffffda6 <__global_pointer$+0xffffe56e> + 96: 3b01 c.jal fffffda6 <__global_pointer$+0xffffd2a6> 98: 9221 c.srli x12,0x28 9a: 390a c.fldsp f18,160(x2) 9c: 0213490b 0x213490b @@ -2209,7 +2456,7 @@ Disassembly of section .debug_abbrev: b8: 1000 c.addi4spn x8,x2,32 ba: 0000010b 0x10b be: 1111 c.addi x2,-28 - c0: 2501 c.jal 6c0 <__udivdi3+0x128> + c0: 2501 c.jal 6c0 c2: 130e c.slli x6,0x23 c4: 1b1f030b 0x1b1f030b c8: 111f 1201 1006 0x10061201111f @@ -2220,7 +2467,7 @@ Disassembly of section .debug_abbrev: dc: 03011313 slli x6,x2,0x30 e0: 0b0e c.slli x22,0x3 e2: 3b0b3a0b 0x3b0b3a0b - e6: 3905 c.jal fffffd16 <__global_pointer$+0xffffe4de> + e6: 3905 c.jal fffffd16 <__global_pointer$+0xffffd216> e8: 0013010b 0x13010b ec: 1400 c.addi4spn x8,x2,544 ee: 000d c.addi x0,3 @@ -2249,7 +2496,7 @@ Disassembly of section .debug_abbrev: 130: 1349 c.addi x6,-14 132: 1301 c.addi x6,-32 134: 0000 c.unimp - 136: 2119 c.jal 53c + 136: 2119 c.jal 53c 138: 4900 c.lw x8,16(x10) 13a: 000b2f13 slti x30,x22,0 13e: 1a00 c.addi4spn x8,x2,304 @@ -2287,7 +2534,7 @@ Disassembly of section .debug_abbrev: 19a: 0000 c.unimp 19c: 0f1f 0b00 490b 0x490b0b000f1f 1a2: 00000013 addi x0,x0,0 - 1a6: 2401 c.jal 3a6 + 1a6: 2401 c.jal 3a6 1a8: 0b00 c.addi4spn x8,x2,400 1aa: 030b3e0b 0x30b3e0b 1ae: 000e c.slli x0,0x3 @@ -2295,7 +2542,7 @@ Disassembly of section .debug_abbrev: 1b2: 0026 c.slli x0,0x9 1b4: 1349 c.addi x6,-14 1b6: 0000 c.unimp - 1b8: 25011103 lh x2,592(x2) # b0b0360 <__global_pointer$+0xb0aeb28> + 1b8: 25011103 lh x2,592(x2) # b0b0360 <__global_pointer$+0xb0ad860> 1bc: 130e c.slli x6,0x23 1be: 1b1f030b 0x1b1f030b 1c2: 101f 0017 0400 0x4000017101f @@ -2312,7 +2559,7 @@ Disassembly of section .debug_abbrev: 1e4: 1349 c.addi x6,-14 1e6: 1301 c.addi x6,-32 1e8: 0000 c.unimp - 1ea: 49002107 flw f2,1168(x0) # 490 + 1ea: 49002107 flw f2,1168(x0) # 490 <_strcat+0x54> 1ee: 000b2f13 slti x30,x22,0 1f2: 0800 c.addi4spn x8,x2,16 1f4: 0034 c.addi4spn x13,x2,8 @@ -2322,7 +2569,7 @@ Disassembly of section .debug_abbrev: 200: 193c193f 34090000 0x34090000193c193f 208: 4700 c.lw x8,8(x14) 20a: 3b0b3a13 sltiu x20,x22,944 - 20e: 3905 c.jal fffffe3e <__global_pointer$+0xffffe606> + 20e: 3905 c.jal fffffe3e <__global_pointer$+0xffffd33e> 210: 0018020b 0x18020b ... @@ -2334,7 +2581,7 @@ Disassembly of section .debug_line: 6: 0004 0x4 8: 00000033 add x0,x0,x0 c: 0101 c.addi x2,0 - e: fb01 c.bnez x14,ffffff1e <__global_pointer$+0xffffe6e6> + e: fb01 c.bnez x14,ffffff1e <__global_pointer$+0xffffd41e> 10: 0d0e c.slli x26,0x3 12: 0100 c.addi4spn x8,x2,128 14: 0101 c.addi x2,0 @@ -2344,24 +2591,24 @@ Disassembly of section .debug_line: 1c: 0100 c.addi4spn x8,x2,128 1e: 0101 c.addi x2,0 20: 021f 0000 0000 0x21f - 26: 008a c.slli x1,0x2 + 26: 0084 c.addi4spn x9,x2,64 28: 0000 c.unimp 2a: 0102 c.slli64 x2 - 2c: 021f 030f 0064 0x64030f021f + 2c: 021f 030f 005e 0x5e030f021f 32: 0000 c.unimp - 34: 8000 0x8000 + 34: 7a00 c.flw f8,48(x12) 36: 0000 c.unimp 38: 0100 c.addi4spn x8,x2,128 - 3a: 00a6 c.slli x1,0x9 + 3a: 00a0 c.addi4spn x8,x2,72 3c: 0000 c.unimp 3e: 0501 c.addi x10,0 40: 0001 c.addi x0,0 42: 0205 c.addi x4,1 - 44: 0598 c.addi4spn x14,x2,704 + 44: 0940 c.addi4spn x8,x2,148 46: 0000 c.unimp 48: 010a9203 lh x4,16(x21) 4c: 0305 c.addi x6,1 - 4e: 00090103 lb x2,0(x18) + 4e: 00090103 lb x2,0(x18) # 19000 <__global_pointer$+0x16500> 52: 0100 c.addi4spn x8,x2,128 54: 097dd103 lhu x2,151(x27) 58: 0000 c.unimp @@ -2488,7 +2735,7 @@ Disassembly of section .debug_line: 160: 0100 c.addi4spn x8,x2,128 162: 0405 c.addi x8,1 164: 0306 c.slli x6,0x1 - 166: 00040903 lb x18,0(x8) # 7000 <__global_pointer$+0x57c8> + 166: 00040903 lb x18,0(x8) 16a: 0301 c.addi x6,0 16c: 0900 c.addi4spn x8,x2,144 16e: 0000 c.unimp @@ -2578,7 +2825,7 @@ Disassembly of section .debug_line: 218: 0900 c.addi4spn x8,x2,144 21a: 0000 c.unimp 21c: 0501 c.addi x10,0 - 21e: 0e030603 lb x12,224(x6) # ffffa0e0 <__global_pointer$+0xffff88a8> + 21e: 0e030603 lb x12,224(x6) # ffffa0e0 <__global_pointer$+0xffff75e0> 222: 0409 c.addi x8,2 224: 0100 c.addi4spn x8,x2,128 226: 00090103 lb x2,0(x18) @@ -3064,7 +3311,7 @@ Disassembly of section .debug_line: 720: 0901 c.addi x18,0 722: 0008 0x8 724: 0100 c.addi4spn x8,x2,128 - 726: 3b01 c.jal 436 + 726: 3b01 c.jal 436 728: 0000 c.unimp 72a: 0500 c.addi4spn x8,x2,640 72c: 0400 c.addi4spn x8,x2,512 @@ -3082,16 +3329,16 @@ Disassembly of section .debug_line: 746: 1f01 c.addi x30,-32 748: 0002 c.slli64 x0 74a: 0000 c.unimp - 74c: 8a00 0x8a00 + 74c: 8400 0x8400 74e: 0000 c.unimp 750: 0200 c.addi4spn x8,x2,256 752: 1f01 c.addi x30,-32 754: 0f02 c.slli64 x30 - 756: 00006403 0x6403 + 756: 00005e03 lhu x28,0(x0) # 0 75a: 0000 c.unimp - 75c: 00a6 c.slli x1,0x9 + 75c: 00a0 c.addi4spn x8,x2,72 75e: 0000 c.unimp - 760: 8001 c.srli64 x8 + 760: 7a01 c.lui x20,0xfffe0 762: 0000 c.unimp 764: 0100 c.addi4spn x8,x2,128 @@ -3109,7 +3356,7 @@ Disassembly of section .debug_str: 16: 656c c.flw f11,76(x10) 18: 2078 c.fld f14,192(x8) 1a: 6c66 c.flwsp f24,88(x2) - 1c: 0074616f jal x2,46822 <__global_pointer$+0x44fea> + 1c: 0074616f jal x2,46822 <__global_pointer$+0x43d22> 20: 726f6873 csrrsi x16,0x726,30 24: 2074 c.fld f13,192(x8) 26: 6e69 c.lui x28,0x1a @@ -3118,306 +3365,307 @@ Disassembly of section .debug_str: 30: 645f 0031 5f5f 0x5f5f0031645f 36: 6876 c.flwsp f16,92(x2) 38: 5f00 c.lw x8,56(x14) - 3a: 765f 006c 6f6c 0x6f6c006c765f - 40: 676e c.flwsp f14,216(x2) - 42: 6c20 c.flw f8,88(x8) - 44: 20676e6f jal x28,7624a <__global_pointer$+0x74a12> - 48: 6e69 c.lui x28,0x1a - 4a: 0074 c.addi4spn x13,x2,12 - 4c: 6f6c c.flw f11,92(x14) - 4e: 676e c.flwsp f14,216(x2) - 50: 6c20 c.flw f8,88(x8) - 52: 20676e6f jal x28,76258 <__global_pointer$+0x74a20> - 56: 6e75 c.lui x28,0x1d - 58: 6e676973 csrrsi x18,0x6e6,14 - 5c: 6465 c.lui x8,0x19 - 5e: 6920 c.flw f8,80(x10) - 60: 746e c.flwsp f8,248(x2) - 62: 6800 c.flw f8,16(x8) - 64: 6769 c.lui x14,0x1a - 66: 0068 c.addi4spn x10,x2,12 - 68: 5f5f 6c63 5f7a 0x5f7a6c635f5f - 6e: 6174 c.flw f13,68(x10) - 70: 0062 c.slli x0,0x18 - 72: 6e75 c.lui x28,0x1d - 74: 6e676973 csrrsi x18,0x6e6,14 - 78: 6465 c.lui x8,0x19 - 7a: 6320 c.flw f8,64(x14) - 7c: 6168 c.flw f10,68(x10) - 7e: 0072 c.slli x0,0x1c - 80: 20554e47 fmsub.s f28,f10,f5,f4,rmm - 84: 20373143 fmadd.s f2,f14,f3,f4,rup - 88: 3131 c.jal fffffc94 <__global_pointer$+0xffffe45c> - 8a: 312e c.fldsp f2,232(x2) - 8c: 302e c.fldsp f0,232(x2) - 8e: 2d20 c.fld f8,88(x10) - 90: 636d c.lui x6,0x1b - 92: 6f6d c.lui x30,0x1b - 94: 6564 c.flw f9,76(x10) - 96: 3d6c c.fld f11,248(x10) - 98: 656d c.lui x10,0x1b - 9a: 6c64 c.flw f9,92(x8) - 9c: 2d20776f jal x14,736e <__global_pointer$+0x5b36> - a0: 636d c.lui x6,0x1b - a2: 6f6d c.lui x30,0x1b - a4: 6564 c.flw f9,76(x10) - a6: 3d6c c.fld f11,248(x10) - a8: 656d c.lui x10,0x1b - aa: 6c64 c.flw f9,92(x8) - ac: 2d20776f jal x14,737e <__global_pointer$+0x5b46> - b0: 746d c.lui x8,0xffffb - b2: 6e75 c.lui x28,0x1d - b4: 3d65 c.jal ffffff6c <__global_pointer$+0xffffe734> - b6: 6f72 c.flwsp f30,28(x2) - b8: 74656b63 bltu x10,x6,80e <__udivdi3+0x276> - bc: 2d20 c.fld f8,88(x10) - be: 616d c.addi16sp x2,240 - c0: 6372 c.flwsp f6,28(x2) - c2: 3d68 c.fld f10,248(x10) - c4: 7672 c.flwsp f12,60(x2) - c6: 6d693233 0x6d693233 - ca: 2d20 c.fld f8,88(x10) - cc: 616d c.addi16sp x2,240 - ce: 6962 c.flwsp f18,24(x2) - d0: 693d c.lui x18,0xf - d2: 706c c.flw f11,100(x8) - d4: 2d203233 0x2d203233 - d8: 616d c.addi16sp x2,240 - da: 6372 c.flwsp f6,28(x2) - dc: 3d68 c.fld f10,248(x10) - de: 7672 c.flwsp f12,60(x2) - e0: 6d693233 0x6d693233 - e4: 2d20 c.fld f8,88(x10) - e6: 4f2d2067 0x4f2d2067 - ea: 4f2d2073 csrrs x0,0x4f2,x26 - ee: 2032 c.fldsp f0,264(x2) - f0: 4f2d c.li x30,11 - f2: 662d2073 csrrs x0,0x662,x26 - f6: 7562 c.flwsp f10,56(x2) - f8: 6c69 c.lui x24,0x1a - fa: 6964 c.flw f9,84(x10) - fc: 676e c.flwsp f14,216(x2) - fe: 6c2d c.lui x24,0xb - 100: 6269 c.lui x4,0x1a - 102: 20636367 0x20636367 - 106: 662d c.lui x12,0xb - 108: 6f6e c.flwsp f30,216(x2) - 10a: 732d c.lui x6,0xfffeb - 10c: 6174 c.flw f13,68(x10) - 10e: 702d6b63 bltu x26,x2,824 <__udivdi3+0x28c> - 112: 6f72 c.flwsp f30,28(x2) - 114: 6574 c.flw f13,76(x10) - 116: 726f7463 bgeu x30,x6,83e <__udivdi3+0x2a6> - 11a: 2d20 c.fld f8,88(x10) - 11c: 6166 c.flwsp f2,88(x2) - 11e: 636e7973 csrrci x18,0x636,28 - 122: 7268 c.flw f10,100(x12) - 124: 756f6e6f jal x28,f687a <__global_pointer$+0xf5042> - 128: 6e752d73 csrrs x26,0x6e7,x10 - 12c: 646e6977 0x646e6977 - 130: 742d c.lui x8,0xfffeb - 132: 6261 c.lui x4,0x18 - 134: 656c c.flw f11,76(x10) - 136: 662d2073 csrrs x0,0x662,x26 - 13a: 6976 c.flwsp f18,92(x2) - 13c: 69626973 csrrsi x18,0x696,4 - 140: 696c c.flw f11,84(x10) - 142: 7974 c.flw f13,116(x10) - 144: 683d c.lui x16,0xf - 146: 6469 c.lui x8,0x1a - 148: 6564 c.flw f9,76(x10) - 14a: 006e c.slli x0,0x1b - 14c: 5f5f 3078 5f00 0x5f0030785f5f - 152: 785f 0031 5f5f 0x5f5f0031785f - 158: 3278 c.fld f14,224(x12) - 15a: 5f00 c.lw x8,56(x14) - 15c: 785f 0033 5155 0x51550033785f - 162: 7449 c.lui x8,0xffff2 - 164: 7079 c.lui x0,0xffffe - 166: 0065 c.addi x0,25 - 168: 5744 c.lw x9,44(x14) - 16a: 75727473 csrrci x8,0x757,4 - 16e: 73007463 bgeu x0,x16,896 <__udivdi3+0x2fe> - 172: 6f68 c.flw f10,92(x14) - 174: 7472 c.flwsp f8,60(x2) - 176: 7520 c.flw f8,104(x10) - 178: 736e c.flwsp f6,248(x2) - 17a: 6769 c.lui x14,0x1a - 17c: 656e c.flwsp f10,216(x2) - 17e: 2064 c.fld f9,192(x8) - 180: 6e69 c.lui x28,0x1a - 182: 0074 c.addi4spn x13,x2,12 - 184: 4455 c.li x8,21 - 186: 7449 c.lui x8,0xffff2 - 188: 7079 c.lui x0,0xffffe - 18a: 0065 c.addi x0,25 - 18c: 5f5f 3071 5f00 0x5f0030715f5f - 192: 715f 0031 6f63 0x6f630031715f - 198: 706d c.lui x0,0xffffb - 19a: 656c c.flw f11,76(x10) - 19c: 2078 c.fld f14,192(x8) - 19e: 6f64 c.flw f9,92(x14) - 1a0: 6275 c.lui x4,0x1d - 1a2: 656c c.flw f11,76(x10) - 1a4: 5f00 c.lw x8,56(x14) - 1a6: 785f 0072 5f5f 0x5f5f0072785f - 1ac: 6475 c.lui x8,0x1d - 1ae: 7669 c.lui x12,0xffffa - 1b0: 6f6d c.lui x30,0x1b - 1b2: 6464 c.flw f9,76(x8) - 1b4: 3469 c.jal fffffc3e <__global_pointer$+0xffffe406> - 1b6: 6300 c.flw f8,0(x14) - 1b8: 6c706d6f jal x26,707e <__global_pointer$+0x5846> - 1bc: 7865 c.lui x16,0xffff9 - 1be: 6c20 c.flw f8,88(x8) - 1c0: 20676e6f jal x28,763c6 <__global_pointer$+0x74b8e> - 1c4: 6f64 c.flw f9,92(x14) - 1c6: 6275 c.lui x4,0x1d - 1c8: 656c c.flw f11,76(x10) - 1ca: 5500 c.lw x8,40(x10) - 1cc: 79744953 0x79744953 - 1d0: 6570 c.flw f12,76(x10) - 1d2: 5f00 c.lw x8,56(x14) - 1d4: 725f 0030 5f5f 0x5f5f0030725f - 1da: 3172 c.fldsp f2,312(x2) - 1dc: 5f00 c.lw x8,56(x14) - 1de: 755f 0068 5f5f 0x5f5f0068755f - 1e4: 6c75 c.lui x24,0x1d - 1e6: 4700 c.lw x8,8(x14) - 1e8: 554e c.lwsp x10,240(x2) - 1ea: 4320 c.lw x8,64(x14) - 1ec: 3731 c.jal f8 <__do_global_dtors_aux+0x20> - 1ee: 3120 c.fld f8,96(x10) - 1f0: 2e31 c.jal 50c - 1f2: 2e31 c.jal 50e - 1f4: 2030 c.fld f12,64(x8) - 1f6: 6d2d c.lui x26,0xb - 1f8: 646f6d63 bltu x30,x6,852 <__udivdi3+0x2ba> - 1fc: 6c65 c.lui x24,0x19 - 1fe: 6d3d c.lui x26,0xf - 200: 6465 c.lui x8,0x19 - 202: 6f6c c.flw f11,92(x14) - 204: 6d2d2077 0x6d2d2077 - 208: 646f6d63 bltu x30,x6,862 <__udivdi3+0x2ca> - 20c: 6c65 c.lui x24,0x19 - 20e: 6d3d c.lui x26,0xf - 210: 6465 c.lui x8,0x19 - 212: 6f6c c.flw f11,92(x14) - 214: 6d2d2077 0x6d2d2077 - 218: 7574 c.flw f13,108(x10) - 21a: 656e c.flwsp f10,216(x2) - 21c: 723d c.lui x4,0xfffef - 21e: 656b636f jal x6,b6874 <__global_pointer$+0xb503c> - 222: 2074 c.fld f13,192(x8) - 224: 6d2d c.lui x26,0xb - 226: 7261 c.lui x4,0xffff8 - 228: 723d6863 bltu x26,x3,958 <__udivdi3+0x3c0> - 22c: 3376 c.fldsp f6,376(x2) - 22e: 6932 c.flwsp f18,12(x2) - 230: 206d c.jal 2da - 232: 6d2d c.lui x26,0xb - 234: 6261 c.lui x4,0x18 - 236: 3d69 c.jal d0 <_start+0x44> - 238: 6c69 c.lui x24,0x1a - 23a: 3370 c.fld f12,224(x14) - 23c: 2032 c.fldsp f0,264(x2) - 23e: 6d2d c.lui x26,0xb - 240: 7261 c.lui x4,0xffff8 - 242: 723d6863 bltu x26,x3,972 <__udivdi3+0x3da> - 246: 3376 c.fldsp f6,376(x2) - 248: 6932 c.flwsp f18,12(x2) - 24a: 206d c.jal 2f4 - 24c: 672d c.lui x14,0xb - 24e: 2d20 c.fld f8,88(x10) - 250: 2d20734f 0x2d20734f - 254: 2d20324f 0x2d20324f - 258: 2d20734f 0x2d20734f - 25c: 6266 c.flwsp f4,88(x2) - 25e: 6975 c.lui x18,0x1d - 260: 646c c.flw f11,76(x8) - 262: 6e69 c.lui x28,0x1a - 264: 696c2d67 0x696c2d67 - 268: 6762 c.flwsp f14,24(x2) - 26a: 2d206363 bltu x0,x18,530 - 26e: 6e66 c.flwsp f28,88(x2) - 270: 74732d6f jal x26,331b6 <__global_pointer$+0x3197e> - 274: 6361 c.lui x6,0x18 - 276: 72702d6b 0x72702d6b - 27a: 6365746f jal x8,578b0 <__global_pointer$+0x56078> - 27e: 6f74 c.flw f13,92(x14) - 280: 2072 c.fldsp f0,280(x2) - 282: 662d c.lui x12,0xb - 284: 6976 c.flwsp f18,92(x2) - 286: 69626973 csrrsi x18,0x696,4 - 28a: 696c c.flw f11,84(x10) - 28c: 7974 c.flw f13,116(x10) - 28e: 683d c.lui x16,0xf - 290: 6469 c.lui x8,0x1a - 292: 6564 c.flw f9,76(x10) - 294: 006e c.slli x0,0x1b + 3a: 765f 006c 4e47 0x4e47006c765f + 40: 2055 c.jal e4 <__do_global_dtors_aux+0xc> + 42: 20373143 fmadd.s f2,f14,f3,f4,rup + 46: 3131 c.jal fffffc52 <__global_pointer$+0xffffd152> + 48: 312e c.fldsp f2,232(x2) + 4a: 302e c.fldsp f0,232(x2) + 4c: 2d20 c.fld f8,88(x10) + 4e: 636d c.lui x6,0x1b + 50: 6f6d c.lui x30,0x1b + 52: 6564 c.flw f9,76(x10) + 54: 3d6c c.fld f11,248(x10) + 56: 656d c.lui x10,0x1b + 58: 6c64 c.flw f9,92(x8) + 5a: 2d20776f jal x14,732c <__global_pointer$+0x482c> + 5e: 636d c.lui x6,0x1b + 60: 6f6d c.lui x30,0x1b + 62: 6564 c.flw f9,76(x10) + 64: 3d6c c.fld f11,248(x10) + 66: 656d c.lui x10,0x1b + 68: 6c64 c.flw f9,92(x8) + 6a: 2d20776f jal x14,733c <__global_pointer$+0x483c> + 6e: 746d c.lui x8,0xffffb + 70: 6e75 c.lui x28,0x1d + 72: 3d65 c.jal ffffff2a <__global_pointer$+0xffffd42a> + 74: 6f72 c.flwsp f30,28(x2) + 76: 74656b63 bltu x10,x6,7cc + 7a: 2d20 c.fld f8,88(x10) + 7c: 616d c.addi16sp x2,240 + 7e: 6372 c.flwsp f6,28(x2) + 80: 3d68 c.fld f10,248(x10) + 82: 7672 c.flwsp f12,60(x2) + 84: 6d693233 0x6d693233 + 88: 2061 c.jal 110 <__do_global_dtors_aux+0x38> + 8a: 6d2d c.lui x26,0xb + 8c: 6261 c.lui x4,0x18 + 8e: 3d69 c.jal ffffff28 <__global_pointer$+0xffffd428> + 90: 6c69 c.lui x24,0x1a + 92: 3370 c.fld f12,224(x14) + 94: 2032 c.fldsp f0,264(x2) + 96: 6d2d c.lui x26,0xb + 98: 7261 c.lui x4,0xffff8 + 9a: 723d6863 bltu x26,x3,7ca + 9e: 3376 c.fldsp f6,376(x2) + a0: 6932 c.flwsp f18,12(x2) + a2: 616d c.addi16sp x2,240 + a4: 2d20 c.fld f8,88(x10) + a6: 4f2d2067 0x4f2d2067 + aa: 4f2d2073 csrrs x0,0x4f2,x26 + ae: 2032 c.fldsp f0,264(x2) + b0: 4f2d c.li x30,11 + b2: 662d2073 csrrs x0,0x662,x26 + b6: 7562 c.flwsp f10,56(x2) + b8: 6c69 c.lui x24,0x1a + ba: 6964 c.flw f9,84(x10) + bc: 676e c.flwsp f14,216(x2) + be: 6c2d c.lui x24,0xb + c0: 6269 c.lui x4,0x1a + c2: 20636367 0x20636367 + c6: 662d c.lui x12,0xb + c8: 6f6e c.flwsp f30,216(x2) + ca: 732d c.lui x6,0xfffeb + cc: 6174 c.flw f13,68(x10) + ce: 702d6b63 bltu x26,x2,7e4 + d2: 6f72 c.flwsp f30,28(x2) + d4: 6574 c.flw f13,76(x10) + d6: 726f7463 bgeu x30,x6,7fe + da: 2d20 c.fld f8,88(x10) + dc: 6166 c.flwsp f2,88(x2) + de: 636e7973 csrrci x18,0x636,28 + e2: 7268 c.flw f10,100(x12) + e4: 756f6e6f jal x28,f683a <__global_pointer$+0xf3d3a> + e8: 6e752d73 csrrs x26,0x6e7,x10 + ec: 646e6977 0x646e6977 + f0: 742d c.lui x8,0xfffeb + f2: 6261 c.lui x4,0x18 + f4: 656c c.flw f11,76(x10) + f6: 662d2073 csrrs x0,0x662,x26 + fa: 6976 c.flwsp f18,92(x2) + fc: 69626973 csrrsi x18,0x696,4 + 100: 696c c.flw f11,84(x10) + 102: 7974 c.flw f13,116(x10) + 104: 683d c.lui x16,0xf + 106: 6469 c.lui x8,0x1a + 108: 6564 c.flw f9,76(x10) + 10a: 006e c.slli x0,0x1b + 10c: 6f6c c.flw f11,92(x14) + 10e: 676e c.flwsp f14,216(x2) + 110: 6c20 c.flw f8,88(x8) + 112: 20676e6f jal x28,76318 <__global_pointer$+0x73818> + 116: 6e69 c.lui x28,0x1a + 118: 0074 c.addi4spn x13,x2,12 + 11a: 6f6c c.flw f11,92(x14) + 11c: 676e c.flwsp f14,216(x2) + 11e: 6c20 c.flw f8,88(x8) + 120: 20676e6f jal x28,76326 <__global_pointer$+0x73826> + 124: 6e75 c.lui x28,0x1d + 126: 6e676973 csrrsi x18,0x6e6,14 + 12a: 6465 c.lui x8,0x19 + 12c: 6920 c.flw f8,80(x10) + 12e: 746e c.flwsp f8,248(x2) + 130: 6800 c.flw f8,16(x8) + 132: 6769 c.lui x14,0x1a + 134: 0068 c.addi4spn x10,x2,12 + 136: 5f5f 6c63 5f7a 0x5f7a6c635f5f + 13c: 6174 c.flw f13,68(x10) + 13e: 0062 c.slli x0,0x18 + 140: 6e75 c.lui x28,0x1d + 142: 6e676973 csrrsi x18,0x6e6,14 + 146: 6465 c.lui x8,0x19 + 148: 6320 c.flw f8,64(x14) + 14a: 6168 c.flw f10,68(x10) + 14c: 0072 c.slli x0,0x1c + 14e: 5f5f 3078 5f00 0x5f0030785f5f + 154: 785f 0031 5f5f 0x5f5f0031785f + 15a: 3278 c.fld f14,224(x12) + 15c: 5f00 c.lw x8,56(x14) + 15e: 785f 0033 5155 0x51550033785f + 164: 7449 c.lui x8,0xffff2 + 166: 7079 c.lui x0,0xffffe + 168: 0065 c.addi x0,25 + 16a: 5744 c.lw x9,44(x14) + 16c: 75727473 csrrci x8,0x757,4 + 170: 73007463 bgeu x0,x16,898 + 174: 6f68 c.flw f10,92(x14) + 176: 7472 c.flwsp f8,60(x2) + 178: 7520 c.flw f8,104(x10) + 17a: 736e c.flwsp f6,248(x2) + 17c: 6769 c.lui x14,0x1a + 17e: 656e c.flwsp f10,216(x2) + 180: 2064 c.fld f9,192(x8) + 182: 6e69 c.lui x28,0x1a + 184: 0074 c.addi4spn x13,x2,12 + 186: 4455 c.li x8,21 + 188: 7449 c.lui x8,0xffff2 + 18a: 7079 c.lui x0,0xffffe + 18c: 0065 c.addi x0,25 + 18e: 5f5f 3071 5f00 0x5f0030715f5f + 194: 715f 0031 6f63 0x6f630031715f + 19a: 706d c.lui x0,0xffffb + 19c: 656c c.flw f11,76(x10) + 19e: 2078 c.fld f14,192(x8) + 1a0: 6f64 c.flw f9,92(x14) + 1a2: 6275 c.lui x4,0x1d + 1a4: 656c c.flw f11,76(x10) + 1a6: 5f00 c.lw x8,56(x14) + 1a8: 785f 0072 5f5f 0x5f5f0072785f + 1ae: 6475 c.lui x8,0x1d + 1b0: 7669 c.lui x12,0xffffa + 1b2: 6f6d c.lui x30,0x1b + 1b4: 6464 c.flw f9,76(x8) + 1b6: 3469 c.jal fffffc40 <__global_pointer$+0xffffd140> + 1b8: 6300 c.flw f8,0(x14) + 1ba: 6c706d6f jal x26,7080 <__global_pointer$+0x4580> + 1be: 7865 c.lui x16,0xffff9 + 1c0: 6c20 c.flw f8,88(x8) + 1c2: 20676e6f jal x28,763c8 <__global_pointer$+0x738c8> + 1c6: 6f64 c.flw f9,92(x14) + 1c8: 6275 c.lui x4,0x1d + 1ca: 656c c.flw f11,76(x10) + 1cc: 5500 c.lw x8,40(x10) + 1ce: 79744953 0x79744953 + 1d2: 6570 c.flw f12,76(x10) + 1d4: 5f00 c.lw x8,56(x14) + 1d6: 725f 0030 5f5f 0x5f5f0030725f + 1dc: 3172 c.fldsp f2,312(x2) + 1de: 5f00 c.lw x8,56(x14) + 1e0: 755f 0068 5f5f 0x5f5f0068755f + 1e6: 6c75 c.lui x24,0x1d + 1e8: 4700 c.lw x8,8(x14) + 1ea: 554e c.lwsp x10,240(x2) + 1ec: 4320 c.lw x8,64(x14) + 1ee: 3731 c.jal fa <__do_global_dtors_aux+0x22> + 1f0: 3120 c.fld f8,96(x10) + 1f2: 2e31 c.jal 50e + 1f4: 2e31 c.jal 510 + 1f6: 2030 c.fld f12,64(x8) + 1f8: 6d2d c.lui x26,0xb + 1fa: 646f6d63 bltu x30,x6,854 + 1fe: 6c65 c.lui x24,0x19 + 200: 6d3d c.lui x26,0xf + 202: 6465 c.lui x8,0x19 + 204: 6f6c c.flw f11,92(x14) + 206: 6d2d2077 0x6d2d2077 + 20a: 646f6d63 bltu x30,x6,864 + 20e: 6c65 c.lui x24,0x19 + 210: 6d3d c.lui x26,0xf + 212: 6465 c.lui x8,0x19 + 214: 6f6c c.flw f11,92(x14) + 216: 6d2d2077 0x6d2d2077 + 21a: 7574 c.flw f13,108(x10) + 21c: 656e c.flwsp f10,216(x2) + 21e: 723d c.lui x4,0xfffef + 220: 656b636f jal x6,b6876 <__global_pointer$+0xb3d76> + 224: 2074 c.fld f13,192(x8) + 226: 6d2d c.lui x26,0xb + 228: 7261 c.lui x4,0xffff8 + 22a: 723d6863 bltu x26,x3,95a <__udivdi3+0x1a> + 22e: 3376 c.fldsp f6,376(x2) + 230: 6932 c.flwsp f18,12(x2) + 232: 616d c.addi16sp x2,240 + 234: 2d20 c.fld f8,88(x10) + 236: 616d c.addi16sp x2,240 + 238: 6962 c.flwsp f18,24(x2) + 23a: 693d c.lui x18,0xf + 23c: 706c c.flw f11,100(x8) + 23e: 2d203233 0x2d203233 + 242: 616d c.addi16sp x2,240 + 244: 6372 c.flwsp f6,28(x2) + 246: 3d68 c.fld f10,248(x10) + 248: 7672 c.flwsp f12,60(x2) + 24a: 6d693233 0x6d693233 + 24e: 2061 c.jal 2d6 + 250: 672d c.lui x14,0xb + 252: 2d20 c.fld f8,88(x10) + 254: 2d20734f 0x2d20734f + 258: 2d20324f 0x2d20324f + 25c: 2d20734f 0x2d20734f + 260: 6266 c.flwsp f4,88(x2) + 262: 6975 c.lui x18,0x1d + 264: 646c c.flw f11,76(x8) + 266: 6e69 c.lui x28,0x1a + 268: 696c2d67 0x696c2d67 + 26c: 6762 c.flwsp f14,24(x2) + 26e: 2d206363 bltu x0,x18,534 + 272: 6e66 c.flwsp f28,88(x2) + 274: 74732d6f jal x26,331ba <__global_pointer$+0x306ba> + 278: 6361 c.lui x6,0x18 + 27a: 72702d6b 0x72702d6b + 27e: 6365746f jal x8,578b4 <__global_pointer$+0x54db4> + 282: 6f74 c.flw f13,92(x14) + 284: 2072 c.fldsp f0,280(x2) + 286: 662d c.lui x12,0xb + 288: 6976 c.flwsp f18,92(x2) + 28a: 69626973 csrrsi x18,0x696,4 + 28e: 696c c.flw f11,84(x10) + 290: 7974 c.flw f13,116(x10) + 292: 683d c.lui x16,0xf + 294: 6469 c.lui x8,0x1a + 296: 6564 c.flw f9,76(x10) + 298: 006e c.slli x0,0x1b Disassembly of section .debug_line_str: 00000000 <.debug_line_str>: 0: 6d6f682f 0x6d6f682f - 4: 2f65 c.jal 7bc <__udivdi3+0x224> + 4: 2f65 c.jal 7bc 6: 6172 c.flwsp f2,28(x2) - 8: 6169786f jal x16,9761e <__global_pointer$+0x95de6> + 8: 6169786f jal x16,9761e <__global_pointer$+0x94b1e> c: 686e c.flwsp f16,216(x2) - e: 2f676e6f jal x28,76304 <__global_pointer$+0x74acc> + e: 2f676e6f jal x28,76304 <__global_pointer$+0x73804> 12: 6b726f77 0x6b726f77 16: 7369722f 0x7369722f - 1a: 722f7663 bgeu x30,x2,746 <__udivdi3+0x1ae> - 1e: 7369 c.lui x6,0xffffa - 20: 672d7663 bgeu x26,x18,68c <__udivdi3+0xf4> - 24: 756e c.flwsp f10,248(x2) - 26: 742d c.lui x8,0xfffeb - 28: 636c6f6f jal x30,c665e <__global_pointer$+0xc4e26> - 2c: 6168 c.flw f10,68(x10) - 2e: 6e69 c.lui x28,0x1a - 30: 6975622f 0x6975622f - 34: 646c c.flw f11,76(x8) - 36: 672d c.lui x14,0xb - 38: 6e2d6363 bltu x26,x2,71e <__udivdi3+0x186> - 3c: 7765 c.lui x14,0xffff9 - 3e: 696c c.flw f11,84(x10) - 40: 2d62 c.fldsp f26,24(x2) - 42: 67617473 csrrci x8,0x676,2 - 46: 3265 c.jal fffff9ee <__global_pointer$+0xffffe1b6> - 48: 7369722f 0x7369722f - 4c: 32337663 bgeu x6,x3,378 - 50: 752d c.lui x10,0xfffeb - 52: 6b6e c.flwsp f22,216(x2) - 54: 6f6e c.flwsp f30,216(x2) - 56: 652d6e77 0x652d6e77 - 5a: 666c c.flw f11,76(x12) - 5c: 62696c2f 0x62696c2f - 60: 00636367 0x636367 + 1a: 672d7663 bgeu x26,x18,686 + 1e: 756e c.flwsp f10,248(x2) + 20: 742d c.lui x8,0xfffeb + 22: 636c6f6f jal x30,c6658 <__global_pointer$+0xc3b58> + 26: 6168 c.flw f10,68(x10) + 28: 6e69 c.lui x28,0x1a + 2a: 6975622f 0x6975622f + 2e: 646c c.flw f11,76(x8) + 30: 672d c.lui x14,0xb + 32: 6e2d6363 bltu x26,x2,718 + 36: 7765 c.lui x14,0xffff9 + 38: 696c c.flw f11,84(x10) + 3a: 2d62 c.fldsp f26,24(x2) + 3c: 67617473 csrrci x8,0x676,2 + 40: 3265 c.jal fffff9e8 <__global_pointer$+0xffffcee8> + 42: 7369722f 0x7369722f + 46: 32337663 bgeu x6,x3,372 + 4a: 752d c.lui x10,0xfffeb + 4c: 6b6e c.flwsp f22,216(x2) + 4e: 6f6e c.flwsp f30,216(x2) + 50: 652d6e77 0x652d6e77 + 54: 666c c.flw f11,76(x12) + 56: 62696c2f 0x62696c2f + 5a: 00636367 0x636367 + 5e: 2e2e c.fldsp f28,200(x2) + 60: 2f2e2e2f 0x2f2e2e2f 64: 2e2e c.fldsp f28,200(x2) - 66: 2f2e2e2f 0x2f2e2e2f - 6a: 2e2e c.fldsp f28,200(x2) - 6c: 722f2e2f 0x722f2e2f - 70: 7369 c.lui x6,0xffffa - 72: 672d7663 bgeu x26,x18,6de <__udivdi3+0x146> - 76: 6c2f6363 bltu x30,x2,73c <__udivdi3+0x1a4> - 7a: 6269 c.lui x4,0x1a - 7c: 2f636367 0x2f636367 - 80: 696c c.flw f11,84(x10) - 82: 6762 c.flwsp f14,24(x2) - 84: 2e326363 bltu x4,x3,36a - 88: 2e2e0063 beq x28,x2,368 - 8c: 2f2e2e2f 0x2f2e2e2f - 90: 2e2e c.fldsp f28,200(x2) - 92: 722f2e2f 0x722f2e2f - 96: 7369 c.lui x6,0xffffa - 98: 672d7663 bgeu x26,x18,704 <__udivdi3+0x16c> - 9c: 6c2f6363 bltu x30,x2,762 <__udivdi3+0x1ca> - a0: 6269 c.lui x4,0x1a - a2: 00636367 0x636367 - a6: 696c c.flw f11,84(x10) - a8: 6762 c.flwsp f14,24(x2) - aa: 2e326363 bltu x4,x3,390 - ae: 0068 c.addi4spn x10,x2,12 + 66: 722f2e2f 0x722f2e2f + 6a: 7369 c.lui x6,0xffffa + 6c: 672d7663 bgeu x26,x18,6d8 + 70: 6c2f6363 bltu x30,x2,736 + 74: 6269 c.lui x4,0x1a + 76: 2f636367 0x2f636367 + 7a: 696c c.flw f11,84(x10) + 7c: 6762 c.flwsp f14,24(x2) + 7e: 2e326363 bltu x4,x3,364 + 82: 2e2e0063 beq x28,x2,362 + 86: 2f2e2e2f 0x2f2e2e2f + 8a: 2e2e c.fldsp f28,200(x2) + 8c: 722f2e2f 0x722f2e2f + 90: 7369 c.lui x6,0xffffa + 92: 672d7663 bgeu x26,x18,6fe + 96: 6c2f6363 bltu x30,x2,75c + 9a: 6269 c.lui x4,0x1a + 9c: 00636367 0x636367 + a0: 696c c.flw f11,84(x10) + a2: 6762 c.flwsp f14,24(x2) + a4: 2e326363 bltu x4,x3,38a + a8: 0068 c.addi4spn x10,x2,12 Disassembly of section .debug_loclists: @@ -3428,692 +3676,685 @@ Disassembly of section .debug_loclists: 6: 0004 0x4 8: 0000 c.unimp a: 0000 c.unimp - c: 00059807 0x59807 - 10: d000 c.sw x8,32(x8) - 12: 0005 c.addi x0,1 + c: 00094007 flq f0,0(x18) # 1d000 <__global_pointer$+0x1a500> + 10: 7800 c.flw f8,48(x8) + 12: 0009 c.addi x0,2 14: 0600 c.addi4spn x8,x2,768 16: 935a c.add x6,x22 18: 5b04 c.lw x9,48(x14) - 1a: d0070493 addi x9,x14,-768 # ffff8d00 <__global_pointer$+0xffff74c8> - 1e: 0005 c.addi x0,1 - 20: 9000 0x9000 - 22: 0006 c.slli x0,0x1 + 1a: 78070493 addi x9,x14,1920 # ffff9780 <__global_pointer$+0xffff6c80> + 1e: 0009 c.addi x0,2 + 20: 3800 c.fld f8,48(x8) + 22: 000a c.slli x0,0x2 24: 0600 c.addi4spn x8,x2,768 - 26: 0aa503a3 sb x10,167(x10) # fffeb0a7 <__global_pointer$+0xfffe986f> + 26: 0aa503a3 sb x10,167(x10) # fffeb0a7 <__global_pointer$+0xfffe85a7> 2a: 9f26 c.add x30,x9 - 2c: 00069007 0x69007 - 30: 9400 0x9400 - 32: 0006 c.slli x0,0x1 + 2c: 000a3807 fld f16,0(x20) # fffe0000 <__global_pointer$+0xfffdd500> + 30: 3c00 c.fld f8,56(x8) + 32: 000a c.slli x0,0x2 34: 0600 c.addi4spn x8,x2,768 36: 935a c.add x6,x22 38: 5b04 c.lw x9,48(x14) - 3a: 94070493 addi x9,x14,-1728 - 3e: 0006 c.slli x0,0x1 - 40: a400 c.fsd f8,8(x8) - 42: 0006 c.slli x0,0x1 + 3a: 3c070493 addi x9,x14,960 + 3e: 000a c.slli x0,0x2 + 40: 4c00 c.lw x8,24(x8) + 42: 000a c.slli x0,0x2 44: 0600 c.addi4spn x8,x2,768 46: 0aa503a3 sb x10,167(x10) 4a: 9f26 c.add x30,x9 - 4c: 0006a407 flw f8,0(x13) - 50: e400 c.fsw f8,8(x8) - 52: 0006 c.slli x0,0x1 + 4c: 000a4c07 flq f24,0(x20) + 50: 8c00 0x8c00 + 52: 000a c.slli x0,0x2 54: 0600 c.addi4spn x8,x2,768 56: 935a c.add x6,x22 58: 5b04 c.lw x9,48(x14) - 5a: e4070493 addi x9,x14,-448 - 5e: 0006 c.slli x0,0x1 - 60: 7000 c.flw f8,32(x8) - 62: 06000007 0x6000007 + 5a: 8c070493 addi x9,x14,-1856 + 5e: 000a c.slli x0,0x2 + 60: 1800 c.addi4spn x8,x2,48 + 62: 0600000b 0x600000b 66: 0aa503a3 sb x10,167(x10) 6a: 9f26 c.add x30,x9 - 6c: 00077007 0x77007 - 70: 9000 0x9000 - 72: 06000007 0x6000007 + 6c: 000b1807 0xb1807 + 70: 3800 c.fld f8,48(x8) + 72: 0600000b 0x600000b 76: 935a c.add x6,x22 78: 5b04 c.lw x9,48(x14) - 7a: 90070493 addi x9,x14,-1792 - 7e: 30000007 0x30000007 - 82: 0008 0x8 - 84: 0600 c.addi4spn x8,x2,768 + 7a: 38070493 addi x9,x14,896 + 7e: d800000b 0xd800000b + 82: 0600000b 0x600000b 86: 0aa503a3 sb x10,167(x10) 8a: 9f26 c.add x30,x9 - 8c: 00083007 fld f0,0(x16) # f000 <__global_pointer$+0xd7c8> - 90: 7000 c.flw f8,32(x8) - 92: 0008 0x8 + 8c: 000bd807 0xbd807 + 90: 1800 c.addi4spn x8,x2,48 + 92: 000c 0xc 94: 0600 c.addi4spn x8,x2,768 96: 935a c.add x6,x22 98: 5b04 c.lw x9,48(x14) - 9a: 70070493 addi x9,x14,1792 - 9e: 0008 0x8 - a0: 8000 0x8000 - a2: 0008 0x8 + 9a: 18070493 addi x9,x14,384 + 9e: 000c 0xc + a0: 2800 c.fld f8,16(x8) + a2: 000c 0xc a4: 0600 c.addi4spn x8,x2,768 a6: 0aa503a3 sb x10,167(x10) aa: 9f26 c.add x30,x9 - ac: 00088007 0x88007 - b0: d000 c.sw x8,32(x8) - b2: 0008 0x8 + ac: 000c2807 flw f16,0(x24) # 19000 <__global_pointer$+0x16500> + b0: 7800 c.flw f8,48(x8) + b2: 000c 0xc b4: 0600 c.addi4spn x8,x2,768 b6: 935a c.add x6,x22 b8: 5b04 c.lw x9,48(x14) - ba: d0070493 addi x9,x14,-768 - be: 0008 0x8 - c0: bc00 c.fsd f8,56(x8) - c2: 0009 c.addi x0,2 + ba: 78070493 addi x9,x14,1920 + be: 000c 0xc + c0: 6400 c.flw f8,8(x8) + c2: 000d c.addi x0,3 c4: 0600 c.addi4spn x8,x2,768 c6: 0aa503a3 sb x10,167(x10) ca: 9f26 c.add x30,x9 - cc: 0009bc07 fld f24,0(x19) - d0: c400 c.sw x8,8(x8) - d2: 0009 c.addi x0,2 + cc: 000d6407 0xd6407 + d0: 6c00 c.flw f8,24(x8) + d2: 000d c.addi x0,3 d4: 0600 c.addi4spn x8,x2,768 d6: 935a c.add x6,x22 d8: 5b04 c.lw x9,48(x14) - da: c4070493 addi x9,x14,-960 - de: 0009 c.addi x0,2 - e0: c800 c.sw x8,16(x8) - e2: 0009 c.addi x0,2 + da: 6c070493 addi x9,x14,1728 + de: 000d c.addi x0,3 + e0: 7000 c.flw f8,32(x8) + e2: 000d c.addi x0,3 e4: 0600 c.addi4spn x8,x2,768 e6: 0aa503a3 sb x10,167(x10) ea: 9f26 c.add x30,x9 ec: 0700 c.addi4spn x8,x2,896 - ee: 0598 c.addi4spn x14,x2,704 + ee: 0940 c.addi4spn x8,x2,148 f0: 0000 c.unimp - f2: 060c c.addi4spn x11,x2,768 + f2: 09b4 c.addi4spn x13,x2,216 f4: 0000 c.unimp f6: 5c06 c.lwsp x24,96(x2) - f8: 935d0493 addi x9,x26,-1739 # a935 <__global_pointer$+0x90fd> + f8: 935d0493 addi x9,x26,-1739 # a935 <__global_pointer$+0x7e35> fc: 0704 c.addi4spn x9,x2,896 - fe: 060c c.addi4spn x11,x2,768 + fe: 09b4 c.addi4spn x13,x2,216 100: 0000 c.unimp - 102: 0690 c.addi4spn x12,x2,832 + 102: 0a38 c.addi4spn x14,x2,280 104: 0000 c.unimp 106: a306 c.fsdsp f1,384(x2) 108: 260ca503 lw x10,608(x25) - 10c: 079f 0690 0000 0x690079f - 112: 06e4 c.addi4spn x9,x2,844 + 10c: 079f 0a38 0000 0xa38079f + 112: 0a8c c.addi4spn x11,x2,336 114: 0000 c.unimp 116: 5c06 c.lwsp x24,96(x2) 118: 935d0493 addi x9,x26,-1739 11c: 0704 c.addi4spn x9,x2,896 - 11e: 06e4 c.addi4spn x9,x2,844 + 11e: 0a8c c.addi4spn x11,x2,336 120: 0000 c.unimp - 122: 0770 c.addi4spn x12,x2,908 + 122: 0b18 c.addi4spn x14,x2,400 124: 0000 c.unimp 126: a306 c.fsdsp f1,384(x2) 128: 260ca503 lw x10,608(x25) - 12c: 079f 0770 0000 0x770079f - 132: 07a0 c.addi4spn x8,x2,968 + 12c: 079f 0b18 0000 0xb18079f + 132: 0b48 c.addi4spn x10,x2,404 134: 0000 c.unimp 136: 5c06 c.lwsp x24,96(x2) 138: 935d0493 addi x9,x26,-1739 13c: 0704 c.addi4spn x9,x2,896 - 13e: 07a0 c.addi4spn x8,x2,968 + 13e: 0b48 c.addi4spn x10,x2,404 140: 0000 c.unimp - 142: 0830 c.addi4spn x12,x2,24 + 142: 0bd8 c.addi4spn x14,x2,468 144: 0000 c.unimp 146: a306 c.fsdsp f1,384(x2) 148: 260ca503 lw x10,608(x25) - 14c: 079f 0830 0000 0x830079f - 152: 08c4 c.addi4spn x9,x2,84 + 14c: 079f 0bd8 0000 0xbd8079f + 152: 0c6c c.addi4spn x11,x2,540 154: 0000 c.unimp 156: 5c06 c.lwsp x24,96(x2) 158: 935d0493 addi x9,x26,-1739 15c: 0704 c.addi4spn x9,x2,896 - 15e: 08c4 c.addi4spn x9,x2,84 + 15e: 0c6c c.addi4spn x11,x2,540 160: 0000 c.unimp - 162: 09bc c.addi4spn x15,x2,216 + 162: 0d64 c.addi4spn x9,x2,668 164: 0000 c.unimp 166: a306 c.fsdsp f1,384(x2) 168: 260ca503 lw x10,608(x25) - 16c: 079f 09bc 0000 0x9bc079f - 172: 09c8 c.addi4spn x10,x2,212 + 16c: 079f 0d64 0000 0xd64079f + 172: 0d70 c.addi4spn x12,x2,668 174: 0000 c.unimp 176: 5c06 c.lwsp x24,96(x2) 178: 935d0493 addi x9,x26,-1739 17c: 0004 0x4 - 17e: 00059807 0x59807 - 182: 8c00 0x8c00 - 184: 0006 c.slli x0,0x1 + 17e: 00094007 flq f0,0(x18) + 182: 3400 c.fld f8,40(x8) + 184: 000a c.slli x0,0x2 186: 0200 c.addi4spn x8,x2,256 188: 9f30 0x9f30 - 18a: 00069007 0x69007 - 18e: c800 c.sw x8,16(x8) - 190: 0009 c.addi x0,2 + 18a: 000a3807 fld f16,0(x20) + 18e: 7000 c.flw f8,32(x8) + 190: 000d c.addi x0,3 192: 0200 c.addi4spn x8,x2,256 194: 9f30 0x9f30 196: 0700 c.addi4spn x8,x2,896 - 198: 0598 c.addi4spn x14,x2,704 + 198: 0940 c.addi4spn x8,x2,148 19a: 0000 c.unimp - 19c: 05c0 c.addi4spn x8,x2,708 + 19c: 0968 c.addi4spn x10,x2,156 19e: 0000 c.unimp 1a0: 5c06 c.lwsp x24,96(x2) 1a2: 935d0493 addi x9,x26,-1739 1a6: 0704 c.addi4spn x9,x2,896 - 1a8: 06a4 c.addi4spn x9,x2,840 + 1a8: 0a4c c.addi4spn x11,x2,276 1aa: 0000 c.unimp - 1ac: 06b8 c.addi4spn x14,x2,840 + 1ac: 0a60 c.addi4spn x8,x2,284 1ae: 0000 c.unimp 1b0: 5c06 c.lwsp x24,96(x2) 1b2: 935d0493 addi x9,x26,-1739 1b6: 0704 c.addi4spn x9,x2,896 - 1b8: 0770 c.addi4spn x12,x2,908 + 1b8: 0b18 c.addi4spn x14,x2,400 1ba: 0000 c.unimp - 1bc: 0778 c.addi4spn x14,x2,908 + 1bc: 0b20 c.addi4spn x8,x2,408 1be: 0000 c.unimp 1c0: 5c06 c.lwsp x24,96(x2) 1c2: 935d0493 addi x9,x26,-1739 1c6: 0704 c.addi4spn x9,x2,896 - 1c8: 0830 c.addi4spn x12,x2,24 + 1c8: 0bd8 c.addi4spn x14,x2,468 1ca: 0000 c.unimp - 1cc: 089c c.addi4spn x15,x2,80 + 1cc: 0c44 c.addi4spn x9,x2,532 1ce: 0000 c.unimp 1d0: 5c06 c.lwsp x24,96(x2) 1d2: 935d0493 addi x9,x26,-1739 1d6: 0704 c.addi4spn x9,x2,896 - 1d8: 09bc c.addi4spn x15,x2,216 + 1d8: 0d64 c.addi4spn x9,x2,668 1da: 0000 c.unimp - 1dc: 09c8 c.addi4spn x10,x2,212 + 1dc: 0d70 c.addi4spn x12,x2,668 1de: 0000 c.unimp 1e0: 5c06 c.lwsp x24,96(x2) 1e2: 935d0493 addi x9,x26,-1739 1e6: 0004 0x4 - 1e8: 00059807 0x59807 - 1ec: b400 c.fsd f8,40(x8) - 1ee: 0005 c.addi x0,1 + 1e8: 00094007 flq f0,0(x18) + 1ec: 5c00 c.lw x8,56(x8) + 1ee: 0009 c.addi x0,2 1f0: 0600 c.addi4spn x8,x2,768 1f2: 935a c.add x6,x22 1f4: 5b04 c.lw x9,48(x14) - 1f6: b4070493 addi x9,x14,-1216 - 1fa: 0005 c.addi x0,1 - 1fc: d000 c.sw x8,32(x8) - 1fe: 0005 c.addi x0,1 + 1f6: 5c070493 addi x9,x14,1472 + 1fa: 0009 c.addi x0,2 + 1fc: 7800 c.flw f8,48(x8) + 1fe: 0009 c.addi x0,2 200: 0600 c.addi4spn x8,x2,768 202: 935a c.add x6,x22 204: 5f04 c.lw x9,56(x14) - 206: d0070493 addi x9,x14,-768 - 20a: 0005 c.addi x0,1 - 20c: f000 c.fsw f8,32(x8) - 20e: 0005 c.addi x0,1 + 206: 78070493 addi x9,x14,1920 + 20a: 0009 c.addi x0,2 + 20c: 9800 0x9800 + 20e: 0009 c.addi x0,2 210: 0600 c.addi4spn x8,x2,768 212: 9356 c.add x6,x21 214: 5f04 c.lw x9,56(x14) - 216: f0070493 addi x9,x14,-256 - 21a: 0005 c.addi x0,1 - 21c: 0800 c.addi4spn x8,x2,16 - 21e: 0006 c.slli x0,0x1 + 216: 98070493 addi x9,x14,-1664 + 21a: 0009 c.addi x0,2 + 21c: b000 c.fsd f8,32(x8) + 21e: 0009 c.addi x0,2 220: 0600 c.addi4spn x8,x2,768 222: 9356 c.add x6,x21 224: 6104 c.flw f9,0(x10) - 226: 90070493 addi x9,x14,-1792 - 22a: 0006 c.slli x0,0x1 - 22c: b000 c.fsd f8,32(x8) - 22e: 0006 c.slli x0,0x1 + 226: 38070493 addi x9,x14,896 + 22a: 000a c.slli x0,0x2 + 22c: 5800 c.lw x8,48(x8) + 22e: 000a c.slli x0,0x2 230: 0600 c.addi4spn x8,x2,768 232: 9356 c.add x6,x21 234: 5f04 c.lw x9,56(x14) - 236: b0070493 addi x9,x14,-1280 - 23a: 0006 c.slli x0,0x1 - 23c: e400 c.fsw f8,8(x8) - 23e: 0006 c.slli x0,0x1 + 236: 58070493 addi x9,x14,1408 + 23a: 000a c.slli x0,0x2 + 23c: 8c00 0x8c00 + 23e: 000a c.slli x0,0x2 240: 0600 c.addi4spn x8,x2,768 242: 9356 c.add x6,x21 244: 6104 c.flw f9,0(x10) - 246: 70070493 addi x9,x14,1792 - 24a: 9c000007 0x9c000007 - 24e: 06000007 0x6000007 + 246: 18070493 addi x9,x14,384 + 24a: 4400000b 0x4400000b + 24e: 0600000b 0x600000b 252: 9356 c.add x6,x21 254: 6104 c.flw f9,0(x10) - 256: 30070493 addi x9,x14,768 - 25a: 0008 0x8 - 25c: 5000 c.lw x8,32(x8) - 25e: 0008 0x8 - 260: 0600 c.addi4spn x8,x2,768 + 256: d8070493 addi x9,x14,-640 + 25a: f800000b 0xf800000b + 25e: 0600000b 0x600000b 262: 9356 c.add x6,x21 264: 5b04 c.lw x9,48(x14) - 266: 50070493 addi x9,x14,1280 - 26a: 0008 0x8 - 26c: 8000 0x8000 - 26e: 0008 0x8 + 266: f8070493 addi x9,x14,-128 + 26a: 2800000b 0x2800000b + 26e: 000c 0xc 270: 0600 c.addi4spn x8,x2,768 272: 9356 c.add x6,x21 274: 6104 c.flw f9,0(x10) - 276: 80070493 addi x9,x14,-2048 - 27a: 0008 0x8 - 27c: 9400 0x9400 - 27e: 0008 0x8 + 276: 28070493 addi x9,x14,640 + 27a: 000c 0xc + 27c: 3c00 c.fld f8,56(x8) + 27e: 000c 0xc 280: 0600 c.addi4spn x8,x2,768 282: 9356 c.add x6,x21 284: 5b04 c.lw x9,48(x14) - 286: 94070493 addi x9,x14,-1728 - 28a: 0008 0x8 - 28c: a800 c.fsd f8,16(x8) - 28e: 0008 0x8 + 286: 3c070493 addi x9,x14,960 + 28a: 000c 0xc + 28c: 5000 c.lw x8,32(x8) + 28e: 000c 0xc 290: 0600 c.addi4spn x8,x2,768 292: 9356 c.add x6,x21 294: 6104 c.flw f9,0(x10) - 296: bc070493 addi x9,x14,-1088 - 29a: 0009 c.addi x0,2 - 29c: c000 c.sw x8,0(x8) - 29e: 0009 c.addi x0,2 + 296: 64070493 addi x9,x14,1600 + 29a: 000d c.addi x0,3 + 29c: 6800 c.flw f8,16(x8) + 29e: 000d c.addi x0,3 2a0: 0600 c.addi4spn x8,x2,768 2a2: 9356 c.add x6,x21 2a4: 5b04 c.lw x9,48(x14) - 2a6: c0070493 addi x9,x14,-1024 - 2aa: 0009 c.addi x0,2 - 2ac: c800 c.sw x8,16(x8) - 2ae: 0009 c.addi x0,2 + 2a6: 68070493 addi x9,x14,1664 + 2aa: 000d c.addi x0,3 + 2ac: 7000 c.flw f8,32(x8) + 2ae: 000d c.addi x0,3 2b0: 0600 c.addi4spn x8,x2,768 2b2: 9356 c.add x6,x21 2b4: 5f04 c.lw x9,56(x14) 2b6: 07000493 addi x9,x0,112 - 2ba: 05a4 c.addi4spn x9,x2,712 + 2ba: 094c c.addi4spn x11,x2,148 2bc: 0000 c.unimp - 2be: 05ec c.addi4spn x11,x2,716 + 2be: 0994 c.addi4spn x13,x2,208 2c0: 0000 c.unimp 2c2: 5c01 c.li x24,-32 - 2c4: 0005ec07 0x5ec07 - 2c8: f800 c.fsw f8,48(x8) - 2ca: 0005 c.addi x0,1 + 2c4: 00099407 0x99407 + 2c8: a000 c.fsd f8,0(x8) + 2ca: 0009 c.addi x0,2 2cc: 0900 c.addi4spn x8,x2,144 2ce: 007c c.addi4spn x15,x2,12 2d0: ff08007b 0xff08007b 2d4: 241a c.fldsp f8,384(x2) - 2d6: 079f 05f8 0000 0x5f8079f - 2dc: 0688 c.addi4spn x10,x2,832 + 2d6: 079f 09a0 0000 0x9a0079f + 2dc: 0a30 c.addi4spn x12,x2,280 2de: 0000 c.unimp 2e0: 5e01 c.li x28,-32 - 2e2: 00069007 0x69007 - 2e6: e400 c.fsw f8,8(x8) - 2e8: 0006 c.slli x0,0x1 + 2e2: 000a3807 fld f16,0(x20) + 2e6: 8c00 0x8c00 + 2e8: 000a c.slli x0,0x2 2ea: 0100 c.addi4spn x8,x2,128 2ec: 075c c.addi4spn x15,x2,900 - 2ee: 06e4 c.addi4spn x9,x2,844 + 2ee: 0a8c c.addi4spn x11,x2,336 2f0: 0000 c.unimp - 2f2: 0770 c.addi4spn x12,x2,908 + 2f2: 0b18 c.addi4spn x14,x2,400 2f4: 0000 c.unimp 2f6: 5e01 c.li x28,-32 - 2f8: 00077007 0x77007 - 2fc: 8400 0x8400 - 2fe: 01000007 0x1000007 + 2f8: 000b1807 0xb1807 + 2fc: 2c00 c.fld f8,24(x8) + 2fe: 0100000b 0x100000b 302: 075c c.addi4spn x15,x2,900 - 304: 0784 c.addi4spn x9,x2,960 + 304: 0b2c c.addi4spn x11,x2,408 306: 0000 c.unimp - 308: 0788 c.addi4spn x10,x2,960 + 308: 0b30 c.addi4spn x12,x2,408 30a: 0000 c.unimp 30c: 7c09 c.lui x24,0xfffe2 30e: 7b00 c.flw f8,48(x14) 310: 0800 c.addi4spn x8,x2,16 312: 1aff 0x1aff 314: 9f24 0x9f24 - 316: 00078807 0x78807 - 31a: 3000 c.fld f8,32(x8) - 31c: 0008 0x8 - 31e: 0100 c.addi4spn x8,x2,128 + 316: 000b3007 fld f0,0(x22) + 31a: d800 c.sw x8,48(x8) + 31c: 0100000b 0x100000b 320: 075e c.slli x14,0x17 - 322: 0830 c.addi4spn x12,x2,24 + 322: 0bd8 c.addi4spn x14,x2,468 324: 0000 c.unimp - 326: 095c c.addi4spn x15,x2,148 + 326: 0d04 c.addi4spn x9,x2,656 328: 0000 c.unimp 32a: 5c01 c.li x24,-32 - 32c: 0009bc07 fld f24,0(x19) - 330: c800 c.sw x8,16(x8) - 332: 0009 c.addi x0,2 + 32c: 000d6407 0xd6407 + 330: 7000 c.flw f8,32(x8) + 332: 000d c.addi x0,3 334: 0100 c.addi4spn x8,x2,128 336: 005c c.addi4spn x15,x2,4 - 338: 0005a407 flw f8,0(x11) - 33c: c000 c.sw x8,0(x8) - 33e: 0005 c.addi x0,1 + 338: 00094c07 flq f24,0(x18) + 33c: 6800 c.flw f8,16(x8) + 33e: 0009 c.addi x0,2 340: 0100 c.addi4spn x8,x2,128 342: 075d c.addi x14,23 - 344: 06a4 c.addi4spn x9,x2,840 + 344: 0a4c c.addi4spn x11,x2,276 346: 0000 c.unimp - 348: 06b8 c.addi4spn x14,x2,840 + 348: 0a60 c.addi4spn x8,x2,284 34a: 0000 c.unimp 34c: 5d01 c.li x26,-32 - 34e: 00077007 0x77007 - 352: 7800 c.flw f8,48(x8) - 354: 01000007 0x1000007 + 34e: 000b1807 0xb1807 + 352: 2000 c.fld f8,0(x8) + 354: 0100000b 0x100000b 358: 075d c.addi x14,23 - 35a: 0830 c.addi4spn x12,x2,24 + 35a: 0bd8 c.addi4spn x14,x2,468 35c: 0000 c.unimp - 35e: 089c c.addi4spn x15,x2,80 + 35e: 0c44 c.addi4spn x9,x2,532 360: 0000 c.unimp 362: 5d01 c.li x26,-32 - 364: 0008a007 flw f0,0(x17) - 368: 4800 c.lw x8,16(x8) - 36a: 0009 c.addi x0,2 + 364: 000c4807 flq f16,0(x24) # fffe2000 <__global_pointer$+0xfffdf500> + 368: f000 c.fsw f8,32(x8) + 36a: 000c 0xc 36c: 0100 c.addi4spn x8,x2,128 36e: 075d c.addi x14,23 - 370: 09bc c.addi4spn x15,x2,216 + 370: 0d64 c.addi4spn x9,x2,668 372: 0000 c.unimp - 374: 09c8 c.addi4spn x10,x2,212 + 374: 0d70 c.addi4spn x12,x2,668 376: 0000 c.unimp 378: 5d01 c.li x26,-32 37a: 0700 c.addi4spn x8,x2,896 - 37c: 05a8 c.addi4spn x10,x2,712 + 37c: 0950 c.addi4spn x12,x2,148 37e: 0000 c.unimp - 380: 05d0 c.addi4spn x12,x2,708 + 380: 0978 c.addi4spn x14,x2,156 382: 0000 c.unimp 384: 5a01 c.li x20,-32 - 386: 0005d007 0x5d007 - 38a: 0000 c.unimp - 38c: 0006 c.slli x0,0x1 + 386: 00097807 0x97807 + 38a: a800 c.fsd f8,16(x8) + 38c: 0009 c.addi x0,2 38e: 0100 c.addi4spn x8,x2,128 390: 0756 c.slli x14,0x15 - 392: 0600 c.addi4spn x8,x2,768 + 392: 09a8 c.addi4spn x10,x2,216 394: 0000 c.unimp - 396: 0650 c.addi4spn x12,x2,772 + 396: 09f8 c.addi4spn x14,x2,220 398: 0000 c.unimp 39a: 6001 0x6001 - 39c: 00069007 0x69007 - 3a0: e400 c.fsw f8,8(x8) - 3a2: 0006 c.slli x0,0x1 + 39c: 000a3807 fld f16,0(x20) + 3a0: 8c00 0x8c00 + 3a2: 000a c.slli x0,0x2 3a4: 0100 c.addi4spn x8,x2,128 3a6: 0756 c.slli x14,0x15 - 3a8: 06e4 c.addi4spn x9,x2,844 + 3a8: 0a8c c.addi4spn x11,x2,336 3aa: 0000 c.unimp - 3ac: 0734 c.addi4spn x13,x2,904 + 3ac: 0adc c.addi4spn x15,x2,340 3ae: 0000 c.unimp 3b0: 6001 0x6001 - 3b2: 00077007 0x77007 - 3b6: 9c00 0x9c00 - 3b8: 01000007 0x1000007 + 3b2: 000b1807 0xb1807 + 3b6: 4400 c.lw x8,8(x8) + 3b8: 0100000b 0x100000b 3bc: 0756 c.slli x14,0x15 - 3be: 07ac c.addi4spn x11,x2,968 + 3be: 0b54 c.addi4spn x13,x2,404 3c0: 0000 c.unimp - 3c2: 0830 c.addi4spn x12,x2,24 + 3c2: 0bd8 c.addi4spn x14,x2,468 3c4: 0000 c.unimp 3c6: 6001 0x6001 - 3c8: 00083007 fld f0,0(x16) - 3cc: c400 c.sw x8,8(x8) - 3ce: 0008 0x8 + 3c8: 000bd807 0xbd807 + 3cc: 6c00 c.flw f8,24(x8) + 3ce: 000c 0xc 3d0: 0100 c.addi4spn x8,x2,128 3d2: 0756 c.slli x14,0x15 - 3d4: 08c4 c.addi4spn x9,x2,84 + 3d4: 0c6c c.addi4spn x11,x2,540 3d6: 0000 c.unimp - 3d8: 09a8 c.addi4spn x10,x2,216 + 3d8: 0d50 c.addi4spn x12,x2,660 3da: 0000 c.unimp 3dc: 7606 c.flwsp f12,96(x2) 3de: 7b00 c.flw f8,48(x14) 3e0: 2400 c.fld f8,8(x8) - 3e2: 079f 09bc 0000 0x9bc079f - 3e8: 09c8 c.addi4spn x10,x2,212 + 3e2: 079f 0d64 0000 0xd64079f + 3e8: 0d70 c.addi4spn x12,x2,668 3ea: 0000 c.unimp 3ec: 5601 c.li x12,-32 3ee: 0700 c.addi4spn x8,x2,896 - 3f0: 05ac c.addi4spn x11,x2,712 + 3f0: 0954 c.addi4spn x13,x2,148 3f2: 0000 c.unimp - 3f4: 05b4 c.addi4spn x13,x2,712 + 3f4: 095c c.addi4spn x15,x2,148 3f6: 0000 c.unimp 3f8: 5b01 c.li x22,-32 - 3fa: 0005b407 fld f8,0(x11) - 3fe: f000 c.fsw f8,32(x8) - 400: 0005 c.addi x0,1 + 3fa: 00095c07 0x95c07 + 3fe: 9800 0x9800 + 400: 0009 c.addi x0,2 402: 0100 c.addi4spn x8,x2,128 - 404: 075f 05f0 0000 0x5f0075f - 40a: 05fc c.addi4spn x15,x2,716 + 404: 075f 0998 0000 0x998075f + 40a: 09a4 c.addi4spn x9,x2,216 40c: 0000 c.unimp 40e: 6101 c.addi16sp x2,0 - 410: 0005fc07 0x5fc07 - 414: 1400 c.addi4spn x8,x2,544 - 416: 0006 c.slli x0,0x1 + 410: 0009a407 flw f8,0(x19) + 414: bc00 c.fsd f8,56(x8) + 416: 0009 c.addi x0,2 418: 0100 c.addi4spn x8,x2,128 - 41a: 075f 0690 0000 0x690075f - 420: 06b0 c.addi4spn x12,x2,840 + 41a: 075f 0a38 0000 0xa38075f + 420: 0a58 c.addi4spn x14,x2,276 422: 0000 c.unimp 424: 5f01 c.li x30,-32 - 426: 0006b007 fld f0,0(x13) - 42a: e000 c.fsw f8,0(x8) - 42c: 0006 c.slli x0,0x1 + 426: 000a5807 0xa5807 + 42a: 8800 0x8800 + 42c: 000a c.slli x0,0x2 42e: 0100 c.addi4spn x8,x2,128 430: 0761 c.addi x14,24 - 432: 06e0 c.addi4spn x8,x2,844 + 432: 0a88 c.addi4spn x10,x2,336 434: 0000 c.unimp - 436: 06fc c.addi4spn x15,x2,844 + 436: 0aa4 c.addi4spn x9,x2,344 438: 0000 c.unimp 43a: 5f01 c.li x30,-32 - 43c: 00077007 0x77007 - 440: a400 c.fsd f8,8(x8) - 442: 01000007 0x1000007 + 43c: 000b1807 0xb1807 + 440: 4c00 c.lw x8,24(x8) + 442: 0100000b 0x100000b 446: 0761 c.addi x14,24 - 448: 07ac c.addi4spn x11,x2,968 + 448: 0b54 c.addi4spn x13,x2,404 44a: 0000 c.unimp - 44c: 07ec c.addi4spn x11,x2,972 + 44c: 0b94 c.addi4spn x13,x2,464 44e: 0000 c.unimp 450: 5f01 c.li x30,-32 - 452: 00082c07 flw f24,0(x16) - 456: 3000 c.fld f8,32(x8) - 458: 0008 0x8 - 45a: 0100 c.addi4spn x8,x2,128 - 45c: 075f 0830 0000 0x830075f - 462: 0850 c.addi4spn x12,x2,20 + 452: 000bd407 0xbd407 + 456: d800 c.sw x8,48(x8) + 458: 0100000b 0x100000b + 45c: 075f 0bd8 0000 0xbd8075f + 462: 0bf8 c.addi4spn x14,x2,476 464: 0000 c.unimp 466: 5b01 c.li x22,-32 - 468: 00085007 0x85007 - 46c: 8000 0x8000 - 46e: 0008 0x8 + 468: 000bf807 0xbf807 + 46c: 2800 c.fld f8,16(x8) + 46e: 000c 0xc 470: 0100 c.addi4spn x8,x2,128 472: 0761 c.addi x14,24 - 474: 0880 c.addi4spn x8,x2,80 + 474: 0c28 c.addi4spn x10,x2,536 476: 0000 c.unimp - 478: 0894 c.addi4spn x13,x2,80 + 478: 0c3c c.addi4spn x15,x2,536 47a: 0000 c.unimp 47c: 5b01 c.li x22,-32 - 47e: 00089407 0x89407 - 482: a800 c.fsd f8,16(x8) - 484: 0008 0x8 + 47e: 000c3c07 fld f24,0(x24) + 482: 5000 c.lw x8,32(x8) + 484: 000c 0xc 486: 0100 c.addi4spn x8,x2,128 488: 0761 c.addi x14,24 - 48a: 08c4 c.addi4spn x9,x2,84 + 48a: 0c6c c.addi4spn x11,x2,540 48c: 0000 c.unimp - 48e: 0904 c.addi4spn x9,x2,144 + 48e: 0cac c.addi4spn x11,x2,600 490: 0000 c.unimp 492: 5f01 c.li x30,-32 - 494: 00095007 0x95007 - 498: 9400 0x9400 - 49a: 0009 c.addi x0,2 + 494: 000cf807 0xcf807 + 498: 3c00 c.fld f8,56(x8) + 49a: 000d c.addi x0,3 49c: 0100 c.addi4spn x8,x2,128 - 49e: 075f 09bc 0000 0x9bc075f - 4a4: 09c0 c.addi4spn x8,x2,212 + 49e: 075f 0d64 0000 0xd64075f + 4a4: 0d68 c.addi4spn x10,x2,668 4a6: 0000 c.unimp 4a8: 5b01 c.li x22,-32 - 4aa: 0009c007 flq f0,0(x19) - 4ae: c800 c.sw x8,16(x8) - 4b0: 0009 c.addi x0,2 + 4aa: 000d6807 0xd6807 + 4ae: 7000 c.flw f8,32(x8) + 4b0: 000d c.addi x0,3 4b2: 0100 c.addi4spn x8,x2,128 - 4b4: 005f 8c07 0007 0x78c07005f - 4ba: b000 c.fsd f8,32(x8) - 4bc: 01000007 0x1000007 + 4b4: 005f 3407 000b 0xb3407005f + 4ba: 5800 c.lw x8,48(x8) + 4bc: 0100000b 0x100000b 4c0: 075d c.addi x14,23 - 4c2: 08c4 c.addi4spn x9,x2,84 + 4c2: 0c6c c.addi4spn x11,x2,540 4c4: 0000 c.unimp - 4c6: 08c8 c.addi4spn x10,x2,84 + 4c6: 0c70 c.addi4spn x12,x2,540 4c8: 0000 c.unimp 4ca: 5e01 c.li x28,-32 4cc: 0700 c.addi4spn x8,x2,896 - 4ce: 0688 c.addi4spn x10,x2,832 + 4ce: 0a30 c.addi4spn x12,x2,280 4d0: 0000 c.unimp - 4d2: 068c c.addi4spn x11,x2,832 + 4d2: 0a34 c.addi4spn x13,x2,280 4d4: 0000 c.unimp 4d6: 5a01 c.li x20,-32 - 4d8: 00076c07 0x76c07 - 4dc: 7000 c.flw f8,32(x8) - 4de: 01000007 0x1000007 + 4d8: 000b1407 0xb1407 + 4dc: 1800 c.addi4spn x8,x2,48 + 4de: 0100000b 0x100000b 4e2: 075a c.slli x14,0x16 - 4e4: 0950 c.addi4spn x12,x2,148 + 4e4: 0cf8 c.addi4spn x14,x2,604 4e6: 0000 c.unimp - 4e8: 09bc c.addi4spn x15,x2,216 + 4e8: 0d64 c.addi4spn x9,x2,668 4ea: 0000 c.unimp 4ec: 5a01 c.li x20,-32 4ee: 0700 c.addi4spn x8,x2,896 - 4f0: 06e0 c.addi4spn x8,x2,844 + 4f0: 0a88 c.addi4spn x10,x2,336 4f2: 0000 c.unimp - 4f4: 06e4 c.addi4spn x9,x2,844 + 4f4: 0a8c c.addi4spn x11,x2,336 4f6: 0000 c.unimp 4f8: 3102 c.fldsp f2,32(x2) - 4fa: 079f 06e4 0000 0x6e4079f - 500: 0770 c.addi4spn x12,x2,908 + 4fa: 079f 0a8c 0000 0xa8c079f + 500: 0b18 c.addi4spn x14,x2,400 502: 0000 c.unimp 504: 5b01 c.li x22,-32 - 506: 00082c07 flw f24,0(x16) - 50a: 3000 c.fld f8,32(x8) - 50c: 0008 0x8 - 50e: 0100 c.addi4spn x8,x2,128 - 510: 8407005b 0x8407005b - 514: 94000007 0x94000007 - 518: 01000007 0x1000007 - 51c: 075f 0894 0000 0x894075f - 522: 08ac c.addi4spn x11,x2,88 + 506: 000bd407 0xbd407 + 50a: d800 c.sw x8,48(x8) + 50c: 0100000b 0x100000b + 510: 2c07005b 0x2c07005b + 514: 3c00000b 0x3c00000b + 518: 0100000b 0x100000b + 51c: 075f 0c3c 0000 0xc3c075f + 522: 0c54 c.addi4spn x13,x2,532 524: 0000 c.unimp 526: 5f01 c.li x30,-32 528: 0700 c.addi4spn x8,x2,896 - 52a: 05e8 c.addi4spn x10,x2,716 + 52a: 0990 c.addi4spn x12,x2,208 52c: 0000 c.unimp - 52e: 0604 c.addi4spn x9,x2,768 + 52e: 09ac c.addi4spn x11,x2,216 530: 0000 c.unimp 532: 5b01 c.li x22,-32 - 534: 0006d807 0x6d807 - 538: e400 c.fsw f8,8(x8) - 53a: 0006 c.slli x0,0x1 + 534: 000a8007 0xa8007 + 538: 8c00 0x8c00 + 53a: 000a c.slli x0,0x2 53c: 0100 c.addi4spn x8,x2,128 - 53e: 0784075b 0x784075b + 53e: 0b2c075b 0xb2c075b 542: 0000 c.unimp - 544: 07b8 c.addi4spn x14,x2,968 + 544: 0b60 c.addi4spn x8,x2,412 546: 0000 c.unimp 548: 5b01 c.li x22,-32 - 54a: 00086807 0x86807 - 54e: 8000 0x8000 - 550: 0008 0x8 + 54a: 000c1007 0xc1007 + 54e: 2800 c.fld f8,16(x8) + 550: 000c 0xc 552: 0100 c.addi4spn x8,x2,128 - 554: 0894075b 0x894075b + 554: 0c3c075b 0xc3c075b 558: 0000 c.unimp - 55a: 09b0 c.addi4spn x12,x2,216 + 55a: 0d58 c.addi4spn x14,x2,660 55c: 0000 c.unimp 55e: 5b01 c.li x22,-32 560: 0700 c.addi4spn x8,x2,896 - 562: 05bc c.addi4spn x15,x2,712 + 562: 0964 c.addi4spn x9,x2,156 564: 0000 c.unimp - 566: 05ec c.addi4spn x11,x2,716 + 566: 0994 c.addi4spn x13,x2,208 568: 0000 c.unimp 56a: 5c01 c.li x24,-32 - 56c: 0005ec07 0x5ec07 - 570: f800 c.fsw f8,48(x8) - 572: 0005 c.addi x0,1 + 56c: 00099407 0x99407 + 570: a000 c.fsd f8,0(x8) + 572: 0009 c.addi x0,2 574: 0900 c.addi4spn x8,x2,144 576: 007c c.addi4spn x15,x2,12 578: ff08007b 0xff08007b 57c: 241a c.fldsp f8,384(x2) - 57e: 079f 05f8 0000 0x5f8079f - 584: 0688 c.addi4spn x10,x2,832 + 57e: 079f 09a0 0000 0x9a0079f + 584: 0a30 c.addi4spn x12,x2,280 586: 0000 c.unimp 588: 5e01 c.li x28,-32 - 58a: 00069007 0x69007 - 58e: a400 c.fsd f8,8(x8) - 590: 0006 c.slli x0,0x1 + 58a: 000a3807 fld f16,0(x20) + 58e: 4c00 c.lw x8,24(x8) + 590: 000a c.slli x0,0x2 592: 0100 c.addi4spn x8,x2,128 594: 005c c.addi4spn x15,x2,4 - 596: 0005d007 0x5d007 - 59a: e400 c.fsw f8,8(x8) - 59c: 0005 c.addi x0,1 + 596: 00097807 0x97807 + 59a: 8c00 0x8c00 + 59c: 0009 c.addi x0,2 59e: 0100 c.addi4spn x8,x2,128 5a0: 005d c.addi x0,23 - 5a2: 00060407 0x60407 - 5a6: 8800 0x8800 - 5a8: 0006 c.slli x0,0x1 + 5a2: 0009ac07 flw f24,0(x19) + 5a6: 3000 c.fld f8,32(x8) + 5a8: 000a c.slli x0,0x2 5aa: 0100 c.addi4spn x8,x2,128 - 5ac: 1007005b 0x1007005b - 5b0: 0006 c.slli x0,0x1 - 5b2: 8800 0x8800 - 5b4: 0006 c.slli x0,0x1 + 5ac: b807005b 0xb807005b + 5b0: 0009 c.addi x0,2 + 5b2: 3000 c.fld f8,32(x8) + 5b4: 000a c.slli x0,0x2 5b6: 0100 c.addi4spn x8,x2,128 5b8: 005c c.addi4spn x15,x2,4 - 5ba: 00061007 0x61007 - 5be: 3400 c.fld f8,40(x8) - 5c0: 0006 c.slli x0,0x1 + 5ba: 0009b807 fld f16,0(x19) + 5be: dc00 c.sw x8,56(x8) + 5c0: 0009 c.addi x0,2 5c2: 0100 c.addi4spn x8,x2,128 5c4: 0756 c.slli x14,0x15 - 5c6: 0634 c.addi4spn x13,x2,776 + 5c6: 09dc c.addi4spn x15,x2,212 5c8: 0000 c.unimp - 5ca: 0684 c.addi4spn x9,x2,832 + 5ca: 0a2c c.addi4spn x11,x2,280 5cc: 0000 c.unimp 5ce: 5a01 c.li x20,-32 5d0: 0700 c.addi4spn x8,x2,896 - 5d2: 064c c.addi4spn x11,x2,772 + 5d2: 09f4 c.addi4spn x13,x2,220 5d4: 0000 c.unimp - 5d6: 0674 c.addi4spn x13,x2,780 + 5d6: 0a1c c.addi4spn x15,x2,272 5d8: 0000 c.unimp 5da: 6101 c.addi16sp x2,0 - 5dc: 00067407 0x67407 - 5e0: 8800 0x8800 - 5e2: 0006 c.slli x0,0x1 + 5dc: 000a1c07 0xa1c07 + 5e0: 3000 c.fld f8,32(x8) + 5e2: 000a c.slli x0,0x2 5e4: 0100 c.addi4spn x8,x2,128 - 5e6: 005f 1007 0006 0x61007005f - 5ec: 1400 c.addi4spn x8,x2,544 - 5ee: 0006 c.slli x0,0x1 + 5e6: 005f b807 0009 0x9b807005f + 5ec: bc00 c.fsd f8,56(x8) + 5ee: 0009 c.addi x0,2 5f0: 0600 c.addi4spn x8,x2,768 5f2: 007f 0x7f 5f4: 9f1d007b 0x9f1d007b - 5f8: 00061407 0x61407 - 5fc: 2400 c.fld f8,8(x8) - 5fe: 0006 c.slli x0,0x1 + 5f8: 0009bc07 fld f24,0(x19) + 5fc: cc00 c.sw x8,24(x8) + 5fe: 0009 c.addi x0,2 600: 0100 c.addi4spn x8,x2,128 - 602: 075f 0628 0000 0x628075f - 608: 0630 c.addi4spn x12,x2,776 + 602: 075f 09d0 0000 0x9d0075f + 608: 09d8 c.addi4spn x14,x2,212 60a: 0000 c.unimp 60c: 5f01 c.li x30,-32 - 60e: 00063007 fld f0,0(x12) # b000 <__global_pointer$+0x97c8> - 612: 3400 c.fld f8,40(x8) - 614: 0006 c.slli x0,0x1 + 60e: 0009d807 0x9d807 + 612: dc00 c.sw x8,56(x8) + 614: 0009 c.addi x0,2 616: 0800 c.addi4spn x8,x2,16 618: 0080 c.addi4spn x8,x2,64 61a: 2540 c.fld f8,136(x10) 61c: 007d c.addi x0,31 61e: 9f21 0x9f21 - 620: 00063407 fld f8,0(x12) - 624: 5800 c.lw x8,48(x8) - 626: 0006 c.slli x0,0x1 + 620: 0009dc07 0x9dc07 + 624: 0000 c.unimp + 626: 000a c.slli x0,0x2 628: 0100 c.addi4spn x8,x2,128 - 62a: 005f 4807 0006 0x64807005f - 630: 5800 c.lw x8,48(x8) - 632: 0006 c.slli x0,0x1 + 62a: 005f f007 0009 0x9f007005f + 630: 0000 c.unimp + 632: 000a c.slli x0,0x2 634: 0600 c.addi4spn x8,x2,768 636: 007f 0x7f 638: 9f1d007b 0x9f1d007b - 63c: 00065807 0x65807 - 640: 6000 c.flw f8,0(x8) - 642: 0006 c.slli x0,0x1 + 63c: 000a0007 0xa0007 + 640: 0800 c.addi4spn x8,x2,16 + 642: 000a c.slli x0,0x2 644: 0100 c.addi4spn x8,x2,128 - 646: 075f 0664 0000 0x664075f - 64c: 0670 c.addi4spn x12,x2,780 + 646: 075f 0a0c 0000 0xa0c075f + 64c: 0a18 c.addi4spn x14,x2,272 64e: 0000 c.unimp 650: 6001 0x6001 652: 0700 c.addi4spn x8,x2,896 - 654: 061c c.addi4spn x15,x2,768 + 654: 09c4 c.addi4spn x9,x2,212 656: 0000 c.unimp - 658: 064c c.addi4spn x11,x2,772 + 658: 09f4 c.addi4spn x13,x2,220 65a: 0000 c.unimp 65c: 6101 c.addi16sp x2,0 - 65e: 00064c07 flq f24,0(x12) - 662: 5c00 c.lw x8,56(x8) - 664: 0006 c.slli x0,0x1 + 65e: 0009f407 0x9f407 + 662: 0400 c.addi4spn x8,x2,512 + 664: 000a c.slli x0,0x2 666: 0600 c.addi4spn x8,x2,768 668: 007c c.addi4spn x15,x2,12 66a: 0076 c.slli x0,0x1d 66c: 9f1e c.add x30,x7 - 66e: 00065c07 0x65c07 - 672: 8800 0x8800 - 674: 0006 c.slli x0,0x1 + 66e: 000a0407 0xa0407 + 672: 3000 c.fld f8,32(x8) + 674: 000a c.slli x0,0x2 676: 0100 c.addi4spn x8,x2,128 678: 005d c.addi x0,23 - 67a: 00098807 0x98807 - 67e: b800 c.fsd f8,48(x8) - 680: 0009 c.addi x0,2 + 67a: 000d3007 fld f0,0(x26) + 67e: 6000 c.flw f8,0(x8) + 680: 000d c.addi x0,3 682: 0100 c.addi4spn x8,x2,128 684: 005d c.addi x0,23 - 686: 00098807 0x98807 - 68a: 9c00 0x9c00 - 68c: 0009 c.addi x0,2 + 686: 000d3007 fld f0,0(x26) + 68a: 4400 c.lw x8,8(x8) + 68c: 000d c.addi x0,3 68e: 1000 c.addi4spn x8,x2,32 690: 0081 c.addi x1,0 692: ff0a c.fswsp f2,188(x2) @@ -4123,9 +4364,9 @@ Disassembly of section .debug_loclists: 69a: 1aff 0x1aff 69c: 2440 c.fld f8,136(x8) 69e: 9f22 c.add x30,x8 - 6a0: 00099c07 0x99c07 - 6a4: a400 c.fsd f8,8(x8) - 6a6: 0009 c.addi x0,2 + 6a0: 000d4407 flq f8,0(x26) + 6a4: 4c00 c.lw x8,24(x8) + 6a6: 000d c.addi x0,3 6a8: 1500 c.addi4spn x8,x2,672 6aa: 0081 c.addi x1,0 6ac: ff0a c.fswsp f2,188(x2) @@ -4137,424 +4378,424 @@ Disassembly of section .debug_loclists: 6b8: ffff 0xffff 6ba: 401a 0x401a 6bc: 2224 c.fld f9,64(x12) - 6be: 009f c407 0008 0x8c407009f - 6c4: 6000 c.flw f8,0(x8) - 6c6: 0009 c.addi x0,2 + 6be: 009f 6c07 000c 0xc6c07009f + 6c4: 0800 c.addi4spn x8,x2,16 + 6c6: 000d c.addi x0,3 6c8: 0100 c.addi4spn x8,x2,128 6ca: 0061 c.addi x0,24 - 6cc: 0008c407 flq f8,0(x17) - 6d0: 1000 c.addi4spn x8,x2,32 - 6d2: 0009 c.addi x0,2 + 6cc: 000c6c07 0xc6c07 + 6d0: b800 c.fsd f8,48(x8) + 6d2: 000c 0xc 6d4: 0100 c.addi4spn x8,x2,128 6d6: 0760 c.addi4spn x8,x2,908 - 6d8: 0910 c.addi4spn x12,x2,144 + 6d8: 0cb8 c.addi4spn x14,x2,600 6da: 0000 c.unimp - 6dc: 0948 c.addi4spn x10,x2,148 + 6dc: 0cf0 c.addi4spn x12,x2,604 6de: 0000 c.unimp 6e0: 40007d07 0x40007d07 6e4: 4024 c.lw x9,64(x8) 6e6: 9f25 0x9f25 6e8: 0700 c.addi4spn x8,x2,896 - 6ea: 08c4 c.addi4spn x9,x2,84 + 6ea: 0c6c c.addi4spn x11,x2,540 6ec: 0000 c.unimp - 6ee: 08e8 c.addi4spn x10,x2,92 + 6ee: 0c90 c.addi4spn x12,x2,592 6f0: 0000 c.unimp 6f2: 6d01 0x6d01 - 6f4: 0008e807 0x8e807 - 6f8: 3c00 c.fld f8,56(x8) - 6fa: 0009 c.addi x0,2 + 6f4: 000c9007 0xc9007 + 6f8: e400 c.fsw f8,8(x8) + 6fa: 000c 0xc 6fc: 0100 c.addi4spn x8,x2,128 6fe: 005a c.slli x0,0x16 - 700: 00090007 0x90007 - 704: 2800 c.fld f8,16(x8) - 706: 0009 c.addi x0,2 + 700: 000ca807 flw f16,0(x25) + 704: d000 c.sw x8,32(x8) + 706: 000c 0xc 708: 0100 c.addi4spn x8,x2,128 70a: 076c c.addi4spn x11,x2,908 - 70c: 0928 c.addi4spn x10,x2,152 + 70c: 0cd0 c.addi4spn x12,x2,596 70e: 0000 c.unimp - 710: 094c c.addi4spn x11,x2,148 + 710: 0cf4 c.addi4spn x13,x2,604 712: 0000 c.unimp 714: 5e01 c.li x28,-32 716: 0700 c.addi4spn x8,x2,896 - 718: 08c4 c.addi4spn x9,x2,84 + 718: 0c6c c.addi4spn x11,x2,540 71a: 0000 c.unimp - 71c: 08c8 c.addi4spn x10,x2,84 + 71c: 0c70 c.addi4spn x12,x2,540 71e: 0000 c.unimp 720: 7e06 c.flwsp f28,96(x2) 722: 8100 0x8100 724: 1d00 c.addi4spn x8,x2,688 - 726: 079f 08c8 0000 0x8c8079f - 72c: 08d4 c.addi4spn x13,x2,84 + 726: 079f 0c70 0000 0xc70079f + 72c: 0c7c c.addi4spn x15,x2,540 72e: 0000 c.unimp 730: 5e01 c.li x28,-32 - 732: 0008d807 0x8d807 - 736: e400 c.fsw f8,8(x8) - 738: 0008 0x8 + 732: 000c8007 0xc8007 + 736: 8c00 0x8c00 + 738: 000c 0xc 73a: 0100 c.addi4spn x8,x2,128 73c: 075e c.slli x14,0x17 - 73e: 08e8 c.addi4spn x10,x2,92 + 73e: 0c90 c.addi4spn x12,x2,592 740: 0000 c.unimp - 742: 090c c.addi4spn x11,x2,144 + 742: 0cb4 c.addi4spn x13,x2,600 744: 0000 c.unimp 746: 5e01 c.li x28,-32 748: 0700 c.addi4spn x8,x2,896 - 74a: 08fc c.addi4spn x15,x2,92 + 74a: 0ca4 c.addi4spn x9,x2,600 74c: 0000 c.unimp - 74e: 090c c.addi4spn x11,x2,144 + 74e: 0cb4 c.addi4spn x13,x2,600 750: 0000 c.unimp 752: 7e06 c.flwsp f28,96(x2) 754: 8100 0x8100 756: 1d00 c.addi4spn x8,x2,688 - 758: 079f 090c 0000 0x90c079f - 75e: 0914 c.addi4spn x13,x2,144 + 758: 079f 0cb4 0000 0xcb4079f + 75e: 0cbc c.addi4spn x15,x2,600 760: 0000 c.unimp 762: 5e01 c.li x28,-32 - 764: 00091807 0x91807 - 768: 2400 c.fld f8,8(x8) - 76a: 0009 c.addi x0,2 + 764: 000cc007 flq f0,0(x25) + 768: cc00 c.sw x8,24(x8) + 76a: 000c 0xc 76c: 0100 c.addi4spn x8,x2,128 - 76e: 075f 0928 0000 0x928075f - 774: 0994 c.addi4spn x13,x2,208 + 76e: 075f 0cd0 0000 0xcd0075f + 774: 0d3c c.addi4spn x15,x2,664 776: 0000 c.unimp 778: 5f01 c.li x30,-32 77a: 0700 c.addi4spn x8,x2,896 - 77c: 08cc c.addi4spn x11,x2,84 + 77c: 0c74 c.addi4spn x13,x2,540 77e: 0000 c.unimp - 780: 0900 c.addi4spn x8,x2,144 + 780: 0ca8 c.addi4spn x10,x2,600 782: 0000 c.unimp 784: 6c01 0x6c01 - 786: 00090007 0x90007 - 78a: 1000 c.addi4spn x8,x2,32 - 78c: 0009 c.addi x0,2 + 786: 000ca807 flw f16,0(x25) + 78a: b800 c.fsd f8,48(x8) + 78c: 000c 0xc 78e: 0600 c.addi4spn x8,x2,768 790: 0080 c.addi4spn x8,x2,64 792: 008d c.addi x1,3 794: 9f1e c.add x30,x7 - 796: 00091007 0x91007 - 79a: 5800 c.lw x8,48(x8) - 79c: 0009 c.addi x0,2 + 796: 000cb807 fld f16,0(x25) + 79a: 0000 c.unimp + 79c: 000d c.addi x0,3 79e: 0100 c.addi4spn x8,x2,128 7a0: 0060 c.addi4spn x8,x2,12 - 7a2: 00096007 0x96007 - 7a6: a400 c.fsd f8,8(x8) - 7a8: 0009 c.addi x0,2 + 7a2: 000d0807 0xd0807 + 7a6: 4c00 c.lw x8,24(x8) + 7a8: 000d c.addi x0,3 7aa: 0100 c.addi4spn x8,x2,128 7ac: 0061 c.addi x0,24 - 7ae: 00096007 0x96007 - 7b2: 6800 c.flw f8,16(x8) - 7b4: 0009 c.addi x0,2 + 7ae: 000d0807 0xd0807 + 7b2: 1000 c.addi4spn x8,x2,32 + 7b4: 000d c.addi x0,3 7b6: 0600 c.addi4spn x8,x2,768 7b8: 007e c.slli x0,0x1f 7ba: 007c c.addi4spn x15,x2,12 7bc: 9f1e c.add x30,x7 - 7be: 00096807 0x96807 - 7c2: 7000 c.flw f8,32(x8) - 7c4: 0009 c.addi x0,2 + 7be: 000d1007 0xd1007 + 7c2: 1800 c.addi4spn x8,x2,48 + 7c4: 000d c.addi x0,3 7c6: 0100 c.addi4spn x8,x2,128 7c8: 075e c.slli x14,0x17 - 7ca: 0978 c.addi4spn x14,x2,156 + 7ca: 0d20 c.addi4spn x8,x2,664 7cc: 0000 c.unimp - 7ce: 099c c.addi4spn x15,x2,208 + 7ce: 0d44 c.addi4spn x9,x2,660 7d0: 0000 c.unimp 7d2: 5e01 c.li x28,-32 - 7d4: 00099c07 0x99c07 - 7d8: a400 c.fsd f8,8(x8) - 7da: 0009 c.addi x0,2 + 7d4: 000d4407 flq f8,0(x26) + 7d8: 4c00 c.lw x8,24(x8) + 7da: 000d c.addi x0,3 7dc: 0800 c.addi4spn x8,x2,16 7de: 0081 c.addi x1,0 7e0: 2540 c.fld f8,136(x10) 7e2: 007c c.addi4spn x15,x2,12 7e4: 9f22 c.add x30,x8 7e6: 0700 c.addi4spn x8,x2,896 - 7e8: 0964 c.addi4spn x9,x2,156 + 7e8: 0d0c c.addi4spn x11,x2,656 7ea: 0000 c.unimp - 7ec: 0984 c.addi4spn x9,x2,208 + 7ec: 0d2c c.addi4spn x11,x2,664 7ee: 0000 c.unimp 7f0: 5d01 c.li x26,-32 7f2: 0700 c.addi4spn x8,x2,896 - 7f4: 096c c.addi4spn x11,x2,156 + 7f4: 0d14 c.addi4spn x13,x2,656 7f6: 0000 c.unimp - 7f8: 09bc c.addi4spn x15,x2,216 + 7f8: 0d64 c.addi4spn x9,x2,668 7fa: 0000 c.unimp 7fc: 6001 0x6001 7fe: 0700 c.addi4spn x8,x2,896 - 800: 0950 c.addi4spn x12,x2,148 + 800: 0cf8 c.addi4spn x14,x2,604 802: 0000 c.unimp - 804: 0968 c.addi4spn x10,x2,156 + 804: 0d10 c.addi4spn x12,x2,656 806: 0000 c.unimp 808: 5e01 c.li x28,-32 - 80a: 00096807 0x96807 - 80e: 9800 0x9800 - 810: 0009 c.addi x0,2 + 80a: 000d1007 0xd1007 + 80e: 4000 c.lw x8,0(x8) + 810: 000d c.addi x0,3 812: 0600 c.addi4spn x8,x2,768 814: 7f8c c.flw f11,56(x15) 816: 007a c.slli x0,0x1e 818: 9f1a c.add x30,x6 - 81a: 00099807 0x99807 - 81e: b400 c.fsd f8,40(x8) - 820: 0009 c.addi x0,2 + 81a: 000d4007 flq f0,0(x26) + 81e: 5c00 c.lw x8,56(x8) + 820: 000d c.addi x0,3 822: 0600 c.addi4spn x8,x2,768 824: 007a c.slli x0,0x1e 826: 007f 0x7f 828: 9f1a c.add x30,x6 - 82a: 0009b407 fld f8,0(x19) - 82e: b800 c.fsd f8,48(x8) - 830: 0009 c.addi x0,2 + 82a: 000d5c07 0xd5c07 + 82e: 6000 c.flw f8,0(x8) + 830: 000d c.addi x0,3 832: 0600 c.addi4spn x8,x2,768 834: 7f8c c.flw f11,56(x15) 836: 007a c.slli x0,0x1e 838: 9f1a c.add x30,x6 - 83a: 0009b807 fld f16,0(x19) - 83e: bc00 c.fsd f8,56(x8) - 840: 0009 c.addi x0,2 + 83a: 000d6007 0xd6007 + 83e: 6400 c.flw f8,8(x8) + 840: 000d c.addi x0,3 842: 0600 c.addi4spn x8,x2,768 844: 017a c.slli x2,0x1e 846: 7f8c c.flw f11,56(x15) 848: 9f1a c.add x30,x6 84a: 0700 c.addi4spn x8,x2,896 - 84c: 0958 c.addi4spn x14,x2,148 + 84c: 0d00 c.addi4spn x8,x2,656 84e: 0000 c.unimp - 850: 0964 c.addi4spn x9,x2,156 + 850: 0d0c c.addi4spn x11,x2,656 852: 0000 c.unimp 854: 5d01 c.li x26,-32 856: 0700 c.addi4spn x8,x2,896 - 858: 0958 c.addi4spn x14,x2,148 + 858: 0d00 c.addi4spn x8,x2,656 85a: 0000 c.unimp - 85c: 096c c.addi4spn x11,x2,156 + 85c: 0d14 c.addi4spn x13,x2,656 85e: 0000 c.unimp 860: 6001 0x6001 - 862: 00096c07 0x96c07 - 866: b800 c.fsd f8,48(x8) - 868: 0009 c.addi x0,2 + 862: 000d1407 0xd1407 + 866: 6000 c.flw f8,0(x8) + 868: 000d c.addi x0,3 86a: 0500 c.addi4spn x8,x2,640 86c: 007a c.slli x0,0x1e 86e: 2540 c.fld f8,136(x10) - 870: 079f 09b8 0000 0x9b8079f - 876: 09bc c.addi4spn x15,x2,216 + 870: 079f 0d60 0000 0xd60079f + 876: 0d64 c.addi4spn x9,x2,668 878: 0000 c.unimp 87a: 7a05 c.lui x20,0xfffe1 87c: 4001 c.li x0,0 87e: 9f25 0x9f25 880: 0700 c.addi4spn x8,x2,896 - 882: 095c c.addi4spn x15,x2,148 + 882: 0d04 c.addi4spn x9,x2,656 884: 0000 c.unimp - 886: 0970 c.addi4spn x12,x2,156 + 886: 0d18 c.addi4spn x14,x2,656 888: 0000 c.unimp 88a: 5c01 c.li x24,-32 88c: 0700 c.addi4spn x8,x2,896 - 88e: 06ac c.addi4spn x11,x2,840 + 88e: 0a54 c.addi4spn x13,x2,276 890: 0000 c.unimp - 892: 06e4 c.addi4spn x9,x2,844 + 892: 0a8c c.addi4spn x11,x2,336 894: 0000 c.unimp 896: 5c01 c.li x24,-32 - 898: 0006e407 0x6e407 - 89c: 7000 c.flw f8,32(x8) - 89e: 01000007 0x1000007 + 898: 000a8c07 0xa8c07 + 89c: 1800 c.addi4spn x8,x2,48 + 89e: 0100000b 0x100000b 8a2: 075e c.slli x14,0x17 - 8a4: 0770 c.addi4spn x12,x2,908 + 8a4: 0b18 c.addi4spn x14,x2,400 8a6: 0000 c.unimp - 8a8: 0784 c.addi4spn x9,x2,960 + 8a8: 0b2c c.addi4spn x11,x2,408 8aa: 0000 c.unimp 8ac: 5c01 c.li x24,-32 - 8ae: 00078407 0x78407 - 8b2: 8800 0x8800 - 8b4: 09000007 0x9000007 + 8ae: 000b2c07 flw f24,0(x22) + 8b2: 3000 c.fld f8,32(x8) + 8b4: 0900000b 0x900000b 8b8: 007c c.addi4spn x15,x2,12 8ba: ff08007b 0xff08007b 8be: 241a c.fldsp f8,384(x2) - 8c0: 079f 0788 0000 0x788079f - 8c6: 0830 c.addi4spn x12,x2,24 + 8c0: 079f 0b30 0000 0xb30079f + 8c6: 0bd8 c.addi4spn x14,x2,468 8c8: 0000 c.unimp 8ca: 5e01 c.li x28,-32 8cc: 0700 c.addi4spn x8,x2,896 - 8ce: 06c0 c.addi4spn x8,x2,836 + 8ce: 0a68 c.addi4spn x10,x2,284 8d0: 0000 c.unimp - 8d2: 06d4 c.addi4spn x13,x2,836 + 8d2: 0a7c c.addi4spn x15,x2,284 8d4: 0000 c.unimp 8d6: 5d01 c.li x26,-32 8d8: 0700 c.addi4spn x8,x2,896 - 8da: 06e8 c.addi4spn x10,x2,844 + 8da: 0a90 c.addi4spn x12,x2,336 8dc: 0000 c.unimp - 8de: 0770 c.addi4spn x12,x2,908 + 8de: 0b18 c.addi4spn x14,x2,400 8e0: 0000 c.unimp 8e2: 6101 c.addi16sp x2,0 8e4: 0700 c.addi4spn x8,x2,896 - 8e6: 06f4 c.addi4spn x13,x2,844 + 8e6: 0a9c c.addi4spn x15,x2,336 8e8: 0000 c.unimp - 8ea: 0770 c.addi4spn x12,x2,908 + 8ea: 0b18 c.addi4spn x14,x2,400 8ec: 0000 c.unimp 8ee: 5c01 c.li x24,-32 8f0: 0700 c.addi4spn x8,x2,896 - 8f2: 06f4 c.addi4spn x13,x2,844 + 8f2: 0a9c c.addi4spn x15,x2,336 8f4: 0000 c.unimp - 8f6: 0718 c.addi4spn x14,x2,896 + 8f6: 0ac0 c.addi4spn x8,x2,340 8f8: 0000 c.unimp 8fa: 6c01 0x6c01 - 8fc: 00071807 0x71807 - 900: 6800 c.flw f8,16(x8) - 902: 01000007 0x1000007 + 8fc: 000ac007 flq f0,0(x21) + 900: 1000 c.addi4spn x8,x2,32 + 902: 0100000b 0x100000b 906: 005a c.slli x0,0x16 - 908: 00073007 fld f0,0(x14) - 90c: 5800 c.lw x8,48(x8) - 90e: 01000007 0x1000007 + 908: 000ad807 0xad807 + 90c: 0000 c.unimp + 90e: 0100000b 0x100000b 912: 0756 c.slli x14,0x15 - 914: 0758 c.addi4spn x14,x2,900 + 914: 0b00 c.addi4spn x8,x2,400 916: 0000 c.unimp - 918: 0770 c.addi4spn x12,x2,908 + 918: 0b18 c.addi4spn x14,x2,400 91a: 0000 c.unimp 91c: 5f01 c.li x30,-32 91e: 0700 c.addi4spn x8,x2,896 - 920: 06f4 c.addi4spn x13,x2,844 + 920: 0a9c c.addi4spn x15,x2,336 922: 0000 c.unimp - 924: 06fc c.addi4spn x15,x2,844 + 924: 0aa4 c.addi4spn x9,x2,344 926: 0000 c.unimp 928: 7f06 c.flwsp f30,96(x2) 92a: 8100 0x8100 92c: 1d00 c.addi4spn x8,x2,688 - 92e: 079f 06fc 0000 0x6fc079f - 934: 0708 c.addi4spn x10,x2,896 + 92e: 079f 0aa4 0000 0xaa4079f + 934: 0ab0 c.addi4spn x12,x2,344 936: 0000 c.unimp 938: 5f01 c.li x30,-32 - 93a: 00070c07 0x70c07 - 93e: 1400 c.addi4spn x8,x2,544 - 940: 01000007 0x1000007 - 944: 075f 0718 0000 0x718075f - 94a: 073c c.addi4spn x15,x2,904 + 93a: 000ab407 fld f8,0(x21) + 93e: bc00 c.fsd f8,56(x8) + 940: 000a c.slli x0,0x2 + 942: 0100 c.addi4spn x8,x2,128 + 944: 075f 0ac0 0000 0xac0075f + 94a: 0ae4 c.addi4spn x9,x2,348 94c: 0000 c.unimp 94e: 5f01 c.li x30,-32 950: 0700 c.addi4spn x8,x2,896 - 952: 072c c.addi4spn x11,x2,904 + 952: 0ad4 c.addi4spn x13,x2,340 954: 0000 c.unimp - 956: 073c c.addi4spn x15,x2,904 + 956: 0ae4 c.addi4spn x9,x2,348 958: 0000 c.unimp 95a: 7f06 c.flwsp f30,96(x2) 95c: 8100 0x8100 95e: 1d00 c.addi4spn x8,x2,688 - 960: 079f 073c 0000 0x73c079f - 966: 0744 c.addi4spn x9,x2,900 + 960: 079f 0ae4 0000 0xae4079f + 966: 0aec c.addi4spn x11,x2,348 968: 0000 c.unimp 96a: 5f01 c.li x30,-32 - 96c: 00074807 flq f16,0(x14) - 970: 5400 c.lw x8,40(x8) - 972: 01000007 0x1000007 + 96c: 000af007 0xaf007 + 970: fc00 c.fsw f8,56(x8) + 972: 000a c.slli x0,0x2 + 974: 0100 c.addi4spn x8,x2,128 976: 0060 c.addi4spn x8,x2,12 - 978: 00070407 0x70407 - 97c: 3000 c.fld f8,32(x8) - 97e: 01000007 0x1000007 + 978: 000aac07 flw f24,0(x21) + 97c: d800 c.sw x8,48(x8) + 97e: 000a c.slli x0,0x2 + 980: 0100 c.addi4spn x8,x2,128 982: 0756 c.slli x14,0x15 - 984: 0730 c.addi4spn x12,x2,904 + 984: 0ad8 c.addi4spn x14,x2,340 986: 0000 c.unimp - 988: 0740 c.addi4spn x8,x2,900 + 988: 0ae8 c.addi4spn x10,x2,348 98a: 0000 c.unimp 98c: 7c06 c.flwsp f24,96(x2) 98e: 8c00 0x8c00 990: 1e00 c.addi4spn x8,x2,816 - 992: 079f 0740 0000 0x740079f - 998: 0770 c.addi4spn x12,x2,908 + 992: 079f 0ae8 0000 0xae8079f + 998: 0b18 c.addi4spn x14,x2,400 99a: 0000 c.unimp 99c: 5d01 c.li x26,-32 99e: 0700 c.addi4spn x8,x2,896 - 9a0: 07ac c.addi4spn x11,x2,968 + 9a0: 0b54 c.addi4spn x13,x2,404 9a2: 0000 c.unimp - 9a4: 0830 c.addi4spn x12,x2,24 + 9a4: 0bd8 c.addi4spn x14,x2,468 9a6: 0000 c.unimp 9a8: 5a01 c.li x20,-32 9aa: 0700 c.addi4spn x8,x2,896 - 9ac: 07ac c.addi4spn x11,x2,968 + 9ac: 0b54 c.addi4spn x13,x2,404 9ae: 0000 c.unimp - 9b0: 07f8 c.addi4spn x14,x2,972 + 9b0: 0ba0 c.addi4spn x8,x2,472 9b2: 0000 c.unimp 9b4: 5c01 c.li x24,-32 - 9b6: 0007f807 0x7f807 - 9ba: 3000 c.fld f8,32(x8) - 9bc: 0008 0x8 - 9be: 0700 c.addi4spn x8,x2,896 + 9b6: 000ba007 flw f0,0(x23) + 9ba: d800 c.sw x8,48(x8) + 9bc: 0700000b 0x700000b 9c0: 007e c.slli x0,0x1f 9c2: 2440 c.fld f8,136(x8) 9c4: 2540 c.fld f8,136(x10) - 9c6: 009f ac07 0007 0x7ac07009f - 9cc: d000 c.sw x8,32(x8) - 9ce: 01000007 0x1000007 + 9c6: 009f 5407 000b 0xb5407009f + 9cc: 7800 c.flw f8,48(x8) + 9ce: 0100000b 0x100000b 9d2: 0756 c.slli x14,0x15 - 9d4: 07d0 c.addi4spn x12,x2,964 + 9d4: 0b78 c.addi4spn x14,x2,412 9d6: 0000 c.unimp - 9d8: 0824 c.addi4spn x9,x2,24 + 9d8: 0bcc c.addi4spn x11,x2,468 9da: 0000 c.unimp 9dc: 5b01 c.li x22,-32 9de: 0700 c.addi4spn x8,x2,896 - 9e0: 07e8 c.addi4spn x10,x2,972 + 9e0: 0b90 c.addi4spn x12,x2,464 9e2: 0000 c.unimp - 9e4: 0810 c.addi4spn x12,x2,16 + 9e4: 0bb8 c.addi4spn x14,x2,472 9e6: 0000 c.unimp 9e8: 6101 c.addi16sp x2,0 - 9ea: 00081007 0x81007 - 9ee: 3000 c.fld f8,32(x8) - 9f0: 0008 0x8 - 9f2: 0100 c.addi4spn x8,x2,128 + 9ea: 000bb807 fld f16,0(x23) + 9ee: d800 c.sw x8,48(x8) + 9f0: 0100000b 0x100000b 9f4: 005d c.addi x0,23 - 9f6: 0007ac07 flw f24,0(x15) - 9fa: b000 c.fsd f8,32(x8) - 9fc: 06000007 0x6000007 + 9f6: 000b5407 0xb5407 + 9fa: 5800 c.lw x8,48(x8) + 9fc: 0600000b 0x600000b a00: 007d c.addi x0,31 a02: 007a c.slli x0,0x1e a04: 9f1d 0x9f1d - a06: 0007b007 fld f0,0(x15) - a0a: bc00 c.fsd f8,56(x8) - a0c: 01000007 0x1000007 + a06: 000b5807 0xb5807 + a0a: 6400 c.flw f8,8(x8) + a0c: 0100000b 0x100000b a10: 075d c.addi x14,23 - a12: 07c0 c.addi4spn x8,x2,964 + a12: 0b68 c.addi4spn x10,x2,412 a14: 0000 c.unimp - a16: 07cc c.addi4spn x11,x2,964 + a16: 0b74 c.addi4spn x13,x2,412 a18: 0000 c.unimp a1a: 5d01 c.li x26,-32 - a1c: 0007d007 0x7d007 - a20: f400 c.fsw f8,40(x8) - a22: 01000007 0x1000007 + a1c: 000b7807 0xb7807 + a20: 9c00 0x9c00 + a22: 0100000b 0x100000b a26: 005d c.addi x0,23 - a28: 0007e407 0x7e407 - a2c: f400 c.fsw f8,40(x8) - a2e: 06000007 0x6000007 + a28: 000b8c07 0xb8c07 + a2c: 9c00 0x9c00 + a2e: 0600000b 0x600000b a32: 007d c.addi x0,31 a34: 007a c.slli x0,0x1e a36: 9f1d 0x9f1d - a38: 0007f407 0x7f407 - a3c: fc00 c.fsw f8,56(x8) - a3e: 01000007 0x1000007 + a38: 000b9c07 0xb9c07 + a3c: a400 c.fsd f8,8(x8) + a3e: 0100000b 0x100000b a42: 075d c.addi x14,23 - a44: 0800 c.addi4spn x8,x2,16 + a44: 0ba8 c.addi4spn x10,x2,472 a46: 0000 c.unimp - a48: 080c c.addi4spn x11,x2,16 + a48: 0bb4 c.addi4spn x13,x2,472 a4a: 0000 c.unimp a4c: 5f01 c.li x30,-32 - a4e: 00081007 0x81007 - a52: 3000 c.fld f8,32(x8) - a54: 0008 0x8 - a56: 0100 c.addi4spn x8,x2,128 - a58: 005f b407 0007 0x7b407005f - a5e: e800 c.fsw f8,16(x8) - a60: 01000007 0x1000007 + a4e: 000bb807 fld f16,0(x23) + a52: d800 c.sw x8,48(x8) + a54: 0100000b 0x100000b + a58: 005f 5c07 000b 0xb5c07005f + a5e: 9000 0x9000 + a60: 0100000b 0x100000b a64: 0761 c.addi x14,24 - a66: 07e8 c.addi4spn x10,x2,972 + a66: 0b90 c.addi4spn x12,x2,464 a68: 0000 c.unimp - a6a: 07f8 c.addi4spn x14,x2,972 + a6a: 0ba0 c.addi4spn x8,x2,472 a6c: 0000 c.unimp a6e: 7c06 c.flwsp f24,96(x2) a70: 7600 c.flw f8,40(x12) a72: 1e00 c.addi4spn x8,x2,816 - a74: 079f 07f8 0000 0x7f8079f - a7a: 0830 c.addi4spn x12,x2,24 + a74: 079f 0ba0 0000 0xba0079f + a7a: 0bd8 c.addi4spn x14,x2,468 a7c: 0000 c.unimp a7e: 5c01 c.li x24,-32 a80: 0700 c.addi4spn x8,x2,896 - a82: 0834 c.addi4spn x13,x2,24 + a82: 0bdc c.addi4spn x15,x2,468 a84: 0000 c.unimp - a86: 089c c.addi4spn x15,x2,80 + a86: 0c44 c.addi4spn x9,x2,532 a88: 0000 c.unimp a8a: 5d01 c.li x26,-32 a8c: 0700 c.addi4spn x8,x2,896 - a8e: 0848 c.addi4spn x10,x2,20 + a8e: 0bf0 c.addi4spn x12,x2,476 a90: 0000 c.unimp - a92: 0864 c.addi4spn x9,x2,28 + a92: 0c0c c.addi4spn x11,x2,528 a94: 0000 c.unimp a96: 5e01 c.li x28,-32 ... @@ -4568,131 +4809,129 @@ Disassembly of section .debug_rnglists: 6: 0004 0x4 8: 0000 c.unimp a: 0000 c.unimp - c: 9806 c.add x16,x1 - e: 0005 c.addi x0,1 - 10: 9800 0x9800 - 12: 0005 c.addi x0,1 + c: 4006 0x4006 + e: 0009 c.addi x0,2 + 10: 4000 c.lw x8,0(x8) + 12: 0009 c.addi x0,2 14: 0600 c.addi4spn x8,x2,768 - 16: 05a0 c.addi4spn x8,x2,712 + 16: 0948 c.addi4spn x10,x2,148 18: 0000 c.unimp - 1a: 068c c.addi4spn x11,x2,832 + 1a: 0a34 c.addi4spn x13,x2,280 1c: 0000 c.unimp - 1e: 9006 c.add x0,x1 - 20: 0006 c.slli x0,0x1 - 22: c800 c.sw x8,16(x8) - 24: 0009 c.addi x0,2 + 1e: 3806 c.fldsp f16,96(x2) + 20: 000a c.slli x0,0x2 + 22: 7000 c.flw f8,32(x8) + 24: 000d c.addi x0,3 26: 0000 c.unimp - 28: 9806 c.add x16,x1 - 2a: 0005 c.addi x0,1 - 2c: 9800 0x9800 - 2e: 0005 c.addi x0,1 + 28: 4006 0x4006 + 2a: 0009 c.addi x0,2 + 2c: 4000 c.lw x8,0(x8) + 2e: 0009 c.addi x0,2 30: 0600 c.addi4spn x8,x2,768 - 32: 05a0 c.addi4spn x8,x2,712 + 32: 0948 c.addi4spn x10,x2,148 34: 0000 c.unimp - 36: 068c c.addi4spn x11,x2,832 + 36: 0a34 c.addi4spn x13,x2,280 38: 0000 c.unimp - 3a: 9006 c.add x0,x1 - 3c: 0006 c.slli x0,0x1 - 3e: b800 c.fsd f8,48(x8) - 40: 0009 c.addi x0,2 + 3a: 3806 c.fldsp f16,96(x2) + 3c: 000a c.slli x0,0x2 + 3e: 6000 c.flw f8,0(x8) + 40: 000d c.addi x0,3 42: 0600 c.addi4spn x8,x2,768 - 44: 09bc c.addi4spn x15,x2,216 + 44: 0d64 c.addi4spn x9,x2,668 46: 0000 c.unimp - 48: 09c8 c.addi4spn x10,x2,212 + 48: 0d70 c.addi4spn x12,x2,668 4a: 0000 c.unimp 4c: 0600 c.addi4spn x8,x2,768 - 4e: 05bc c.addi4spn x15,x2,712 + 4e: 0964 c.addi4spn x9,x2,156 50: 0000 c.unimp - 52: 05e8 c.addi4spn x10,x2,716 + 52: 0990 c.addi4spn x12,x2,208 54: 0000 c.unimp - 56: 9006 c.add x0,x1 - 58: 0006 c.slli x0,0x1 - 5a: a400 c.fsd f8,8(x8) - 5c: 0006 c.slli x0,0x1 + 56: 3806 c.fldsp f16,96(x2) + 58: 000a c.slli x0,0x2 + 5a: 4c00 c.lw x8,24(x8) + 5c: 000a c.slli x0,0x2 5e: 0000 c.unimp - 60: 8806 c.mv x16,x1 - 62: 0006 c.slli x0,0x1 - 64: 8c00 0x8c00 - 66: 0006 c.slli x0,0x1 + 60: 3006 c.fldsp f0,96(x2) + 62: 000a c.slli x0,0x2 + 64: 3400 c.fld f8,40(x8) + 66: 000a c.slli x0,0x2 68: 0600 c.addi4spn x8,x2,768 - 6a: 0894 c.addi4spn x13,x2,80 + 6a: 0c3c c.addi4spn x15,x2,536 6c: 0000 c.unimp - 6e: 09b8 c.addi4spn x14,x2,216 + 6e: 0d60 c.addi4spn x8,x2,668 70: 0000 c.unimp 72: 0600 c.addi4spn x8,x2,768 - 74: 08b0 c.addi4spn x12,x2,88 + 74: 0c58 c.addi4spn x14,x2,532 76: 0000 c.unimp - 78: 08c0 c.addi4spn x8,x2,84 + 78: 0c68 c.addi4spn x10,x2,540 7a: 0000 c.unimp - 7c: c406 c.swsp x1,8(x2) - 7e: 0008 0x8 - 80: 3c00 c.fld f8,56(x8) - 82: 0009 c.addi x0,2 + 7c: 6c06 c.flwsp f24,64(x2) + 7e: 000c 0xc + 80: e400 c.fsw f8,8(x8) + 82: 000c 0xc 84: 0600 c.addi4spn x8,x2,768 - 86: 0940 c.addi4spn x8,x2,148 + 86: 0ce8 c.addi4spn x10,x2,604 88: 0000 c.unimp - 8a: 0944 c.addi4spn x9,x2,148 + 8a: 0cec c.addi4spn x11,x2,604 8c: 0000 c.unimp - 8e: 4c06 c.lwsp x24,64(x2) - 90: 0009 c.addi x0,2 - 92: 5000 c.lw x8,32(x8) - 94: 0009 c.addi x0,2 + 8e: f406 c.fswsp f1,40(x2) + 90: 000c 0xc + 92: f800 c.fsw f8,48(x8) + 94: 000c 0xc 96: 0000 c.unimp - 98: 3c06 c.fldsp f24,96(x2) - 9a: 0009 c.addi x0,2 - 9c: 4000 c.lw x8,0(x8) - 9e: 0009 c.addi x0,2 + 98: e406 c.fswsp f1,8(x2) + 9a: 000c 0xc + 9c: e800 c.fsw f8,16(x8) + 9e: 000c 0xc a0: 0600 c.addi4spn x8,x2,768 - a2: 0944 c.addi4spn x9,x2,148 + a2: 0cec c.addi4spn x11,x2,604 a4: 0000 c.unimp - a6: 094c c.addi4spn x11,x2,148 + a6: 0cf4 c.addi4spn x13,x2,604 a8: 0000 c.unimp - aa: 5006 0x5006 - ac: 0009 c.addi x0,2 - ae: 8800 0x8800 - b0: 0009 c.addi x0,2 + aa: f806 c.fswsp f1,48(x2) + ac: 000c 0xc + ae: 3000 c.fld f8,32(x8) + b0: 000d c.addi x0,3 b2: 0600 c.addi4spn x8,x2,768 - b4: 0990 c.addi4spn x12,x2,208 + b4: 0d38 c.addi4spn x14,x2,664 b6: 0000 c.unimp - b8: 09a4 c.addi4spn x9,x2,216 + b8: 0d4c c.addi4spn x11,x2,660 ba: 0000 c.unimp - bc: a806 c.fsdsp f1,16(x2) - be: 0009 c.addi x0,2 - c0: ac00 c.fsd f8,24(x8) - c2: 0009 c.addi x0,2 + bc: 5006 0x5006 + be: 000d c.addi x0,3 + c0: 5400 c.lw x8,40(x8) + c2: 000d c.addi x0,3 c4: 0000 c.unimp - c6: ac06 c.fsdsp f1,24(x2) - c8: 0006 c.slli x0,0x1 - ca: d800 c.sw x8,48(x8) - cc: 0006 c.slli x0,0x1 + c6: 5406 c.lwsp x8,96(x2) + c8: 000a c.slli x0,0x2 + ca: 8000 0x8000 + cc: 000a c.slli x0,0x2 ce: 0600 c.addi4spn x8,x2,768 - d0: 0770 c.addi4spn x12,x2,908 + d0: 0b18 c.addi4spn x14,x2,400 d2: 0000 c.unimp - d4: 0784 c.addi4spn x9,x2,960 + d4: 0b2c c.addi4spn x11,x2,408 d6: 0000 c.unimp d8: 0600 c.addi4spn x8,x2,768 - da: 078c c.addi4spn x11,x2,960 + da: 0b34 c.addi4spn x13,x2,408 dc: 0000 c.unimp - de: 0790 c.addi4spn x12,x2,960 + de: 0b38 c.addi4spn x14,x2,408 e0: 0000 c.unimp - e2: 9806 c.add x16,x1 - e4: a0000007 0xa0000007 - e8: 06000007 0x6000007 - ec: 07a4 c.addi4spn x9,x2,968 + e2: 4006 0x4006 + e4: 4800000b 0x4800000b + e8: 0600000b 0x600000b + ec: 0b4c c.addi4spn x11,x2,404 ee: 0000 c.unimp - f0: 07a8 c.addi4spn x10,x2,968 + f0: 0b50 c.addi4spn x12,x2,404 f2: 0000 c.unimp - f4: ac06 c.fsdsp f1,24(x2) - f6: 30000007 0x30000007 - fa: 0008 0x8 - fc: 0000 c.unimp - fe: 3406 c.fldsp f8,96(x2) - 100: 0008 0x8 - 102: 6800 c.flw f8,16(x8) - 104: 0008 0x8 + f4: 5406 c.lwsp x8,96(x2) + f6: d800000b 0xd800000b + fa: 0000000b 0xb + fe: dc06 c.swsp x1,56(x2) + 100: 1000000b 0x1000000b + 104: 000c 0xc 106: 0600 c.addi4spn x8,x2,768 - 108: 0880 c.addi4spn x8,x2,80 + 108: 0c28 c.addi4spn x10,x2,536 10a: 0000 c.unimp - 10c: 0894 c.addi4spn x13,x2,80 + 10c: 0c3c c.addi4spn x15,x2,536 10e: 0000 c.unimp ... diff --git a/examples/hdl4se_riscv/verilog/riscv_core_v3.v b/examples/hdl4se_riscv/verilog/riscv_core_v3.v index e5557022a17d929dd7c9f3b9ccf181595958d13d..23e671725c585b73e444ecebeb13a444127f5132 100644 --- a/examples/hdl4se_riscv/verilog/riscv_core_v3.v +++ b/examples/hdl4se_riscv/verilog/riscv_core_v3.v @@ -35,13 +35,13 @@ `define RISCVSTATE_INIT_REGX2 1 `define RISCVSTATE_READ_INST 2 `define RISCVSTATE_READ_REGS 3 -`define RISCVSTATE_WRITE_RD 4 -`define RISCVSTATE_EXEC_INST 5 +`define RISCVSTATE_EXEC_INST 4 +`define RISCVSTATE_WRITE_RD 5 `define RISCVSTATE_WAIT_LD 6 `define RISCVSTATE_WAIT_ST 7 `define RISCVSTATE_WAIT_DIV 8 -`define RAMSIZE 2048 +`define RAMSIZE 32768 (* HDL4SE="LCOM", @@ -92,8 +92,8 @@ module riscv_core( wire [4:0] rd = instr[11:7]; wire [2:0] func3 = instr[14:12]; reg cond; - wire signed [31:0] rs1 = regrddata; - wire signed [31:0] rs2 = regrddata2; + wire [31:0] rs1 = regrddata; + wire [31:0] rs2 = regrddata2; wire signed [31:0] rs1_s = rs1; wire signed [31:0] rs2_s = rs2; wire signed [31:0] imm_s = imm; diff --git a/examples/hdl4se_riscv/verilog/riscv_sim_dump_v3.v b/examples/hdl4se_riscv/verilog/riscv_sim_dump_v3.v index 9840d44d80a493cdd73c8f16a9cc0fe08e00bda5..530d5d17e3425d82f8c50588c2b594626129f158 100644 --- a/examples/hdl4se_riscv/verilog/riscv_sim_dump_v3.v +++ b/examples/hdl4se_riscv/verilog/riscv_sim_dump_v3.v @@ -24,13 +24,15 @@ module riscv_core output reg [32'h3:32'h0] regena2, output reg [32'h1f:32'h0] regwrdata2, output reg regwren2, - input [32'h1f:32'h0] regrddata + input [32'h1f:32'h0] regrddata2 ) ; wire [32'h4:32'h0] opcode; wire [32'h4:32'h0] rd; wire [32'h2:32'h0] func3; + wire [32'h1f:32'h0] rs1; + wire [32'h1f:32'h0] rs2; wire [32'h1f:32'h0] rs1_s; wire [32'h1f:32'h0] rs2_s; wire [32'h1f:32'h0] imm_s; @@ -51,13 +53,15 @@ module riscv_core assign bWriteAddr = writeaddr; assign bWriteData = writedata; assign bWriteMask = writemask; - assign div_result = (((rs2==32'h0))?(32'hffffffff):(div_result_r)); - assign divs_result = (((rs2==32'h0))?(32'hffffffff):(divs_result_r)); - assign mod_result = (((rs2==32'h0))?(rs1):(mod_result_r)); - assign mods_result = (((rs2==32'h0))?(rs1):(mods_result_r)); + assign div_result = div_result_r; + assign divs_result = divs_result_r; + assign mod_result = mod_result_r; + assign mods_result = mods_result_r; assign opcode = instr [6:2] ; assign rd = instr [11:7] ; assign func3 = instr [14:12] ; + assign rs1 = regrddata; + assign rs2 = regrddata2; assign rs1_s = rs1; assign rs2_s = rs2; assign imm_s = imm; @@ -86,7 +90,7 @@ module riscv_core end else begin - if ((state==7)) + if ((state==4)) begin case (opcode) 5'h1b: pc <= (pc+imm); @@ -101,22 +105,16 @@ module riscv_core if ((state==3)) instr <= bReadData; always @(posedge wClk) - if ((state==7)) + if ((state==4)) if ((opcode==5'h00)) readreg <= rd; always @(posedge wClk) - if ((state==4)) - rs1 <= regrddata; - always @(posedge wClk) - if ((state==5)) - rs2 <= regrddata; - always @(posedge wClk) if ((!(nwReset))) begin write <= 0; end else - if ((state==7)) + if ((state==4)) begin write <= 0; if ((opcode==5'h08)) @@ -184,29 +182,27 @@ module riscv_core 1: state <= 2; 2: state <= 3; 3: state <= 4; - 4: state <= 5; - 5: state <= 7; - 6: state <= 2; - 7: begin + 5: state <= 2; + 4: begin if ((opcode==5'h00)) - state <= 8; + state <= 6; else if ((opcode==5'h08)) - state <= 9; + state <= 7; else - if ((((opcode==5'h0c)&&instr [25] )&&func3 [2] )) + if (((((opcode==5'h0c)&&instr [25] )&&func3 [2] )&&(rs2!=0))) begin - state <= 10; + state <= 8; divclk <= 11; end else - state <= 6; + state <= 5; end - 8: state <= 6; - 9: state <= 2; - 10: begin + 6: state <= 5; + 7: state <= 2; + 8: begin if ((divclk==0)) - state <= 6; + state <= 5; else divclk <= (divclk-1); end @@ -214,17 +210,17 @@ module riscv_core end always @(posedge wClk) - if ((state==4)) + if ((state==3)) begin - case (opcode) - 5'h0d: imm <= {instr [31:12] ,12'b0}; - 5'h05: imm <= {instr [31:12] ,12'b0}; - 5'h1b: imm <= {{12{instr [31] }{,instr [19:12] ,instr [20] ,instr [30:21] ,1'b0}; - 5'h19: imm <= {{20{instr [31] }{,instr [31:20] }; - 5'h18: imm <= {{20{instr [31] }{,instr [7] ,instr [30:25] ,instr [11:8] ,1'b0}; - 5'h00: imm <= {{20{instr [31] }{,instr [31:20] }; - 5'h08: imm <= {{20{instr [31] }{,instr [31:25] ,instr [11:7] }; - 5'h04: imm <= {{20{instr [31] }{,instr [31:20] }; + case (bReadData [6:2] ) + 5'h0d: imm <= {bReadData [31:12] ,12'b0}; + 5'h05: imm <= {bReadData [31:12] ,12'b0}; + 5'h1b: imm <= {{12{bReadData [31] }{,bReadData [19:12] ,bReadData [20] ,bReadData [30:21] ,1'b0}; + 5'h19: imm <= {{20{bReadData [31] }{,bReadData [31:20] }; + 5'h18: imm <= {{20{bReadData [31] }{,bReadData [7] ,bReadData [30:25] ,bReadData [11:8] ,1'b0}; + 5'h00: imm <= {{20{bReadData [31] }{,bReadData [31:20] }; + 5'h08: imm <= {{20{bReadData [31] }{,bReadData [31:25] ,bReadData [11:7] }; + 5'h04: imm <= {{20{bReadData [31] }{,bReadData [31:20] }; endcase end @@ -235,36 +231,50 @@ module riscv_core regwren = 0; regena = 0; regwrdata = 0; + regno2 = bReadData [24:20] ; + regwren2 = 0; + regena2 = 0; + regwrdata2 = 0; end - 4: begin - regno = instr [24:20] ; - regwren = 0; - regena = 0; - regwrdata = 0; - end - 6: begin + 5: begin regwren = (((dstreg!=0))?(1):(0)); regno = dstreg; regena = 4'hf; regwrdata = dstvalue; + regwren2 = (((dstreg!=0))?(1):(0)); + regno2 = dstreg; + regena2 = 4'hf; + regwrdata2 = dstvalue; end 0: begin regwren = 1; regno = 1; regena = 4'hf; regwrdata = 32'h8c; + regwren2 = 1; + regno2 = 1; + regena2 = 4'hf; + regwrdata2 = 32'h8c; end 1: begin regwren = 1; regno = 2; regena = 4'hf; - regwrdata = ((2048*4)-16); + regwrdata = ((32768*4)-16); + regwren2 = 1; + regno2 = 2; + regena2 = 4'hf; + regwrdata2 = ((32768*4)-16); end default: begin regwren = 0; regno = 0; regena = 0; regwrdata = 0; + regwren2 = 0; + regno2 = 0; + regena2 = 0; + regwrdata2 = 0; end endcase @@ -274,7 +284,7 @@ module riscv_core ldaddr <= pc; end else - if ((state==7)) + if ((state==4)) begin if ((opcode==5'h00)) begin @@ -283,7 +293,7 @@ module riscv_core end always @(posedge wClk) case (state) - 8: begin + 6: begin dstreg <= readreg; case (func3) 0: begin @@ -326,42 +336,30 @@ module riscv_core endcase end - 10: if ((divclk==0)) + 8: if ((divclk==0)) begin dstreg <= 0; case (func3 [1:0] ) 0: begin dstreg <= rd; - if ((rs2==0)) - dstvalue <= 32'hffffffff; - else dstvalue <= divs_result; end 1: begin dstreg <= rd; - if ((rs2==0)) - dstvalue <= 32'hffffffff; - else dstvalue <= div_result; end 2: begin dstreg <= rd; - if ((rs2==0)) - dstvalue <= rs1; - else dstvalue <= mods_result; end 3: begin dstreg <= rd; - if ((rs2==0)) - dstvalue <= rs1; - else dstvalue <= mod_result; end endcase end - 7: begin + 4: begin dstreg <= rd; case (opcode) 5'h0d: begin @@ -410,20 +408,48 @@ module riscv_core dstvalue <= mul_result [63:32] ; end 4: begin - dstreg <= 0; - dstvalue <= 0; + if ((rs2==0)) + begin + dstvalue <= 32'hffffffff; + end + else + begin + dstreg <= 0; + dstvalue <= 0; + end end 5: begin - dstreg <= 0; - dstvalue <= 0; + if ((rs2==0)) + begin + dstvalue <= 32'hffffffff; + end + else + begin + dstreg <= 0; + dstvalue <= 0; + end end 6: begin - dstreg <= 0; - dstvalue <= 0; + if ((rs2==0)) + begin + dstvalue <= rs1; + end + else + begin + dstreg <= 0; + dstvalue <= 0; + end end 7: begin - dstreg <= 0; - dstvalue <= 0; + if ((rs2==0)) + begin + dstvalue <= rs1; + end + else + begin + dstreg <= 0; + dstvalue <= 0; + end end endcase @@ -484,7 +510,7 @@ module riscv_core bReadAddr = pc; end else - if ((state==7)) + if ((state==4)) begin if ((opcode==5'h00)) begin @@ -527,7 +553,7 @@ endmodule *) module ram8kb ( - input [32'ha:32'h0] address, + input [32'h1d:32'h0] address, input [32'h3:32'h0] byteena, input clock, input [32'h1f:32'h0] data, @@ -600,7 +626,7 @@ module top wire [32'h3:32'h0] bWriteMask; wire wRead_out; wire [32'h1f:32'h0] bReadAddr_out; - wire [32'ha:32'h0] ramaddr; + wire [32'h1d:32'h0] ramaddr; wire [32'h4:32'h0] regno; wire [32'h3:32'h0] regena; wire [32'h1f:32'h0] regwrdata; @@ -611,15 +637,15 @@ module top wire [32'h1f:32'h0] regwrdata2; wire regwren2; wire [32'h1f:32'h0] regrddata2; - assign bReadData = ((((bReadAddr_out&32'hffffff00)==32'hf0000000))?(bReadDataKey):(((((bReadAddr_out&32'hffffc000)==32'h0))?(bReadDataRam):(32'hffffffff)))); - assign ramaddr = ((wWrite)?(bWriteAddr [12:2] ):(bReadAddr [12:2] )); + assign bReadData = ((((bReadAddr_out&32'hffffff00)==32'hf0000000))?(bReadDataKey):(((((bReadAddr_out&32'hff000000)==32'h0))?(bReadDataRam):(32'hffffffff)))); + assign ramaddr = ((wWrite)?(bWriteAddr [31:2] ):(bReadAddr [31:2] )); hdl4se_reg #( 32'h1 ) readcmd( wClk, wRead, wRead_out ); hdl4se_reg #( 32'h20 ) readaddr( wClk, bReadAddr, bReadAddr_out ); regfile regs( regno, regena, wClk, regwrdata, regwren, regrddata ); regfile regs2( regno2, regena2, wClk, regwrdata2, regwren2, regrddata2 ); - ram8kb ram( ramaddr, (~(bWriteMask)), wClk, bWriteData, wWrite, bReadDataRam + ram8kb ram( ramaddr, (~(bWriteMask)), wClk, bWriteData, ((((bWriteAddr&32'hff000000)==32'h0))?(wWrite):(0)), bReadDataRam ); digitled led( wClk, nwReset, wWrite, bWriteAddr, bWriteData, bWriteMask , wRead, bReadAddr, bReadDataKey ); diff --git a/examples/hdl4se_riscv/verilog/riscv_sim_v3.v b/examples/hdl4se_riscv/verilog/riscv_sim_v3.v index e03709a5655b2e7cfafdcfffe19a2936d2214fa3..e8b31cae33674409a6a98325336f922654a7f754 100644 --- a/examples/hdl4se_riscv/verilog/riscv_sim_v3.v +++ b/examples/hdl4se_riscv/verilog/riscv_sim_v3.v @@ -55,7 +55,7 @@ endmodule softmodule="hdl4se" *) module ram8kb ( - input [10:0] address, + input [29:0] address, input [3:0] byteena, input clock, input [31:0] data, @@ -107,11 +107,11 @@ module top(input wClk, nwReset); assign bReadData = ((bReadAddr_out & 32'hffffff00) == 32'hf0000000) ? bReadDataKey : ( - ((bReadAddr_out & 32'hffffc000) == 32'h00000000) ? bReadDataRam : (32'hffffffff) + ((bReadAddr_out & 32'hff000000) == 32'h00000000) ? bReadDataRam : (32'hffffffff) ); - wire [10:0] ramaddr; - assign ramaddr = wWrite?bWriteAddr[12:2]:bReadAddr[12:2]; + wire [29:0] ramaddr; + assign ramaddr = wWrite?bWriteAddr[31:2]:bReadAddr[31:2]; wire [4:0] regno; wire [3:0] regena; @@ -126,7 +126,7 @@ module top(input wClk, nwReset); regfile regs(regno, regena, wClk, regwrdata, regwren, regrddata); regfile regs2(regno2, regena2, wClk, regwrdata2, regwren2, regrddata2); - ram8kb ram(ramaddr, ~bWriteMask, wClk, bWriteData, wWrite, bReadDataRam); + ram8kb ram(ramaddr, ~bWriteMask, wClk, bWriteData, ((bWriteAddr & 32'hff000000) == 0)?wWrite:1'b0, bReadDataRam); digitled led(wClk, nwReset, wWrite, bWriteAddr, bWriteData, bWriteMask, wRead, bReadAddr, bReadDataKey); riscv_core core(wClk, nwReset, wWrite, bWriteAddr, bWriteData, bWriteMask, wRead, bReadAddr, bReadData, regno, regena, regwrdata, regwren, regrddata,