diff --git a/examples/hdl4se_riscv/de2/.qsys_edit/layout.xml b/examples/hdl4se_riscv/de2/.qsys_edit/layout.xml new file mode 100644 index 0000000000000000000000000000000000000000..38f89c64165b29ba7801db2a0fe149c0e4aa0d56 --- /dev/null +++ b/examples/hdl4se_riscv/de2/.qsys_edit/layout.xml @@ -0,0 +1,1848 @@ + + + + + + + + + + + + + ccontrol center + true + + false + + + + + + + + + + + + + + + + + + + + + + + + + dock.CContentArea.center + + + + + + 0 + + 0 + dock.PlaceholderList + + + dock.single.Library + + true + + id + index + placeholder + + 0 + 0 + dock.single.Library + + + + + + + + + Library + + + + + + + + + + 0 + + 0 + dock.PlaceholderList + + + dock.single.Hierarchy + + true + + id + index + placeholder + + 0 + 0 + dock.single.Hierarchy + + + + + + + + + Hierarchy + + + + + + + + + + 0 + + 0 + dock.PlaceholderList + + + dock.single.System\ Contents + + true + + id + index + placeholder + + 0 + 0 + dock.single.System\ Contents + + + + dock.single.Address\ Map + + true + + id + index + placeholder + + 1 + 1 + dock.single.Address\ Map + + + + dock.single.Instrumentation + + true + + id + index + placeholder + + 2 + 2 + dock.single.Instrumentation + + + + dock.single.Clock\ Settings + + true + + id + index + placeholder + + 3 + 3 + dock.single.Clock\ Settings + + + + dock.single.Instance\ Parameters + + true + + id + index + placeholder + + 4 + 4 + dock.single.Instance\ Parameters + + + + dock.single.Project\ Settings + + true + + id + index + placeholder + + 5 + 5 + dock.single.Project\ Settings + + + + dock.single.HDL\ Example + + true + + id + index + placeholder + + 6 + 6 + dock.single.HDL\ Example + + + + dock.single.Generation + + true + + id + index + placeholder + + 7 + 7 + dock.single.Generation + + + + dock.single.Connections + + true + + id + index + placeholder + + 8 + 8 + dock.single.Connections + + + + dock.single.Data\ Path + + true + + id + index + placeholder + + 9 + 9 + dock.single.Data\ Path + + + + dock.single.Domains + + true + + id + index + placeholder + + 10 + 10 + dock.single.Domains + + + + + + + + + System Contents + + + + + + + + + + Address Map + + + + + + + + + + Instrumentation + + + + + + + + + + Clock Settings + + + + + + + + + + Instance Parameters + + + + + + + + + + Project Settings + + + + + + + + + + HDL Example + + + + + + + + + + Generation + + + + + + + + + + Connections + + + + + + + + + + Data Path + + + + + + + + + + Domains + + + + + + + + + + 0 + + 0 + dock.PlaceholderList + + + dock.single.Parameter\ Editor + + true + + id + index + placeholder + + 0 + 0 + dock.single.Parameter\ Editor + + + + + + + + + Parameter Editor + + + + + + + + + + 0 + + 0 + dock.PlaceholderList + + + dock.single.Block\ Symbol + + true + + id + index + placeholder + + 0 + 0 + dock.single.Block\ Symbol + + + + dock.single.Element\ Docs + + true + + id + index + placeholder + + 1 + 1 + dock.single.Element\ Docs + + + + + + + + + Block Symbol + + + + + + + + + + Element Docs + + + + + + + + + + 0 + + 0 + dock.PlaceholderList + + + dock.single.Presets + + true + + id + index + placeholder + + 0 + 0 + dock.single.Presets + + + + + + + + + Presets + + + + + + + + + + 0 + + 0 + dock.PlaceholderList + + + dock.single.Messages + + true + + id + index + placeholder + + 0 + 0 + dock.single.Messages + + + + + + + + + Messages + + + + + + + + + + + + + + ccontrol south + true + + + + 0 + dock.PlaceholderList + + + + + + + dock.CContentArea.minimize + + + + + + + + + ccontrol north + true + + + + 0 + dock.PlaceholderList + + + + + + + dock.CContentArea.minimize + + + + + + + + + external + true + + + 0 + dock.PlaceholderList + + + + + + + dock.CExternalizeArea + + + + + + + + + ccontrol east + true + + + + 0 + dock.PlaceholderList + + + + + + + dock.CContentArea.minimize + + + + + + + + + ccontrol west + true + + + + 0 + dock.PlaceholderList + + + + + + + dock.CContentArea.minimize + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + ccontrol center + true + + false + + + + + dock.single.Library + + + 0 + dock.PlaceholderList + + + + + dock.single.Hierarchy + + + + + + + + + dock.single.Clocks + dock.single.Project\ Settings + dock.single.Generation + dock.single.Instrumentation\ \-\ Beta + dock.single.Connections + dock.single.Parameters + dock.single.Connections\ \-\ Beta + dock.single.Clock\ Settings + dock.single.Address\ Map + dock.single.System\ Contents + dock.single.Interconnect\ Requirements + dock.single.Instance\ Parameters + dock.single.Clock\ Domains\ \-\ Beta + dock.single.Data\ Path + dock.single.Data\ Path\ \-\ Beta + dock.single.Instrumentation + dock.single.Domains + dock.single.HDL\ Example + + + 0 + dock.PlaceholderList + + + + + dock.single.Parameter\ Editor + + + + + + + dock.single.Element\ Docs + dock.single.Block\ Symbol + + + + + dock.single.Presets + + + + + + + dock.single.Messages + + + 0 + dock.PlaceholderList + + + + + + + + + + dock.CContentArea.center + + + + + + + + Messages + + + + + + + + 0 + + 0 + dock.PlaceholderList + + + dock.single.System\ Contents + + true + + id + index + placeholder + + 0 + 0 + dock.single.System\ Contents + + + + dock.single.Address\ Map + + true + + id + index + placeholder + + 1 + 1 + dock.single.Address\ Map + + + + dock.single.Instrumentation\ \-\ Beta + + + + + dock.single.Instance\ Parameters + + + + + dock.single.Project\ Settings + + true + + id + index + placeholder + + 2 + 2 + dock.single.Project\ Settings + + + + dock.single.Clocks + + + + + dock.single.Clock\ Domains\ \-\ Beta + + + + + dock.single.Connections\ \-\ Beta + + + + + dock.single.Interconnect\ Requirements + + + + + dock.single.Data\ Path\ \-\ Beta + + + + + dock.single.Parameters + + + + + + + + + + System Contents + + + + + + + + + + Address Map + + + + + + + + + + Project Settings + + + + + + + + + + + + Library + + + + + + + + + + Hierarchy + + + + + + + + + + + + true + + + 0 + dock.PlaceholderList + + + + + + + dock.CExternalizeArea + + + + + + + + + true + + + + 0 + dock.PlaceholderList + + + dock.single.Hierarchy + + + + + + + + + dock.CContentArea.minimize + + + + + + + + + true + + + + 0 + dock.PlaceholderList + + + + + + + dock.CContentArea.minimize + + + + + + + + + true + + + + 0 + dock.PlaceholderList + + + + + + + dock.CContentArea.minimize + + + + + + + + + true + + + + 0 + dock.PlaceholderList + + + + + + + dock.CContentArea.minimize + + + + + + + + + + dock.single.Clock\ Domains\ \-\ Beta + + + + + + + + + + 6 + dock.single.Clock\ Domains\ \-\ Beta + + + + + + + Clock Domains - Beta + + + + + + + + + + dock.single.Instance\ Parameters + + + + + + + + + + 3 + dock.single.Instance\ Parameters + + + + + + + Instance Parameters + + + + + + + + + + dock.single.Clocks + + + + + + + + + + 5 + dock.single.Clocks + + + + + + + Clocks + + + + + + + + + + dock.single.Connections\ \-\ Beta + + + + + + + + + + 7 + dock.single.Connections\ \-\ Beta + + + + + + + Connections - Beta + + + + + + + + + + dock.single.Parameters + + + + + + + + + + 10 + dock.single.Parameters + + + + + + + Parameters + + + + + + + + + + dock.single.Presets + + + + + + + + + + + + + + Presets + + + + + + + + + + dock.single.Instrumentation\ \-\ Beta + + + + + + + + + + 2 + dock.single.Instrumentation\ \-\ Beta + + + + + + + Instrumentation - Beta + + + + + + + + + + dock.single.Interconnect\ Requirements + + + + + + + + + + 8 + dock.single.Interconnect\ Requirements + + + + + + + Interconnect Requirements + + + + + + + + + + dock.single.Block\ Symbol + + + + + + + + + + + + + + Block Symbol + + + + + + + + + + dock.single.Data\ Path\ \-\ Beta + + + + + + + + + + 9 + dock.single.Data\ Path\ \-\ Beta + + + + + + + Data Path - Beta + + + + + + + + + + + + + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Project\ Settings + + + + + + + + + + + 5 + dock.single.Project\ Settings + + + + + + + + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Library + + + + + + + + + + + + + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.System\ Contents + + + + + + + + + + + 0 + dock.single.System\ Contents + + + + + + + + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Clock\ Domains\ \-\ Beta + + + + + + + + + + + 9 + dock.single.Clock\ Domains\ \-\ Beta + + + + + + + + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Instance\ Parameters + + + + + + + + + + + 4 + dock.single.Instance\ Parameters + + + + + + + + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Address\ Map + + + + + + + + + + + 1 + dock.single.Address\ Map + + + + + + + + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Clocks + + + + + + + + + + + 3 + dock.single.Clocks + + + + + + + + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Connections\ \-\ Beta + + + + + + + + + + + 7 + dock.single.Connections\ \-\ Beta + + + + + + + + dock.mode.minimized + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Parameters + + + + + + + + + + 1 + dock.single.Parameters + + + + + dock.mode.minimized + ccontrol west + + + 0 + false + 400 + dock.single.Parameters + + + + + + + + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Presets + + + + + + + + + + + + + + + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Messages + + + + + + + + + + + + + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Instrumentation\ \-\ Beta + + + + + + + + + + + 2 + dock.single.Instrumentation\ \-\ Beta + + + + + + + + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Interconnect\ Requirements + + + + + + + + + + + 8 + dock.single.Interconnect\ Requirements + + + + + + + + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Block\ Symbol + + + + + + + + + + 0 + dock.single.Block\ Symbol + + + + + + + + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Data\ Path\ \-\ Beta + + + + + + + + + + + 8 + dock.single.Data\ Path\ \-\ Beta + + + + + + + + dock.mode.minimized + dock.mode.normal + + + + dock.mode.normal + ccontrol center + + + dock.single.Hierarchy + + + + + + + + + + dock.mode.minimized + ccontrol north + + + 0 + false + 400 + dock.single.Hierarchy + + + + + + + + + + + + + + + + eclipse + + \ No newline at end of file diff --git a/examples/hdl4se_riscv/de2/.qsys_edit/preferences.xml b/examples/hdl4se_riscv/de2/.qsys_edit/preferences.xml index ed675959e15b5ec3b4d5a04860621e87185bdc0f..0efec3d58982bb6b55d864dd8562606170baf0f6 100644 --- a/examples/hdl4se_riscv/de2/.qsys_edit/preferences.xml +++ b/examples/hdl4se_riscv/de2/.qsys_edit/preferences.xml @@ -3,7 +3,7 @@ - + @@ -15,5 +15,5 @@ - + diff --git a/examples/hdl4se_riscv/de2/PLLJ_PLLSPE_INFO.txt b/examples/hdl4se_riscv/de2/PLLJ_PLLSPE_INFO.txt index e89916ead20df3307605a8153108e0507f4ef104..3c55fb6bf699b0fa983e79a4e9ca234de081721e 100644 --- a/examples/hdl4se_riscv/de2/PLLJ_PLLSPE_INFO.txt +++ b/examples/hdl4se_riscv/de2/PLLJ_PLLSPE_INFO.txt @@ -1,4 +1,4 @@ -PLL_Name pllqsys:clk100|pllqsys_altpll_0:altpll_0|pllqsys_altpll_0_altpll_r342:sd1|pll7 +PLL_Name pllqsys:comb_9|pllqsys_altpll_0:altpll_0|pllqsys_altpll_0_altpll_m342:sd1|pll7 PLLJITTER 30 PLLSPEmax 84 PLLSPEmin -53 diff --git a/examples/hdl4se_riscv/de2/de2_riscv_v4.qsf b/examples/hdl4se_riscv/de2/de2_riscv_v4.qsf index b2f54d311164869b85753d3f5b88e1d2214559a2..f2b5063043d41956d4ec6b0e2caf79eb1ce4f458 100644 --- a/examples/hdl4se_riscv/de2/de2_riscv_v4.qsf +++ b/examples/hdl4se_riscv/de2/de2_riscv_v4.qsf @@ -5,7 +5,7 @@ set_global_assignment -name FAMILY "Cyclone IV E" set_global_assignment -name DEVICE EP4CE115F29C7 set_global_assignment -name TOP_LEVEL_ENTITY "de2_riscv_v4" -set_global_assignment -name ORIGINAL_QUARTUS_VERSION "12.0" +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 12.0 set_global_assignment -name LAST_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:51:38 SEPTEMBER 01,2021" set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA @@ -1013,6 +1013,9 @@ set_global_assignment -name VERILOG_FILE ../verilog/uart/uart_ctrl.v set_global_assignment -name VERILOG_FILE ../verilog/uart/hdl4se_uart.v set_global_assignment -name VERILOG_FILE de2_riscv_v4.v set_global_assignment -name SDC_FILE de2_riscv_v4.SDC -set_global_assignment -name QIP_FILE ../de1/clk/clk100M.qip -set_global_assignment -name SIP_FILE ../de1/clk/clk100M.sip +set_global_assignment -name QIP_FILE ../verilog/altera/alu/mulsu.qip +set_global_assignment -name QIP_FILE ../verilog/altera/alu/mult.qip +set_global_assignment -name QIP_FILE ../verilog/altera/alu/mult_s.qip +set_global_assignment -name QIP_FILE ../verilog/altera/alu/div.qip +set_global_assignment -name QIP_FILE ../verilog/altera/alu/div_s.qip set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/examples/hdl4se_riscv/de2/de2_riscv_v4.qws b/examples/hdl4se_riscv/de2/de2_riscv_v4.qws deleted file mode 100644 index 72d2ca26799639729cbd91806aff9f054ae9106f..0000000000000000000000000000000000000000 Binary files a/examples/hdl4se_riscv/de2/de2_riscv_v4.qws and /dev/null differ diff --git a/examples/hdl4se_riscv/de2/de2_riscv_v4.sof b/examples/hdl4se_riscv/de2/de2_riscv_v4.sof index e4a665c48dac6b0d2771e587950502a76df4bbff..acb90dc67b23945233bbba08b57ab02f5b1df8f0 100644 Binary files a/examples/hdl4se_riscv/de2/de2_riscv_v4.sof and b/examples/hdl4se_riscv/de2/de2_riscv_v4.sof differ diff --git a/examples/hdl4se_riscv/de2/de2_riscv_v4.v b/examples/hdl4se_riscv/de2/de2_riscv_v4.v index f5a60119f4379d6858b347e7e069a497dbd73ae7..8172189f9766cfe51385900f8eba512cf1007473 100644 --- a/examples/hdl4se_riscv/de2/de2_riscv_v4.v +++ b/examples/hdl4se_riscv/de2/de2_riscv_v4.v @@ -3,7 +3,7 @@ // This code is generated by Terasic System Builder //======================================================= -`define USECLOCK50 +`define USECLOCK50__ module de2_riscv_v4( //////////// CLOCK ////////// @@ -376,17 +376,21 @@ inout [35:0] GPIO; `ifdef USECLOCK50 wire wClk = CLOCK_50; + wire nwReset = KEY[3]; `else - wire clk100MHz, clk75MHz, clklocked; - clk100M clk100(.refclk(CLOCK_50), - .rst(~KEY[3]), - .outclk_0(clk100MHz), - .outclk_1(clk75MHz), - .locked(clklocked)); + wire clk100MHz; + wire nwReset = KEY[3]; + pllqsys ( + .clk_clk(CLOCK_50), // clk.clk + .reset_reset_n(nwReset), // reset.reset_n + .altpll_0_c0_clk(clk100MHz), // altpll_0_c0.clk + .altpll_0_areset_conduit_export(1'b0), // altpll_0_areset_conduit.export + .altpll_0_inclk_interface_reset_reset(~nwReset) // altpll_0_inclk_interface_reset.reset + ); wire wClk = clk100MHz; `endif - wire nwReset = KEY[3]; + wire wWrite, wRead; wire [31:0] bWriteAddr, bWriteData, bReadAddr, bReadData, bReadDataRam, bReadDataKey, bReadDataUart; diff --git a/examples/hdl4se_riscv/de2/div.qip b/examples/hdl4se_riscv/de2/div.qip new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/examples/hdl4se_riscv/de2/div_s.qip b/examples/hdl4se_riscv/de2/div_s.qip new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/examples/hdl4se_riscv/de2/mulsu.qip b/examples/hdl4se_riscv/de2/mulsu.qip new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/examples/hdl4se_riscv/de2/mult.qip b/examples/hdl4se_riscv/de2/mult.qip new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/examples/hdl4se_riscv/de2/mult_s.qip b/examples/hdl4se_riscv/de2/mult_s.qip new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/examples/hdl4se_riscv/de2/qsys/.qsys_edit/pllqsys.xml b/examples/hdl4se_riscv/de2/qsys/.qsys_edit/pllqsys.xml index 38f89c64165b29ba7801db2a0fe149c0e4aa0d56..be566693b874871863c0c2734cb32cf23b28ad8d 100644 --- a/examples/hdl4se_riscv/de2/qsys/.qsys_edit/pllqsys.xml +++ b/examples/hdl4se_riscv/de2/qsys/.qsys_edit/pllqsys.xml @@ -553,14 +553,13 @@ - + - + - ccontrol south + external true - - + 0 dock.PlaceholderList @@ -570,7 +569,7 @@ - dock.CContentArea.minimize + dock.CExternalizeArea @@ -597,13 +596,14 @@ - + - + - external + ccontrol south true - + + 0 dock.PlaceholderList @@ -613,7 +613,7 @@ - dock.CExternalizeArea + dock.CContentArea.minimize @@ -761,7 +761,7 @@ false - + dock.single.Library @@ -770,7 +770,7 @@ dock.PlaceholderList - + dock.single.Hierarchy @@ -795,9 +795,9 @@ dock.single.Instance\ Parameters dock.single.Clock\ Domains\ \-\ Beta dock.single.Data\ Path - dock.single.Data\ Path\ \-\ Beta - dock.single.Instrumentation dock.single.Domains + dock.single.Instrumentation + dock.single.Data\ Path\ \-\ Beta dock.single.HDL\ Example @@ -825,7 +825,7 @@ - + dock.single.Messages @@ -846,10 +846,10 @@ - - + + - Messages + Library @@ -979,20 +979,20 @@ - - + + - Library + Hierarchy - - + + - Hierarchy + Messages diff --git a/examples/hdl4se_riscv/de2/qsys/.qsys_edit/preferences.xml b/examples/hdl4se_riscv/de2/qsys/.qsys_edit/preferences.xml index 1f4e5b34901db1d6ccd55e9a637a034b98631d92..7924b81061e5e860f974ed7d7612fd86000ad720 100644 --- a/examples/hdl4se_riscv/de2/qsys/.qsys_edit/preferences.xml +++ b/examples/hdl4se_riscv/de2/qsys/.qsys_edit/preferences.xml @@ -15,5 +15,5 @@ - + diff --git a/examples/hdl4se_riscv/de2/qsys/pllqsys.html b/examples/hdl4se_riscv/de2/qsys/pllqsys.html index fa9f690c4442a8b5d7925d639ebdaedc2a2ff253..abe6c1be39eb1b5cc15a75922a3b80dcbdc94c44 100644 --- a/examples/hdl4se_riscv/de2/qsys/pllqsys.html +++ b/examples/hdl4se_riscv/de2/qsys/pllqsys.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2021.09.02.06:19:522021.09.04.17:30:36 Datasheet
@@ -311,7 +311,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord CLK0_MULTIPLY_BY - 3 + 2 CLK1_MULTIPLY_BY @@ -367,7 +367,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord CLK0_DIVIDE_BY - 5 + 1 CLK1_DIVIDE_BY @@ -807,11 +807,11 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord HIDDEN_CONSTANTS - CT#PORT_clk5 PORT_UNUSED CT#PORT_clk4 PORT_UNUSED CT#PORT_clk3 PORT_UNUSED CT#PORT_clk2 PORT_UNUSED CT#PORT_clk1 PORT_UNUSED CT#PORT_clk0 PORT_USED CT#CLK0_MULTIPLY_BY 3 CT#PORT_SCANWRITE PORT_UNUSED CT#PORT_SCANACLR PORT_UNUSED CT#PORT_PFDENA PORT_UNUSED CT#PORT_PLLENA PORT_UNUSED CT#PORT_SCANDATA PORT_UNUSED CT#PORT_SCANCLKENA PORT_UNUSED CT#WIDTH_CLOCK 5 CT#PORT_SCANDATAOUT PORT_UNUSED CT#LPM_TYPE altpll CT#PLL_TYPE AUTO CT#CLK0_PHASE_SHIFT 0 CT#PORT_PHASEDONE PORT_UNUSED CT#OPERATION_MODE NORMAL CT#PORT_CONFIGUPDATE PORT_UNUSED CT#COMPENSATE_CLOCK CLK0 CT#PORT_CLKSWITCH PORT_UNUSED CT#INCLK0_INPUT_FREQUENCY 20000 CT#PORT_SCANDONE PORT_UNUSED CT#PORT_CLKLOSS PORT_UNUSED CT#PORT_INCLK1 PORT_UNUSED CT#AVALON_USE_SEPARATE_SYSCLK NO CT#PORT_INCLK0 PORT_USED CT#PORT_clkena5 PORT_UNUSED CT#PORT_clkena4 PORT_UNUSED CT#PORT_clkena3 PORT_UNUSED CT#PORT_clkena2 PORT_UNUSED CT#PORT_clkena1 PORT_UNUSED CT#PORT_clkena0 PORT_UNUSED CT#PORT_ARESET PORT_USED CT#BANDWIDTH_TYPE AUTO CT#INTENDED_DEVICE_FAMILY {Cyclone IV E} CT#PORT_SCANREAD PORT_UNUSED CT#PORT_PHASESTEP PORT_UNUSED CT#PORT_SCANCLK PORT_UNUSED CT#PORT_CLKBAD1 PORT_UNUSED CT#PORT_CLKBAD0 PORT_UNUSED CT#PORT_FBIN PORT_UNUSED CT#PORT_PHASEUPDOWN PORT_UNUSED CT#PORT_extclk3 PORT_UNUSED CT#PORT_extclk2 PORT_UNUSED CT#PORT_extclk1 PORT_UNUSED CT#PORT_PHASECOUNTERSELECT PORT_UNUSED CT#PORT_extclk0 PORT_UNUSED CT#PORT_ACTIVECLOCK PORT_UNUSED CT#CLK0_DUTY_CYCLE 50 CT#CLK0_DIVIDE_BY 5 CT#PORT_LOCKED PORT_USED + CT#PORT_clk5 PORT_UNUSED CT#PORT_clk4 PORT_UNUSED CT#PORT_clk3 PORT_UNUSED CT#PORT_clk2 PORT_UNUSED CT#PORT_clk1 PORT_UNUSED CT#PORT_clk0 PORT_USED CT#CLK0_MULTIPLY_BY 2 CT#PORT_SCANWRITE PORT_UNUSED CT#PORT_SCANACLR PORT_UNUSED CT#PORT_PFDENA PORT_UNUSED CT#PORT_PLLENA PORT_UNUSED CT#PORT_SCANDATA PORT_UNUSED CT#PORT_SCANCLKENA PORT_UNUSED CT#WIDTH_CLOCK 5 CT#PORT_SCANDATAOUT PORT_UNUSED CT#LPM_TYPE altpll CT#PLL_TYPE AUTO CT#CLK0_PHASE_SHIFT 0 CT#PORT_PHASEDONE PORT_UNUSED CT#OPERATION_MODE NORMAL CT#PORT_CONFIGUPDATE PORT_UNUSED CT#COMPENSATE_CLOCK CLK0 CT#PORT_CLKSWITCH PORT_UNUSED CT#INCLK0_INPUT_FREQUENCY 20000 CT#PORT_SCANDONE PORT_UNUSED CT#PORT_CLKLOSS PORT_UNUSED CT#PORT_INCLK1 PORT_UNUSED CT#AVALON_USE_SEPARATE_SYSCLK NO CT#PORT_INCLK0 PORT_USED CT#PORT_clkena5 PORT_UNUSED CT#PORT_clkena4 PORT_UNUSED CT#PORT_clkena3 PORT_UNUSED CT#PORT_clkena2 PORT_UNUSED CT#PORT_clkena1 PORT_UNUSED CT#PORT_clkena0 PORT_UNUSED CT#PORT_ARESET PORT_USED CT#BANDWIDTH_TYPE AUTO CT#INTENDED_DEVICE_FAMILY {Cyclone IV E} CT#PORT_SCANREAD PORT_UNUSED CT#PORT_PHASESTEP PORT_UNUSED CT#PORT_SCANCLK PORT_UNUSED CT#PORT_CLKBAD1 PORT_UNUSED CT#PORT_CLKBAD0 PORT_UNUSED CT#PORT_FBIN PORT_UNUSED CT#PORT_PHASEUPDOWN PORT_UNUSED CT#PORT_extclk3 PORT_UNUSED CT#PORT_extclk2 PORT_UNUSED CT#PORT_extclk1 PORT_UNUSED CT#PORT_PHASECOUNTERSELECT PORT_UNUSED CT#PORT_extclk0 PORT_UNUSED CT#PORT_ACTIVECLOCK PORT_UNUSED CT#CLK0_DUTY_CYCLE 50 CT#CLK0_DIVIDE_BY 1 CT#PORT_LOCKED PORT_USED HIDDEN_PRIVATES - PT#GLOCKED_FEATURE_ENABLED 0 PT#SPREAD_FEATURE_ENABLED 0 PT#BANDWIDTH_FREQ_UNIT MHz PT#CUR_DEDICATED_CLK c0 PT#INCLK0_FREQ_EDIT 50.000 PT#BANDWIDTH_PRESET Low PT#PLL_LVDS_PLL_CHECK 0 PT#BANDWIDTH_USE_PRESET 0 PT#AVALON_USE_SEPARATE_SYSCLK NO PT#PLL_ENHPLL_CHECK 0 PT#OUTPUT_FREQ_UNIT0 MHz PT#PHASE_RECONFIG_FEATURE_ENABLED 1 PT#CREATE_CLKBAD_CHECK 0 PT#CLKSWITCH_CHECK 0 PT#INCLK1_FREQ_EDIT 100.000 PT#NORMAL_MODE_RADIO 1 PT#SRC_SYNCH_COMP_RADIO 0 PT#PLL_ARESET_CHECK 1 PT#LONG_SCAN_RADIO 1 PT#SCAN_FEATURE_ENABLED 1 PT#PHASE_RECONFIG_INPUTS_CHECK 0 PT#USE_CLK0 1 PT#PRIMARY_CLK_COMBO inclk0 PT#BANDWIDTH 1.000 PT#GLOCKED_COUNTER_EDIT_CHANGED 1 PT#PLL_FASTPLL_CHECK 0 PT#SPREAD_FREQ_UNIT KHz PT#PLL_AUTOPLL_CHECK 1 PT#LVDS_PHASE_SHIFT_UNIT0 deg PT#SWITCHOVER_FEATURE_ENABLED 0 PT#MIG_DEVICE_SPEED_GRADE Any PT#OUTPUT_FREQ_MODE0 1 PT#BANDWIDTH_FEATURE_ENABLED 1 PT#INCLK0_FREQ_UNIT_COMBO MHz PT#ZERO_DELAY_RADIO 0 PT#OUTPUT_FREQ0 30.00000000 PT#SHORT_SCAN_RADIO 0 PT#LVDS_MODE_DATA_RATE_DIRTY 0 PT#CUR_FBIN_CLK c0 PT#PLL_ADVANCED_PARAM_CHECK 0 PT#CLKBAD_SWITCHOVER_CHECK 0 PT#PHASE_SHIFT_STEP_ENABLED_CHECK 0 PT#DEVICE_SPEED_GRADE Any PT#PLL_FBMIMIC_CHECK 0 PT#LVDS_MODE_DATA_RATE {Not Available} PT#LOCKED_OUTPUT_CHECK 1 PT#SPREAD_PERCENT 0.500 PT#PHASE_SHIFT0 0.00000000 PT#DIV_FACTOR0 1 PT#CNX_NO_COMPENSATE_RADIO 0 PT#USE_CLKENA0 0 PT#CREATE_INCLK1_CHECK 0 PT#GLOCK_COUNTER_EDIT 1048575 PT#INCLK1_FREQ_UNIT_COMBO MHz PT#EFF_OUTPUT_FREQ_VALUE0 30.000000 PT#SPREAD_FREQ 50.000 PT#USE_MIL_SPEED_GRADE 0 PT#EXPLICIT_SWITCHOVER_COUNTER 0 PT#STICKY_CLK0 1 PT#EXT_FEEDBACK_RADIO 0 PT#MIRROR_CLK0 0 PT#SWITCHOVER_COUNT_EDIT 1 PT#SELF_RESET_LOCK_LOSS 0 PT#PLL_PFDENA_CHECK 0 PT#INT_FEEDBACK__MODE_RADIO 1 PT#INCLK1_FREQ_EDIT_CHANGED 1 PT#CLKLOSS_CHECK 0 PT#SYNTH_WRAPPER_GEN_POSTFIX 0 PT#PHASE_SHIFT_UNIT0 deg PT#BANDWIDTH_USE_AUTO 1 PT#HAS_MANUAL_SWITCHOVER 1 PT#MULT_FACTOR0 1 PT#SPREAD_USE 0 PT#GLOCKED_MODE_CHECK 0 PT#SACN_INPUTS_CHECK 0 PT#DUTY_CYCLE0 50.00000000 PT#INTENDED_DEVICE_FAMILY {Cyclone IV E} PT#PLL_TARGET_HARCOPY_CHECK 0 PT#INCLK1_FREQ_UNIT_CHANGED 1 PT#RECONFIG_FILE ALTPLL1630534604000345.mif PT#ACTIVECLK_CHECK 0 + PT#GLOCKED_FEATURE_ENABLED 0 PT#SPREAD_FEATURE_ENABLED 0 PT#BANDWIDTH_FREQ_UNIT MHz PT#CUR_DEDICATED_CLK c0 PT#INCLK0_FREQ_EDIT 50.000 PT#BANDWIDTH_PRESET Low PT#PLL_LVDS_PLL_CHECK 0 PT#BANDWIDTH_USE_PRESET 0 PT#AVALON_USE_SEPARATE_SYSCLK NO PT#PLL_ENHPLL_CHECK 0 PT#OUTPUT_FREQ_UNIT0 MHz PT#PHASE_RECONFIG_FEATURE_ENABLED 1 PT#CREATE_CLKBAD_CHECK 0 PT#CLKSWITCH_CHECK 0 PT#INCLK1_FREQ_EDIT 100.000 PT#NORMAL_MODE_RADIO 1 PT#SRC_SYNCH_COMP_RADIO 0 PT#PLL_ARESET_CHECK 1 PT#LONG_SCAN_RADIO 1 PT#SCAN_FEATURE_ENABLED 1 PT#PHASE_RECONFIG_INPUTS_CHECK 0 PT#USE_CLK0 1 PT#PRIMARY_CLK_COMBO inclk0 PT#BANDWIDTH 1.000 PT#GLOCKED_COUNTER_EDIT_CHANGED 1 PT#PLL_FASTPLL_CHECK 0 PT#SPREAD_FREQ_UNIT KHz PT#PLL_AUTOPLL_CHECK 1 PT#LVDS_PHASE_SHIFT_UNIT0 deg PT#SWITCHOVER_FEATURE_ENABLED 0 PT#MIG_DEVICE_SPEED_GRADE Any PT#OUTPUT_FREQ_MODE0 1 PT#BANDWIDTH_FEATURE_ENABLED 1 PT#INCLK0_FREQ_UNIT_COMBO MHz PT#ZERO_DELAY_RADIO 0 PT#OUTPUT_FREQ0 100.00000000 PT#SHORT_SCAN_RADIO 0 PT#LVDS_MODE_DATA_RATE_DIRTY 0 PT#CUR_FBIN_CLK c0 PT#PLL_ADVANCED_PARAM_CHECK 0 PT#CLKBAD_SWITCHOVER_CHECK 0 PT#PHASE_SHIFT_STEP_ENABLED_CHECK 0 PT#DEVICE_SPEED_GRADE Any PT#PLL_FBMIMIC_CHECK 0 PT#LVDS_MODE_DATA_RATE {Not Available} PT#LOCKED_OUTPUT_CHECK 1 PT#SPREAD_PERCENT 0.500 PT#PHASE_SHIFT0 0.00000000 PT#DIV_FACTOR0 1 PT#CNX_NO_COMPENSATE_RADIO 0 PT#USE_CLKENA0 0 PT#CREATE_INCLK1_CHECK 0 PT#GLOCK_COUNTER_EDIT 1048575 PT#INCLK1_FREQ_UNIT_COMBO MHz PT#EFF_OUTPUT_FREQ_VALUE0 100.000000 PT#SPREAD_FREQ 50.000 PT#USE_MIL_SPEED_GRADE 0 PT#EXPLICIT_SWITCHOVER_COUNTER 0 PT#STICKY_CLK0 1 PT#EXT_FEEDBACK_RADIO 0 PT#MIRROR_CLK0 0 PT#SWITCHOVER_COUNT_EDIT 1 PT#SELF_RESET_LOCK_LOSS 0 PT#PLL_PFDENA_CHECK 0 PT#INT_FEEDBACK__MODE_RADIO 1 PT#INCLK1_FREQ_EDIT_CHANGED 1 PT#CLKLOSS_CHECK 0 PT#SYNTH_WRAPPER_GEN_POSTFIX 0 PT#PHASE_SHIFT_UNIT0 deg PT#BANDWIDTH_USE_AUTO 1 PT#HAS_MANUAL_SWITCHOVER 1 PT#MULT_FACTOR0 1 PT#SPREAD_USE 0 PT#GLOCKED_MODE_CHECK 0 PT#SACN_INPUTS_CHECK 0 PT#DUTY_CYCLE0 50.00000000 PT#INTENDED_DEVICE_FAMILY {Cyclone IV E} PT#PLL_TARGET_HARCOPY_CHECK 0 PT#INCLK1_FREQ_UNIT_CHANGED 1 PT#RECONFIG_FILE ALTPLL1630534604000345.mif PT#ACTIVECLK_CHECK 0 HIDDEN_USED_PORTS @@ -862,8 +862,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - - + +
generation took 0.00 secondsrendering took 0.02 secondsgeneration took 0.01 secondsrendering took 0.03 seconds
diff --git a/examples/hdl4se_riscv/de2/qsys/pllqsys.qsys b/examples/hdl4se_riscv/de2/qsys/pllqsys.qsys index 786417a6185b0006b6b955a1b0cf8402d8645c14..9df930289819ce5f5c0fc05c931cb88ecce07b28 100644 --- a/examples/hdl4se_riscv/de2/qsys/pllqsys.qsys +++ b/examples/hdl4se_riscv/de2/qsys/pllqsys.qsys @@ -6,9 +6,12 @@ version="1.0" description="" tags="" - categories="" /> + categories="System" /> - + @@ -109,7 +112,7 @@ - + @@ -219,8 +222,8 @@ - CT#PORT_clk5 PORT_UNUSED CT#PORT_clk4 PORT_UNUSED CT#PORT_clk3 PORT_UNUSED CT#PORT_clk2 PORT_UNUSED CT#PORT_clk1 PORT_UNUSED CT#PORT_clk0 PORT_USED CT#CLK0_MULTIPLY_BY 3 CT#PORT_SCANWRITE PORT_UNUSED CT#PORT_SCANACLR PORT_UNUSED CT#PORT_PFDENA PORT_UNUSED CT#PORT_PLLENA PORT_UNUSED CT#PORT_SCANDATA PORT_UNUSED CT#PORT_SCANCLKENA PORT_UNUSED CT#WIDTH_CLOCK 5 CT#PORT_SCANDATAOUT PORT_UNUSED CT#LPM_TYPE altpll CT#PLL_TYPE AUTO CT#CLK0_PHASE_SHIFT 0 CT#PORT_PHASEDONE PORT_UNUSED CT#OPERATION_MODE NORMAL CT#PORT_CONFIGUPDATE PORT_UNUSED CT#COMPENSATE_CLOCK CLK0 CT#PORT_CLKSWITCH PORT_UNUSED CT#INCLK0_INPUT_FREQUENCY 20000 CT#PORT_SCANDONE PORT_UNUSED CT#PORT_CLKLOSS PORT_UNUSED CT#PORT_INCLK1 PORT_UNUSED CT#AVALON_USE_SEPARATE_SYSCLK NO CT#PORT_INCLK0 PORT_USED CT#PORT_clkena5 PORT_UNUSED CT#PORT_clkena4 PORT_UNUSED CT#PORT_clkena3 PORT_UNUSED CT#PORT_clkena2 PORT_UNUSED CT#PORT_clkena1 PORT_UNUSED CT#PORT_clkena0 PORT_UNUSED CT#PORT_ARESET PORT_USED CT#BANDWIDTH_TYPE AUTO CT#INTENDED_DEVICE_FAMILY {Cyclone IV E} CT#PORT_SCANREAD PORT_UNUSED CT#PORT_PHASESTEP PORT_UNUSED CT#PORT_SCANCLK PORT_UNUSED CT#PORT_CLKBAD1 PORT_UNUSED CT#PORT_CLKBAD0 PORT_UNUSED CT#PORT_FBIN PORT_UNUSED CT#PORT_PHASEUPDOWN PORT_UNUSED CT#PORT_extclk3 PORT_UNUSED CT#PORT_extclk2 PORT_UNUSED CT#PORT_extclk1 PORT_UNUSED CT#PORT_PHASECOUNTERSELECT PORT_UNUSED CT#PORT_extclk0 PORT_UNUSED CT#PORT_ACTIVECLOCK PORT_UNUSED CT#CLK0_DUTY_CYCLE 50 CT#CLK0_DIVIDE_BY 5 CT#PORT_LOCKED PORT_USED - PT#GLOCKED_FEATURE_ENABLED 0 PT#SPREAD_FEATURE_ENABLED 0 PT#BANDWIDTH_FREQ_UNIT MHz PT#CUR_DEDICATED_CLK c0 PT#INCLK0_FREQ_EDIT 50.000 PT#BANDWIDTH_PRESET Low PT#PLL_LVDS_PLL_CHECK 0 PT#BANDWIDTH_USE_PRESET 0 PT#AVALON_USE_SEPARATE_SYSCLK NO PT#PLL_ENHPLL_CHECK 0 PT#OUTPUT_FREQ_UNIT0 MHz PT#PHASE_RECONFIG_FEATURE_ENABLED 1 PT#CREATE_CLKBAD_CHECK 0 PT#CLKSWITCH_CHECK 0 PT#INCLK1_FREQ_EDIT 100.000 PT#NORMAL_MODE_RADIO 1 PT#SRC_SYNCH_COMP_RADIO 0 PT#PLL_ARESET_CHECK 1 PT#LONG_SCAN_RADIO 1 PT#SCAN_FEATURE_ENABLED 1 PT#PHASE_RECONFIG_INPUTS_CHECK 0 PT#USE_CLK0 1 PT#PRIMARY_CLK_COMBO inclk0 PT#BANDWIDTH 1.000 PT#GLOCKED_COUNTER_EDIT_CHANGED 1 PT#PLL_FASTPLL_CHECK 0 PT#SPREAD_FREQ_UNIT KHz PT#PLL_AUTOPLL_CHECK 1 PT#LVDS_PHASE_SHIFT_UNIT0 deg PT#SWITCHOVER_FEATURE_ENABLED 0 PT#MIG_DEVICE_SPEED_GRADE Any PT#OUTPUT_FREQ_MODE0 1 PT#BANDWIDTH_FEATURE_ENABLED 1 PT#INCLK0_FREQ_UNIT_COMBO MHz PT#ZERO_DELAY_RADIO 0 PT#OUTPUT_FREQ0 30.00000000 PT#SHORT_SCAN_RADIO 0 PT#LVDS_MODE_DATA_RATE_DIRTY 0 PT#CUR_FBIN_CLK c0 PT#PLL_ADVANCED_PARAM_CHECK 0 PT#CLKBAD_SWITCHOVER_CHECK 0 PT#PHASE_SHIFT_STEP_ENABLED_CHECK 0 PT#DEVICE_SPEED_GRADE Any PT#PLL_FBMIMIC_CHECK 0 PT#LVDS_MODE_DATA_RATE {Not Available} PT#LOCKED_OUTPUT_CHECK 1 PT#SPREAD_PERCENT 0.500 PT#PHASE_SHIFT0 0.00000000 PT#DIV_FACTOR0 1 PT#CNX_NO_COMPENSATE_RADIO 0 PT#USE_CLKENA0 0 PT#CREATE_INCLK1_CHECK 0 PT#GLOCK_COUNTER_EDIT 1048575 PT#INCLK1_FREQ_UNIT_COMBO MHz PT#EFF_OUTPUT_FREQ_VALUE0 30.000000 PT#SPREAD_FREQ 50.000 PT#USE_MIL_SPEED_GRADE 0 PT#EXPLICIT_SWITCHOVER_COUNTER 0 PT#STICKY_CLK0 1 PT#EXT_FEEDBACK_RADIO 0 PT#MIRROR_CLK0 0 PT#SWITCHOVER_COUNT_EDIT 1 PT#SELF_RESET_LOCK_LOSS 0 PT#PLL_PFDENA_CHECK 0 PT#INT_FEEDBACK__MODE_RADIO 1 PT#INCLK1_FREQ_EDIT_CHANGED 1 PT#CLKLOSS_CHECK 0 PT#SYNTH_WRAPPER_GEN_POSTFIX 0 PT#PHASE_SHIFT_UNIT0 deg PT#BANDWIDTH_USE_AUTO 1 PT#HAS_MANUAL_SWITCHOVER 1 PT#MULT_FACTOR0 1 PT#SPREAD_USE 0 PT#GLOCKED_MODE_CHECK 0 PT#SACN_INPUTS_CHECK 0 PT#DUTY_CYCLE0 50.00000000 PT#INTENDED_DEVICE_FAMILY {Cyclone IV E} PT#PLL_TARGET_HARCOPY_CHECK 0 PT#INCLK1_FREQ_UNIT_CHANGED 1 PT#RECONFIG_FILE ALTPLL1630534604000345.mif PT#ACTIVECLK_CHECK 0 + CT#PORT_clk5 PORT_UNUSED CT#PORT_clk4 PORT_UNUSED CT#PORT_clk3 PORT_UNUSED CT#PORT_clk2 PORT_UNUSED CT#PORT_clk1 PORT_UNUSED CT#PORT_clk0 PORT_USED CT#CLK0_MULTIPLY_BY 2 CT#PORT_SCANWRITE PORT_UNUSED CT#PORT_SCANACLR PORT_UNUSED CT#PORT_PFDENA PORT_UNUSED CT#PORT_PLLENA PORT_UNUSED CT#PORT_SCANDATA PORT_UNUSED CT#PORT_SCANCLKENA PORT_UNUSED CT#WIDTH_CLOCK 5 CT#PORT_SCANDATAOUT PORT_UNUSED CT#LPM_TYPE altpll CT#PLL_TYPE AUTO CT#CLK0_PHASE_SHIFT 0 CT#PORT_PHASEDONE PORT_UNUSED CT#OPERATION_MODE NORMAL CT#PORT_CONFIGUPDATE PORT_UNUSED CT#COMPENSATE_CLOCK CLK0 CT#PORT_CLKSWITCH PORT_UNUSED CT#INCLK0_INPUT_FREQUENCY 20000 CT#PORT_SCANDONE PORT_UNUSED CT#PORT_CLKLOSS PORT_UNUSED CT#PORT_INCLK1 PORT_UNUSED CT#AVALON_USE_SEPARATE_SYSCLK NO CT#PORT_INCLK0 PORT_USED CT#PORT_clkena5 PORT_UNUSED CT#PORT_clkena4 PORT_UNUSED CT#PORT_clkena3 PORT_UNUSED CT#PORT_clkena2 PORT_UNUSED CT#PORT_clkena1 PORT_UNUSED CT#PORT_clkena0 PORT_UNUSED CT#PORT_ARESET PORT_USED CT#BANDWIDTH_TYPE AUTO CT#INTENDED_DEVICE_FAMILY {Cyclone IV E} CT#PORT_SCANREAD PORT_UNUSED CT#PORT_PHASESTEP PORT_UNUSED CT#PORT_SCANCLK PORT_UNUSED CT#PORT_CLKBAD1 PORT_UNUSED CT#PORT_CLKBAD0 PORT_UNUSED CT#PORT_FBIN PORT_UNUSED CT#PORT_PHASEUPDOWN PORT_UNUSED CT#PORT_extclk3 PORT_UNUSED CT#PORT_extclk2 PORT_UNUSED CT#PORT_extclk1 PORT_UNUSED CT#PORT_PHASECOUNTERSELECT PORT_UNUSED CT#PORT_extclk0 PORT_UNUSED CT#PORT_ACTIVECLOCK PORT_UNUSED CT#CLK0_DUTY_CYCLE 50 CT#CLK0_DIVIDE_BY 1 CT#PORT_LOCKED PORT_USED + PT#GLOCKED_FEATURE_ENABLED 0 PT#SPREAD_FEATURE_ENABLED 0 PT#BANDWIDTH_FREQ_UNIT MHz PT#CUR_DEDICATED_CLK c0 PT#INCLK0_FREQ_EDIT 50.000 PT#BANDWIDTH_PRESET Low PT#PLL_LVDS_PLL_CHECK 0 PT#BANDWIDTH_USE_PRESET 0 PT#AVALON_USE_SEPARATE_SYSCLK NO PT#PLL_ENHPLL_CHECK 0 PT#OUTPUT_FREQ_UNIT0 MHz PT#PHASE_RECONFIG_FEATURE_ENABLED 1 PT#CREATE_CLKBAD_CHECK 0 PT#CLKSWITCH_CHECK 0 PT#INCLK1_FREQ_EDIT 100.000 PT#NORMAL_MODE_RADIO 1 PT#SRC_SYNCH_COMP_RADIO 0 PT#PLL_ARESET_CHECK 1 PT#LONG_SCAN_RADIO 1 PT#SCAN_FEATURE_ENABLED 1 PT#PHASE_RECONFIG_INPUTS_CHECK 0 PT#USE_CLK0 1 PT#PRIMARY_CLK_COMBO inclk0 PT#BANDWIDTH 1.000 PT#GLOCKED_COUNTER_EDIT_CHANGED 1 PT#PLL_FASTPLL_CHECK 0 PT#SPREAD_FREQ_UNIT KHz PT#PLL_AUTOPLL_CHECK 1 PT#LVDS_PHASE_SHIFT_UNIT0 deg PT#SWITCHOVER_FEATURE_ENABLED 0 PT#MIG_DEVICE_SPEED_GRADE Any PT#OUTPUT_FREQ_MODE0 1 PT#BANDWIDTH_FEATURE_ENABLED 1 PT#INCLK0_FREQ_UNIT_COMBO MHz PT#ZERO_DELAY_RADIO 0 PT#OUTPUT_FREQ0 100.00000000 PT#SHORT_SCAN_RADIO 0 PT#LVDS_MODE_DATA_RATE_DIRTY 0 PT#CUR_FBIN_CLK c0 PT#PLL_ADVANCED_PARAM_CHECK 0 PT#CLKBAD_SWITCHOVER_CHECK 0 PT#PHASE_SHIFT_STEP_ENABLED_CHECK 0 PT#DEVICE_SPEED_GRADE Any PT#PLL_FBMIMIC_CHECK 0 PT#LVDS_MODE_DATA_RATE {Not Available} PT#LOCKED_OUTPUT_CHECK 1 PT#SPREAD_PERCENT 0.500 PT#PHASE_SHIFT0 0.00000000 PT#DIV_FACTOR0 1 PT#CNX_NO_COMPENSATE_RADIO 0 PT#USE_CLKENA0 0 PT#CREATE_INCLK1_CHECK 0 PT#GLOCK_COUNTER_EDIT 1048575 PT#INCLK1_FREQ_UNIT_COMBO MHz PT#EFF_OUTPUT_FREQ_VALUE0 100.000000 PT#SPREAD_FREQ 50.000 PT#USE_MIL_SPEED_GRADE 0 PT#EXPLICIT_SWITCHOVER_COUNTER 0 PT#STICKY_CLK0 1 PT#EXT_FEEDBACK_RADIO 0 PT#MIRROR_CLK0 0 PT#SWITCHOVER_COUNT_EDIT 1 PT#SELF_RESET_LOCK_LOSS 0 PT#PLL_PFDENA_CHECK 0 PT#INT_FEEDBACK__MODE_RADIO 1 PT#INCLK1_FREQ_EDIT_CHANGED 1 PT#CLKLOSS_CHECK 0 PT#SYNTH_WRAPPER_GEN_POSTFIX 0 PT#PHASE_SHIFT_UNIT0 deg PT#BANDWIDTH_USE_AUTO 1 PT#HAS_MANUAL_SWITCHOVER 1 PT#MULT_FACTOR0 1 PT#SPREAD_USE 0 PT#GLOCKED_MODE_CHECK 0 PT#SACN_INPUTS_CHECK 0 PT#DUTY_CYCLE0 50.00000000 PT#INTENDED_DEVICE_FAMILY {Cyclone IV E} PT#PLL_TARGET_HARCOPY_CHECK 0 PT#INCLK1_FREQ_UNIT_CHANGED 1 PT#RECONFIG_FILE ALTPLL1630534604000345.mif PT#ACTIVECLK_CHECK 0 UP#locked used UP#c0 used UP#areset used UP#inclk0 used IN#WIDTH_CLOCK 1 IN#CLK0_DUTY_CYCLE 1 IN#PLL_TARGET_HARCOPY_CHECK 1 IN#SWITCHOVER_COUNT_EDIT 1 IN#INCLK0_INPUT_FREQUENCY 1 IN#PLL_LVDS_PLL_CHECK 1 IN#PLL_AUTOPLL_CHECK 1 IN#PLL_FASTPLL_CHECK 1 IN#PLL_ENHPLL_CHECK 1 IN#DIV_FACTOR0 1 IN#LVDS_MODE_DATA_RATE_DIRTY 1 IN#GLOCK_COUNTER_EDIT 1 IN#CLK0_DIVIDE_BY 1 IN#MULT_FACTOR0 1 IN#CLK0_MULTIPLY_BY 1 IN#USE_MIL_SPEED_GRADE 1 MF#areset 1 MF#clk 1 MF#locked 1 MF#inclk 1 diff --git a/examples/hdl4se_riscv/de2/qsys/pllqsys.sopcinfo b/examples/hdl4se_riscv/de2/qsys/pllqsys.sopcinfo index e2ac2d853480f27715558fe4b4e8705db3daeb5b..5f49fb0a8d1d42aeae85da17e80e212d05503a6c 100644 --- a/examples/hdl4se_riscv/de2/qsys/pllqsys.sopcinfo +++ b/examples/hdl4se_riscv/de2/qsys/pllqsys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1630534792 + 1630747835 false true false @@ -638,7 +638,7 @@ the requested settings for a module instance. --> java.lang.String - 3 + 2 false true true @@ -750,7 +750,7 @@ the requested settings for a module instance. --> java.lang.String - 5 + 1 false true true @@ -1630,7 +1630,7 @@ the requested settings for a module instance. --> java.lang.String - CT#PORT_clk5 PORT_UNUSED CT#PORT_clk4 PORT_UNUSED CT#PORT_clk3 PORT_UNUSED CT#PORT_clk2 PORT_UNUSED CT#PORT_clk1 PORT_UNUSED CT#PORT_clk0 PORT_USED CT#CLK0_MULTIPLY_BY 3 CT#PORT_SCANWRITE PORT_UNUSED CT#PORT_SCANACLR PORT_UNUSED CT#PORT_PFDENA PORT_UNUSED CT#PORT_PLLENA PORT_UNUSED CT#PORT_SCANDATA PORT_UNUSED CT#PORT_SCANCLKENA PORT_UNUSED CT#WIDTH_CLOCK 5 CT#PORT_SCANDATAOUT PORT_UNUSED CT#LPM_TYPE altpll CT#PLL_TYPE AUTO CT#CLK0_PHASE_SHIFT 0 CT#PORT_PHASEDONE PORT_UNUSED CT#OPERATION_MODE NORMAL CT#PORT_CONFIGUPDATE PORT_UNUSED CT#COMPENSATE_CLOCK CLK0 CT#PORT_CLKSWITCH PORT_UNUSED CT#INCLK0_INPUT_FREQUENCY 20000 CT#PORT_SCANDONE PORT_UNUSED CT#PORT_CLKLOSS PORT_UNUSED CT#PORT_INCLK1 PORT_UNUSED CT#AVALON_USE_SEPARATE_SYSCLK NO CT#PORT_INCLK0 PORT_USED CT#PORT_clkena5 PORT_UNUSED CT#PORT_clkena4 PORT_UNUSED CT#PORT_clkena3 PORT_UNUSED CT#PORT_clkena2 PORT_UNUSED CT#PORT_clkena1 PORT_UNUSED CT#PORT_clkena0 PORT_UNUSED CT#PORT_ARESET PORT_USED CT#BANDWIDTH_TYPE AUTO CT#INTENDED_DEVICE_FAMILY {Cyclone IV E} CT#PORT_SCANREAD PORT_UNUSED CT#PORT_PHASESTEP PORT_UNUSED CT#PORT_SCANCLK PORT_UNUSED CT#PORT_CLKBAD1 PORT_UNUSED CT#PORT_CLKBAD0 PORT_UNUSED CT#PORT_FBIN PORT_UNUSED CT#PORT_PHASEUPDOWN PORT_UNUSED CT#PORT_extclk3 PORT_UNUSED CT#PORT_extclk2 PORT_UNUSED CT#PORT_extclk1 PORT_UNUSED CT#PORT_PHASECOUNTERSELECT PORT_UNUSED CT#PORT_extclk0 PORT_UNUSED CT#PORT_ACTIVECLOCK PORT_UNUSED CT#CLK0_DUTY_CYCLE 50 CT#CLK0_DIVIDE_BY 5 CT#PORT_LOCKED PORT_USED + CT#PORT_clk5 PORT_UNUSED CT#PORT_clk4 PORT_UNUSED CT#PORT_clk3 PORT_UNUSED CT#PORT_clk2 PORT_UNUSED CT#PORT_clk1 PORT_UNUSED CT#PORT_clk0 PORT_USED CT#CLK0_MULTIPLY_BY 2 CT#PORT_SCANWRITE PORT_UNUSED CT#PORT_SCANACLR PORT_UNUSED CT#PORT_PFDENA PORT_UNUSED CT#PORT_PLLENA PORT_UNUSED CT#PORT_SCANDATA PORT_UNUSED CT#PORT_SCANCLKENA PORT_UNUSED CT#WIDTH_CLOCK 5 CT#PORT_SCANDATAOUT PORT_UNUSED CT#LPM_TYPE altpll CT#PLL_TYPE AUTO CT#CLK0_PHASE_SHIFT 0 CT#PORT_PHASEDONE PORT_UNUSED CT#OPERATION_MODE NORMAL CT#PORT_CONFIGUPDATE PORT_UNUSED CT#COMPENSATE_CLOCK CLK0 CT#PORT_CLKSWITCH PORT_UNUSED CT#INCLK0_INPUT_FREQUENCY 20000 CT#PORT_SCANDONE PORT_UNUSED CT#PORT_CLKLOSS PORT_UNUSED CT#PORT_INCLK1 PORT_UNUSED CT#AVALON_USE_SEPARATE_SYSCLK NO CT#PORT_INCLK0 PORT_USED CT#PORT_clkena5 PORT_UNUSED CT#PORT_clkena4 PORT_UNUSED CT#PORT_clkena3 PORT_UNUSED CT#PORT_clkena2 PORT_UNUSED CT#PORT_clkena1 PORT_UNUSED CT#PORT_clkena0 PORT_UNUSED CT#PORT_ARESET PORT_USED CT#BANDWIDTH_TYPE AUTO CT#INTENDED_DEVICE_FAMILY {Cyclone IV E} CT#PORT_SCANREAD PORT_UNUSED CT#PORT_PHASESTEP PORT_UNUSED CT#PORT_SCANCLK PORT_UNUSED CT#PORT_CLKBAD1 PORT_UNUSED CT#PORT_CLKBAD0 PORT_UNUSED CT#PORT_FBIN PORT_UNUSED CT#PORT_PHASEUPDOWN PORT_UNUSED CT#PORT_extclk3 PORT_UNUSED CT#PORT_extclk2 PORT_UNUSED CT#PORT_extclk1 PORT_UNUSED CT#PORT_PHASECOUNTERSELECT PORT_UNUSED CT#PORT_extclk0 PORT_UNUSED CT#PORT_ACTIVECLOCK PORT_UNUSED CT#CLK0_DUTY_CYCLE 50 CT#CLK0_DIVIDE_BY 1 CT#PORT_LOCKED PORT_USED false true false @@ -1638,7 +1638,7 @@ the requested settings for a module instance. --> java.lang.String - PT#GLOCKED_FEATURE_ENABLED 0 PT#SPREAD_FEATURE_ENABLED 0 PT#BANDWIDTH_FREQ_UNIT MHz PT#CUR_DEDICATED_CLK c0 PT#INCLK0_FREQ_EDIT 50.000 PT#BANDWIDTH_PRESET Low PT#PLL_LVDS_PLL_CHECK 0 PT#BANDWIDTH_USE_PRESET 0 PT#AVALON_USE_SEPARATE_SYSCLK NO PT#PLL_ENHPLL_CHECK 0 PT#OUTPUT_FREQ_UNIT0 MHz PT#PHASE_RECONFIG_FEATURE_ENABLED 1 PT#CREATE_CLKBAD_CHECK 0 PT#CLKSWITCH_CHECK 0 PT#INCLK1_FREQ_EDIT 100.000 PT#NORMAL_MODE_RADIO 1 PT#SRC_SYNCH_COMP_RADIO 0 PT#PLL_ARESET_CHECK 1 PT#LONG_SCAN_RADIO 1 PT#SCAN_FEATURE_ENABLED 1 PT#PHASE_RECONFIG_INPUTS_CHECK 0 PT#USE_CLK0 1 PT#PRIMARY_CLK_COMBO inclk0 PT#BANDWIDTH 1.000 PT#GLOCKED_COUNTER_EDIT_CHANGED 1 PT#PLL_FASTPLL_CHECK 0 PT#SPREAD_FREQ_UNIT KHz PT#PLL_AUTOPLL_CHECK 1 PT#LVDS_PHASE_SHIFT_UNIT0 deg PT#SWITCHOVER_FEATURE_ENABLED 0 PT#MIG_DEVICE_SPEED_GRADE Any PT#OUTPUT_FREQ_MODE0 1 PT#BANDWIDTH_FEATURE_ENABLED 1 PT#INCLK0_FREQ_UNIT_COMBO MHz PT#ZERO_DELAY_RADIO 0 PT#OUTPUT_FREQ0 30.00000000 PT#SHORT_SCAN_RADIO 0 PT#LVDS_MODE_DATA_RATE_DIRTY 0 PT#CUR_FBIN_CLK c0 PT#PLL_ADVANCED_PARAM_CHECK 0 PT#CLKBAD_SWITCHOVER_CHECK 0 PT#PHASE_SHIFT_STEP_ENABLED_CHECK 0 PT#DEVICE_SPEED_GRADE Any PT#PLL_FBMIMIC_CHECK 0 PT#LVDS_MODE_DATA_RATE {Not Available} PT#LOCKED_OUTPUT_CHECK 1 PT#SPREAD_PERCENT 0.500 PT#PHASE_SHIFT0 0.00000000 PT#DIV_FACTOR0 1 PT#CNX_NO_COMPENSATE_RADIO 0 PT#USE_CLKENA0 0 PT#CREATE_INCLK1_CHECK 0 PT#GLOCK_COUNTER_EDIT 1048575 PT#INCLK1_FREQ_UNIT_COMBO MHz PT#EFF_OUTPUT_FREQ_VALUE0 30.000000 PT#SPREAD_FREQ 50.000 PT#USE_MIL_SPEED_GRADE 0 PT#EXPLICIT_SWITCHOVER_COUNTER 0 PT#STICKY_CLK0 1 PT#EXT_FEEDBACK_RADIO 0 PT#MIRROR_CLK0 0 PT#SWITCHOVER_COUNT_EDIT 1 PT#SELF_RESET_LOCK_LOSS 0 PT#PLL_PFDENA_CHECK 0 PT#INT_FEEDBACK__MODE_RADIO 1 PT#INCLK1_FREQ_EDIT_CHANGED 1 PT#CLKLOSS_CHECK 0 PT#SYNTH_WRAPPER_GEN_POSTFIX 0 PT#PHASE_SHIFT_UNIT0 deg PT#BANDWIDTH_USE_AUTO 1 PT#HAS_MANUAL_SWITCHOVER 1 PT#MULT_FACTOR0 1 PT#SPREAD_USE 0 PT#GLOCKED_MODE_CHECK 0 PT#SACN_INPUTS_CHECK 0 PT#DUTY_CYCLE0 50.00000000 PT#INTENDED_DEVICE_FAMILY {Cyclone IV E} PT#PLL_TARGET_HARCOPY_CHECK 0 PT#INCLK1_FREQ_UNIT_CHANGED 1 PT#RECONFIG_FILE ALTPLL1630534604000345.mif PT#ACTIVECLK_CHECK 0 + PT#GLOCKED_FEATURE_ENABLED 0 PT#SPREAD_FEATURE_ENABLED 0 PT#BANDWIDTH_FREQ_UNIT MHz PT#CUR_DEDICATED_CLK c0 PT#INCLK0_FREQ_EDIT 50.000 PT#BANDWIDTH_PRESET Low PT#PLL_LVDS_PLL_CHECK 0 PT#BANDWIDTH_USE_PRESET 0 PT#AVALON_USE_SEPARATE_SYSCLK NO PT#PLL_ENHPLL_CHECK 0 PT#OUTPUT_FREQ_UNIT0 MHz PT#PHASE_RECONFIG_FEATURE_ENABLED 1 PT#CREATE_CLKBAD_CHECK 0 PT#CLKSWITCH_CHECK 0 PT#INCLK1_FREQ_EDIT 100.000 PT#NORMAL_MODE_RADIO 1 PT#SRC_SYNCH_COMP_RADIO 0 PT#PLL_ARESET_CHECK 1 PT#LONG_SCAN_RADIO 1 PT#SCAN_FEATURE_ENABLED 1 PT#PHASE_RECONFIG_INPUTS_CHECK 0 PT#USE_CLK0 1 PT#PRIMARY_CLK_COMBO inclk0 PT#BANDWIDTH 1.000 PT#GLOCKED_COUNTER_EDIT_CHANGED 1 PT#PLL_FASTPLL_CHECK 0 PT#SPREAD_FREQ_UNIT KHz PT#PLL_AUTOPLL_CHECK 1 PT#LVDS_PHASE_SHIFT_UNIT0 deg PT#SWITCHOVER_FEATURE_ENABLED 0 PT#MIG_DEVICE_SPEED_GRADE Any PT#OUTPUT_FREQ_MODE0 1 PT#BANDWIDTH_FEATURE_ENABLED 1 PT#INCLK0_FREQ_UNIT_COMBO MHz PT#ZERO_DELAY_RADIO 0 PT#OUTPUT_FREQ0 100.00000000 PT#SHORT_SCAN_RADIO 0 PT#LVDS_MODE_DATA_RATE_DIRTY 0 PT#CUR_FBIN_CLK c0 PT#PLL_ADVANCED_PARAM_CHECK 0 PT#CLKBAD_SWITCHOVER_CHECK 0 PT#PHASE_SHIFT_STEP_ENABLED_CHECK 0 PT#DEVICE_SPEED_GRADE Any PT#PLL_FBMIMIC_CHECK 0 PT#LVDS_MODE_DATA_RATE {Not Available} PT#LOCKED_OUTPUT_CHECK 1 PT#SPREAD_PERCENT 0.500 PT#PHASE_SHIFT0 0.00000000 PT#DIV_FACTOR0 1 PT#CNX_NO_COMPENSATE_RADIO 0 PT#USE_CLKENA0 0 PT#CREATE_INCLK1_CHECK 0 PT#GLOCK_COUNTER_EDIT 1048575 PT#INCLK1_FREQ_UNIT_COMBO MHz PT#EFF_OUTPUT_FREQ_VALUE0 100.000000 PT#SPREAD_FREQ 50.000 PT#USE_MIL_SPEED_GRADE 0 PT#EXPLICIT_SWITCHOVER_COUNTER 0 PT#STICKY_CLK0 1 PT#EXT_FEEDBACK_RADIO 0 PT#MIRROR_CLK0 0 PT#SWITCHOVER_COUNT_EDIT 1 PT#SELF_RESET_LOCK_LOSS 0 PT#PLL_PFDENA_CHECK 0 PT#INT_FEEDBACK__MODE_RADIO 1 PT#INCLK1_FREQ_EDIT_CHANGED 1 PT#CLKLOSS_CHECK 0 PT#SYNTH_WRAPPER_GEN_POSTFIX 0 PT#PHASE_SHIFT_UNIT0 deg PT#BANDWIDTH_USE_AUTO 1 PT#HAS_MANUAL_SWITCHOVER 1 PT#MULT_FACTOR0 1 PT#SPREAD_USE 0 PT#GLOCKED_MODE_CHECK 0 PT#SACN_INPUTS_CHECK 0 PT#DUTY_CYCLE0 50.00000000 PT#INTENDED_DEVICE_FAMILY {Cyclone IV E} PT#PLL_TARGET_HARCOPY_CHECK 0 PT#INCLK1_FREQ_UNIT_CHANGED 1 PT#RECONFIG_FILE ALTPLL1630534604000345.mif PT#ACTIVECLK_CHECK 0 false true false @@ -2193,7 +2193,7 @@ parameters are a RESULT of the module parameters. --> long - 30000000 + 100000000 false true true @@ -2413,18 +2413,18 @@ parameters are a RESULT of the module parameters. --> 1 - clock_source + avalon_slave com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Clock Source + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Slave 13.1 1 - reset_sink + clock com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Reset Input + com.altera.entityinterfaces.IConnection + Clock Connection 13.1 @@ -2437,10 +2437,10 @@ parameters are a RESULT of the module parameters. --> 1 - clock + reset_source com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Clock Connection + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Output 13.1 @@ -2452,11 +2452,11 @@ parameters are a RESULT of the module parameters. --> 13.1 - 1 - altpll + 3 + conduit_end com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Avalon ALTPLL + com.altera.entityinterfaces.IMutableConnectionPoint + Conduit 13.1 @@ -2477,34 +2477,34 @@ parameters are a RESULT of the module parameters. --> 1 - avalon_slave + clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Slave + Clock Output 13.1 1 - clock_source + altpll com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Output + com.altera.entityinterfaces.IModule + Avalon ALTPLL 13.1 - 3 - conduit_end + 1 + clock_source com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Conduit + com.altera.entityinterfaces.IModule + Clock Source 13.1 1 - reset_source + reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Reset Output + Reset Input 13.1 13.1 162 diff --git a/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/pllqsys.debuginfo b/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/pllqsys.debuginfo index 5af69be8a14671bbcb1c87f6716b1a97acf6b03e..df296568dd183307e156491b5f3f1d78610ad7cb 100644 --- a/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/pllqsys.debuginfo +++ b/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/pllqsys.debuginfo @@ -1,7 +1,7 @@ - + com.altera.sopcmodel.ensemble.EClockAdapter @@ -53,7 +53,7 @@ int - 1630534792 + 1630747835 false true true @@ -686,7 +686,7 @@ the requested settings for a module instance. --> java.lang.String - 3 + 2 false true true @@ -798,7 +798,7 @@ the requested settings for a module instance. --> java.lang.String - 5 + 1 false true true @@ -1678,7 +1678,7 @@ the requested settings for a module instance. --> java.lang.String - CT#PORT_clk5 PORT_UNUSED CT#PORT_clk4 PORT_UNUSED CT#PORT_clk3 PORT_UNUSED CT#PORT_clk2 PORT_UNUSED CT#PORT_clk1 PORT_UNUSED CT#PORT_clk0 PORT_USED CT#CLK0_MULTIPLY_BY 3 CT#PORT_SCANWRITE PORT_UNUSED CT#PORT_SCANACLR PORT_UNUSED CT#PORT_PFDENA PORT_UNUSED CT#PORT_PLLENA PORT_UNUSED CT#PORT_SCANDATA PORT_UNUSED CT#PORT_SCANCLKENA PORT_UNUSED CT#WIDTH_CLOCK 5 CT#PORT_SCANDATAOUT PORT_UNUSED CT#LPM_TYPE altpll CT#PLL_TYPE AUTO CT#CLK0_PHASE_SHIFT 0 CT#PORT_PHASEDONE PORT_UNUSED CT#OPERATION_MODE NORMAL CT#PORT_CONFIGUPDATE PORT_UNUSED CT#COMPENSATE_CLOCK CLK0 CT#PORT_CLKSWITCH PORT_UNUSED CT#INCLK0_INPUT_FREQUENCY 20000 CT#PORT_SCANDONE PORT_UNUSED CT#PORT_CLKLOSS PORT_UNUSED CT#PORT_INCLK1 PORT_UNUSED CT#AVALON_USE_SEPARATE_SYSCLK NO CT#PORT_INCLK0 PORT_USED CT#PORT_clkena5 PORT_UNUSED CT#PORT_clkena4 PORT_UNUSED CT#PORT_clkena3 PORT_UNUSED CT#PORT_clkena2 PORT_UNUSED CT#PORT_clkena1 PORT_UNUSED CT#PORT_clkena0 PORT_UNUSED CT#PORT_ARESET PORT_USED CT#BANDWIDTH_TYPE AUTO CT#INTENDED_DEVICE_FAMILY {Cyclone IV E} CT#PORT_SCANREAD PORT_UNUSED CT#PORT_PHASESTEP PORT_UNUSED CT#PORT_SCANCLK PORT_UNUSED CT#PORT_CLKBAD1 PORT_UNUSED CT#PORT_CLKBAD0 PORT_UNUSED CT#PORT_FBIN PORT_UNUSED CT#PORT_PHASEUPDOWN PORT_UNUSED CT#PORT_extclk3 PORT_UNUSED CT#PORT_extclk2 PORT_UNUSED CT#PORT_extclk1 PORT_UNUSED CT#PORT_PHASECOUNTERSELECT PORT_UNUSED CT#PORT_extclk0 PORT_UNUSED CT#PORT_ACTIVECLOCK PORT_UNUSED CT#CLK0_DUTY_CYCLE 50 CT#CLK0_DIVIDE_BY 5 CT#PORT_LOCKED PORT_USED + CT#PORT_clk5 PORT_UNUSED CT#PORT_clk4 PORT_UNUSED CT#PORT_clk3 PORT_UNUSED CT#PORT_clk2 PORT_UNUSED CT#PORT_clk1 PORT_UNUSED CT#PORT_clk0 PORT_USED CT#CLK0_MULTIPLY_BY 2 CT#PORT_SCANWRITE PORT_UNUSED CT#PORT_SCANACLR PORT_UNUSED CT#PORT_PFDENA PORT_UNUSED CT#PORT_PLLENA PORT_UNUSED CT#PORT_SCANDATA PORT_UNUSED CT#PORT_SCANCLKENA PORT_UNUSED CT#WIDTH_CLOCK 5 CT#PORT_SCANDATAOUT PORT_UNUSED CT#LPM_TYPE altpll CT#PLL_TYPE AUTO CT#CLK0_PHASE_SHIFT 0 CT#PORT_PHASEDONE PORT_UNUSED CT#OPERATION_MODE NORMAL CT#PORT_CONFIGUPDATE PORT_UNUSED CT#COMPENSATE_CLOCK CLK0 CT#PORT_CLKSWITCH PORT_UNUSED CT#INCLK0_INPUT_FREQUENCY 20000 CT#PORT_SCANDONE PORT_UNUSED CT#PORT_CLKLOSS PORT_UNUSED CT#PORT_INCLK1 PORT_UNUSED CT#AVALON_USE_SEPARATE_SYSCLK NO CT#PORT_INCLK0 PORT_USED CT#PORT_clkena5 PORT_UNUSED CT#PORT_clkena4 PORT_UNUSED CT#PORT_clkena3 PORT_UNUSED CT#PORT_clkena2 PORT_UNUSED CT#PORT_clkena1 PORT_UNUSED CT#PORT_clkena0 PORT_UNUSED CT#PORT_ARESET PORT_USED CT#BANDWIDTH_TYPE AUTO CT#INTENDED_DEVICE_FAMILY {Cyclone IV E} CT#PORT_SCANREAD PORT_UNUSED CT#PORT_PHASESTEP PORT_UNUSED CT#PORT_SCANCLK PORT_UNUSED CT#PORT_CLKBAD1 PORT_UNUSED CT#PORT_CLKBAD0 PORT_UNUSED CT#PORT_FBIN PORT_UNUSED CT#PORT_PHASEUPDOWN PORT_UNUSED CT#PORT_extclk3 PORT_UNUSED CT#PORT_extclk2 PORT_UNUSED CT#PORT_extclk1 PORT_UNUSED CT#PORT_PHASECOUNTERSELECT PORT_UNUSED CT#PORT_extclk0 PORT_UNUSED CT#PORT_ACTIVECLOCK PORT_UNUSED CT#CLK0_DUTY_CYCLE 50 CT#CLK0_DIVIDE_BY 1 CT#PORT_LOCKED PORT_USED false true false @@ -1686,7 +1686,7 @@ the requested settings for a module instance. --> java.lang.String - PT#GLOCKED_FEATURE_ENABLED 0 PT#SPREAD_FEATURE_ENABLED 0 PT#BANDWIDTH_FREQ_UNIT MHz PT#CUR_DEDICATED_CLK c0 PT#INCLK0_FREQ_EDIT 50.000 PT#BANDWIDTH_PRESET Low PT#PLL_LVDS_PLL_CHECK 0 PT#BANDWIDTH_USE_PRESET 0 PT#AVALON_USE_SEPARATE_SYSCLK NO PT#PLL_ENHPLL_CHECK 0 PT#OUTPUT_FREQ_UNIT0 MHz PT#PHASE_RECONFIG_FEATURE_ENABLED 1 PT#CREATE_CLKBAD_CHECK 0 PT#CLKSWITCH_CHECK 0 PT#INCLK1_FREQ_EDIT 100.000 PT#NORMAL_MODE_RADIO 1 PT#SRC_SYNCH_COMP_RADIO 0 PT#PLL_ARESET_CHECK 1 PT#LONG_SCAN_RADIO 1 PT#SCAN_FEATURE_ENABLED 1 PT#PHASE_RECONFIG_INPUTS_CHECK 0 PT#USE_CLK0 1 PT#PRIMARY_CLK_COMBO inclk0 PT#BANDWIDTH 1.000 PT#GLOCKED_COUNTER_EDIT_CHANGED 1 PT#PLL_FASTPLL_CHECK 0 PT#SPREAD_FREQ_UNIT KHz PT#PLL_AUTOPLL_CHECK 1 PT#LVDS_PHASE_SHIFT_UNIT0 deg PT#SWITCHOVER_FEATURE_ENABLED 0 PT#MIG_DEVICE_SPEED_GRADE Any PT#OUTPUT_FREQ_MODE0 1 PT#BANDWIDTH_FEATURE_ENABLED 1 PT#INCLK0_FREQ_UNIT_COMBO MHz PT#ZERO_DELAY_RADIO 0 PT#OUTPUT_FREQ0 30.00000000 PT#SHORT_SCAN_RADIO 0 PT#LVDS_MODE_DATA_RATE_DIRTY 0 PT#CUR_FBIN_CLK c0 PT#PLL_ADVANCED_PARAM_CHECK 0 PT#CLKBAD_SWITCHOVER_CHECK 0 PT#PHASE_SHIFT_STEP_ENABLED_CHECK 0 PT#DEVICE_SPEED_GRADE Any PT#PLL_FBMIMIC_CHECK 0 PT#LVDS_MODE_DATA_RATE {Not Available} PT#LOCKED_OUTPUT_CHECK 1 PT#SPREAD_PERCENT 0.500 PT#PHASE_SHIFT0 0.00000000 PT#DIV_FACTOR0 1 PT#CNX_NO_COMPENSATE_RADIO 0 PT#USE_CLKENA0 0 PT#CREATE_INCLK1_CHECK 0 PT#GLOCK_COUNTER_EDIT 1048575 PT#INCLK1_FREQ_UNIT_COMBO MHz PT#EFF_OUTPUT_FREQ_VALUE0 30.000000 PT#SPREAD_FREQ 50.000 PT#USE_MIL_SPEED_GRADE 0 PT#EXPLICIT_SWITCHOVER_COUNTER 0 PT#STICKY_CLK0 1 PT#EXT_FEEDBACK_RADIO 0 PT#MIRROR_CLK0 0 PT#SWITCHOVER_COUNT_EDIT 1 PT#SELF_RESET_LOCK_LOSS 0 PT#PLL_PFDENA_CHECK 0 PT#INT_FEEDBACK__MODE_RADIO 1 PT#INCLK1_FREQ_EDIT_CHANGED 1 PT#CLKLOSS_CHECK 0 PT#SYNTH_WRAPPER_GEN_POSTFIX 0 PT#PHASE_SHIFT_UNIT0 deg PT#BANDWIDTH_USE_AUTO 1 PT#HAS_MANUAL_SWITCHOVER 1 PT#MULT_FACTOR0 1 PT#SPREAD_USE 0 PT#GLOCKED_MODE_CHECK 0 PT#SACN_INPUTS_CHECK 0 PT#DUTY_CYCLE0 50.00000000 PT#INTENDED_DEVICE_FAMILY {Cyclone IV E} PT#PLL_TARGET_HARCOPY_CHECK 0 PT#INCLK1_FREQ_UNIT_CHANGED 1 PT#RECONFIG_FILE ALTPLL1630534604000345.mif PT#ACTIVECLK_CHECK 0 + PT#GLOCKED_FEATURE_ENABLED 0 PT#SPREAD_FEATURE_ENABLED 0 PT#BANDWIDTH_FREQ_UNIT MHz PT#CUR_DEDICATED_CLK c0 PT#INCLK0_FREQ_EDIT 50.000 PT#BANDWIDTH_PRESET Low PT#PLL_LVDS_PLL_CHECK 0 PT#BANDWIDTH_USE_PRESET 0 PT#AVALON_USE_SEPARATE_SYSCLK NO PT#PLL_ENHPLL_CHECK 0 PT#OUTPUT_FREQ_UNIT0 MHz PT#PHASE_RECONFIG_FEATURE_ENABLED 1 PT#CREATE_CLKBAD_CHECK 0 PT#CLKSWITCH_CHECK 0 PT#INCLK1_FREQ_EDIT 100.000 PT#NORMAL_MODE_RADIO 1 PT#SRC_SYNCH_COMP_RADIO 0 PT#PLL_ARESET_CHECK 1 PT#LONG_SCAN_RADIO 1 PT#SCAN_FEATURE_ENABLED 1 PT#PHASE_RECONFIG_INPUTS_CHECK 0 PT#USE_CLK0 1 PT#PRIMARY_CLK_COMBO inclk0 PT#BANDWIDTH 1.000 PT#GLOCKED_COUNTER_EDIT_CHANGED 1 PT#PLL_FASTPLL_CHECK 0 PT#SPREAD_FREQ_UNIT KHz PT#PLL_AUTOPLL_CHECK 1 PT#LVDS_PHASE_SHIFT_UNIT0 deg PT#SWITCHOVER_FEATURE_ENABLED 0 PT#MIG_DEVICE_SPEED_GRADE Any PT#OUTPUT_FREQ_MODE0 1 PT#BANDWIDTH_FEATURE_ENABLED 1 PT#INCLK0_FREQ_UNIT_COMBO MHz PT#ZERO_DELAY_RADIO 0 PT#OUTPUT_FREQ0 100.00000000 PT#SHORT_SCAN_RADIO 0 PT#LVDS_MODE_DATA_RATE_DIRTY 0 PT#CUR_FBIN_CLK c0 PT#PLL_ADVANCED_PARAM_CHECK 0 PT#CLKBAD_SWITCHOVER_CHECK 0 PT#PHASE_SHIFT_STEP_ENABLED_CHECK 0 PT#DEVICE_SPEED_GRADE Any PT#PLL_FBMIMIC_CHECK 0 PT#LVDS_MODE_DATA_RATE {Not Available} PT#LOCKED_OUTPUT_CHECK 1 PT#SPREAD_PERCENT 0.500 PT#PHASE_SHIFT0 0.00000000 PT#DIV_FACTOR0 1 PT#CNX_NO_COMPENSATE_RADIO 0 PT#USE_CLKENA0 0 PT#CREATE_INCLK1_CHECK 0 PT#GLOCK_COUNTER_EDIT 1048575 PT#INCLK1_FREQ_UNIT_COMBO MHz PT#EFF_OUTPUT_FREQ_VALUE0 100.000000 PT#SPREAD_FREQ 50.000 PT#USE_MIL_SPEED_GRADE 0 PT#EXPLICIT_SWITCHOVER_COUNTER 0 PT#STICKY_CLK0 1 PT#EXT_FEEDBACK_RADIO 0 PT#MIRROR_CLK0 0 PT#SWITCHOVER_COUNT_EDIT 1 PT#SELF_RESET_LOCK_LOSS 0 PT#PLL_PFDENA_CHECK 0 PT#INT_FEEDBACK__MODE_RADIO 1 PT#INCLK1_FREQ_EDIT_CHANGED 1 PT#CLKLOSS_CHECK 0 PT#SYNTH_WRAPPER_GEN_POSTFIX 0 PT#PHASE_SHIFT_UNIT0 deg PT#BANDWIDTH_USE_AUTO 1 PT#HAS_MANUAL_SWITCHOVER 1 PT#MULT_FACTOR0 1 PT#SPREAD_USE 0 PT#GLOCKED_MODE_CHECK 0 PT#SACN_INPUTS_CHECK 0 PT#DUTY_CYCLE0 50.00000000 PT#INTENDED_DEVICE_FAMILY {Cyclone IV E} PT#PLL_TARGET_HARCOPY_CHECK 0 PT#INCLK1_FREQ_UNIT_CHANGED 1 PT#RECONFIG_FILE ALTPLL1630534604000345.mif PT#ACTIVECLK_CHECK 0 false true false @@ -2241,7 +2241,7 @@ parameters are a RESULT of the module parameters. --> long - 30000000 + 100000000 false true true @@ -2461,18 +2461,18 @@ parameters are a RESULT of the module parameters. --> 1 - clock_source + avalon_slave com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Clock Source + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Slave 13.1 1 - reset_sink + clock com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Reset Input + com.altera.entityinterfaces.IConnection + Clock Connection 13.1 @@ -2485,10 +2485,10 @@ parameters are a RESULT of the module parameters. --> 1 - clock + reset_source com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Clock Connection + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Output 13.1 @@ -2500,11 +2500,11 @@ parameters are a RESULT of the module parameters. --> 13.1 - 1 - altpll + 3 + conduit_end com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Avalon ALTPLL + com.altera.entityinterfaces.IMutableConnectionPoint + Conduit 13.1 @@ -2525,36 +2525,36 @@ parameters are a RESULT of the module parameters. --> 1 - avalon_slave + clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Slave + Clock Output 13.1 1 - clock_source + altpll com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Output + com.altera.entityinterfaces.IModule + Avalon ALTPLL 13.1 - 3 - conduit_end + 1 + clock_source com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Conduit + com.altera.entityinterfaces.IModule + Clock Source 13.1 1 - reset_source + reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Reset Output + Reset Input 13.1 13.1 162 - 5C61994ACABB0000017BA3727638 + 5C61994ACABB0000017BB0253F5E diff --git a/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/pllqsys.qip b/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/pllqsys.qip index f629cb663100affae1537a6d47fef4c39c0d395b..3702bd8946b1447f701bfb0b1a2150842e062520 100644 --- a/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/pllqsys.qip +++ b/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/pllqsys.qip @@ -2,7 +2,7 @@ set_global_assignment -entity "pllqsys" -library "pllqsys" -name IP_TOOL_NAME "Q set_global_assignment -entity "pllqsys" -library "pllqsys" -name IP_TOOL_VERSION "13.1" set_global_assignment -entity "pllqsys" -library "pllqsys" -name IP_TOOL_ENV "Qsys" set_global_assignment -library "pllqsys" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../pllqsys.sopcinfo"] -set_global_assignment -entity "pllqsys" -library "pllqsys" -name SLD_INFO "QSYS_NAME pllqsys HAS_SOPCINFO 1 GENERATION_ID 1630534792" +set_global_assignment -entity "pllqsys" -library "pllqsys" -name SLD_INFO "QSYS_NAME pllqsys HAS_SOPCINFO 1 GENERATION_ID 1630747835" set_global_assignment -library "pllqsys" -name MISC_FILE [file join $::quartus(qip_path) "../../pllqsys.cmp"] set_global_assignment -library "pllqsys" -name SLD_FILE [file join $::quartus(qip_path) "pllqsys.debuginfo"] set_global_assignment -name SYNTHESIS_ONLY_QIP ON diff --git a/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/pllqsys.v b/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/pllqsys.v index dade47daf0eda34a121e6d667d9053eb03523603..2b6ea5e2e00ff4c0f4fd12835a7acbc53fd89b2f 100644 --- a/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/pllqsys.v +++ b/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/pllqsys.v @@ -1,6 +1,6 @@ // pllqsys.v -// Generated using ACDS version 13.1 162 at 2021.09.02.06:19:52 +// Generated using ACDS version 13.1 162 at 2021.09.04.17:30:36 `timescale 1 ps / 1 ps module pllqsys ( diff --git a/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/submodules/pllqsys_altpll_0.v b/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/submodules/pllqsys_altpll_0.v index c6d7e88f8c06cd8071f1cba87945ee3abd31e2ba..486009b8ddf41c52dea00cb87c6886cbc31ae4d6 100644 --- a/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/submodules/pllqsys_altpll_0.v +++ b/examples/hdl4se_riscv/de2/qsys/pllqsys/synthesis/submodules/pllqsys_altpll_0.v @@ -1,4 +1,4 @@ -//altpll_avalon avalon_use_separate_sysclk="NO" CBX_SINGLE_OUTPUT_FILE="ON" CBX_SUBMODULE_USED_PORTS="altpll:areset,clk,locked,inclk" address areset c0 clk locked phasedone read readdata reset write writedata bandwidth_type="AUTO" clk0_divide_by=5 clk0_duty_cycle=50 clk0_multiply_by=3 clk0_phase_shift="0" compensate_clock="CLK0" device_family="CYCLONEIVE" inclk0_input_frequency=20000 intended_device_family="Cyclone IV E" operation_mode="normal" pll_type="AUTO" port_clk0="PORT_USED" port_clk1="PORT_UNUSED" port_clk2="PORT_UNUSED" port_clk3="PORT_UNUSED" port_clk4="PORT_UNUSED" port_clk5="PORT_UNUSED" port_extclk0="PORT_UNUSED" port_extclk1="PORT_UNUSED" port_extclk2="PORT_UNUSED" port_extclk3="PORT_UNUSED" port_inclk1="PORT_UNUSED" port_phasecounterselect="PORT_UNUSED" port_phasedone="PORT_UNUSED" port_scandata="PORT_UNUSED" port_scandataout="PORT_UNUSED" width_clock=5 +//altpll_avalon avalon_use_separate_sysclk="NO" CBX_SINGLE_OUTPUT_FILE="ON" CBX_SUBMODULE_USED_PORTS="altpll:areset,clk,locked,inclk" address areset c0 clk locked phasedone read readdata reset write writedata bandwidth_type="AUTO" clk0_divide_by=1 clk0_duty_cycle=50 clk0_multiply_by=2 clk0_phase_shift="0" compensate_clock="CLK0" device_family="CYCLONEIVE" inclk0_input_frequency=20000 intended_device_family="Cyclone IV E" operation_mode="normal" pll_type="AUTO" port_clk0="PORT_USED" port_clk1="PORT_UNUSED" port_clk2="PORT_UNUSED" port_clk3="PORT_UNUSED" port_clk4="PORT_UNUSED" port_clk5="PORT_UNUSED" port_extclk0="PORT_UNUSED" port_extclk1="PORT_UNUSED" port_extclk2="PORT_UNUSED" port_extclk3="PORT_UNUSED" port_inclk1="PORT_UNUSED" port_phasecounterselect="PORT_UNUSED" port_phasedone="PORT_UNUSED" port_scandata="PORT_UNUSED" port_scandataout="PORT_UNUSED" width_clock=5 //VERSION_BEGIN 13.1 cbx_altclkbuf 2013:10:24:09:15:20:SJ cbx_altiobuf_bidir 2013:10:24:09:15:20:SJ cbx_altiobuf_in 2013:10:24:09:15:20:SJ cbx_altiobuf_out 2013:10:24:09:15:20:SJ cbx_altpll 2013:10:24:09:15:20:SJ cbx_altpll_avalon 2013:10:24:09:15:20:SJ cbx_cycloneii 2013:10:24:09:15:20:SJ cbx_lpm_add_sub 2013:10:24:09:15:20:SJ cbx_lpm_compare 2013:10:24:09:15:20:SJ cbx_lpm_counter 2013:10:24:09:15:20:SJ cbx_lpm_decode 2013:10:24:09:15:20:SJ cbx_lpm_mux 2013:10:24:09:15:20:SJ cbx_lpm_shiftreg 2013:10:24:09:15:20:SJ cbx_mgl 2013:10:24:09:16:30:SJ cbx_stratix 2013:10:24:09:15:20:SJ cbx_stratixii 2013:10:24:09:15:20:SJ cbx_stratixiii 2013:10:24:09:15:20:SJ cbx_stratixv 2013:10:24:09:15:20:SJ cbx_util_mgl 2013:10:24:09:15:20:SJ VERSION_END // synthesis VERILOG_INPUT_VERSION VERILOG_2001 // altera message_off 10463 @@ -119,7 +119,7 @@ module pllqsys_altpll_0_stdsync_sv6 endmodule //pllqsys_altpll_0_stdsync_sv6 -//altpll bandwidth_type="AUTO" CBX_SINGLE_OUTPUT_FILE="ON" clk0_divide_by=5 clk0_duty_cycle=50 clk0_multiply_by=3 clk0_phase_shift="0" compensate_clock="CLK0" device_family="CYCLONEIVE" inclk0_input_frequency=20000 intended_device_family="Cyclone IV E" operation_mode="normal" pll_type="AUTO" port_clk0="PORT_USED" port_clk1="PORT_UNUSED" port_clk2="PORT_UNUSED" port_clk3="PORT_UNUSED" port_clk4="PORT_UNUSED" port_clk5="PORT_UNUSED" port_extclk0="PORT_UNUSED" port_extclk1="PORT_UNUSED" port_extclk2="PORT_UNUSED" port_extclk3="PORT_UNUSED" port_inclk1="PORT_UNUSED" port_phasecounterselect="PORT_UNUSED" port_phasedone="PORT_UNUSED" port_scandata="PORT_UNUSED" port_scandataout="PORT_UNUSED" width_clock=5 areset clk inclk locked +//altpll bandwidth_type="AUTO" CBX_SINGLE_OUTPUT_FILE="ON" clk0_divide_by=1 clk0_duty_cycle=50 clk0_multiply_by=2 clk0_phase_shift="0" compensate_clock="CLK0" device_family="CYCLONEIVE" inclk0_input_frequency=20000 intended_device_family="Cyclone IV E" operation_mode="normal" pll_type="AUTO" port_clk0="PORT_USED" port_clk1="PORT_UNUSED" port_clk2="PORT_UNUSED" port_clk3="PORT_UNUSED" port_clk4="PORT_UNUSED" port_clk5="PORT_UNUSED" port_extclk0="PORT_UNUSED" port_extclk1="PORT_UNUSED" port_extclk2="PORT_UNUSED" port_extclk3="PORT_UNUSED" port_inclk1="PORT_UNUSED" port_phasecounterselect="PORT_UNUSED" port_phasedone="PORT_UNUSED" port_scandata="PORT_UNUSED" port_scandataout="PORT_UNUSED" width_clock=5 areset clk inclk locked //VERSION_BEGIN 13.1 cbx_altclkbuf 2013:10:24:09:15:20:SJ cbx_altiobuf_bidir 2013:10:24:09:15:20:SJ cbx_altiobuf_in 2013:10:24:09:15:20:SJ cbx_altiobuf_out 2013:10:24:09:15:20:SJ cbx_altpll 2013:10:24:09:15:20:SJ cbx_cycloneii 2013:10:24:09:15:20:SJ cbx_lpm_add_sub 2013:10:24:09:15:20:SJ cbx_lpm_compare 2013:10:24:09:15:20:SJ cbx_lpm_counter 2013:10:24:09:15:20:SJ cbx_lpm_decode 2013:10:24:09:15:20:SJ cbx_lpm_mux 2013:10:24:09:15:20:SJ cbx_mgl 2013:10:24:09:16:30:SJ cbx_stratix 2013:10:24:09:15:20:SJ cbx_stratixii 2013:10:24:09:15:20:SJ cbx_stratixiii 2013:10:24:09:15:20:SJ cbx_stratixv 2013:10:24:09:15:20:SJ cbx_util_mgl 2013:10:24:09:15:20:SJ VERSION_END //synthesis_resources = cycloneive_pll 1 reg 1 @@ -127,7 +127,7 @@ endmodule //pllqsys_altpll_0_stdsync_sv6 `timescale 1 ps / 1 ps //synopsys translate_on (* ALTERA_ATTRIBUTE = {"SUPPRESS_DA_RULE_INTERNAL=C104;SUPPRESS_DA_RULE_INTERNAL=R101"} *) -module pllqsys_altpll_0_altpll_r342 +module pllqsys_altpll_0_altpll_m342 ( areset, clk, @@ -192,9 +192,9 @@ module pllqsys_altpll_0_altpll_r342 ); defparam pll7.bandwidth_type = "auto", - pll7.clk0_divide_by = 5, + pll7.clk0_divide_by = 1, pll7.clk0_duty_cycle = 50, - pll7.clk0_multiply_by = 3, + pll7.clk0_multiply_by = 2, pll7.clk0_phase_shift = "0", pll7.compensate_clock = "clk0", pll7.inclk0_input_frequency = 20000, @@ -204,7 +204,7 @@ module pllqsys_altpll_0_altpll_r342 assign clk = {wire_pll7_clk[4:0]}, locked = (wire_pll7_locked & pll_lock_sync); -endmodule //pllqsys_altpll_0_altpll_r342 +endmodule //pllqsys_altpll_0_altpll_m342 //synthesis_resources = cycloneive_pll 1 reg 6 //synopsys translate_off @@ -256,7 +256,7 @@ module pllqsys_altpll_0 .din(wire_sd1_locked), .dout(wire_stdsync2_dout), .reset_n((~ reset))); - pllqsys_altpll_0_altpll_r342 sd1 + pllqsys_altpll_0_altpll_m342 sd1 ( .areset((w_pll_areset_in | areset)), .clk(wire_sd1_clk), diff --git a/examples/hdl4se_riscv/test_code/console.c b/examples/hdl4se_riscv/test_code/console.c index 344f5d83e2e4864c09bc36aac23fd8330c5c2b7f..480c3053a7bfbc16597bfce0993aecdc956110ac 100644 --- a/examples/hdl4se_riscv/test_code/console.c +++ b/examples/hdl4se_riscv/test_code/console.c @@ -1,6 +1,6 @@ #define UARTADDRESS (unsigned int *)0xf0000100 -#define REFFREQ 50000000 +#define REFFREQ 100000000 static volatile unsigned int* _uartaddr = UARTADDRESS; static volatile unsigned int _uartstate; @@ -65,13 +65,7 @@ static int _gets(char* s, int buflen) do { ch = _getchar(); if (ch != -1) { - if (ch == '\b') { - if (ind > 0) - ind--; - } - else { - s[ind++] = ch; - } + s[ind++] = ch; while(_putchar(ch) == -1) /* 回显 */ ; if (ind >= buflen - 1) @@ -294,7 +288,7 @@ static void dispmem() for (i = 0; i < 16; i++) { unsigned char* disp = (unsigned char*)startaddr; char temp[2]; - if (disp[i] > 0x20 && disp[i] < 0x7f) { + if (disp[i] >= 0x20 && disp[i] < 0x7f) { temp[0] = disp[i]; } else { @@ -366,9 +360,9 @@ static void printhelp() { _puts(" d -- display memory \n"); _puts(" b -- set baudrate \n"); - _puts(" r \n"); - _puts(" w \n"); - _puts(" width=1,2 or 4\n"); + _puts(" r -- read memory word\n"); + _puts(" w -- write memory word\n"); + _puts(" width=1, 2 or 4\n"); } int main(int argc, char* argv[]) @@ -376,17 +370,13 @@ int main(int argc, char* argv[]) volatile unsigned int* ledkey = (unsigned int*)0xF0000000; volatile unsigned int* leddata = (unsigned int*)0xf0000010; unsigned char ledd[20]; - unsigned int test = 0; + unsigned int count0; unsigned int count1; - unsigned int ctemp; - const unsigned char testdata[] = {0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10}; - const char* hello = "Hello, World\n"; + unsigned int ctemp; + _buadrateset(115200); - *(unsigned int*)(&testdata[1]) = 0x99887766; - test = *(unsigned int*)(&testdata[2]); - if (_canputchar()) - _puts(hello); + count0 = 0; count1 = 0; do { @@ -409,6 +399,7 @@ int main(int argc, char* argv[]) _gets(buf, 255); break; } +#if 0 count0++; if (count0 > 10000) { count1++; @@ -418,6 +409,7 @@ int main(int argc, char* argv[]) ledd[1] = num2seg(ctemp / 10); ledd[2] = num2seg(ctemp / 100); ledd[3] = num2seg(ctemp / 1000); + /* ctemp /= 10000; ledd[4] = num2seg(ctemp); ledd[5] = num2seg(ctemp / 10); @@ -428,22 +420,21 @@ int main(int argc, char* argv[]) ledd[9] = num2seg(ctemp / 10); ledd[10] = num2seg(ctemp / 100); ledd[11] = num2seg(ctemp / 1000); - + */ leddata[0] = *(unsigned int*)&ledd[0]; //leddata[1] = *(unsigned int*)&ledd[4]; //leddata[2] = *(unsigned int*)&ledd[8]; } else { - unsigned int count = cycle()>>10; - - ledd[0] = num2seg(count); + ledd[0] = num2seg(count0); ledd[1] = num2seg(count1 / 10); ledd[2] = num2seg(count1 / 100); ledd[3] = num2seg(count1 / 1000); leddata[0] = *(unsigned int*)&ledd[0]; } +#endif } while (1); - _puts(":"); + _puts("\n\r:"); _puts(buf); _puts("\n\r"); if (_strncmp(buf, "help ", 4) == 0) { diff --git a/examples/hdl4se_riscv/test_code/test.cod b/examples/hdl4se_riscv/test_code/test.cod index 7589a6ff55a8f174e5b08246f0968f2b5e94396a..55c6879d2a71e5bfefbe04a4a4b2a883b87b5bd1 100644 --- a/examples/hdl4se_riscv/test_code/test.cod +++ b/examples/hdl4se_riscv/test_code/test.cod @@ -1,16 +1,16 @@ @00000074 -93 07 00 00 63 88 07 00 37 25 00 00 13 05 45 CE -6F 10 D0 4B 67 80 00 00 97 31 00 00 93 81 C1 7F +93 07 00 00 63 88 07 00 37 25 00 00 13 05 05 99 +6F 10 90 16 67 80 00 00 97 21 00 00 93 81 C1 7A 13 85 41 C3 13 86 81 C5 33 06 A6 40 93 05 00 00 -EF 10 50 24 17 25 00 00 13 05 85 C9 63 08 05 00 -17 25 00 00 13 05 05 C3 EF 10 50 48 EF 10 D0 18 -03 25 01 00 93 05 41 00 13 06 00 00 EF 00 90 59 -6F 10 90 14 13 01 01 FF 23 24 81 00 83 C7 C1 C3 +EF 10 00 6F 17 25 00 00 13 05 45 94 63 08 05 00 +17 25 00 00 13 05 C5 8D EF 10 10 13 EF 10 80 63 +03 25 01 00 93 05 41 00 13 06 00 00 EF 00 50 53 +6F 10 40 5F 13 01 01 FF 23 24 81 00 83 C7 C1 C3 23 26 11 00 63 92 07 02 93 07 00 00 63 8A 07 00 -37 35 00 00 13 05 C5 04 97 00 00 00 E7 00 00 00 +37 25 00 00 13 05 05 00 97 00 00 00 E7 00 00 00 93 07 10 00 23 8E F1 C2 83 20 C1 00 03 24 81 00 13 01 01 01 67 80 00 00 93 07 00 00 63 8C 07 00 -37 35 00 00 93 85 01 C4 13 05 C5 04 17 03 00 00 +37 25 00 00 93 85 01 C4 13 05 05 00 17 03 00 00 67 00 00 00 67 80 00 00 13 01 01 FF 23 26 81 00 13 04 01 01 83 A7 C1 C2 93 87 87 00 03 A7 07 00 23 AA E1 C2 83 A7 41 C3 93 F7 17 00 93 B7 17 00 @@ -38,443 +38,390 @@ EF F0 5F F3 13 07 05 00 93 07 F0 FF E3 04 F7 FE 83 20 C1 01 03 24 81 01 13 01 01 02 67 80 00 00 13 01 01 FD 23 26 11 02 23 24 81 02 13 04 01 03 23 2E A4 FC 23 2C B4 FC 23 26 04 FE 03 27 84 FD -93 07 10 00 63 C6 E7 00 93 07 00 00 6F 00 C0 0B +93 07 10 00 63 C6 E7 00 93 07 00 00 6F 00 80 09 EF F0 DF F1 23 24 A4 FE 03 27 84 FE 93 07 F0 FF -E3 08 F7 FE 03 27 84 FE 93 07 80 00 63 1E F7 00 -83 27 C4 FE 63 5C F0 02 83 27 C4 FE 93 87 F7 FF -23 26 F4 FE 6F 00 80 02 83 27 C4 FE 13 87 17 00 -23 26 E4 FE 13 87 07 00 83 27 C4 FD B3 87 E7 00 -03 27 84 FE 13 77 F7 0F 23 80 E7 00 13 00 00 00 -03 25 84 FE EF F0 1F E6 13 07 05 00 93 07 F0 FF -E3 08 F7 FE 83 27 84 FD 93 87 F7 FF 03 27 C4 FE -63 50 F7 02 03 27 84 FE 93 07 A0 00 63 0C F7 00 -03 27 84 FE 93 07 D0 00 63 06 F7 00 6F F0 5F F6 -13 00 00 00 83 27 C4 FE 03 27 C4 FD B3 07 F7 00 -23 80 07 00 83 27 C4 FE 13 85 07 00 83 20 C1 02 -03 24 81 02 13 01 01 03 67 80 00 00 13 01 01 FC -23 2E 81 02 13 04 01 04 23 26 A4 FC 23 24 B4 FC -23 24 04 FE 23 22 04 FE 83 27 84 FC 63 DE 07 04 -83 27 84 FC B3 07 F0 40 23 24 F4 FC 23 22 04 FE -6F 00 80 04 03 27 84 FC 93 07 A0 00 B3 67 F7 02 -13 F7 F7 0F 83 27 84 FE 93 86 17 00 23 24 D4 FE -93 86 07 00 83 27 C4 FC B3 87 D7 00 13 07 07 03 -13 77 F7 0F 23 80 E7 00 03 27 84 FC 93 07 A0 00 -B3 47 F7 02 23 24 F4 FC 83 27 84 FC E3 4C F0 FA -83 27 44 FE 63 82 07 02 83 27 84 FE 13 87 17 00 -23 24 E4 FE 13 87 07 00 83 27 C4 FC B3 87 E7 00 -13 07 D0 02 23 80 E7 00 23 26 04 FE 6F 00 C0 06 -83 27 84 FE 13 87 F7 FF 83 27 C4 FE B3 07 F7 40 -23 20 F4 FE 83 27 C4 FE 03 27 C4 FC B3 07 F7 00 -83 C7 07 00 A3 0F F4 FC 83 27 04 FE 03 27 C4 FC -33 07 F7 00 83 27 C4 FE 83 26 C4 FC B3 87 F6 00 -03 47 07 00 23 80 E7 00 83 27 04 FE 03 27 C4 FC -B3 07 F7 00 03 47 F4 FD 23 80 E7 00 83 27 C4 FE -93 87 17 00 23 26 F4 FE 83 27 84 FE 13 D7 F7 01 -B3 07 F7 00 93 D7 17 40 13 87 07 00 83 27 C4 FE -E3 C0 E7 F8 83 27 84 FE 03 27 C4 FC B3 07 F7 00 -23 80 07 00 83 27 84 FE 13 85 07 00 03 24 C1 03 -13 01 01 04 67 80 00 00 13 01 01 FB 23 26 81 04 -13 04 01 05 23 26 A4 FC 23 20 B4 FC 23 22 C4 FC -23 24 D4 FC 93 07 07 00 A3 0F F4 FA 23 24 04 FE -6F 00 00 09 83 27 04 FC 93 F7 F7 00 23 2E F4 FC -03 27 C4 FD 93 07 90 00 63 C4 E7 02 83 27 C4 FD +E3 08 F7 FE 83 27 C4 FE 13 87 17 00 23 26 E4 FE +13 87 07 00 83 27 C4 FD B3 87 E7 00 03 27 84 FE +13 77 F7 0F 23 80 E7 00 13 00 00 00 03 25 84 FE +EF F0 5F E8 13 07 05 00 93 07 F0 FF E3 08 F7 FE +83 27 84 FD 93 87 F7 FF 03 27 C4 FE 63 50 F7 02 +03 27 84 FE 93 07 A0 00 63 0C F7 00 03 27 84 FE +93 07 D0 00 63 06 F7 00 6F F0 9F F8 13 00 00 00 +83 27 C4 FE 03 27 C4 FD B3 07 F7 00 23 80 07 00 +83 27 C4 FE 13 85 07 00 83 20 C1 02 03 24 81 02 +13 01 01 03 67 80 00 00 13 01 01 FC 23 2E 81 02 +13 04 01 04 23 26 A4 FC 23 24 B4 FC 23 24 04 FE +23 22 04 FE 83 27 84 FC 63 DE 07 04 83 27 84 FC +B3 07 F0 40 23 24 F4 FC 23 22 04 FE 6F 00 80 04 +03 27 84 FC 93 07 A0 00 B3 67 F7 02 13 F7 F7 0F +83 27 84 FE 93 86 17 00 23 24 D4 FE 93 86 07 00 +83 27 C4 FC B3 87 D7 00 13 07 07 03 13 77 F7 0F +23 80 E7 00 03 27 84 FC 93 07 A0 00 B3 47 F7 02 +23 24 F4 FC 83 27 84 FC E3 4C F0 FA 83 27 44 FE +63 82 07 02 83 27 84 FE 13 87 17 00 23 24 E4 FE +13 87 07 00 83 27 C4 FC B3 87 E7 00 13 07 D0 02 +23 80 E7 00 23 26 04 FE 6F 00 C0 06 83 27 84 FE +13 87 F7 FF 83 27 C4 FE B3 07 F7 40 23 20 F4 FE +83 27 C4 FE 03 27 C4 FC B3 07 F7 00 83 C7 07 00 +A3 0F F4 FC 83 27 04 FE 03 27 C4 FC 33 07 F7 00 +83 27 C4 FE 83 26 C4 FC B3 87 F6 00 03 47 07 00 +23 80 E7 00 83 27 04 FE 03 27 C4 FC B3 07 F7 00 +03 47 F4 FD 23 80 E7 00 83 27 C4 FE 93 87 17 00 +23 26 F4 FE 83 27 84 FE 13 D7 F7 01 B3 07 F7 00 +93 D7 17 40 13 87 07 00 83 27 C4 FE E3 C0 E7 F8 +83 27 84 FE 03 27 C4 FC B3 07 F7 00 23 80 07 00 +83 27 84 FE 13 85 07 00 03 24 C1 03 13 01 01 04 +67 80 00 00 13 01 01 FB 23 26 81 04 13 04 01 05 +23 26 A4 FC 23 20 B4 FC 23 22 C4 FC 23 24 D4 FC +93 07 07 00 A3 0F F4 FA 23 24 04 FE 6F 00 00 09 +83 27 04 FC 93 F7 F7 00 23 2E F4 FC 03 27 C4 FD +93 07 90 00 63 C4 E7 02 83 27 C4 FD 13 F7 F7 0F +83 27 84 FE 83 26 C4 FC B3 87 F6 00 13 07 07 03 +13 77 F7 0F 23 80 E7 00 6F 00 40 02 83 27 C4 FD 13 F7 F7 0F 83 27 84 FE 83 26 C4 FC B3 87 F6 00 -13 07 07 03 13 77 F7 0F 23 80 E7 00 6F 00 40 02 -83 27 C4 FD 13 F7 F7 0F 83 27 84 FE 83 26 C4 FC -B3 87 F6 00 13 07 77 05 13 77 F7 0F 23 80 E7 00 -83 27 44 FC 93 97 C7 01 03 27 04 FC 13 58 47 00 -33 E8 07 01 83 27 44 FC 93 D8 47 00 23 20 04 FD -23 22 14 FD 83 27 84 FE 93 87 17 00 23 24 F4 FE -83 27 04 FC 03 27 44 FC B3 E7 E7 00 E3 94 07 F6 -6F 00 40 02 83 27 84 FE 13 87 17 00 23 24 E4 FE -13 87 07 00 83 27 C4 FC B3 87 E7 00 03 47 F4 FB -23 80 E7 00 03 27 84 FE 83 27 84 FC E3 4C F7 FC -23 26 04 FE 6F 00 C0 06 83 27 84 FE 13 87 F7 FF -83 27 C4 FE B3 07 F7 40 23 22 F4 FE 83 27 C4 FE -03 27 C4 FC B3 07 F7 00 83 C7 07 00 A3 01 F4 FE -83 27 44 FE 03 27 C4 FC 33 07 F7 00 83 27 C4 FE -83 26 C4 FC B3 87 F6 00 03 47 07 00 23 80 E7 00 -83 27 44 FE 03 27 C4 FC B3 07 F7 00 03 47 34 FE -23 80 E7 00 83 27 C4 FE 93 87 17 00 23 26 F4 FE -83 27 84 FE 13 D7 F7 01 B3 07 F7 00 93 D7 17 40 -13 87 07 00 83 27 C4 FE E3 C0 E7 F8 83 27 84 FE -03 27 C4 FC B3 07 F7 00 23 80 07 00 83 27 84 FE -13 85 07 00 03 24 C1 04 13 01 01 05 67 80 00 00 -13 01 01 FD 23 26 81 02 13 04 01 03 23 2E A4 FC -23 2C B4 FC 23 26 04 FE 23 24 04 FE 93 07 10 00 -23 22 F4 FE 6F 00 C0 08 83 27 C4 FD 83 C7 07 00 -23 20 F4 FE 03 27 04 FE 93 07 F0 02 63 D2 E7 04 -03 27 04 FE 93 07 90 03 63 CC E7 02 03 27 84 FE -93 07 07 00 93 97 27 00 B3 87 E7 00 93 97 17 00 -13 87 07 00 83 27 04 FE B3 07 F7 00 93 87 07 FD -23 24 F4 FE 93 07 10 00 23 26 F4 FE 6F 00 80 02 -83 27 C4 FE 63 9E 07 02 03 27 04 FE 93 07 D0 02 -63 1A F7 00 93 07 F0 FF 23 22 F4 FE 93 07 10 00 -23 26 F4 FE 83 27 C4 FD 93 87 17 00 23 2E F4 FC -83 27 C4 FD 83 C7 07 00 E3 98 07 F6 6F 00 80 00 -13 00 00 00 03 27 84 FE 83 27 44 FE B3 07 F7 02 -23 24 F4 FE 83 27 84 FD 63 88 07 00 83 27 84 FD -03 27 C4 FD 23 A0 E7 00 83 27 84 FE 13 85 07 00 -03 24 C1 02 13 01 01 03 67 80 00 00 13 01 01 FD +13 07 77 05 13 77 F7 0F 23 80 E7 00 83 27 44 FC +93 97 C7 01 03 27 04 FC 13 58 47 00 33 E8 07 01 +83 27 44 FC 93 D8 47 00 23 20 04 FD 23 22 14 FD +83 27 84 FE 93 87 17 00 23 24 F4 FE 83 27 04 FC +03 27 44 FC B3 E7 E7 00 E3 94 07 F6 6F 00 40 02 +83 27 84 FE 13 87 17 00 23 24 E4 FE 13 87 07 00 +83 27 C4 FC B3 87 E7 00 03 47 F4 FB 23 80 E7 00 +03 27 84 FE 83 27 84 FC E3 4C F7 FC 23 26 04 FE +6F 00 C0 06 83 27 84 FE 13 87 F7 FF 83 27 C4 FE +B3 07 F7 40 23 22 F4 FE 83 27 C4 FE 03 27 C4 FC +B3 07 F7 00 83 C7 07 00 A3 01 F4 FE 83 27 44 FE +03 27 C4 FC 33 07 F7 00 83 27 C4 FE 83 26 C4 FC +B3 87 F6 00 03 47 07 00 23 80 E7 00 83 27 44 FE +03 27 C4 FC B3 07 F7 00 03 47 34 FE 23 80 E7 00 +83 27 C4 FE 93 87 17 00 23 26 F4 FE 83 27 84 FE +13 D7 F7 01 B3 07 F7 00 93 D7 17 40 13 87 07 00 +83 27 C4 FE E3 C0 E7 F8 83 27 84 FE 03 27 C4 FC +B3 07 F7 00 23 80 07 00 83 27 84 FE 13 85 07 00 +03 24 C1 04 13 01 01 05 67 80 00 00 13 01 01 FD 23 26 81 02 13 04 01 03 23 2E A4 FC 23 2C B4 FC -23 26 04 FE 23 24 04 FE 6F 00 00 0E 83 27 C4 FD -83 C7 07 00 23 22 F4 FE 03 27 44 FE 93 07 F0 02 -63 DA E7 02 03 27 44 FE 93 07 90 03 63 C4 E7 02 -93 07 10 00 23 26 F4 FE 83 27 84 FE 13 97 47 00 -83 27 44 FE B3 07 F7 00 93 87 07 FD 23 24 F4 FE -6F 00 C0 08 03 27 44 FE 93 07 00 06 63 DA E7 02 -03 27 44 FE 93 07 60 06 63 C4 E7 02 93 07 10 00 +23 26 04 FE 23 24 04 FE 93 07 10 00 23 22 F4 FE +6F 00 C0 08 83 27 C4 FD 83 C7 07 00 23 20 F4 FE +03 27 04 FE 93 07 F0 02 63 D2 E7 04 03 27 04 FE +93 07 90 03 63 CC E7 02 03 27 84 FE 93 07 07 00 +93 97 27 00 B3 87 E7 00 93 97 17 00 13 87 07 00 +83 27 04 FE B3 07 F7 00 93 87 07 FD 23 24 F4 FE +93 07 10 00 23 26 F4 FE 6F 00 80 02 83 27 C4 FE +63 9E 07 02 03 27 04 FE 93 07 D0 02 63 1A F7 00 +93 07 F0 FF 23 22 F4 FE 93 07 10 00 23 26 F4 FE +83 27 C4 FD 93 87 17 00 23 2E F4 FC 83 27 C4 FD +83 C7 07 00 E3 98 07 F6 6F 00 80 00 13 00 00 00 +03 27 84 FE 83 27 44 FE B3 07 F7 02 23 24 F4 FE +83 27 84 FD 63 88 07 00 83 27 84 FD 03 27 C4 FD +23 A0 E7 00 83 27 84 FE 13 85 07 00 03 24 C1 02 +13 01 01 03 67 80 00 00 13 01 01 FD 23 26 81 02 +13 04 01 03 23 2E A4 FC 23 2C B4 FC 23 26 04 FE +23 24 04 FE 6F 00 00 0E 83 27 C4 FD 83 C7 07 00 +23 22 F4 FE 03 27 44 FE 93 07 F0 02 63 DA E7 02 +03 27 44 FE 93 07 90 03 63 C4 E7 02 93 07 10 00 23 26 F4 FE 83 27 84 FE 13 97 47 00 83 27 44 FE -B3 07 F7 00 93 87 97 FA 23 24 F4 FE 6F 00 00 05 -03 27 44 FE 93 07 00 04 63 DA E7 02 03 27 44 FE -93 07 60 04 63 C4 E7 02 93 07 10 00 23 26 F4 FE +B3 07 F7 00 93 87 07 FD 23 24 F4 FE 6F 00 C0 08 +03 27 44 FE 93 07 00 06 63 DA E7 02 03 27 44 FE +93 07 60 06 63 C4 E7 02 93 07 10 00 23 26 F4 FE 83 27 84 FE 13 97 47 00 83 27 44 FE B3 07 F7 00 -93 87 97 FC 23 24 F4 FE 6F 00 40 01 83 27 C4 FE -63 94 07 02 93 07 10 00 23 26 F4 FE 83 27 C4 FD -93 87 17 00 23 2E F4 FC 83 27 C4 FD 83 C7 07 00 -E3 9E 07 F0 6F 00 80 00 13 00 00 00 83 27 84 FD -63 88 07 00 83 27 84 FD 03 27 C4 FD 23 A0 E7 00 -83 27 84 FE 13 85 07 00 03 24 C1 02 13 01 01 03 -67 80 00 00 13 01 01 FD 23 26 81 02 13 04 01 03 -23 2E A4 FC 23 2C B4 FC 83 27 C4 FD 23 26 F4 FE -6F 00 00 01 83 27 C4 FD 93 87 17 00 23 2E F4 FC -83 27 C4 FD 83 C7 07 00 E3 96 07 FE 6F 00 40 02 -03 27 84 FD 93 07 17 00 23 2C F4 FC 83 27 C4 FD -93 86 17 00 23 2E D4 FC 03 47 07 00 23 80 E7 00 -83 27 84 FD 83 C7 07 00 E3 9C 07 FC 83 27 C4 FD -23 80 07 00 03 27 C4 FD 83 27 C4 FE B3 07 F7 40 +93 87 97 FA 23 24 F4 FE 6F 00 00 05 03 27 44 FE +93 07 00 04 63 DA E7 02 03 27 44 FE 93 07 60 04 +63 C4 E7 02 93 07 10 00 23 26 F4 FE 83 27 84 FE +13 97 47 00 83 27 44 FE B3 07 F7 00 93 87 97 FC +23 24 F4 FE 6F 00 40 01 83 27 C4 FE 63 94 07 02 +93 07 10 00 23 26 F4 FE 83 27 C4 FD 93 87 17 00 +23 2E F4 FC 83 27 C4 FD 83 C7 07 00 E3 9E 07 F0 +6F 00 80 00 13 00 00 00 83 27 84 FD 63 88 07 00 +83 27 84 FD 03 27 C4 FD 23 A0 E7 00 83 27 84 FE 13 85 07 00 03 24 C1 02 13 01 01 03 67 80 00 00 13 01 01 FD 23 26 81 02 13 04 01 03 23 2E A4 FC -23 2C B4 FC 23 2A C4 FC 23 26 04 FE 6F 00 00 05 -83 27 C4 FD 13 87 17 00 23 2E E4 FC 03 C7 07 00 -83 27 84 FD 93 86 17 00 23 2C D4 FC 83 C7 07 00 -63 06 F7 00 93 07 10 00 6F 00 00 04 83 27 C4 FE -93 87 17 00 23 26 F4 FE 03 27 C4 FE 83 27 44 FD -63 46 F7 00 93 07 00 00 6F 00 00 02 83 27 C4 FD -83 C7 07 00 63 88 07 00 83 27 84 FD 83 C7 07 00 -E3 90 07 FA 93 07 00 00 13 85 07 00 03 24 C1 02 -13 01 01 03 67 80 00 00 13 01 01 FE 23 2E 81 00 -13 04 01 02 23 26 A4 FE B7 F7 FA 02 13 87 07 08 -83 27 C4 FE 33 47 F7 02 83 A7 C1 C2 93 87 07 01 -23 A0 E7 00 93 07 00 00 13 85 07 00 03 24 C1 01 -13 01 01 02 67 80 00 00 13 01 01 EC 23 2E 11 12 -23 2C 81 12 23 2A 21 13 23 28 31 13 23 26 41 13 -23 24 51 13 13 04 01 14 83 A7 81 C3 93 F7 07 FF -23 2A F4 FC 23 2C 04 FC 6F 00 80 1F 83 27 44 FD -13 89 07 00 93 09 00 00 93 07 C4 EC 13 07 00 03 -93 06 80 00 93 05 09 00 13 86 09 00 13 85 07 00 -EF F0 9F A6 13 07 C4 EC B7 27 00 00 93 85 47 E2 -13 05 07 00 EF F0 1F E3 23 2E 04 FC 6F 00 00 0C -83 27 44 FD 23 26 F4 FC 03 27 C4 FD 83 27 44 FD -33 07 F7 00 83 A7 81 C3 63 7E F7 00 13 07 C4 EC -B7 27 00 00 93 85 87 E2 13 05 07 00 EF F0 9F DF -6F 00 00 06 83 27 C4 FD 03 27 C4 FC B3 07 F7 00 -83 C7 07 00 13 8A 07 00 93 0A 00 00 93 07 44 EC -13 07 00 03 93 06 20 00 93 05 0A 00 13 86 0A 00 -13 85 07 00 EF F0 5F 9E 13 07 44 EC B7 27 00 00 -93 85 C7 E2 13 05 07 00 EF F0 DF DA 13 07 44 EC -93 07 C4 EC 93 05 07 00 13 85 07 00 EF F0 9F D9 -03 27 C4 FD 93 07 70 00 63 1C F7 00 13 07 C4 EC -B7 27 00 00 93 85 07 E3 13 05 07 00 EF F0 9F D7 +23 2C B4 FC 83 27 C4 FD 23 26 F4 FE 6F 00 00 01 +83 27 C4 FD 93 87 17 00 23 2E F4 FC 83 27 C4 FD +83 C7 07 00 E3 96 07 FE 6F 00 40 02 03 27 84 FD +93 07 17 00 23 2C F4 FC 83 27 C4 FD 93 86 17 00 +23 2E D4 FC 03 47 07 00 23 80 E7 00 83 27 84 FD +83 C7 07 00 E3 9C 07 FC 83 27 C4 FD 23 80 07 00 +03 27 C4 FD 83 27 C4 FE B3 07 F7 40 13 85 07 00 +03 24 C1 02 13 01 01 03 67 80 00 00 13 01 01 FD +23 26 81 02 13 04 01 03 23 2E A4 FC 23 2C B4 FC +23 2A C4 FC 23 26 04 FE 6F 00 00 05 83 27 C4 FD +13 87 17 00 23 2E E4 FC 03 C7 07 00 83 27 84 FD +93 86 17 00 23 2C D4 FC 83 C7 07 00 63 06 F7 00 +93 07 10 00 6F 00 00 04 83 27 C4 FE 93 87 17 00 +23 26 F4 FE 03 27 C4 FE 83 27 44 FD 63 46 F7 00 +93 07 00 00 6F 00 00 02 83 27 C4 FD 83 C7 07 00 +63 88 07 00 83 27 84 FD 83 C7 07 00 E3 90 07 FA +93 07 00 00 13 85 07 00 03 24 C1 02 13 01 01 03 +67 80 00 00 13 01 01 FE 23 2E 81 00 13 04 01 02 +23 26 A4 FE B7 E7 F5 05 13 87 07 10 83 27 C4 FE +33 47 F7 02 83 A7 C1 C2 93 87 07 01 23 A0 E7 00 +93 07 00 00 13 85 07 00 03 24 C1 01 13 01 01 02 +67 80 00 00 13 01 01 EC 23 2E 11 12 23 2C 81 12 +23 2A 21 13 23 28 31 13 23 26 41 13 23 24 51 13 +13 04 01 14 83 A7 81 C3 93 F7 07 FF 23 2A F4 FC +23 2C 04 FC 6F 00 80 1F 83 27 44 FD 13 89 07 00 +93 09 00 00 93 07 C4 EC 13 07 00 03 93 06 80 00 +93 05 09 00 13 86 09 00 13 85 07 00 EF F0 9F A6 +13 07 C4 EC B7 27 00 00 93 85 07 AD 13 05 07 00 +EF F0 1F E3 23 2E 04 FC 6F 00 00 0C 83 27 44 FD +23 26 F4 FC 03 27 C4 FD 83 27 44 FD 33 07 F7 00 +83 A7 81 C3 63 7E F7 00 13 07 C4 EC B7 27 00 00 +93 85 47 AD 13 05 07 00 EF F0 9F DF 6F 00 00 06 +83 27 C4 FD 03 27 C4 FC B3 07 F7 00 83 C7 07 00 +13 8A 07 00 93 0A 00 00 93 07 44 EC 13 07 00 03 +93 06 20 00 93 05 0A 00 13 86 0A 00 13 85 07 00 +EF F0 5F 9E 13 07 44 EC B7 27 00 00 93 85 87 AD +13 05 07 00 EF F0 DF DA 13 07 44 EC 93 07 C4 EC +93 05 07 00 13 85 07 00 EF F0 9F D9 03 27 C4 FD +93 07 70 00 63 1C F7 00 13 07 C4 EC B7 27 00 00 +93 85 C7 AD 13 05 07 00 EF F0 9F D7 83 27 C4 FD +93 87 17 00 23 2E F4 FC 03 27 C4 FD 93 07 F0 00 +E3 DE E7 F2 13 07 C4 EC B7 27 00 00 93 85 07 AE +13 05 07 00 EF F0 DF D4 23 2E 04 FC 6F 00 00 08 +83 27 44 FD 23 28 F4 FC 83 27 C4 FD 03 27 04 FD +B3 07 F7 00 03 C7 07 00 93 07 F0 01 63 FA E7 02 +83 27 C4 FD 03 27 04 FD B3 07 F7 00 03 C7 07 00 +93 07 E0 07 63 EE E7 00 83 27 C4 FD 03 27 04 FD +B3 07 F7 00 83 C7 07 00 23 00 F4 EC 6F 00 C0 00 +93 07 E0 02 23 00 F4 EC A3 00 04 EC 13 07 04 EC +93 07 C4 EC 93 05 07 00 13 85 07 00 EF F0 5F CD 83 27 C4 FD 93 87 17 00 23 2E F4 FC 03 27 C4 FD -93 07 F0 00 E3 DE E7 F2 13 07 C4 EC B7 27 00 00 -93 85 47 E3 13 05 07 00 EF F0 DF D4 23 2E 04 FC -6F 00 00 08 83 27 44 FD 23 28 F4 FC 83 27 C4 FD -03 27 04 FD B3 07 F7 00 03 C7 07 00 93 07 00 02 -63 FA E7 02 83 27 C4 FD 03 27 04 FD B3 07 F7 00 -03 C7 07 00 93 07 E0 07 63 EE E7 00 83 27 C4 FD -03 27 04 FD B3 07 F7 00 83 C7 07 00 23 00 F4 EC -6F 00 C0 00 93 07 E0 02 23 00 F4 EC A3 00 04 EC -13 07 04 EC 93 07 C4 EC 93 05 07 00 13 85 07 00 -EF F0 5F CD 83 27 C4 FD 93 87 17 00 23 2E F4 FC -03 27 C4 FD 93 07 F0 00 E3 DE E7 F6 13 07 C4 EC -B7 27 00 00 93 85 87 E3 13 05 07 00 EF F0 9F CA -93 07 C4 EC 13 85 07 00 EF F0 0F E0 83 27 44 FD -93 87 07 01 23 2A F4 FC 83 27 44 FD 93 F7 F7 0F -63 80 07 02 83 27 84 FD 93 87 17 00 23 2C F4 FC -03 27 84 FD 93 07 F0 00 E3 D2 E7 E0 6F 00 80 00 -13 00 00 00 B7 27 00 00 13 85 C7 E3 EF F0 CF DB -03 27 44 FD 23 AC E1 C2 13 00 00 00 83 20 C1 13 -03 24 81 13 03 29 41 13 83 29 01 13 03 2A C1 12 -83 2A 81 12 13 01 01 14 67 80 00 00 13 01 01 FE -23 2E 81 00 13 04 01 02 23 26 A4 FE 03 27 C4 FE -93 07 A0 00 B3 77 F7 02 37 27 00 00 13 07 47 F2 -93 97 27 00 B3 07 F7 00 83 A7 07 00 13 85 07 00 -03 24 C1 01 13 01 01 02 67 80 00 00 13 01 01 FE -23 2E 81 00 13 04 01 02 F3 65 00 C0 23 26 B4 FE -F3 65 00 C8 23 24 B4 FE 83 25 84 FE 23 20 B4 FE -23 22 04 FE 83 25 04 FE 93 98 05 00 13 08 00 00 -23 20 04 FF 23 22 14 FF 83 25 C4 FE 13 87 05 00 -93 07 00 00 83 25 04 FE 33 E6 E5 00 83 25 44 FE -B3 E6 F5 00 23 20 C4 FE 23 22 D4 FE 03 27 04 FE -83 27 44 FE 13 05 07 00 93 85 07 00 03 24 C1 01 -13 01 01 02 67 80 00 00 13 01 01 FE 23 2E 81 00 -13 04 01 02 F3 65 20 C0 23 26 B4 FE F3 65 20 C8 +93 07 F0 00 E3 DE E7 F6 13 07 C4 EC B7 27 00 00 +93 85 47 AE 13 05 07 00 EF F0 9F CA 93 07 C4 EC +13 85 07 00 EF F0 4F E2 83 27 44 FD 93 87 07 01 +23 2A F4 FC 83 27 44 FD 93 F7 F7 0F 63 80 07 02 +83 27 84 FD 93 87 17 00 23 2C F4 FC 03 27 84 FD +93 07 F0 00 E3 D2 E7 E0 6F 00 80 00 13 00 00 00 +B7 27 00 00 13 85 87 AE EF F0 0F DE 03 27 44 FD +23 AC E1 C2 13 00 00 00 83 20 C1 13 03 24 81 13 +03 29 41 13 83 29 01 13 03 2A C1 12 83 2A 81 12 +13 01 01 14 67 80 00 00 13 01 01 FE 23 2E 81 00 +13 04 01 02 F3 65 00 C0 23 26 B4 FE F3 65 00 C8 23 24 B4 FE 83 25 84 FE 23 20 B4 FE 23 22 04 FE 83 25 04 FE 93 98 05 00 13 08 00 00 23 20 04 FF 23 22 14 FF 83 25 C4 FE 13 87 05 00 93 07 00 00 83 25 04 FE 33 E6 E5 00 83 25 44 FE B3 E6 F5 00 23 20 C4 FE 23 22 D4 FE 03 27 04 FE 83 27 44 FE 13 05 07 00 93 85 07 00 03 24 C1 01 13 01 01 02 -67 80 00 00 13 01 01 FF 23 26 11 00 23 24 81 00 -13 04 01 01 B7 27 00 00 13 85 07 E4 EF F0 CF C3 -B7 27 00 00 13 85 07 E6 EF F0 0F C3 B7 27 00 00 -13 85 47 E8 EF F0 4F C2 B7 27 00 00 13 85 C7 E9 -EF F0 8F C1 B7 27 00 00 13 85 C7 EB EF F0 CF C0 -13 00 00 00 83 20 C1 00 03 24 81 00 13 01 01 01 -67 80 00 00 13 01 01 E5 23 26 11 1A 23 24 81 1A -23 22 21 1B 23 20 31 1B 23 2E 41 19 23 2C 51 19 -23 2A 61 19 23 28 71 19 23 26 81 19 23 24 91 19 -13 04 01 1B 23 2E A4 E4 23 2C B4 E4 B7 07 00 F0 -23 20 F4 FC B7 07 00 F0 93 87 07 01 23 2E F4 FA -23 2C 04 FA B7 27 00 00 93 87 87 F1 83 A6 07 00 -03 A7 47 00 23 26 D4 F6 23 28 E4 F6 03 D7 87 00 -23 1A E4 F6 83 C7 A7 00 23 0B F4 F6 B7 27 00 00 -93 87 07 ED 23 2A F4 FA B7 C7 01 00 13 85 07 20 -EF F0 9F B2 93 07 C4 F6 93 87 17 00 37 77 88 99 -13 07 67 76 23 A0 E7 00 93 07 C4 F6 93 87 27 00 -83 A7 07 00 23 2C F4 FA EF F0 0F A2 93 07 05 00 -63 86 07 00 03 25 44 FB EF F0 0F B3 23 26 04 FC -23 24 04 FC EF F0 9F DD 13 0A 05 00 93 8A 05 00 -EF F0 9F E4 13 07 05 00 93 87 05 00 13 06 40 06 -93 06 00 00 13 05 07 00 93 85 07 00 EF 00 C0 68 -13 07 05 00 93 87 05 00 13 06 07 00 93 86 07 00 -13 05 0A 00 93 85 0A 00 EF 00 00 67 13 07 05 00 -93 87 05 00 23 28 E4 FA EF F0 0F 9B 93 07 05 00 -63 8E 07 08 EF F0 9F D7 93 07 44 E6 13 07 00 03 -93 06 80 00 13 86 05 00 93 05 05 00 13 85 07 00 -EF F0 8F D6 93 07 44 E6 13 85 07 00 EF F0 CF A9 -B7 27 00 00 13 85 07 EE EF F0 0F A9 EF F0 DF DB -93 07 44 E6 13 07 00 03 93 06 80 00 13 86 05 00 -93 05 05 00 13 85 07 00 EF F0 0F D3 93 07 44 E6 -13 85 07 00 EF F0 4F A6 B7 27 00 00 13 85 07 EE -EF F0 8F A5 93 07 44 E6 83 25 04 FB 13 85 07 00 -EF F0 CF BA 93 07 44 E6 13 85 07 00 EF F0 CF A3 -B7 27 00 00 13 85 47 EE EF F0 0F A3 EF F0 8F 94 -93 07 05 00 63 80 07 06 93 07 44 E6 93 05 F0 0F -13 85 07 00 EF F0 CF A7 13 00 00 00 B7 27 00 00 -13 85 07 EE EF F0 4F A0 93 07 44 E6 13 85 07 00 -EF F0 8F 9F B7 27 00 00 13 85 87 EE EF F0 CF 9E -13 07 44 E6 13 06 40 00 B7 27 00 00 93 85 C7 EE -13 05 07 00 EF F0 DF 8F 93 07 05 00 63 92 07 28 -6F 00 80 27 83 27 C4 FC 93 87 17 00 23 26 F4 FC -03 27 C4 FC B7 27 00 00 93 87 07 71 63 FA E7 1A -83 27 84 FC 93 87 17 00 23 24 F4 FC 23 26 04 FC -83 27 84 FC 23 24 F4 FA 03 25 84 FA EF F0 1F C0 -93 07 05 00 93 F7 F7 0F 23 0C F4 F6 03 27 84 FA -93 07 A0 00 B3 57 F7 02 13 85 07 00 EF F0 1F BE -93 07 05 00 93 F7 F7 0F A3 0C F4 F6 03 27 84 FA -93 07 40 06 B3 57 F7 02 13 85 07 00 EF F0 1F BC -93 07 05 00 93 F7 F7 0F 23 0D F4 F6 03 27 84 FA -93 07 80 3E B3 57 F7 02 13 85 07 00 EF F0 1F BA -93 07 05 00 93 F7 F7 0F A3 0D F4 F6 03 27 84 FA -B7 27 00 00 93 87 07 71 B3 57 F7 02 23 24 F4 FA -03 25 84 FA EF F0 9F B7 93 07 05 00 93 F7 F7 0F -23 0E F4 F6 03 27 84 FA 93 07 A0 00 B3 57 F7 02 -13 85 07 00 EF F0 9F B5 93 07 05 00 93 F7 F7 0F -A3 0E F4 F6 03 27 84 FA 93 07 40 06 B3 57 F7 02 -13 85 07 00 EF F0 9F B3 93 07 05 00 93 F7 F7 0F -23 0F F4 F6 03 27 84 FA 93 07 80 3E B3 57 F7 02 -13 85 07 00 EF F0 9F B1 93 07 05 00 93 F7 F7 0F -A3 0F F4 F6 03 27 84 FA B7 27 00 00 93 87 07 71 -B3 57 F7 02 23 24 F4 FA 03 25 84 FA EF F0 1F AF -93 07 05 00 93 F7 F7 0F 23 00 F4 F8 03 27 84 FA -93 07 A0 00 B3 57 F7 02 13 85 07 00 EF F0 1F AD -93 07 05 00 93 F7 F7 0F A3 00 F4 F8 03 27 84 FA -93 07 40 06 B3 57 F7 02 13 85 07 00 EF F0 1F AB -93 07 05 00 93 F7 F7 0F 23 01 F4 F8 03 27 84 FA -93 07 80 3E B3 57 F7 02 13 85 07 00 EF F0 1F A9 -93 07 05 00 93 F7 F7 0F A3 01 F4 F8 93 07 84 F7 -03 A7 07 00 83 27 C4 FB 23 A0 E7 00 6F F0 1F DD -EF F0 DF AA 13 07 05 00 93 87 05 00 93 96 67 01 -13 59 A7 00 33 E9 26 01 93 D9 A7 00 23 26 24 FB -03 25 C4 FA EF F0 9F A4 93 07 05 00 93 F7 F7 0F -23 0C F4 F6 03 27 84 FC 93 07 A0 00 B3 57 F7 02 -13 85 07 00 EF F0 9F A2 93 07 05 00 93 F7 F7 0F -A3 0C F4 F6 03 27 84 FC 93 07 40 06 B3 57 F7 02 -13 85 07 00 EF F0 9F A0 93 07 05 00 93 F7 F7 0F -23 0D F4 F6 03 27 84 FC 93 07 80 3E B3 57 F7 02 -13 85 07 00 EF F0 9F 9E 93 07 05 00 93 F7 F7 0F -A3 0D F4 F6 93 07 84 F7 03 A7 07 00 83 27 C4 FB -23 A0 E7 00 6F F0 9F D2 EF F0 DF AF 6F F0 9F C2 -03 47 44 E6 93 07 20 06 63 1C F7 02 93 07 44 E6 -93 87 27 00 93 05 00 00 13 85 07 00 EF F0 4F B9 -23 26 A4 F8 83 27 C4 F8 63 58 F0 00 03 25 C4 F8 -EF F0 8F ED 6F F0 1F BF EF F0 DF AB 6F F0 9F BE -03 47 44 E6 93 07 40 06 63 1A F7 02 93 07 44 E6 -93 87 27 00 93 05 00 00 13 85 07 00 EF F0 0F C5 -23 28 A4 F8 83 27 04 F9 63 56 F0 00 03 27 04 F9 -23 AC E1 C2 EF F0 4F ED 6F F0 DF BA 03 47 44 E6 -93 07 70 07 63 1C F7 0A 93 07 44 E6 93 87 27 00 -13 07 84 F6 93 05 07 00 13 85 07 00 EF F0 0F C1 -23 2E A4 F8 83 27 84 F6 13 07 84 F6 93 05 07 00 -13 85 07 00 EF F0 8F BF 23 2C A4 F8 83 27 84 F6 -13 07 84 F6 93 05 07 00 13 85 07 00 EF F0 0F BE -23 2A A4 F8 03 27 44 F9 93 07 10 00 63 1C F7 00 -83 27 C4 F9 03 27 84 F9 13 77 F7 0F 23 80 E7 00 -6F F0 5F B3 03 27 44 F9 93 07 20 00 63 1E F7 00 -83 27 C4 F9 03 27 84 F9 13 17 07 01 13 57 07 41 -23 90 E7 00 6F F0 1F B1 03 27 44 F9 93 07 40 00 -63 1A F7 00 83 27 C4 F9 03 27 84 F9 23 A0 E7 00 -6F F0 5F AF EF F0 1F 9C 6F F0 DF AE 03 47 44 E6 -93 07 20 07 E3 10 F7 AE 23 22 04 FC 93 07 44 E6 -93 87 27 00 13 07 44 F6 93 05 07 00 13 85 07 00 -EF F0 CF B4 23 22 A4 FA 83 27 44 F6 13 07 44 F6 -93 05 07 00 13 85 07 00 EF F0 4F B3 23 20 A4 FA -03 27 04 FA 93 07 10 00 63 10 F7 02 83 27 44 FA -83 C7 07 00 23 22 F4 FC B7 27 00 00 13 85 47 EF -EF E0 9F DA 6F 00 80 05 03 27 04 FA 93 07 20 00 -63 10 F7 02 83 27 44 FA 83 97 07 00 23 22 F4 FC -B7 27 00 00 13 85 C7 EF EF E0 1F D8 6F 00 00 03 -03 27 04 FA 93 07 40 00 63 10 F7 02 83 27 44 FA -83 A7 07 00 23 22 F4 FC B7 27 00 00 13 85 47 F0 -EF E0 9F D5 6F 00 80 00 EF F0 DF 8F 03 27 04 FA -93 07 10 00 63 0E F7 00 03 27 04 FA 93 07 20 00 -63 08 F7 00 03 27 04 FA 93 07 40 00 E3 14 F7 A0 -83 27 44 FA 13 8B 07 00 93 D7 F7 41 93 8B 07 00 -93 07 44 E6 13 07 00 03 93 06 80 00 93 05 0B 00 -13 86 0B 00 13 85 07 00 EF E0 1F FC 93 07 44 E6 -13 85 07 00 EF E0 5F CF B7 27 00 00 13 85 C7 F0 -EF E0 9F CE 93 07 44 E6 83 25 44 FC 13 85 07 00 -EF E0 DF E3 93 07 44 E6 13 85 07 00 EF E0 DF CC -B7 27 00 00 13 85 07 F1 EF E0 1F CC 83 27 44 FC -13 8C 07 00 93 D7 F7 41 93 8C 07 00 83 27 04 FA -93 96 17 00 93 07 44 E6 13 07 00 03 93 05 0C 00 -13 86 0C 00 13 85 07 00 EF E0 1F F5 93 07 44 E6 -13 85 07 00 EF E0 5F C8 B7 27 00 00 13 85 47 F1 -EF E0 9F C7 6F F0 1F 95 13 03 05 00 93 88 05 00 -13 07 06 00 13 08 05 00 93 87 05 00 63 92 06 28 -B7 25 00 00 93 85 C5 F4 63 F6 C8 0E B7 06 01 00 -63 78 D6 0C 93 36 06 10 93 C6 16 00 93 96 36 00 -33 55 D6 00 B3 85 A5 00 83 C5 05 00 13 05 00 02 -B3 86 D5 00 B3 05 D5 40 63 0C D5 00 B3 97 B8 00 -B3 56 D3 00 33 17 B6 00 B3 E7 F6 00 33 18 B3 00 -93 55 07 01 33 D3 B7 02 13 16 07 01 13 56 06 01 -B3 F7 B7 02 13 05 03 00 B3 08 66 02 93 96 07 01 -93 57 08 01 B3 E7 D7 00 63 FE 17 01 B3 87 E7 00 -13 05 F3 FF 63 E8 E7 00 63 F6 17 01 13 05 E3 FF -B3 87 E7 00 B3 87 17 41 B3 D8 B7 02 13 18 08 01 -13 58 08 01 B3 F7 B7 02 B3 06 16 03 93 97 07 01 -33 68 F8 00 93 87 08 00 63 7C D8 00 33 08 07 01 -93 87 F8 FF 63 66 E8 00 63 74 D8 00 93 87 E8 FF -13 15 05 01 33 65 F5 00 93 05 00 00 67 80 00 00 -37 05 00 01 93 06 00 01 E3 6C A6 F2 93 06 80 01 -6F F0 1F F3 63 14 06 00 73 00 10 00 B7 07 01 00 -63 70 F6 0C 93 36 06 10 93 C6 16 00 93 96 36 00 -B3 57 D6 00 B3 85 F5 00 83 C7 05 00 B3 87 D7 00 -93 06 00 02 B3 85 F6 40 63 96 F6 0A B3 87 C8 40 -93 05 10 00 93 58 07 01 33 DE 17 03 13 16 07 01 -13 56 06 01 93 56 08 01 B3 F7 17 03 13 05 0E 00 -33 03 C6 03 93 97 07 01 B3 E7 F6 00 63 FE 67 00 -B3 87 E7 00 13 05 FE FF 63 E8 E7 00 63 F6 67 00 -13 05 EE FF B3 87 E7 00 B3 87 67 40 33 D3 17 03 -13 18 08 01 13 58 08 01 B3 F7 17 03 B3 06 66 02 -93 97 07 01 33 68 F8 00 93 07 03 00 63 7C D8 00 -33 08 07 01 93 07 F3 FF 63 66 E8 00 63 74 D8 00 -93 07 E3 FF 13 15 05 01 33 65 F5 00 67 80 00 00 -B7 07 00 01 93 06 00 01 E3 64 F6 F4 93 06 80 01 -6F F0 1F F4 33 17 B6 00 B3 D6 F8 00 13 55 07 01 -B3 57 F3 00 33 18 B3 00 33 D3 A6 02 13 16 07 01 -B3 98 B8 00 13 56 06 01 B3 E7 17 01 B3 F6 A6 02 -B3 08 66 02 93 95 06 01 93 D6 07 01 B3 E6 B6 00 -93 05 03 00 63 FE 16 01 B3 86 E6 00 93 05 F3 FF -63 E8 E6 00 63 F6 16 01 93 05 E3 FF B3 86 E6 00 -B3 86 16 41 B3 D8 A6 02 93 97 07 01 93 D7 07 01 -B3 F6 A6 02 33 06 16 03 93 96 06 01 B3 E7 D7 00 -93 86 08 00 63 FE C7 00 B3 87 E7 00 93 86 F8 FF -63 E8 E7 00 63 F6 C7 00 93 86 E8 FF B3 87 E7 00 -93 95 05 01 B3 87 C7 40 B3 E5 D5 00 6F F0 9F EB -63 E6 D5 18 B7 07 01 00 63 F4 F6 04 13 B7 06 10 -13 47 17 00 13 17 37 00 B7 27 00 00 B3 D5 E6 00 -93 87 C7 F4 B3 87 B7 00 83 C7 07 00 B3 87 E7 00 -13 07 00 02 B3 05 F7 40 63 16 F7 02 13 05 10 00 -E3 EE 16 E1 33 35 C3 00 13 45 15 00 67 80 00 00 -B7 07 00 01 13 07 00 01 E3 E0 F6 FC 13 07 80 01 -6F F0 9F FB 33 57 F6 00 B3 96 B6 00 B3 66 D7 00 -33 D7 F8 00 B3 98 B8 00 B3 57 F3 00 B3 E7 17 01 -93 D8 06 01 B3 5E 17 03 13 98 06 01 13 58 08 01 -33 16 B6 00 33 77 17 03 33 0E D8 03 13 15 07 01 -13 D7 07 01 33 67 A7 00 13 85 0E 00 63 7E C7 01 -33 07 D7 00 13 85 FE FF 63 68 D7 00 63 76 C7 01 -13 85 EE FF 33 07 D7 00 33 07 C7 41 33 5E 17 03 -93 97 07 01 93 D7 07 01 33 77 17 03 33 08 C8 03 -13 17 07 01 B3 E7 E7 00 13 07 0E 00 63 FE 07 01 -B3 87 D7 00 13 07 FE FF 63 E8 D7 00 63 F6 07 01 -13 07 EE FF B3 87 D7 00 13 15 05 01 37 0E 01 00 -33 65 E5 00 93 06 FE FF 33 77 D5 00 B3 87 07 41 -B3 76 D6 00 13 58 05 01 13 56 06 01 B3 08 D7 02 -B3 06 D8 02 33 07 C7 02 33 08 C8 02 33 06 D7 00 -13 D7 08 01 33 07 C7 00 63 74 D7 00 33 08 C8 01 -93 56 07 01 B3 86 06 01 63 E6 D7 02 E3 9E D7 CE -B7 07 01 00 93 87 F7 FF 33 77 F7 00 13 17 07 01 -B3 F8 F8 00 33 13 B3 00 33 07 17 01 93 05 00 00 -E3 7E E3 CC 13 05 F5 FF 6F F0 1F CD 93 05 00 00 -13 05 00 00 67 80 00 00 13 01 01 FF 93 05 00 00 -23 24 81 00 23 26 11 00 13 04 05 00 EF 00 40 19 -03 A5 81 C2 83 27 C5 03 63 84 07 00 E7 80 07 00 -13 05 04 00 EF 00 40 3A 13 01 01 FF 23 24 81 00 -23 20 21 01 37 34 00 00 37 39 00 00 93 07 84 07 -13 09 89 07 33 09 F9 40 23 26 11 00 23 22 91 00 -13 59 29 40 63 00 09 02 13 04 84 07 93 04 00 00 -83 27 04 00 93 84 14 00 13 04 44 00 E7 80 07 00 -E3 18 99 FE 37 34 00 00 37 39 00 00 93 07 84 07 -13 09 09 08 33 09 F9 40 13 59 29 40 63 00 09 02 -13 04 84 07 93 04 00 00 83 27 04 00 93 84 14 00 -13 04 44 00 E7 80 07 00 E3 18 99 FE 83 20 C1 00 -03 24 81 00 83 24 41 00 03 29 01 00 13 01 01 01 -67 80 00 00 13 03 F0 00 13 07 05 00 63 7E C3 02 -93 77 F7 00 63 90 07 0A 63 92 05 08 93 76 06 FF -13 76 F6 00 B3 86 E6 00 23 20 B7 00 23 22 B7 00 -23 24 B7 00 23 26 B7 00 13 07 07 01 E3 66 D7 FE -63 14 06 00 67 80 00 00 B3 06 C3 40 93 96 26 00 -97 02 00 00 B3 86 56 00 67 80 C6 00 23 07 B7 00 -A3 06 B7 00 23 06 B7 00 A3 05 B7 00 23 05 B7 00 -A3 04 B7 00 23 04 B7 00 A3 03 B7 00 23 03 B7 00 -A3 02 B7 00 23 02 B7 00 A3 01 B7 00 23 01 B7 00 -A3 00 B7 00 23 00 B7 00 67 80 00 00 93 F5 F5 0F -93 96 85 00 B3 E5 D5 00 93 96 05 01 B3 E5 D5 00 -6F F0 DF F6 93 96 27 00 97 02 00 00 B3 86 56 00 -93 82 00 00 E7 80 06 FA 93 80 02 00 93 87 07 FF -33 07 F7 40 33 06 F6 00 E3 78 C3 F6 6F F0 DF F3 -13 01 01 FD 23 2C 41 01 03 AA 81 C2 23 20 21 03 -23 26 11 02 03 29 8A 14 23 24 81 02 23 22 91 02 -23 2E 31 01 23 2A 51 01 23 28 61 01 23 26 71 01 -23 24 81 01 63 00 09 04 13 0B 05 00 93 8B 05 00 -93 0A 10 00 93 09 F0 FF 83 24 49 00 13 84 F4 FF -63 42 04 02 93 94 24 00 B3 04 99 00 63 84 0B 04 -83 A7 44 10 63 80 77 05 13 04 F4 FF 93 84 C4 FF -E3 16 34 FF 83 20 C1 02 03 24 81 02 83 24 41 02 -03 29 01 02 83 29 C1 01 03 2A 81 01 83 2A 41 01 -03 2B 01 01 83 2B C1 00 03 2C 81 00 13 01 01 03 -67 80 00 00 83 27 49 00 83 A6 44 00 93 87 F7 FF -63 8E 87 04 23 A2 04 00 E3 88 06 FA 83 27 89 18 -33 97 8A 00 03 2C 49 00 B3 77 F7 00 63 92 07 02 -E7 80 06 00 03 27 49 00 83 27 8A 14 63 14 87 01 -E3 84 27 F9 E3 88 07 F8 13 89 07 00 6F F0 DF F5 -83 27 C9 18 83 A5 44 08 33 77 F7 00 63 1C 07 00 -13 05 0B 00 E7 80 06 00 6F F0 DF FC 23 22 89 00 -6F F0 9F FA 13 85 05 00 E7 80 06 00 6F F0 9F FB -13 01 01 FF 23 24 81 00 B7 37 00 00 37 34 00 00 -93 87 07 08 13 04 44 08 33 04 F4 40 23 22 91 00 -23 26 11 00 93 54 24 40 63 80 04 02 13 04 C4 FF -33 04 F4 00 83 27 04 00 93 84 F4 FF 13 04 C4 FF -E7 80 07 00 E3 98 04 FE 83 20 C1 00 03 24 81 00 -83 24 41 00 13 01 01 01 67 80 00 00 93 05 05 00 -93 06 00 00 13 06 00 00 13 05 00 00 6F 00 40 00 -03 A7 81 C2 83 27 87 14 63 8C 07 04 03 A7 47 00 -13 08 F0 01 63 4E E8 06 13 18 27 00 63 06 05 02 -33 83 07 01 23 24 C3 08 83 A8 87 18 13 06 10 00 -33 16 E6 00 B3 E8 C8 00 23 A4 17 19 23 24 D3 10 -93 06 20 00 63 04 D5 02 13 07 17 00 23 A2 E7 00 -B3 87 07 01 23 A4 B7 00 13 05 00 00 67 80 00 00 -93 07 C7 14 23 24 F7 14 6F F0 5F FA 83 A6 C7 18 -13 07 17 00 23 A2 E7 00 B3 E6 C6 00 23 A6 D7 18 -B3 87 07 01 23 A4 B7 00 13 05 00 00 67 80 00 00 -13 05 F0 FF 67 80 00 00 93 08 D0 05 73 00 00 00 -63 44 05 00 6F 00 00 00 13 01 01 FF 23 24 81 00 -13 04 05 00 23 26 11 00 33 04 80 40 EF 00 C0 00 -23 20 85 00 6F 00 00 00 03 A5 01 C3 67 80 00 00 -@00001E24 +67 80 00 00 13 01 01 FE 23 2E 81 00 13 04 01 02 +F3 65 20 C0 23 26 B4 FE F3 65 20 C8 23 24 B4 FE +83 25 84 FE 23 20 B4 FE 23 22 04 FE 83 25 04 FE +93 98 05 00 13 08 00 00 23 20 04 FF 23 22 14 FF +83 25 C4 FE 13 87 05 00 93 07 00 00 83 25 04 FE +33 E6 E5 00 83 25 44 FE B3 E6 F5 00 23 20 C4 FE +23 22 D4 FE 03 27 04 FE 83 27 44 FE 13 05 07 00 +93 85 07 00 03 24 C1 01 13 01 01 02 67 80 00 00 +13 01 01 FF 23 26 11 00 23 24 81 00 13 04 01 01 +B7 27 00 00 13 85 C7 AE EF F0 0F CA B7 27 00 00 +13 85 C7 B0 EF F0 4F C9 B7 27 00 00 13 85 07 B3 +EF F0 8F C8 B7 27 00 00 13 85 87 B5 EF F0 CF C7 +B7 27 00 00 13 85 C7 B8 EF F0 0F C7 13 00 00 00 +83 20 C1 00 03 24 81 00 13 01 01 01 67 80 00 00 +13 01 01 E8 23 2E 11 16 23 2C 81 16 23 2A 21 17 +23 28 31 17 23 26 41 17 23 24 51 17 23 22 61 17 +23 20 71 17 13 04 01 18 23 26 A4 E8 23 24 B4 E8 +B7 07 00 F0 23 2C F4 FC B7 07 00 F0 93 87 07 01 +23 2A F4 FC B7 C7 01 00 13 85 07 20 EF F0 9F BA +23 28 04 FC 23 26 04 FC EF F0 1F E5 13 09 05 00 +93 89 05 00 EF F0 1F EC 13 07 05 00 93 87 05 00 +13 06 40 06 93 06 00 00 13 05 07 00 93 85 07 00 +EF 00 40 41 13 07 05 00 93 87 05 00 13 06 07 00 +93 86 07 00 13 05 09 00 93 85 09 00 EF 00 80 3F +13 07 05 00 93 87 05 00 23 24 E4 FC EF F0 CF A8 +93 07 05 00 63 8E 07 08 EF F0 1F DF 93 07 04 E9 +13 07 00 03 93 06 80 00 13 86 05 00 93 05 05 00 +13 85 07 00 EF F0 0F E2 93 07 04 E9 13 85 07 00 +EF F0 8F B7 B7 27 00 00 13 85 47 BA EF F0 CF B6 +EF F0 5F E3 93 07 04 E9 13 07 00 03 93 06 80 00 +13 86 05 00 93 05 05 00 13 85 07 00 EF F0 8F DE +93 07 04 E9 13 85 07 00 EF F0 0F B4 B7 27 00 00 +13 85 47 BA EF F0 4F B3 93 07 04 E9 83 25 84 FC +13 85 07 00 EF F0 4F C6 93 07 04 E9 13 85 07 00 +EF F0 8F B1 B7 27 00 00 13 85 87 BA EF F0 CF B0 +EF F0 4F A2 93 07 05 00 E3 8C 07 FE 93 07 04 E9 +93 05 F0 0F 13 85 07 00 EF F0 8F B5 13 00 00 00 +B7 27 00 00 13 85 C7 BA EF F0 0F AE 93 07 04 E9 +13 85 07 00 EF F0 4F AD B7 27 00 00 13 85 07 BB +EF F0 8F AC 13 07 04 E9 13 06 40 00 B7 27 00 00 +93 85 47 BB 13 05 07 00 EF F0 5F 9B 93 07 05 00 +63 96 07 00 EF F0 DF DE 6F F0 1F EA 03 47 04 E9 +93 07 20 06 63 1C F7 02 93 07 04 E9 93 87 27 00 +93 05 00 00 13 85 07 00 EF F0 4F EC 23 26 A4 FA +83 27 C4 FA 63 58 F0 00 03 25 C4 FA EF F0 9F A0 +6F F0 9F E6 EF F0 DF DA 6F F0 1F E6 03 47 04 E9 +93 07 40 06 63 1A F7 02 93 07 04 E9 93 87 27 00 +93 05 00 00 13 85 07 00 EF F0 0F F8 23 28 A4 FA +83 27 04 FB 63 56 F0 00 03 27 04 FB 23 AC E1 C2 +EF F0 5F A0 6F F0 5F E2 03 47 04 E9 93 07 70 07 +63 1C F7 0A 93 07 04 E9 93 87 27 00 13 07 44 F9 +93 05 07 00 13 85 07 00 EF F0 0F F4 23 2E A4 FA +83 27 44 F9 13 07 44 F9 93 05 07 00 13 85 07 00 +EF F0 8F F2 23 2C A4 FA 83 27 44 F9 13 07 44 F9 +93 05 07 00 13 85 07 00 EF F0 0F F1 23 2A A4 FA +03 27 44 FB 93 07 10 00 63 1C F7 00 83 27 C4 FB +03 27 84 FB 13 77 F7 0F 23 80 E7 00 6F F0 DF DA +03 27 44 FB 93 07 20 00 63 1E F7 00 83 27 C4 FB +03 27 84 FB 13 17 07 01 13 57 07 41 23 90 E7 00 +6F F0 9F D8 03 27 44 FB 93 07 40 00 63 1A F7 00 +83 27 C4 FB 03 27 84 FB 23 A0 E7 00 6F F0 DF D6 +EF F0 1F CB 6F F0 5F D6 03 47 04 E9 93 07 20 07 +E3 1C F7 D4 23 2E 04 FC 93 07 04 E9 93 87 27 00 +13 07 04 F9 93 05 07 00 13 85 07 00 EF F0 CF E7 +23 22 A4 FC 83 27 04 F9 13 07 04 F9 93 05 07 00 +13 85 07 00 EF F0 4F E6 23 20 A4 FC 03 27 04 FC +93 07 10 00 63 10 F7 02 83 27 44 FC 83 C7 07 00 +23 2E F4 FC B7 27 00 00 13 85 C7 BB EF F0 CF 8F +6F 00 80 05 03 27 04 FC 93 07 20 00 63 10 F7 02 +83 27 44 FC 83 97 07 00 23 2E F4 FC B7 27 00 00 +13 85 47 BC EF F0 4F 8D 6F 00 00 03 03 27 04 FC +93 07 40 00 63 10 F7 02 83 27 44 FC 83 A7 07 00 +23 2E F4 FC B7 27 00 00 13 85 C7 BC EF F0 CF 8A +6F 00 80 00 EF F0 DF BE 03 27 04 FC 93 07 10 00 +63 0E F7 00 03 27 04 FC 93 07 20 00 63 08 F7 00 +03 27 04 FC 93 07 40 00 E3 10 F7 C8 83 27 44 FC +13 8A 07 00 93 D7 F7 41 93 8A 07 00 93 07 04 E9 +13 07 00 03 93 06 80 00 93 05 0A 00 13 86 0A 00 +13 85 07 00 EF F0 0F AF 93 07 04 E9 13 85 07 00 +EF F0 8F 84 B7 27 00 00 13 85 47 BD EF F0 CF 83 +93 07 04 E9 83 25 C4 FD 13 85 07 00 EF F0 CF 96 +93 07 04 E9 13 85 07 00 EF F0 0F 82 B7 27 00 00 +13 85 87 BD EF F0 4F 81 83 27 C4 FD 13 8B 07 00 +93 D7 F7 41 93 8B 07 00 83 27 04 FC 93 96 17 00 +93 07 04 E9 13 07 00 03 93 05 0B 00 13 86 0B 00 +13 85 07 00 EF F0 0F A8 93 07 04 E9 13 85 07 00 +EF E0 9F FD B7 27 00 00 13 85 C7 BD EF E0 DF FC +6F F0 9F BC 13 03 05 00 93 88 05 00 13 07 06 00 +13 08 05 00 93 87 05 00 63 92 06 28 B7 25 00 00 +93 85 05 BE 63 F6 C8 0E B7 06 01 00 63 78 D6 0C +93 36 06 10 93 C6 16 00 93 96 36 00 33 55 D6 00 +B3 85 A5 00 83 C5 05 00 13 05 00 02 B3 86 D5 00 +B3 05 D5 40 63 0C D5 00 B3 97 B8 00 B3 56 D3 00 +33 17 B6 00 B3 E7 F6 00 33 18 B3 00 93 55 07 01 +33 D3 B7 02 13 16 07 01 13 56 06 01 B3 F7 B7 02 +13 05 03 00 B3 08 66 02 93 96 07 01 93 57 08 01 +B3 E7 D7 00 63 FE 17 01 B3 87 E7 00 13 05 F3 FF +63 E8 E7 00 63 F6 17 01 13 05 E3 FF B3 87 E7 00 +B3 87 17 41 B3 D8 B7 02 13 18 08 01 13 58 08 01 +B3 F7 B7 02 B3 06 16 03 93 97 07 01 33 68 F8 00 +93 87 08 00 63 7C D8 00 33 08 07 01 93 87 F8 FF +63 66 E8 00 63 74 D8 00 93 87 E8 FF 13 15 05 01 +33 65 F5 00 93 05 00 00 67 80 00 00 37 05 00 01 +93 06 00 01 E3 6C A6 F2 93 06 80 01 6F F0 1F F3 +63 14 06 00 73 00 10 00 B7 07 01 00 63 70 F6 0C +93 36 06 10 93 C6 16 00 93 96 36 00 B3 57 D6 00 +B3 85 F5 00 83 C7 05 00 B3 87 D7 00 93 06 00 02 +B3 85 F6 40 63 96 F6 0A B3 87 C8 40 93 05 10 00 +93 58 07 01 33 DE 17 03 13 16 07 01 13 56 06 01 +93 56 08 01 B3 F7 17 03 13 05 0E 00 33 03 C6 03 +93 97 07 01 B3 E7 F6 00 63 FE 67 00 B3 87 E7 00 +13 05 FE FF 63 E8 E7 00 63 F6 67 00 13 05 EE FF +B3 87 E7 00 B3 87 67 40 33 D3 17 03 13 18 08 01 +13 58 08 01 B3 F7 17 03 B3 06 66 02 93 97 07 01 +33 68 F8 00 93 07 03 00 63 7C D8 00 33 08 07 01 +93 07 F3 FF 63 66 E8 00 63 74 D8 00 93 07 E3 FF +13 15 05 01 33 65 F5 00 67 80 00 00 B7 07 00 01 +93 06 00 01 E3 64 F6 F4 93 06 80 01 6F F0 1F F4 +33 17 B6 00 B3 D6 F8 00 13 55 07 01 B3 57 F3 00 +33 18 B3 00 33 D3 A6 02 13 16 07 01 B3 98 B8 00 +13 56 06 01 B3 E7 17 01 B3 F6 A6 02 B3 08 66 02 +93 95 06 01 93 D6 07 01 B3 E6 B6 00 93 05 03 00 +63 FE 16 01 B3 86 E6 00 93 05 F3 FF 63 E8 E6 00 +63 F6 16 01 93 05 E3 FF B3 86 E6 00 B3 86 16 41 +B3 D8 A6 02 93 97 07 01 93 D7 07 01 B3 F6 A6 02 +33 06 16 03 93 96 06 01 B3 E7 D7 00 93 86 08 00 +63 FE C7 00 B3 87 E7 00 93 86 F8 FF 63 E8 E7 00 +63 F6 C7 00 93 86 E8 FF B3 87 E7 00 93 95 05 01 +B3 87 C7 40 B3 E5 D5 00 6F F0 9F EB 63 E6 D5 18 +B7 07 01 00 63 F4 F6 04 13 B7 06 10 13 47 17 00 +13 17 37 00 B7 27 00 00 B3 D5 E6 00 93 87 07 BE +B3 87 B7 00 83 C7 07 00 B3 87 E7 00 13 07 00 02 +B3 05 F7 40 63 16 F7 02 13 05 10 00 E3 EE 16 E1 +33 35 C3 00 13 45 15 00 67 80 00 00 B7 07 00 01 +13 07 00 01 E3 E0 F6 FC 13 07 80 01 6F F0 9F FB +33 57 F6 00 B3 96 B6 00 B3 66 D7 00 33 D7 F8 00 +B3 98 B8 00 B3 57 F3 00 B3 E7 17 01 93 D8 06 01 +B3 5E 17 03 13 98 06 01 13 58 08 01 33 16 B6 00 +33 77 17 03 33 0E D8 03 13 15 07 01 13 D7 07 01 +33 67 A7 00 13 85 0E 00 63 7E C7 01 33 07 D7 00 +13 85 FE FF 63 68 D7 00 63 76 C7 01 13 85 EE FF +33 07 D7 00 33 07 C7 41 33 5E 17 03 93 97 07 01 +93 D7 07 01 33 77 17 03 33 08 C8 03 13 17 07 01 +B3 E7 E7 00 13 07 0E 00 63 FE 07 01 B3 87 D7 00 +13 07 FE FF 63 E8 D7 00 63 F6 07 01 13 07 EE FF +B3 87 D7 00 13 15 05 01 37 0E 01 00 33 65 E5 00 +93 06 FE FF 33 77 D5 00 B3 87 07 41 B3 76 D6 00 +13 58 05 01 13 56 06 01 B3 08 D7 02 B3 06 D8 02 +33 07 C7 02 33 08 C8 02 33 06 D7 00 13 D7 08 01 +33 07 C7 00 63 74 D7 00 33 08 C8 01 93 56 07 01 +B3 86 06 01 63 E6 D7 02 E3 9E D7 CE B7 07 01 00 +93 87 F7 FF 33 77 F7 00 13 17 07 01 B3 F8 F8 00 +33 13 B3 00 33 07 17 01 93 05 00 00 E3 7E E3 CC +13 05 F5 FF 6F F0 1F CD 93 05 00 00 13 05 00 00 +67 80 00 00 13 01 01 FF 93 05 00 00 23 24 81 00 +23 26 11 00 13 04 05 00 EF 00 40 19 03 A5 81 C2 +83 27 C5 03 63 84 07 00 E7 80 07 00 13 05 04 00 +EF 00 40 3A 13 01 01 FF 23 24 81 00 23 20 21 01 +37 24 00 00 37 29 00 00 93 07 C4 02 13 09 C9 02 +33 09 F9 40 23 26 11 00 23 22 91 00 13 59 29 40 +63 00 09 02 13 04 C4 02 93 04 00 00 83 27 04 00 +93 84 14 00 13 04 44 00 E7 80 07 00 E3 18 99 FE +37 24 00 00 37 29 00 00 93 07 C4 02 13 09 49 03 +33 09 F9 40 13 59 29 40 63 00 09 02 13 04 C4 02 +93 04 00 00 83 27 04 00 93 84 14 00 13 04 44 00 +E7 80 07 00 E3 18 99 FE 83 20 C1 00 03 24 81 00 +83 24 41 00 03 29 01 00 13 01 01 01 67 80 00 00 +13 03 F0 00 13 07 05 00 63 7E C3 02 93 77 F7 00 +63 90 07 0A 63 92 05 08 93 76 06 FF 13 76 F6 00 +B3 86 E6 00 23 20 B7 00 23 22 B7 00 23 24 B7 00 +23 26 B7 00 13 07 07 01 E3 66 D7 FE 63 14 06 00 +67 80 00 00 B3 06 C3 40 93 96 26 00 97 02 00 00 +B3 86 56 00 67 80 C6 00 23 07 B7 00 A3 06 B7 00 +23 06 B7 00 A3 05 B7 00 23 05 B7 00 A3 04 B7 00 +23 04 B7 00 A3 03 B7 00 23 03 B7 00 A3 02 B7 00 +23 02 B7 00 A3 01 B7 00 23 01 B7 00 A3 00 B7 00 +23 00 B7 00 67 80 00 00 93 F5 F5 0F 93 96 85 00 +B3 E5 D5 00 93 96 05 01 B3 E5 D5 00 6F F0 DF F6 +93 96 27 00 97 02 00 00 B3 86 56 00 93 82 00 00 +E7 80 06 FA 93 80 02 00 93 87 07 FF 33 07 F7 40 +33 06 F6 00 E3 78 C3 F6 6F F0 DF F3 13 01 01 FD +23 2C 41 01 03 AA 81 C2 23 20 21 03 23 26 11 02 +03 29 8A 14 23 24 81 02 23 22 91 02 23 2E 31 01 +23 2A 51 01 23 28 61 01 23 26 71 01 23 24 81 01 +63 00 09 04 13 0B 05 00 93 8B 05 00 93 0A 10 00 +93 09 F0 FF 83 24 49 00 13 84 F4 FF 63 42 04 02 +93 94 24 00 B3 04 99 00 63 84 0B 04 83 A7 44 10 +63 80 77 05 13 04 F4 FF 93 84 C4 FF E3 16 34 FF +83 20 C1 02 03 24 81 02 83 24 41 02 03 29 01 02 +83 29 C1 01 03 2A 81 01 83 2A 41 01 03 2B 01 01 +83 2B C1 00 03 2C 81 00 13 01 01 03 67 80 00 00 +83 27 49 00 83 A6 44 00 93 87 F7 FF 63 8E 87 04 +23 A2 04 00 E3 88 06 FA 83 27 89 18 33 97 8A 00 +03 2C 49 00 B3 77 F7 00 63 92 07 02 E7 80 06 00 +03 27 49 00 83 27 8A 14 63 14 87 01 E3 84 27 F9 +E3 88 07 F8 13 89 07 00 6F F0 DF F5 83 27 C9 18 +83 A5 44 08 33 77 F7 00 63 1C 07 00 13 05 0B 00 +E7 80 06 00 6F F0 DF FC 23 22 89 00 6F F0 9F FA +13 85 05 00 E7 80 06 00 6F F0 9F FB 13 01 01 FF +23 24 81 00 B7 27 00 00 37 24 00 00 93 87 47 03 +13 04 84 03 33 04 F4 40 23 22 91 00 23 26 11 00 +93 54 24 40 63 80 04 02 13 04 C4 FF 33 04 F4 00 +83 27 04 00 93 84 F4 FF 13 04 C4 FF E7 80 07 00 +E3 98 04 FE 83 20 C1 00 03 24 81 00 83 24 41 00 +13 01 01 01 67 80 00 00 93 05 05 00 93 06 00 00 +13 06 00 00 13 05 00 00 6F 00 40 00 03 A7 81 C2 +83 27 87 14 63 8C 07 04 03 A7 47 00 13 08 F0 01 +63 4E E8 06 13 18 27 00 63 06 05 02 33 83 07 01 +23 24 C3 08 83 A8 87 18 13 06 10 00 33 16 E6 00 +B3 E8 C8 00 23 A4 17 19 23 24 D3 10 93 06 20 00 +63 04 D5 02 13 07 17 00 23 A2 E7 00 B3 87 07 01 +23 A4 B7 00 13 05 00 00 67 80 00 00 93 07 C7 14 +23 24 F7 14 6F F0 5F FA 83 A6 C7 18 13 07 17 00 +23 A2 E7 00 B3 E6 C6 00 23 A6 D7 18 B3 87 07 01 +23 A4 B7 00 13 05 00 00 67 80 00 00 13 05 F0 FF +67 80 00 00 93 08 D0 05 73 00 00 00 63 44 05 00 +6F 00 00 00 13 01 01 FF 23 24 81 00 13 04 05 00 +23 26 11 00 33 04 80 40 EF 00 C0 00 23 20 85 00 +6F 00 00 00 03 A5 01 C3 67 80 00 00 +@00001AD0 20 20 00 00 20 20 20 00 20 00 00 00 2D 20 00 00 20 20 7C 00 7C 0A 00 00 0A 00 00 00 20 20 64 20 3C 61 64 64 72 3E 20 2D 2D 20 64 69 73 70 6C 61 @@ -482,26 +429,25 @@ B3 87 07 01 23 A4 B7 00 13 05 00 00 67 80 00 00 3C 62 61 75 64 72 61 74 65 3E 20 2D 2D 20 73 65 74 20 62 61 75 64 72 61 74 65 20 0A 00 00 00 00 20 20 72 20 3C 61 64 64 72 3E 20 3C 77 69 64 74 -68 3E 20 0A 00 00 00 00 20 20 77 20 3C 61 64 64 +68 3E 20 2D 2D 20 72 65 61 64 20 6D 65 6D 6F 72 +79 20 77 6F 72 64 0A 00 20 20 77 20 3C 61 64 64 72 3E 20 3C 76 61 6C 75 65 3E 20 3C 77 69 64 74 -68 3E 20 0A 00 00 00 00 20 20 77 69 64 74 68 3D -31 2C 32 20 6F 72 20 34 0A 00 00 00 48 65 6C 6C -6F 2C 20 57 6F 72 6C 64 0A 00 00 00 3A 00 00 00 -3E 3E 00 00 0A 0D 00 00 68 65 6C 70 20 00 00 00 -63 68 61 72 20 40 00 00 73 68 6F 72 74 20 40 00 -69 6E 74 20 40 00 00 00 20 3D 20 00 28 00 00 00 -29 0A 0D 00 00 01 02 03 04 05 06 07 08 09 0A 00 -3F 00 00 00 06 00 00 00 5B 00 00 00 4F 00 00 00 -66 00 00 00 6D 00 00 00 7D 00 00 00 07 00 00 00 -7F 00 00 00 6F 00 00 00 00 01 02 02 03 03 03 03 -04 04 04 04 04 04 04 04 05 05 05 05 05 05 05 05 -05 05 05 05 05 05 05 05 06 06 06 06 06 06 06 06 +68 3E 20 2D 2D 20 77 72 69 74 65 20 6D 65 6D 6F +72 79 20 77 6F 72 64 0A 00 00 00 00 20 20 20 20 +77 69 64 74 68 3D 31 2C 20 32 20 6F 72 20 34 0A +00 00 00 00 3A 00 00 00 3E 3E 00 00 0A 0D 3A 00 +0A 0D 00 00 68 65 6C 70 20 00 00 00 63 68 61 72 +20 40 00 00 73 68 6F 72 74 20 40 00 69 6E 74 20 +40 00 00 00 20 3D 20 00 28 00 00 00 29 0A 0D 00 +00 01 02 02 03 03 03 03 04 04 04 04 04 04 04 04 +05 05 05 05 05 05 05 05 05 05 05 05 05 05 05 05 +06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 -06 06 06 06 06 06 06 06 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 -07 07 07 07 07 07 07 07 08 08 08 08 08 08 08 08 +07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 +08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 @@ -509,17 +455,16 @@ B3 87 07 01 23 A4 B7 00 13 05 00 00 67 80 00 00 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 -08 08 08 08 08 08 08 08 -@0000304C +@00002000 10 00 00 00 00 00 00 00 03 7A 52 00 01 7C 01 01 -1B 0D 02 00 10 00 00 00 18 00 00 00 84 E5 FF FF +1B 0D 02 00 10 00 00 00 18 00 00 00 7C F2 FF FF 30 04 00 00 00 00 00 00 00 00 00 00 -@00003078 +@0000202C 74 00 00 00 1C 01 00 00 -@00003080 +@00002034 D8 00 00 00 -@00003088 -00 00 00 00 74 33 00 00 DC 33 00 00 44 34 00 00 +@00002038 +00 00 00 00 24 23 00 00 8C 23 00 00 F4 23 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @@ -586,5 +531,5 @@ D8 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -@000034B0 -88 30 00 00 00 01 00 F0 88 30 00 00 +@00002460 +38 20 00 00 00 01 00 F0 38 20 00 00 diff --git a/examples/hdl4se_riscv/test_code/test.elf b/examples/hdl4se_riscv/test_code/test.elf index 176274c96cd05cd586e85b982ab7c3ec15eac524..b7fd9c0f789c4655f92bd52fef9f0d23a2d4dd24 100644 Binary files a/examples/hdl4se_riscv/test_code/test.elf and b/examples/hdl4se_riscv/test_code/test.elf differ diff --git a/examples/hdl4se_riscv/test_code/test.hex b/examples/hdl4se_riscv/test_code/test.hex index f5968bdb8671926b39c54605fd86b3333aab8f23..70aab492482b6d654a446babde7532a3ae2650f2 100644 --- a/examples/hdl4se_riscv/test_code/test.hex +++ b/examples/hdl4se_riscv/test_code/test.hex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diff --git a/examples/hdl4se_riscv/test_code/test.info b/examples/hdl4se_riscv/test_code/test.info index 1aa79d15b3f6df45ec9dc6839261938dd375545d..8691bea04242fa1e550065539d331ce4ce13093c 100644 --- a/examples/hdl4se_riscv/test_code/test.info +++ b/examples/hdl4se_riscv/test_code/test.info @@ -10,7 +10,7 @@ ELF Header: Version: 0x1 Entry point address: 0x8c Start of program headers: 52 (bytes into file) - Start of section headers: 20440 (bytes into file) + Start of section headers: 20312 (bytes into file) Flags: 0x0 Size of this header: 52 (bytes) Size of program headers: 32 (bytes) @@ -22,28 +22,28 @@ ELF Header: Section Headers: [Nr] Name Type Addr Off Size ES Flg Lk Inf Al [ 0] NULL 00000000 000000 000000 00 0 0 0 - [ 1] .text PROGBITS 00000074 000074 001db0 00 AX 0 0 4 - [ 2] .rodata PROGBITS 00001e24 001e24 000228 00 A 0 0 4 - [ 3] .eh_frame PROGBITS 0000304c 00204c 00002c 00 WA 0 0 4 - [ 4] .init_array INIT_ARRAY 00003078 002078 000008 04 WA 0 0 4 - [ 5] .fini_array FINI_ARRAY 00003080 002080 000004 04 WA 0 0 4 - [ 6] .data PROGBITS 00003088 002088 000428 00 WA 0 0 8 - [ 7] .sdata PROGBITS 000034b0 0024b0 00000c 00 WA 0 0 4 - [ 8] .sbss NOBITS 000034bc 0024bc 000008 00 WA 0 0 4 - [ 9] .bss NOBITS 000034c4 0024bc 00001c 00 WA 0 0 4 - [10] .comment PROGBITS 00000000 0024bc 000012 01 MS 0 0 1 - [11] .riscv.attributes RISCV_ATTRIBUTE 00000000 0024ce 000025 00 0 0 1 - [12] .debug_aranges PROGBITS 00000000 0024f3 000038 00 0 0 1 - [13] .debug_info PROGBITS 00000000 00252b 000839 00 0 0 1 - [14] .debug_abbrev PROGBITS 00000000 002d64 000216 00 0 0 1 - [15] .debug_line PROGBITS 00000000 002f7a 000766 00 0 0 1 - [16] .debug_str PROGBITS 00000000 0036e0 000296 01 MS 0 0 1 - [17] .debug_line_str PROGBITS 00000000 003976 0000b0 01 MS 0 0 1 - [18] .debug_loclists PROGBITS 00000000 003a26 000a99 00 0 0 1 - [19] .debug_rnglists PROGBITS 00000000 0044bf 000111 00 0 0 1 - [20] .symtab SYMTAB 00000000 0045d0 0005f0 10 21 72 4 - [21] .strtab STRTAB 00000000 004bc0 000329 00 0 0 1 - [22] .shstrtab STRTAB 00000000 004ee9 0000ee 00 0 0 1 + [ 1] .text PROGBITS 00000074 000074 001a5c 00 AX 0 0 4 + [ 2] .rodata PROGBITS 00001ad0 001ad0 000210 00 A 0 0 4 + [ 3] .eh_frame PROGBITS 00002000 002000 00002c 00 WA 0 0 4 + [ 4] .init_array INIT_ARRAY 0000202c 00202c 000008 04 WA 0 0 4 + [ 5] .fini_array FINI_ARRAY 00002034 002034 000004 04 WA 0 0 4 + [ 6] .data PROGBITS 00002038 002038 000428 00 WA 0 0 8 + [ 7] .sdata PROGBITS 00002460 002460 00000c 00 WA 0 0 4 + [ 8] .sbss NOBITS 0000246c 00246c 000008 00 WA 0 0 4 + [ 9] .bss NOBITS 00002474 00246c 00001c 00 WA 0 0 4 + [10] .comment PROGBITS 00000000 00246c 000012 01 MS 0 0 1 + [11] .riscv.attributes RISCV_ATTRIBUTE 00000000 00247e 000025 00 0 0 1 + [12] .debug_aranges PROGBITS 00000000 0024a3 000038 00 0 0 1 + [13] .debug_info PROGBITS 00000000 0024db 000839 00 0 0 1 + [14] .debug_abbrev PROGBITS 00000000 002d14 000216 00 0 0 1 + [15] .debug_line PROGBITS 00000000 002f2a 000766 00 0 0 1 + [16] .debug_str PROGBITS 00000000 003690 000296 01 MS 0 0 1 + [17] .debug_line_str PROGBITS 00000000 003926 0000b0 01 MS 0 0 1 + [18] .debug_loclists PROGBITS 00000000 0039d6 000a99 00 0 0 1 + [19] .debug_rnglists PROGBITS 00000000 00446f 000111 00 0 0 1 + [20] .symtab SYMTAB 00000000 004580 0005d0 10 21 71 4 + [21] .strtab STRTAB 00000000 004b50 000319 00 0 0 1 + [22] .shstrtab STRTAB 00000000 004e69 0000ee 00 0 0 1 Key to Flags: W (write), A (alloc), X (execute), M (merge), S (strings), I (info), L (link order), O (extra OS processing required), G (group), T (TLS), @@ -54,8 +54,8 @@ There are no section groups in this file. Program Headers: Type Offset VirtAddr PhysAddr FileSiz MemSiz Flg Align - LOAD 0x000000 0x00000000 0x00000000 0x0204c 0x0204c R E 0x1000 - LOAD 0x00204c 0x0000304c 0x0000304c 0x00470 0x00494 RW 0x1000 + LOAD 0x000000 0x00000000 0x00000000 0x01ce0 0x01ce0 R E 0x1000 + LOAD 0x002000 0x00002000 0x00002000 0x0046c 0x00490 RW 0x1000 Section to Segment mapping: Segment Sections... @@ -68,18 +68,18 @@ There are no relocations in this file. The decoding of unwind sections for machine type RISC-V is not currently supported. -Symbol table '.symtab' contains 95 entries: +Symbol table '.symtab' contains 93 entries: Num: Value Size Type Bind Vis Ndx Name 0: 00000000 0 NOTYPE LOCAL DEFAULT UND 1: 00000074 0 SECTION LOCAL DEFAULT 1 .text - 2: 00001e24 0 SECTION LOCAL DEFAULT 2 .rodata - 3: 0000304c 0 SECTION LOCAL DEFAULT 3 .eh_frame - 4: 00003078 0 SECTION LOCAL DEFAULT 4 .init_array - 5: 00003080 0 SECTION LOCAL DEFAULT 5 .fini_array - 6: 00003088 0 SECTION LOCAL DEFAULT 6 .data - 7: 000034b0 0 SECTION LOCAL DEFAULT 7 .sdata - 8: 000034bc 0 SECTION LOCAL DEFAULT 8 .sbss - 9: 000034c4 0 SECTION LOCAL DEFAULT 9 .bss + 2: 00001ad0 0 SECTION LOCAL DEFAULT 2 .rodata + 3: 00002000 0 SECTION LOCAL DEFAULT 3 .eh_frame + 4: 0000202c 0 SECTION LOCAL DEFAULT 4 .init_array + 5: 00002034 0 SECTION LOCAL DEFAULT 5 .fini_array + 6: 00002038 0 SECTION LOCAL DEFAULT 6 .data + 7: 00002460 0 SECTION LOCAL DEFAULT 7 .sdata + 8: 0000246c 0 SECTION LOCAL DEFAULT 8 .sbss + 9: 00002474 0 SECTION LOCAL DEFAULT 9 .bss 10: 00000000 0 SECTION LOCAL DEFAULT 10 .comment 11: 00000000 0 SECTION LOCAL DEFAULT 11 .riscv.attributes 12: 00000000 0 SECTION LOCAL DEFAULT 12 .debug_aranges @@ -93,78 +93,76 @@ Symbol table '.symtab' contains 95 entries: 20: 00000000 0 FILE LOCAL DEFAULT ABS __call_atexit.c 21: 00000074 24 FUNC LOCAL DEFAULT 1 register_fini 22: 00000000 0 FILE LOCAL DEFAULT ABS crtstuff.c - 23: 0000304c 0 OBJECT LOCAL DEFAULT 3 __EH_FRAME_BEGIN__ + 23: 00002000 0 OBJECT LOCAL DEFAULT 3 __EH_FRAME_BEGIN__ 24: 000000d8 0 FUNC LOCAL DEFAULT 1 __do_global_dtors_aux - 25: 000034c4 1 OBJECT LOCAL DEFAULT 9 completed.1 - 26: 00003080 0 OBJECT LOCAL DEFAULT 5 __do_global_dtor[...] + 25: 00002474 1 OBJECT LOCAL DEFAULT 9 completed.1 + 26: 00002034 0 OBJECT LOCAL DEFAULT 5 __do_global_dtor[...] 27: 0000011c 0 FUNC LOCAL DEFAULT 1 frame_dummy - 28: 000034c8 24 OBJECT LOCAL DEFAULT 9 object.0 - 29: 0000307c 0 OBJECT LOCAL DEFAULT 4 __frame_dummy_in[...] + 28: 00002478 24 OBJECT LOCAL DEFAULT 9 object.0 + 29: 00002030 0 OBJECT LOCAL DEFAULT 4 __frame_dummy_in[...] 30: 00000000 0 FILE LOCAL DEFAULT ABS console.c - 31: 000034b4 4 OBJECT LOCAL DEFAULT 7 _uartaddr - 32: 000034bc 4 OBJECT LOCAL DEFAULT 8 _uartstate + 31: 00002464 4 OBJECT LOCAL DEFAULT 7 _uartaddr + 32: 0000246c 4 OBJECT LOCAL DEFAULT 8 _uartstate 33: 0000013c 60 FUNC LOCAL DEFAULT 1 _canputchar 34: 00000178 64 FUNC LOCAL DEFAULT 1 _haschar 35: 000001b8 88 FUNC LOCAL DEFAULT 1 _putchar 36: 00000210 76 FUNC LOCAL DEFAULT 1 _getchar 37: 0000025c 104 FUNC LOCAL DEFAULT 1 _puts - 38: 000002c4 252 FUNC LOCAL DEFAULT 1 _gets - 39: 000003c0 348 FUNC LOCAL DEFAULT 1 _d2s - 40: 0000051c 424 FUNC LOCAL DEFAULT 1 _h2s - 41: 000006c4 252 FUNC LOCAL DEFAULT 1 _s2d - 42: 000007c0 312 FUNC LOCAL DEFAULT 1 _s2h - 43: 000008f8 140 FUNC LOCAL DEFAULT 1 _strcat - 44: 00000984 152 FUNC LOCAL DEFAULT 1 _strncmp - 45: 00000a1c 64 FUNC LOCAL DEFAULT 1 _buadrateset - 46: 000034c0 4 OBJECT LOCAL DEFAULT 8 displayaddr - 47: 00000a5c 628 FUNC LOCAL DEFAULT 1 dispmem - 48: 00000cd0 64 FUNC LOCAL DEFAULT 1 num2seg - 49: 00000d10 124 FUNC LOCAL DEFAULT 1 cycle - 50: 00000d8c 124 FUNC LOCAL DEFAULT 1 instrcount - 51: 00000e08 96 FUNC LOCAL DEFAULT 1 printhelp - 52: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c - 53: 00000000 0 FILE LOCAL DEFAULT ABS exit.c - 54: 00000000 0 FILE LOCAL DEFAULT ABS init.c - 55: 00000000 0 FILE LOCAL DEFAULT ABS fini.c - 56: 00000000 0 FILE LOCAL DEFAULT ABS atexit.c - 57: 00000000 0 FILE LOCAL DEFAULT ABS __atexit.c - 58: 00000000 0 FILE LOCAL DEFAULT ABS sys_exit.c - 59: 00000000 0 FILE LOCAL DEFAULT ABS errno.c - 60: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c - 61: 00000000 0 FILE LOCAL DEFAULT ABS crtstuff.c - 62: 00003074 0 OBJECT LOCAL DEFAULT 3 __FRAME_END__ - 63: 00000000 0 FILE LOCAL DEFAULT ABS impure.c - 64: 00003088 1064 OBJECT LOCAL DEFAULT 6 impure_data - 65: 00000000 0 FILE LOCAL DEFAULT ABS - 66: 00003084 0 NOTYPE LOCAL DEFAULT 5 __fini_array_end - 67: 00003080 0 NOTYPE LOCAL DEFAULT 5 __fini_array_start - 68: 00003080 0 NOTYPE LOCAL DEFAULT 4 __init_array_end - 69: 00003078 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_end - 70: 00003078 0 NOTYPE LOCAL DEFAULT 4 __init_array_start - 71: 00003078 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_start - 72: 00003888 0 NOTYPE GLOBAL DEFAULT ABS __global_pointer$ - 73: 00001f24 40 OBJECT GLOBAL DEFAULT 2 segcode - 74: 00001e1c 8 FUNC GLOBAL DEFAULT 1 __errno - 75: 000034b0 0 NOTYPE GLOBAL DEFAULT 7 __SDATA_BEGIN__ - 76: 000034b0 4 OBJECT GLOBAL DEFAULT 7 _global_impure_ptr - 77: 00001a4c 156 FUNC GLOBAL DEFAULT 1 __libc_init_array - 78: 000015ec 1072 FUNC GLOBAL HIDDEN 1 __udivdi3 - 79: 00001ce4 92 FUNC GLOBAL DEFAULT 1 __libc_fini_array - 80: 00001bc4 288 FUNC GLOBAL DEFAULT 1 __call_exitprocs - 81: 0000008c 76 FUNC GLOBAL DEFAULT 1 _start - 82: 00001d54 152 FUNC GLOBAL DEFAULT 1 __register_exitproc - 83: 000034e0 0 NOTYPE GLOBAL DEFAULT 9 __BSS_END__ - 84: 000034bc 0 NOTYPE GLOBAL DEFAULT 8 __bss_start - 85: 00001ae8 220 FUNC GLOBAL DEFAULT 1 memset - 86: 00000e68 1924 FUNC GLOBAL DEFAULT 1 main - 87: 00001f4c 256 OBJECT GLOBAL HIDDEN 2 __clz_tab - 88: 00001d40 20 FUNC GLOBAL DEFAULT 1 atexit - 89: 000034b8 4 OBJECT GLOBAL DEFAULT 7 _impure_ptr - 90: 00003088 0 NOTYPE GLOBAL DEFAULT 6 __DATA_BEGIN__ - 91: 000034bc 0 NOTYPE GLOBAL DEFAULT 7 _edata - 92: 000034e0 0 NOTYPE GLOBAL DEFAULT 9 _end - 93: 00001a1c 48 FUNC GLOBAL DEFAULT 1 exit - 94: 00001dec 48 FUNC GLOBAL DEFAULT 1 _exit + 38: 000002c4 216 FUNC LOCAL DEFAULT 1 _gets + 39: 0000039c 348 FUNC LOCAL DEFAULT 1 _d2s + 40: 000004f8 424 FUNC LOCAL DEFAULT 1 _h2s + 41: 000006a0 252 FUNC LOCAL DEFAULT 1 _s2d + 42: 0000079c 312 FUNC LOCAL DEFAULT 1 _s2h + 43: 000008d4 140 FUNC LOCAL DEFAULT 1 _strcat + 44: 00000960 152 FUNC LOCAL DEFAULT 1 _strncmp + 45: 000009f8 64 FUNC LOCAL DEFAULT 1 _buadrateset + 46: 00002470 4 OBJECT LOCAL DEFAULT 8 displayaddr + 47: 00000a38 628 FUNC LOCAL DEFAULT 1 dispmem + 48: 00000cac 124 FUNC LOCAL DEFAULT 1 cycle + 49: 00000d28 124 FUNC LOCAL DEFAULT 1 instrcount + 50: 00000da4 96 FUNC LOCAL DEFAULT 1 printhelp + 51: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c + 52: 00000000 0 FILE LOCAL DEFAULT ABS exit.c + 53: 00000000 0 FILE LOCAL DEFAULT ABS init.c + 54: 00000000 0 FILE LOCAL DEFAULT ABS fini.c + 55: 00000000 0 FILE LOCAL DEFAULT ABS atexit.c + 56: 00000000 0 FILE LOCAL DEFAULT ABS __atexit.c + 57: 00000000 0 FILE LOCAL DEFAULT ABS sys_exit.c + 58: 00000000 0 FILE LOCAL DEFAULT ABS errno.c + 59: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c + 60: 00000000 0 FILE LOCAL DEFAULT ABS crtstuff.c + 61: 00002028 0 OBJECT LOCAL DEFAULT 3 __FRAME_END__ + 62: 00000000 0 FILE LOCAL DEFAULT ABS impure.c + 63: 00002038 1064 OBJECT LOCAL DEFAULT 6 impure_data + 64: 00000000 0 FILE LOCAL DEFAULT ABS + 65: 00002038 0 NOTYPE LOCAL DEFAULT 5 __fini_array_end + 66: 00002034 0 NOTYPE LOCAL DEFAULT 5 __fini_array_start + 67: 00002034 0 NOTYPE LOCAL DEFAULT 4 __init_array_end + 68: 0000202c 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_end + 69: 0000202c 0 NOTYPE LOCAL DEFAULT 4 __init_array_start + 70: 0000202c 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_start + 71: 00002838 0 NOTYPE GLOBAL DEFAULT ABS __global_pointer$ + 72: 00001ac8 8 FUNC GLOBAL DEFAULT 1 __errno + 73: 00002460 0 NOTYPE GLOBAL DEFAULT 7 __SDATA_BEGIN__ + 74: 00002460 4 OBJECT GLOBAL DEFAULT 7 _global_impure_ptr + 75: 000016f8 156 FUNC GLOBAL DEFAULT 1 __libc_init_array + 76: 00001298 1072 FUNC GLOBAL HIDDEN 1 __udivdi3 + 77: 00001990 92 FUNC GLOBAL DEFAULT 1 __libc_fini_array + 78: 00001870 288 FUNC GLOBAL DEFAULT 1 __call_exitprocs + 79: 0000008c 76 FUNC GLOBAL DEFAULT 1 _start + 80: 00001a00 152 FUNC GLOBAL DEFAULT 1 __register_exitproc + 81: 00002490 0 NOTYPE GLOBAL DEFAULT 9 __BSS_END__ + 82: 0000246c 0 NOTYPE GLOBAL DEFAULT 8 __bss_start + 83: 00001794 220 FUNC GLOBAL DEFAULT 1 memset + 84: 00000e04 1172 FUNC GLOBAL DEFAULT 1 main + 85: 00001be0 256 OBJECT GLOBAL HIDDEN 2 __clz_tab + 86: 000019ec 20 FUNC GLOBAL DEFAULT 1 atexit + 87: 00002468 4 OBJECT GLOBAL DEFAULT 7 _impure_ptr + 88: 00002038 0 NOTYPE GLOBAL DEFAULT 6 __DATA_BEGIN__ + 89: 0000246c 0 NOTYPE GLOBAL DEFAULT 7 _edata + 90: 00002490 0 NOTYPE GLOBAL DEFAULT 9 _end + 91: 000016c8 48 FUNC GLOBAL DEFAULT 1 exit + 92: 00001a98 48 FUNC GLOBAL DEFAULT 1 _exit No version information found in this file. Attribute Section: riscv diff --git a/examples/hdl4se_riscv/test_code/test.mif b/examples/hdl4se_riscv/test_code/test.mif index 3bd7898800794dd0a01d3b79d22be8f5cae4f46f..3e7c440168a4f59c77b2bcb4f499ab79fb4dfb55 100644 --- a/examples/hdl4se_riscv/test_code/test.mif +++ b/examples/hdl4se_riscv/test_code/test.mif @@ -36,28 +36,28 @@ BEGIN 001D : 00000793; 001E : 00078863; 001F : 00002537; -0020 : CE450513; -0021 : 4BD0106F; +0020 : 99050513; +0021 : 1690106F; 0022 : 00008067; -0023 : 00003197; -0024 : 7FC18193; +0023 : 00002197; +0024 : 7AC18193; 0025 : C3418513; 0026 : C5818613; 0027 : 40A60633; 0028 : 00000593; -0029 : 245010EF; +0029 : 6F0010EF; 002A : 00002517; -002B : C9850513; +002B : 94450513; 002C : 00050863; 002D : 00002517; -002E : C3050513; -002F : 485010EF; -0030 : 18D010EF; +002E : 8DC50513; +002F : 131010EF; +0030 : 638010EF; 0031 : 00012503; 0032 : 00410593; 0033 : 00000613; -0034 : 599000EF; -0035 : 1490106F; +0034 : 535000EF; +0035 : 5F40106F; 0036 : FF010113; 0037 : 00812423; 0038 : C3C1C783; @@ -65,8 +65,8 @@ BEGIN 003A : 02079263; 003B : 00000793; 003C : 00078A63; -003D : 00003537; -003E : 04C50513; +003D : 00002537; +003E : 00050513; 003F : 00000097; 0040 : 000000E7; 0041 : 00100793; @@ -77,9 +77,9 @@ BEGIN 0046 : 00008067; 0047 : 00000793; 0048 : 00078C63; -0049 : 00003537; +0049 : 00002537; 004A : C4018593; -004B : 04C50513; +004B : 00050513; 004C : 00000317; 004D : 00000067; 004E : 00008067; @@ -192,2152 +192,2152 @@ BEGIN 00B9 : 00100793; 00BA : 00E7C663; 00BB : 00000793; -00BC : 0BC0006F; +00BC : 0980006F; 00BD : F1DFF0EF; 00BE : FEA42423; 00BF : FE842703; 00C0 : FFF00793; 00C1 : FEF708E3; -00C2 : FE842703; -00C3 : 00800793; -00C4 : 00F71E63; -00C5 : FEC42783; -00C6 : 02F05C63; -00C7 : FEC42783; -00C8 : FFF78793; -00C9 : FEF42623; -00CA : 0280006F; -00CB : FEC42783; -00CC : 00178713; -00CD : FEE42623; -00CE : 00078713; -00CF : FDC42783; -00D0 : 00E787B3; -00D1 : FE842703; -00D2 : 0FF77713; -00D3 : 00E78023; -00D4 : 00000013; -00D5 : FE842503; -00D6 : E61FF0EF; -00D7 : 00050713; -00D8 : FFF00793; -00D9 : FEF708E3; -00DA : FD842783; -00DB : FFF78793; -00DC : FEC42703; -00DD : 02F75063; -00DE : FE842703; -00DF : 00A00793; -00E0 : 00F70C63; -00E1 : FE842703; -00E2 : 00D00793; -00E3 : 00F70663; -00E4 : F65FF06F; -00E5 : 00000013; -00E6 : FEC42783; -00E7 : FDC42703; -00E8 : 00F707B3; -00E9 : 00078023; -00EA : FEC42783; -00EB : 00078513; -00EC : 02C12083; -00ED : 02812403; -00EE : 03010113; -00EF : 00008067; -00F0 : FC010113; -00F1 : 02812E23; -00F2 : 04010413; -00F3 : FCA42623; -00F4 : FCB42423; -00F5 : FE042423; -00F6 : FE042223; -00F7 : FC842783; -00F8 : 0407DE63; -00F9 : FC842783; -00FA : 40F007B3; -00FB : FCF42423; -00FC : FE042223; -00FD : 0480006F; -00FE : FC842703; -00FF : 00A00793; -0100 : 02F767B3; -0101 : 0FF7F713; -0102 : FE842783; -0103 : 00178693; -0104 : FED42423; -0105 : 00078693; -0106 : FCC42783; -0107 : 00D787B3; -0108 : 03070713; -0109 : 0FF77713; -010A : 00E78023; -010B : FC842703; -010C : 00A00793; -010D : 02F747B3; -010E : FCF42423; -010F : FC842783; -0110 : FAF04CE3; -0111 : FE442783; -0112 : 02078263; -0113 : FE842783; -0114 : 00178713; -0115 : FEE42423; -0116 : 00078713; -0117 : FCC42783; -0118 : 00E787B3; -0119 : 02D00713; -011A : 00E78023; -011B : FE042623; -011C : 06C0006F; -011D : FE842783; -011E : FFF78713; -011F : FEC42783; -0120 : 40F707B3; -0121 : FEF42023; -0122 : FEC42783; -0123 : FCC42703; -0124 : 00F707B3; -0125 : 0007C783; -0126 : FCF40FA3; -0127 : FE042783; -0128 : FCC42703; -0129 : 00F70733; -012A : FEC42783; -012B : FCC42683; -012C : 00F687B3; -012D : 00074703; -012E : 00E78023; -012F : FE042783; -0130 : FCC42703; -0131 : 00F707B3; -0132 : FDF44703; -0133 : 00E78023; -0134 : FEC42783; -0135 : 00178793; -0136 : FEF42623; -0137 : FE842783; -0138 : 01F7D713; -0139 : 00F707B3; -013A : 4017D793; -013B : 00078713; -013C : FEC42783; -013D : F8E7C0E3; -013E : FE842783; -013F : FCC42703; -0140 : 00F707B3; -0141 : 00078023; -0142 : FE842783; -0143 : 00078513; -0144 : 03C12403; -0145 : 04010113; -0146 : 00008067; -0147 : FB010113; -0148 : 04812623; -0149 : 05010413; -014A : FCA42623; -014B : FCB42023; -014C : FCC42223; -014D : FCD42423; -014E : 00070793; -014F : FAF40FA3; -0150 : FE042423; -0151 : 0900006F; -0152 : FC042783; -0153 : 00F7F793; -0154 : FCF42E23; -0155 : FDC42703; -0156 : 00900793; -0157 : 02E7C463; +00C2 : FEC42783; +00C3 : 00178713; +00C4 : FEE42623; +00C5 : 00078713; +00C6 : FDC42783; +00C7 : 00E787B3; +00C8 : FE842703; +00C9 : 0FF77713; +00CA : 00E78023; +00CB : 00000013; +00CC : FE842503; +00CD : E85FF0EF; +00CE : 00050713; +00CF : FFF00793; +00D0 : FEF708E3; +00D1 : FD842783; +00D2 : FFF78793; +00D3 : FEC42703; +00D4 : 02F75063; +00D5 : FE842703; +00D6 : 00A00793; +00D7 : 00F70C63; +00D8 : FE842703; +00D9 : 00D00793; +00DA : 00F70663; +00DB : F89FF06F; +00DC : 00000013; +00DD : FEC42783; +00DE : FDC42703; +00DF : 00F707B3; +00E0 : 00078023; +00E1 : FEC42783; +00E2 : 00078513; +00E3 : 02C12083; +00E4 : 02812403; +00E5 : 03010113; +00E6 : 00008067; +00E7 : FC010113; +00E8 : 02812E23; +00E9 : 04010413; +00EA : FCA42623; +00EB : FCB42423; +00EC : FE042423; +00ED : FE042223; +00EE : FC842783; +00EF : 0407DE63; +00F0 : FC842783; +00F1 : 40F007B3; +00F2 : FCF42423; +00F3 : FE042223; +00F4 : 0480006F; +00F5 : FC842703; +00F6 : 00A00793; +00F7 : 02F767B3; +00F8 : 0FF7F713; +00F9 : FE842783; +00FA : 00178693; +00FB : FED42423; +00FC : 00078693; +00FD : FCC42783; +00FE : 00D787B3; +00FF : 03070713; +0100 : 0FF77713; +0101 : 00E78023; +0102 : FC842703; +0103 : 00A00793; +0104 : 02F747B3; +0105 : FCF42423; +0106 : FC842783; +0107 : FAF04CE3; +0108 : FE442783; +0109 : 02078263; +010A : FE842783; +010B : 00178713; +010C : FEE42423; +010D : 00078713; +010E : FCC42783; +010F : 00E787B3; +0110 : 02D00713; +0111 : 00E78023; +0112 : FE042623; +0113 : 06C0006F; +0114 : FE842783; +0115 : FFF78713; +0116 : FEC42783; +0117 : 40F707B3; +0118 : FEF42023; +0119 : FEC42783; +011A : FCC42703; +011B : 00F707B3; +011C : 0007C783; +011D : FCF40FA3; +011E : FE042783; +011F : FCC42703; +0120 : 00F70733; +0121 : FEC42783; +0122 : FCC42683; +0123 : 00F687B3; +0124 : 00074703; +0125 : 00E78023; +0126 : FE042783; +0127 : FCC42703; +0128 : 00F707B3; +0129 : FDF44703; +012A : 00E78023; +012B : FEC42783; +012C : 00178793; +012D : FEF42623; +012E : FE842783; +012F : 01F7D713; +0130 : 00F707B3; +0131 : 4017D793; +0132 : 00078713; +0133 : FEC42783; +0134 : F8E7C0E3; +0135 : FE842783; +0136 : FCC42703; +0137 : 00F707B3; +0138 : 00078023; +0139 : FE842783; +013A : 00078513; +013B : 03C12403; +013C : 04010113; +013D : 00008067; +013E : FB010113; +013F : 04812623; +0140 : 05010413; +0141 : FCA42623; +0142 : FCB42023; +0143 : FCC42223; +0144 : FCD42423; +0145 : 00070793; +0146 : FAF40FA3; +0147 : FE042423; +0148 : 0900006F; +0149 : FC042783; +014A : 00F7F793; +014B : FCF42E23; +014C : FDC42703; +014D : 00900793; +014E : 02E7C463; +014F : FDC42783; +0150 : 0FF7F713; +0151 : FE842783; +0152 : FCC42683; +0153 : 00F687B3; +0154 : 03070713; +0155 : 0FF77713; +0156 : 00E78023; +0157 : 0240006F; 0158 : FDC42783; 0159 : 0FF7F713; 015A : FE842783; 015B : FCC42683; 015C : 00F687B3; -015D : 03070713; +015D : 05770713; 015E : 0FF77713; 015F : 00E78023; -0160 : 0240006F; -0161 : FDC42783; -0162 : 0FF7F713; -0163 : FE842783; -0164 : FCC42683; -0165 : 00F687B3; -0166 : 05770713; -0167 : 0FF77713; -0168 : 00E78023; -0169 : FC442783; -016A : 01C79793; -016B : FC042703; -016C : 00475813; -016D : 0107E833; -016E : FC442783; -016F : 0047D893; -0170 : FD042023; -0171 : FD142223; -0172 : FE842783; -0173 : 00178793; -0174 : FEF42423; -0175 : FC042783; -0176 : FC442703; -0177 : 00E7E7B3; -0178 : F60794E3; -0179 : 0240006F; -017A : FE842783; -017B : 00178713; -017C : FEE42423; -017D : 00078713; -017E : FCC42783; -017F : 00E787B3; -0180 : FBF44703; -0181 : 00E78023; -0182 : FE842703; -0183 : FC842783; -0184 : FCF74CE3; -0185 : FE042623; -0186 : 06C0006F; -0187 : FE842783; -0188 : FFF78713; -0189 : FEC42783; -018A : 40F707B3; -018B : FEF42223; -018C : FEC42783; -018D : FCC42703; -018E : 00F707B3; -018F : 0007C783; -0190 : FEF401A3; -0191 : FE442783; -0192 : FCC42703; -0193 : 00F70733; -0194 : FEC42783; -0195 : FCC42683; -0196 : 00F687B3; -0197 : 00074703; -0198 : 00E78023; -0199 : FE442783; -019A : FCC42703; -019B : 00F707B3; -019C : FE344703; -019D : 00E78023; -019E : FEC42783; -019F : 00178793; -01A0 : FEF42623; -01A1 : FE842783; -01A2 : 01F7D713; -01A3 : 00F707B3; -01A4 : 4017D793; -01A5 : 00078713; -01A6 : FEC42783; -01A7 : F8E7C0E3; -01A8 : FE842783; -01A9 : FCC42703; -01AA : 00F707B3; -01AB : 00078023; -01AC : FE842783; -01AD : 00078513; -01AE : 04C12403; -01AF : 05010113; -01B0 : 00008067; -01B1 : FD010113; -01B2 : 02812623; -01B3 : 03010413; -01B4 : FCA42E23; -01B5 : FCB42C23; -01B6 : FE042623; -01B7 : FE042423; -01B8 : 00100793; -01B9 : FEF42223; -01BA : 08C0006F; -01BB : FDC42783; -01BC : 0007C783; -01BD : FEF42023; -01BE : FE042703; -01BF : 02F00793; -01C0 : 04E7D263; -01C1 : FE042703; -01C2 : 03900793; -01C3 : 02E7CC63; -01C4 : FE842703; -01C5 : 00070793; -01C6 : 00279793; -01C7 : 00E787B3; -01C8 : 00179793; -01C9 : 00078713; -01CA : FE042783; -01CB : 00F707B3; -01CC : FD078793; -01CD : FEF42423; -01CE : 00100793; -01CF : FEF42623; -01D0 : 0280006F; -01D1 : FEC42783; -01D2 : 02079E63; -01D3 : FE042703; -01D4 : 02D00793; -01D5 : 00F71A63; -01D6 : FFF00793; -01D7 : FEF42223; -01D8 : 00100793; -01D9 : FEF42623; -01DA : FDC42783; -01DB : 00178793; -01DC : FCF42E23; -01DD : FDC42783; -01DE : 0007C783; -01DF : F60798E3; -01E0 : 0080006F; -01E1 : 00000013; -01E2 : FE842703; -01E3 : FE442783; -01E4 : 02F707B3; -01E5 : FEF42423; -01E6 : FD842783; -01E7 : 00078863; -01E8 : FD842783; -01E9 : FDC42703; -01EA : 00E7A023; -01EB : FE842783; -01EC : 00078513; -01ED : 02C12403; -01EE : 03010113; -01EF : 00008067; -01F0 : FD010113; -01F1 : 02812623; -01F2 : 03010413; -01F3 : FCA42E23; -01F4 : FCB42C23; -01F5 : FE042623; -01F6 : FE042423; -01F7 : 0E00006F; -01F8 : FDC42783; -01F9 : 0007C783; -01FA : FEF42223; -01FB : FE442703; -01FC : 02F00793; -01FD : 02E7DA63; -01FE : FE442703; -01FF : 03900793; -0200 : 02E7C463; -0201 : 00100793; -0202 : FEF42623; -0203 : FE842783; -0204 : 00479713; -0205 : FE442783; -0206 : 00F707B3; -0207 : FD078793; -0208 : FEF42423; -0209 : 08C0006F; -020A : FE442703; -020B : 06000793; -020C : 02E7DA63; -020D : FE442703; -020E : 06600793; -020F : 02E7C463; -0210 : 00100793; -0211 : FEF42623; -0212 : FE842783; -0213 : 00479713; -0214 : FE442783; -0215 : 00F707B3; -0216 : FA978793; -0217 : FEF42423; -0218 : 0500006F; -0219 : FE442703; -021A : 04000793; -021B : 02E7DA63; -021C : FE442703; -021D : 04600793; -021E : 02E7C463; -021F : 00100793; -0220 : FEF42623; -0221 : FE842783; -0222 : 00479713; -0223 : FE442783; -0224 : 00F707B3; -0225 : FC978793; -0226 : FEF42423; -0227 : 0140006F; -0228 : FEC42783; -0229 : 02079463; -022A : 00100793; -022B : FEF42623; -022C : FDC42783; -022D : 00178793; -022E : FCF42E23; -022F : FDC42783; -0230 : 0007C783; -0231 : F0079EE3; -0232 : 0080006F; -0233 : 00000013; -0234 : FD842783; -0235 : 00078863; -0236 : FD842783; -0237 : FDC42703; -0238 : 00E7A023; -0239 : FE842783; -023A : 00078513; -023B : 02C12403; -023C : 03010113; -023D : 00008067; -023E : FD010113; -023F : 02812623; -0240 : 03010413; -0241 : FCA42E23; -0242 : FCB42C23; -0243 : FDC42783; -0244 : FEF42623; -0245 : 0100006F; -0246 : FDC42783; -0247 : 00178793; -0248 : FCF42E23; -0249 : FDC42783; -024A : 0007C783; -024B : FE0796E3; -024C : 0240006F; -024D : FD842703; -024E : 00170793; -024F : FCF42C23; -0250 : FDC42783; -0251 : 00178693; -0252 : FCD42E23; -0253 : 00074703; -0254 : 00E78023; -0255 : FD842783; -0256 : 0007C783; -0257 : FC079CE3; -0258 : FDC42783; -0259 : 00078023; -025A : FDC42703; -025B : FEC42783; -025C : 40F707B3; -025D : 00078513; -025E : 02C12403; -025F : 03010113; -0260 : 00008067; -0261 : FD010113; -0262 : 02812623; -0263 : 03010413; -0264 : FCA42E23; -0265 : FCB42C23; -0266 : FCC42A23; -0267 : FE042623; -0268 : 0500006F; -0269 : FDC42783; -026A : 00178713; -026B : FCE42E23; -026C : 0007C703; -026D : FD842783; -026E : 00178693; -026F : FCD42C23; -0270 : 0007C783; -0271 : 00F70663; -0272 : 00100793; -0273 : 0400006F; -0274 : FEC42783; -0275 : 00178793; -0276 : FEF42623; -0277 : FEC42703; -0278 : FD442783; -0279 : 00F74663; -027A : 00000793; -027B : 0200006F; -027C : FDC42783; -027D : 0007C783; -027E : 00078863; -027F : FD842783; -0280 : 0007C783; -0281 : FA0790E3; -0282 : 00000793; -0283 : 00078513; -0284 : 02C12403; -0285 : 03010113; -0286 : 00008067; -0287 : FE010113; -0288 : 00812E23; -0289 : 02010413; -028A : FEA42623; -028B : 02FAF7B7; -028C : 08078713; -028D : FEC42783; -028E : 02F74733; -028F : C2C1A783; -0290 : 01078793; -0291 : 00E7A023; -0292 : 00000793; -0293 : 00078513; -0294 : 01C12403; -0295 : 02010113; -0296 : 00008067; -0297 : EC010113; -0298 : 12112E23; -0299 : 12812C23; -029A : 13212A23; -029B : 13312823; -029C : 13412623; -029D : 13512423; -029E : 14010413; -029F : C381A783; -02A0 : FF07F793; -02A1 : FCF42A23; -02A2 : FC042C23; -02A3 : 1F80006F; -02A4 : FD442783; -02A5 : 00078913; -02A6 : 00000993; -02A7 : ECC40793; -02A8 : 03000713; -02A9 : 00800693; -02AA : 00090593; -02AB : 00098613; -02AC : 00078513; -02AD : A69FF0EF; -02AE : ECC40713; -02AF : 000027B7; -02B0 : E2478593; -02B1 : 00070513; -02B2 : E31FF0EF; -02B3 : FC042E23; -02B4 : 0C00006F; -02B5 : FD442783; -02B6 : FCF42623; -02B7 : FDC42703; -02B8 : FD442783; -02B9 : 00F70733; -02BA : C381A783; -02BB : 00F77E63; -02BC : ECC40713; -02BD : 000027B7; -02BE : E2878593; -02BF : 00070513; -02C0 : DF9FF0EF; -02C1 : 0600006F; -02C2 : FDC42783; -02C3 : FCC42703; -02C4 : 00F707B3; -02C5 : 0007C783; -02C6 : 00078A13; -02C7 : 00000A93; -02C8 : EC440793; -02C9 : 03000713; -02CA : 00200693; -02CB : 000A0593; -02CC : 000A8613; -02CD : 00078513; -02CE : 9E5FF0EF; -02CF : EC440713; -02D0 : 000027B7; -02D1 : E2C78593; -02D2 : 00070513; -02D3 : DADFF0EF; -02D4 : EC440713; -02D5 : ECC40793; -02D6 : 00070593; -02D7 : 00078513; -02D8 : D99FF0EF; -02D9 : FDC42703; -02DA : 00700793; -02DB : 00F71C63; -02DC : ECC40713; -02DD : 000027B7; -02DE : E3078593; -02DF : 00070513; -02E0 : D79FF0EF; -02E1 : FDC42783; -02E2 : 00178793; -02E3 : FCF42E23; -02E4 : FDC42703; -02E5 : 00F00793; -02E6 : F2E7DEE3; -02E7 : ECC40713; -02E8 : 000027B7; -02E9 : E3478593; -02EA : 00070513; -02EB : D4DFF0EF; -02EC : FC042E23; -02ED : 0800006F; -02EE : FD442783; -02EF : FCF42823; -02F0 : FDC42783; -02F1 : FD042703; -02F2 : 00F707B3; -02F3 : 0007C703; -02F4 : 02000793; -02F5 : 02E7FA63; -02F6 : FDC42783; -02F7 : FD042703; -02F8 : 00F707B3; -02F9 : 0007C703; -02FA : 07E00793; -02FB : 00E7EE63; -02FC : FDC42783; -02FD : FD042703; -02FE : 00F707B3; -02FF : 0007C783; -0300 : ECF40023; -0301 : 00C0006F; -0302 : 02E00793; -0303 : ECF40023; -0304 : EC0400A3; -0305 : EC040713; -0306 : ECC40793; -0307 : 00070593; -0308 : 00078513; -0309 : CD5FF0EF; -030A : FDC42783; -030B : 00178793; -030C : FCF42E23; -030D : FDC42703; -030E : 00F00793; -030F : F6E7DEE3; -0310 : ECC40713; -0311 : 000027B7; -0312 : E3878593; -0313 : 00070513; -0314 : CA9FF0EF; -0315 : ECC40793; -0316 : 00078513; -0317 : E00FF0EF; -0318 : FD442783; -0319 : 01078793; -031A : FCF42A23; -031B : FD442783; -031C : 0FF7F793; -031D : 02078063; -031E : FD842783; -031F : 00178793; -0320 : FCF42C23; -0321 : FD842703; -0322 : 00F00793; -0323 : E0E7D2E3; -0324 : 0080006F; -0325 : 00000013; -0326 : 000027B7; -0327 : E3C78513; -0328 : DBCFF0EF; -0329 : FD442703; -032A : C2E1AC23; -032B : 00000013; -032C : 13C12083; -032D : 13812403; -032E : 13412903; -032F : 13012983; -0330 : 12C12A03; -0331 : 12812A83; -0332 : 14010113; -0333 : 00008067; -0334 : FE010113; -0335 : 00812E23; -0336 : 02010413; -0337 : FEA42623; -0338 : FEC42703; -0339 : 00A00793; -033A : 02F777B3; -033B : 00002737; -033C : F2470713; -033D : 00279793; -033E : 00F707B3; -033F : 0007A783; -0340 : 00078513; -0341 : 01C12403; -0342 : 02010113; -0343 : 00008067; -0344 : FE010113; -0345 : 00812E23; -0346 : 02010413; -0347 : C00065F3; -0348 : FEB42623; -0349 : C80065F3; -034A : FEB42423; -034B : FE842583; -034C : FEB42023; -034D : FE042223; -034E : FE042583; -034F : 00059893; -0350 : 00000813; -0351 : FF042023; -0352 : FF142223; -0353 : FEC42583; -0354 : 00058713; -0355 : 00000793; -0356 : FE042583; -0357 : 00E5E633; -0358 : FE442583; -0359 : 00F5E6B3; -035A : FEC42023; -035B : FED42223; -035C : FE042703; -035D : FE442783; -035E : 00070513; -035F : 00078593; -0360 : 01C12403; -0361 : 02010113; -0362 : 00008067; -0363 : FE010113; -0364 : 00812E23; -0365 : 02010413; -0366 : C02065F3; -0367 : FEB42623; -0368 : C82065F3; -0369 : FEB42423; -036A : FE842583; -036B : FEB42023; -036C : FE042223; -036D : FE042583; -036E : 00059893; -036F : 00000813; -0370 : FF042023; -0371 : FF142223; -0372 : FEC42583; -0373 : 00058713; -0374 : 00000793; -0375 : FE042583; -0376 : 00E5E633; -0377 : FE442583; -0378 : 00F5E6B3; -0379 : FEC42023; -037A : FED42223; -037B : FE042703; -037C : FE442783; -037D : 00070513; -037E : 00078593; -037F : 01C12403; -0380 : 02010113; -0381 : 00008067; -0382 : FF010113; -0383 : 00112623; -0384 : 00812423; -0385 : 01010413; -0386 : 000027B7; -0387 : E4078513; -0388 : C3CFF0EF; -0389 : 000027B7; -038A : E6078513; -038B : C30FF0EF; -038C : 000027B7; -038D : E8478513; -038E : C24FF0EF; -038F : 000027B7; -0390 : E9C78513; -0391 : C18FF0EF; -0392 : 000027B7; -0393 : EBC78513; -0394 : C0CFF0EF; -0395 : 00000013; -0396 : 00C12083; -0397 : 00812403; -0398 : 01010113; -0399 : 00008067; -039A : E5010113; -039B : 1A112623; -039C : 1A812423; -039D : 1B212223; -039E : 1B312023; -039F : 19412E23; -03A0 : 19512C23; -03A1 : 19612A23; -03A2 : 19712823; -03A3 : 19812623; -03A4 : 19912423; -03A5 : 1B010413; -03A6 : E4A42E23; -03A7 : E4B42C23; -03A8 : F00007B7; -03A9 : FCF42023; -03AA : F00007B7; -03AB : 01078793; -03AC : FAF42E23; -03AD : FA042C23; -03AE : 000027B7; -03AF : F1878793; -03B0 : 0007A683; -03B1 : 0047A703; -03B2 : F6D42623; -03B3 : F6E42823; -03B4 : 0087D703; -03B5 : F6E41A23; -03B6 : 00A7C783; -03B7 : F6F40B23; -03B8 : 000027B7; -03B9 : ED078793; -03BA : FAF42A23; -03BB : 0001C7B7; -03BC : 20078513; -03BD : B29FF0EF; -03BE : F6C40793; -03BF : 00178793; -03C0 : 99887737; -03C1 : 76670713; -03C2 : 00E7A023; -03C3 : F6C40793; -03C4 : 00278793; -03C5 : 0007A783; -03C6 : FAF42C23; -03C7 : A20FF0EF; -03C8 : 00050793; -03C9 : 00078663; -03CA : FB442503; -03CB : B30FF0EF; -03CC : FC042623; -03CD : FC042423; -03CE : DD9FF0EF; -03CF : 00050A13; -03D0 : 00058A93; -03D1 : E49FF0EF; -03D2 : 00050713; -03D3 : 00058793; -03D4 : 06400613; -03D5 : 00000693; -03D6 : 00070513; -03D7 : 00078593; -03D8 : 68C000EF; -03D9 : 00050713; -03DA : 00058793; -03DB : 00070613; -03DC : 00078693; -03DD : 000A0513; -03DE : 000A8593; -03DF : 670000EF; -03E0 : 00050713; -03E1 : 00058793; -03E2 : FAE42823; -03E3 : 9B0FF0EF; -03E4 : 00050793; -03E5 : 08078E63; -03E6 : D79FF0EF; -03E7 : E6440793; -03E8 : 03000713; -03E9 : 00800693; -03EA : 00058613; -03EB : 00050593; -03EC : 00078513; -03ED : D68FF0EF; -03EE : E6440793; -03EF : 00078513; -03F0 : A9CFF0EF; -03F1 : 000027B7; -03F2 : EE078513; -03F3 : A90FF0EF; -03F4 : DBDFF0EF; -03F5 : E6440793; -03F6 : 03000713; -03F7 : 00800693; -03F8 : 00058613; -03F9 : 00050593; -03FA : 00078513; -03FB : D30FF0EF; -03FC : E6440793; -03FD : 00078513; -03FE : A64FF0EF; -03FF : 000027B7; -0400 : EE078513; -0401 : A58FF0EF; -0402 : E6440793; -0403 : FB042583; -0404 : 00078513; -0405 : BACFF0EF; -0406 : E6440793; -0407 : 00078513; -0408 : A3CFF0EF; -0409 : 000027B7; -040A : EE478513; -040B : A30FF0EF; -040C : 948FF0EF; -040D : 00050793; -040E : 06078063; -040F : E6440793; -0410 : 0FF00593; -0411 : 00078513; -0412 : A7CFF0EF; -0413 : 00000013; -0414 : 000027B7; -0415 : EE078513; -0416 : A04FF0EF; -0417 : E6440793; -0418 : 00078513; -0419 : 9F8FF0EF; -041A : 000027B7; -041B : EE878513; -041C : 9ECFF0EF; -041D : E6440713; -041E : 00400613; -041F : 000027B7; -0420 : EEC78593; -0421 : 00070513; -0422 : 8FDFF0EF; -0423 : 00050793; -0424 : 28079263; -0425 : 2780006F; -0426 : FCC42783; -0427 : 00178793; -0428 : FCF42623; -0429 : FCC42703; -042A : 000027B7; -042B : 71078793; -042C : 1AE7FA63; -042D : FC842783; -042E : 00178793; -042F : FCF42423; -0430 : FC042623; -0431 : FC842783; -0432 : FAF42423; -0433 : FA842503; -0434 : C01FF0EF; -0435 : 00050793; -0436 : 0FF7F793; -0437 : F6F40C23; -0438 : FA842703; -0439 : 00A00793; -043A : 02F757B3; -043B : 00078513; -043C : BE1FF0EF; -043D : 00050793; -043E : 0FF7F793; -043F : F6F40CA3; -0440 : FA842703; -0441 : 06400793; -0442 : 02F757B3; -0443 : 00078513; -0444 : BC1FF0EF; -0445 : 00050793; -0446 : 0FF7F793; -0447 : F6F40D23; -0448 : FA842703; -0449 : 3E800793; -044A : 02F757B3; -044B : 00078513; -044C : BA1FF0EF; -044D : 00050793; -044E : 0FF7F793; -044F : F6F40DA3; -0450 : FA842703; -0451 : 000027B7; -0452 : 71078793; -0453 : 02F757B3; -0454 : FAF42423; -0455 : FA842503; -0456 : B79FF0EF; -0457 : 00050793; -0458 : 0FF7F793; -0459 : F6F40E23; -045A : FA842703; -045B : 00A00793; -045C : 02F757B3; -045D : 00078513; -045E : B59FF0EF; -045F : 00050793; -0460 : 0FF7F793; -0461 : F6F40EA3; -0462 : FA842703; -0463 : 06400793; -0464 : 02F757B3; -0465 : 00078513; -0466 : B39FF0EF; -0467 : 00050793; -0468 : 0FF7F793; -0469 : F6F40F23; -046A : FA842703; -046B : 3E800793; -046C : 02F757B3; -046D : 00078513; -046E : B19FF0EF; -046F : 00050793; -0470 : 0FF7F793; -0471 : F6F40FA3; -0472 : FA842703; -0473 : 000027B7; -0474 : 71078793; -0475 : 02F757B3; -0476 : FAF42423; -0477 : FA842503; -0478 : AF1FF0EF; -0479 : 00050793; -047A : 0FF7F793; -047B : F8F40023; -047C : FA842703; -047D : 00A00793; -047E : 02F757B3; -047F : 00078513; -0480 : AD1FF0EF; -0481 : 00050793; -0482 : 0FF7F793; -0483 : F8F400A3; -0484 : FA842703; -0485 : 06400793; -0486 : 02F757B3; -0487 : 00078513; -0488 : AB1FF0EF; -0489 : 00050793; -048A : 0FF7F793; -048B : F8F40123; -048C : FA842703; -048D : 3E800793; -048E : 02F757B3; -048F : 00078513; -0490 : A91FF0EF; -0491 : 00050793; -0492 : 0FF7F793; -0493 : F8F401A3; -0494 : F7840793; -0495 : 0007A703; -0496 : FBC42783; -0497 : 00E7A023; -0498 : DD1FF06F; -0499 : AADFF0EF; -049A : 00050713; -049B : 00058793; -049C : 01679693; -049D : 00A75913; -049E : 0126E933; -049F : 00A7D993; -04A0 : FB242623; -04A1 : FAC42503; -04A2 : A49FF0EF; -04A3 : 00050793; -04A4 : 0FF7F793; -04A5 : F6F40C23; -04A6 : FC842703; -04A7 : 00A00793; -04A8 : 02F757B3; -04A9 : 00078513; -04AA : A29FF0EF; -04AB : 00050793; -04AC : 0FF7F793; -04AD : F6F40CA3; -04AE : FC842703; -04AF : 06400793; -04B0 : 02F757B3; -04B1 : 00078513; -04B2 : A09FF0EF; -04B3 : 00050793; -04B4 : 0FF7F793; -04B5 : F6F40D23; -04B6 : FC842703; -04B7 : 3E800793; -04B8 : 02F757B3; -04B9 : 00078513; -04BA : 9E9FF0EF; -04BB : 00050793; -04BC : 0FF7F793; -04BD : F6F40DA3; -04BE : F7840793; -04BF : 0007A703; -04C0 : FBC42783; -04C1 : 00E7A023; -04C2 : D29FF06F; -04C3 : AFDFF0EF; -04C4 : C29FF06F; -04C5 : E6444703; -04C6 : 06200793; -04C7 : 02F71C63; -04C8 : E6440793; -04C9 : 00278793; -04CA : 00000593; -04CB : 00078513; -04CC : B94FF0EF; -04CD : F8A42623; -04CE : F8C42783; -04CF : 00F05863; -04D0 : F8C42503; -04D1 : ED8FF0EF; -04D2 : BF1FF06F; -04D3 : ABDFF0EF; -04D4 : BE9FF06F; -04D5 : E6444703; -04D6 : 06400793; -04D7 : 02F71A63; -04D8 : E6440793; -04D9 : 00278793; -04DA : 00000593; -04DB : 00078513; -04DC : C50FF0EF; -04DD : F8A42823; -04DE : F9042783; -04DF : 00F05663; -04E0 : F9042703; -04E1 : C2E1AC23; -04E2 : ED4FF0EF; -04E3 : BADFF06F; -04E4 : E6444703; -04E5 : 07700793; -04E6 : 0AF71C63; -04E7 : E6440793; -04E8 : 00278793; -04E9 : F6840713; -04EA : 00070593; -04EB : 00078513; -04EC : C10FF0EF; -04ED : F8A42E23; -04EE : F6842783; -04EF : F6840713; -04F0 : 00070593; -04F1 : 00078513; -04F2 : BF8FF0EF; -04F3 : F8A42C23; -04F4 : F6842783; -04F5 : F6840713; -04F6 : 00070593; -04F7 : 00078513; -04F8 : BE0FF0EF; -04F9 : F8A42A23; -04FA : F9442703; -04FB : 00100793; -04FC : 00F71C63; -04FD : F9C42783; -04FE : F9842703; -04FF : 0FF77713; -0500 : 00E78023; -0501 : B35FF06F; -0502 : F9442703; -0503 : 00200793; -0504 : 00F71E63; -0505 : F9C42783; -0506 : F9842703; -0507 : 01071713; -0508 : 41075713; -0509 : 00E79023; -050A : B11FF06F; -050B : F9442703; -050C : 00400793; -050D : 00F71A63; -050E : F9C42783; -050F : F9842703; -0510 : 00E7A023; -0511 : AF5FF06F; -0512 : 9C1FF0EF; -0513 : AEDFF06F; -0514 : E6444703; -0515 : 07200793; -0516 : AEF710E3; -0517 : FC042223; -0518 : E6440793; -0519 : 00278793; -051A : F6440713; -051B : 00070593; -051C : 00078513; -051D : B4CFF0EF; -051E : FAA42223; -051F : F6442783; -0520 : F6440713; -0521 : 00070593; -0522 : 00078513; -0523 : B34FF0EF; -0524 : FAA42023; -0525 : FA042703; -0526 : 00100793; -0527 : 02F71063; -0528 : FA442783; -0529 : 0007C783; -052A : FCF42223; -052B : 000027B7; -052C : EF478513; -052D : DA9FE0EF; -052E : 0580006F; -052F : FA042703; -0530 : 00200793; -0531 : 02F71063; -0532 : FA442783; -0533 : 00079783; -0534 : FCF42223; -0535 : 000027B7; -0536 : EFC78513; -0537 : D81FE0EF; -0538 : 0300006F; -0539 : FA042703; -053A : 00400793; -053B : 02F71063; -053C : FA442783; -053D : 0007A783; -053E : FCF42223; -053F : 000027B7; -0540 : F0478513; -0541 : D59FE0EF; -0542 : 0080006F; -0543 : 8FDFF0EF; -0544 : FA042703; -0545 : 00100793; -0546 : 00F70E63; -0547 : FA042703; -0548 : 00200793; -0549 : 00F70863; -054A : FA042703; -054B : 00400793; -054C : A0F714E3; -054D : FA442783; -054E : 00078B13; -054F : 41F7D793; -0550 : 00078B93; -0551 : E6440793; -0552 : 03000713; -0553 : 00800693; -0554 : 000B0593; -0555 : 000B8613; -0556 : 00078513; -0557 : FC1FE0EF; -0558 : E6440793; -0559 : 00078513; -055A : CF5FE0EF; -055B : 000027B7; -055C : F0C78513; -055D : CE9FE0EF; -055E : E6440793; -055F : FC442583; -0560 : 00078513; -0561 : E3DFE0EF; -0562 : E6440793; -0563 : 00078513; -0564 : CCDFE0EF; -0565 : 000027B7; -0566 : F1078513; -0567 : CC1FE0EF; -0568 : FC442783; -0569 : 00078C13; -056A : 41F7D793; -056B : 00078C93; -056C : FA042783; -056D : 00179693; -056E : E6440793; -056F : 03000713; -0570 : 000C0593; -0571 : 000C8613; -0572 : 00078513; -0573 : F51FE0EF; -0574 : E6440793; -0575 : 00078513; -0576 : C85FE0EF; -0577 : 000027B7; -0578 : F1478513; -0579 : C79FE0EF; -057A : 951FF06F; -057B : 00050313; -057C : 00058893; -057D : 00060713; -057E : 00050813; -057F : 00058793; -0580 : 28069263; -0581 : 000025B7; -0582 : F4C58593; -0583 : 0EC8F663; -0584 : 000106B7; -0585 : 0CD67863; -0586 : 10063693; -0587 : 0016C693; -0588 : 00369693; -0589 : 00D65533; -058A : 00A585B3; -058B : 0005C583; -058C : 02000513; -058D : 00D586B3; -058E : 40D505B3; -058F : 00D50C63; -0590 : 00B897B3; -0591 : 00D356B3; -0592 : 00B61733; -0593 : 00F6E7B3; -0594 : 00B31833; -0595 : 01075593; -0596 : 02B7D333; -0597 : 01071613; -0598 : 01065613; -0599 : 02B7F7B3; -059A : 00030513; -059B : 026608B3; -059C : 01079693; -059D : 01085793; -059E : 00D7E7B3; -059F : 0117FE63; -05A0 : 00E787B3; -05A1 : FFF30513; -05A2 : 00E7E863; -05A3 : 0117F663; -05A4 : FFE30513; -05A5 : 00E787B3; -05A6 : 411787B3; -05A7 : 02B7D8B3; -05A8 : 01081813; -05A9 : 01085813; -05AA : 02B7F7B3; -05AB : 031606B3; -05AC : 01079793; -05AD : 00F86833; -05AE : 00088793; -05AF : 00D87C63; -05B0 : 01070833; -05B1 : FFF88793; -05B2 : 00E86663; -05B3 : 00D87463; -05B4 : FFE88793; -05B5 : 01051513; -05B6 : 00F56533; -05B7 : 00000593; -05B8 : 00008067; -05B9 : 01000537; -05BA : 01000693; -05BB : F2A66CE3; -05BC : 01800693; -05BD : F31FF06F; -05BE : 00061463; -05BF : 00100073; -05C0 : 000107B7; -05C1 : 0CF67063; -05C2 : 10063693; -05C3 : 0016C693; -05C4 : 00369693; -05C5 : 00D657B3; -05C6 : 00F585B3; -05C7 : 0005C783; -05C8 : 00D787B3; -05C9 : 02000693; -05CA : 40F685B3; -05CB : 0AF69663; -05CC : 40C887B3; -05CD : 00100593; -05CE : 01075893; -05CF : 0317DE33; -05D0 : 01071613; -05D1 : 01065613; -05D2 : 01085693; -05D3 : 0317F7B3; -05D4 : 000E0513; -05D5 : 03C60333; -05D6 : 01079793; -05D7 : 00F6E7B3; -05D8 : 0067FE63; -05D9 : 00E787B3; -05DA : FFFE0513; -05DB : 00E7E863; -05DC : 0067F663; -05DD : FFEE0513; -05DE : 00E787B3; -05DF : 406787B3; -05E0 : 0317D333; -05E1 : 01081813; -05E2 : 01085813; -05E3 : 0317F7B3; -05E4 : 026606B3; -05E5 : 01079793; -05E6 : 00F86833; -05E7 : 00030793; -05E8 : 00D87C63; -05E9 : 01070833; -05EA : FFF30793; -05EB : 00E86663; -05EC : 00D87463; -05ED : FFE30793; -05EE : 01051513; -05EF : 00F56533; -05F0 : 00008067; -05F1 : 010007B7; -05F2 : 01000693; -05F3 : F4F664E3; -05F4 : 01800693; -05F5 : F41FF06F; -05F6 : 00B61733; -05F7 : 00F8D6B3; -05F8 : 01075513; -05F9 : 00F357B3; -05FA : 00B31833; -05FB : 02A6D333; -05FC : 01071613; -05FD : 00B898B3; -05FE : 01065613; -05FF : 0117E7B3; -0600 : 02A6F6B3; -0601 : 026608B3; -0602 : 01069593; -0603 : 0107D693; -0604 : 00B6E6B3; -0605 : 00030593; -0606 : 0116FE63; -0607 : 00E686B3; -0608 : FFF30593; -0609 : 00E6E863; -060A : 0116F663; -060B : FFE30593; -060C : 00E686B3; -060D : 411686B3; -060E : 02A6D8B3; -060F : 01079793; -0610 : 0107D793; -0611 : 02A6F6B3; -0612 : 03160633; -0613 : 01069693; -0614 : 00D7E7B3; -0615 : 00088693; -0616 : 00C7FE63; -0617 : 00E787B3; -0618 : FFF88693; -0619 : 00E7E863; -061A : 00C7F663; -061B : FFE88693; -061C : 00E787B3; -061D : 01059593; -061E : 40C787B3; -061F : 00D5E5B3; -0620 : EB9FF06F; -0621 : 18D5E663; -0622 : 000107B7; -0623 : 04F6F463; -0624 : 1006B713; -0625 : 00174713; -0626 : 00371713; -0627 : 000027B7; -0628 : 00E6D5B3; -0629 : F4C78793; -062A : 00B787B3; -062B : 0007C783; -062C : 00E787B3; -062D : 02000713; -062E : 40F705B3; -062F : 02F71663; -0630 : 00100513; -0631 : E116EEE3; -0632 : 00C33533; -0633 : 00154513; -0634 : 00008067; -0635 : 010007B7; -0636 : 01000713; -0637 : FCF6E0E3; -0638 : 01800713; -0639 : FB9FF06F; -063A : 00F65733; -063B : 00B696B3; -063C : 00D766B3; -063D : 00F8D733; -063E : 00B898B3; -063F : 00F357B3; -0640 : 0117E7B3; -0641 : 0106D893; -0642 : 03175EB3; -0643 : 01069813; -0644 : 01085813; -0645 : 00B61633; -0646 : 03177733; -0647 : 03D80E33; -0648 : 01071513; -0649 : 0107D713; -064A : 00A76733; -064B : 000E8513; -064C : 01C77E63; -064D : 00D70733; -064E : FFFE8513; -064F : 00D76863; -0650 : 01C77663; -0651 : FFEE8513; -0652 : 00D70733; -0653 : 41C70733; -0654 : 03175E33; -0655 : 01079793; -0656 : 0107D793; -0657 : 03177733; -0658 : 03C80833; -0659 : 01071713; -065A : 00E7E7B3; -065B : 000E0713; -065C : 0107FE63; -065D : 00D787B3; -065E : FFFE0713; -065F : 00D7E863; -0660 : 0107F663; -0661 : FFEE0713; -0662 : 00D787B3; -0663 : 01051513; -0664 : 00010E37; -0665 : 00E56533; -0666 : FFFE0693; -0667 : 00D57733; -0668 : 410787B3; -0669 : 00D676B3; -066A : 01055813; -066B : 01065613; -066C : 02D708B3; -066D : 02D806B3; -066E : 02C70733; -066F : 02C80833; -0670 : 00D70633; -0671 : 0108D713; -0672 : 00C70733; -0673 : 00D77463; -0674 : 01C80833; -0675 : 01075693; -0676 : 010686B3; -0677 : 02D7E663; -0678 : CED79EE3; -0679 : 000107B7; -067A : FFF78793; -067B : 00F77733; -067C : 01071713; -067D : 00F8F8B3; -067E : 00B31333; -067F : 01170733; -0680 : 00000593; -0681 : CCE37EE3; -0682 : FFF50513; -0683 : CD1FF06F; -0684 : 00000593; -0685 : 00000513; -0686 : 00008067; -0687 : FF010113; -0688 : 00000593; -0689 : 00812423; -068A : 00112623; -068B : 00050413; -068C : 194000EF; -068D : C281A503; -068E : 03C52783; -068F : 00078463; -0690 : 000780E7; -0691 : 00040513; -0692 : 3A4000EF; -0693 : FF010113; -0694 : 00812423; -0695 : 01212023; -0696 : 00003437; -0697 : 00003937; -0698 : 07840793; -0699 : 07890913; -069A : 40F90933; -069B : 00112623; -069C : 00912223; -069D : 40295913; -069E : 02090063; -069F : 07840413; -06A0 : 00000493; -06A1 : 00042783; -06A2 : 00148493; -06A3 : 00440413; -06A4 : 000780E7; -06A5 : FE9918E3; -06A6 : 00003437; -06A7 : 00003937; -06A8 : 07840793; -06A9 : 08090913; -06AA : 40F90933; -06AB : 40295913; -06AC : 02090063; -06AD : 07840413; -06AE : 00000493; -06AF : 00042783; -06B0 : 00148493; -06B1 : 00440413; -06B2 : 000780E7; -06B3 : FE9918E3; -06B4 : 00C12083; -06B5 : 00812403; -06B6 : 00412483; -06B7 : 00012903; -06B8 : 01010113; -06B9 : 00008067; -06BA : 00F00313; -06BB : 00050713; -06BC : 02C37E63; -06BD : 00F77793; -06BE : 0A079063; -06BF : 08059263; -06C0 : FF067693; -06C1 : 00F67613; -06C2 : 00E686B3; -06C3 : 00B72023; -06C4 : 00B72223; -06C5 : 00B72423; -06C6 : 00B72623; -06C7 : 01070713; -06C8 : FED766E3; -06C9 : 00061463; -06CA : 00008067; -06CB : 40C306B3; -06CC : 00269693; -06CD : 00000297; -06CE : 005686B3; -06CF : 00C68067; -06D0 : 00B70723; -06D1 : 00B706A3; -06D2 : 00B70623; -06D3 : 00B705A3; -06D4 : 00B70523; -06D5 : 00B704A3; -06D6 : 00B70423; -06D7 : 00B703A3; -06D8 : 00B70323; -06D9 : 00B702A3; -06DA : 00B70223; -06DB : 00B701A3; -06DC : 00B70123; -06DD : 00B700A3; -06DE : 00B70023; -06DF : 00008067; -06E0 : 0FF5F593; -06E1 : 00859693; -06E2 : 00D5E5B3; -06E3 : 01059693; -06E4 : 00D5E5B3; -06E5 : F6DFF06F; -06E6 : 00279693; -06E7 : 00000297; -06E8 : 005686B3; -06E9 : 00008293; -06EA : FA0680E7; -06EB : 00028093; -06EC : FF078793; -06ED : 40F70733; -06EE : 00F60633; -06EF : F6C378E3; -06F0 : F3DFF06F; -06F1 : FD010113; -06F2 : 01412C23; -06F3 : C281AA03; -06F4 : 03212023; -06F5 : 02112623; -06F6 : 148A2903; -06F7 : 02812423; -06F8 : 02912223; -06F9 : 01312E23; -06FA : 01512A23; -06FB : 01612823; -06FC : 01712623; -06FD : 01812423; -06FE : 04090063; -06FF : 00050B13; -0700 : 00058B93; -0701 : 00100A93; -0702 : FFF00993; -0703 : 00492483; -0704 : FFF48413; -0705 : 02044263; -0706 : 00249493; -0707 : 009904B3; -0708 : 040B8463; -0709 : 1044A783; -070A : 05778063; -070B : FFF40413; -070C : FFC48493; -070D : FF3416E3; -070E : 02C12083; -070F : 02812403; -0710 : 02412483; -0711 : 02012903; -0712 : 01C12983; -0713 : 01812A03; -0714 : 01412A83; -0715 : 01012B03; -0716 : 00C12B83; -0717 : 00812C03; -0718 : 03010113; -0719 : 00008067; -071A : 00492783; -071B : 0044A683; -071C : FFF78793; -071D : 04878E63; -071E : 0004A223; -071F : FA0688E3; -0720 : 18892783; -0721 : 008A9733; -0722 : 00492C03; -0723 : 00F777B3; -0724 : 02079263; -0725 : 000680E7; -0726 : 00492703; -0727 : 148A2783; -0728 : 01871463; -0729 : F92784E3; -072A : F80788E3; -072B : 00078913; -072C : F5DFF06F; -072D : 18C92783; -072E : 0844A583; -072F : 00F77733; -0730 : 00071C63; -0731 : 000B0513; -0732 : 000680E7; -0733 : FCDFF06F; -0734 : 00892223; -0735 : FA9FF06F; -0736 : 00058513; -0737 : 000680E7; -0738 : FB9FF06F; -0739 : FF010113; -073A : 00812423; -073B : 000037B7; -073C : 00003437; -073D : 08078793; -073E : 08440413; -073F : 40F40433; -0740 : 00912223; -0741 : 00112623; -0742 : 40245493; -0743 : 02048063; -0744 : FFC40413; -0745 : 00F40433; -0746 : 00042783; -0747 : FFF48493; -0748 : FFC40413; -0749 : 000780E7; -074A : FE0498E3; -074B : 00C12083; -074C : 00812403; -074D : 00412483; -074E : 01010113; -074F : 00008067; -0750 : 00050593; -0751 : 00000693; -0752 : 00000613; -0753 : 00000513; -0754 : 0040006F; -0755 : C281A703; -0756 : 14872783; -0757 : 04078C63; -0758 : 0047A703; -0759 : 01F00813; -075A : 06E84E63; -075B : 00271813; -075C : 02050663; -075D : 01078333; -075E : 08C32423; -075F : 1887A883; -0760 : 00100613; -0761 : 00E61633; -0762 : 00C8E8B3; -0763 : 1917A423; -0764 : 10D32423; -0765 : 00200693; -0766 : 02D50463; -0767 : 00170713; -0768 : 00E7A223; -0769 : 010787B3; -076A : 00B7A423; -076B : 00000513; -076C : 00008067; -076D : 14C70793; -076E : 14F72423; -076F : FA5FF06F; -0770 : 18C7A683; -0771 : 00170713; -0772 : 00E7A223; -0773 : 00C6E6B3; -0774 : 18D7A623; -0775 : 010787B3; -0776 : 00B7A423; -0777 : 00000513; -0778 : 00008067; -0779 : FFF00513; -077A : 00008067; -077B : 05D00893; -077C : 00000073; -077D : 00054463; -077E : 0000006F; -077F : FF010113; -0780 : 00812423; -0781 : 00050413; -0782 : 00112623; -0783 : 40800433; -0784 : 00C000EF; -0785 : 00852023; -0786 : 0000006F; -0787 : C301A503; -0788 : 00008067; -0789 : 00002020; -078A : 00202020; -078B : 00000020; -078C : 0000202D; -078D : 007C2020; -078E : 00000A7C; -078F : 0000000A; -0790 : 20642020; -0791 : 6464613C; -0792 : 2D203E72; -0793 : 6964202D; -0794 : 616C7073; -0795 : 656D2079; -0796 : 79726F6D; -0797 : 00000A20; -0798 : 20622020; -0799 : 7561623C; -079A : 74617264; -079B : 2D203E65; -079C : 6573202D; -079D : 61622074; -079E : 61726475; -079F : 0A206574; -07A0 : 00000000; -07A1 : 20722020; -07A2 : 6464613C; -07A3 : 3C203E72; -07A4 : 74646977; -07A5 : 0A203E68; -07A6 : 00000000; -07A7 : 20772020; -07A8 : 6464613C; -07A9 : 3C203E72; -07AA : 756C6176; -07AB : 3C203E65; -07AC : 74646977; -07AD : 0A203E68; -07AE : 00000000; -07AF : 69772020; -07B0 : 3D687464; -07B1 : 20322C31; -07B2 : 3420726F; -07B3 : 0000000A; -07B4 : 6C6C6548; -07B5 : 57202C6F; -07B6 : 646C726F; -07B7 : 0000000A; -07B8 : 0000003A; -07B9 : 00003E3E; -07BA : 00000D0A; -07BB : 706C6568; -07BC : 00000020; -07BD : 72616863; -07BE : 00004020; -07BF : 726F6873; -07C0 : 00402074; -07C1 : 20746E69; -07C2 : 00000040; -07C3 : 00203D20; -07C4 : 00000028; -07C5 : 000D0A29; -07C6 : 03020100; -07C7 : 07060504; -07C8 : 000A0908; -07C9 : 0000003F; -07CA : 00000006; -07CB : 0000005B; -07CC : 0000004F; -07CD : 00000066; -07CE : 0000006D; -07CF : 0000007D; -07D0 : 00000007; -07D1 : 0000007F; -07D2 : 0000006F; -07D3 : 02020100; -07D4 : 03030303; -07D5 : 04040404; -07D6 : 04040404; -07D7 : 05050505; -07D8 : 05050505; -07D9 : 05050505; -07DA : 05050505; -07DB : 06060606; -07DC : 06060606; -07DD : 06060606; -07DE : 06060606; -07DF : 06060606; -07E0 : 06060606; -07E1 : 06060606; -07E2 : 06060606; -07E3 : 07070707; -07E4 : 07070707; -07E5 : 07070707; -07E6 : 07070707; -07E7 : 07070707; -07E8 : 07070707; -07E9 : 07070707; -07EA : 07070707; -07EB : 07070707; -07EC : 07070707; -07ED : 07070707; -07EE : 07070707; -07EF : 07070707; -07F0 : 07070707; -07F1 : 07070707; -07F2 : 07070707; -07F3 : 08080808; -07F4 : 08080808; -07F5 : 08080808; -07F6 : 08080808; -07F7 : 08080808; -07F8 : 08080808; -07F9 : 08080808; -07FA : 08080808; -07FB : 08080808; -07FC : 08080808; -07FD : 08080808; -07FE : 08080808; -07FF : 08080808; -0800 : 08080808; -0801 : 08080808; -0802 : 08080808; -0803 : 08080808; -0804 : 08080808; -0805 : 08080808; -0806 : 08080808; -0807 : 08080808; -0808 : 08080808; -0809 : 08080808; -080A : 08080808; -080B : 08080808; -080C : 08080808; -080D : 08080808; -080E : 08080808; -080F : 08080808; -0810 : 08080808; -0811 : 08080808; -0812 : 08080808; -0813 : CDCDCDCD; -0814 : CDCDCDCD; -0815 : CDCDCDCD; -0816 : CDCDCDCD; -0817 : CDCDCDCD; -0818 : CDCDCDCD; -0819 : CDCDCDCD; -081A : CDCDCDCD; -081B : CDCDCDCD; -081C : CDCDCDCD; -081D : CDCDCDCD; -081E : CDCDCDCD; -081F : CDCDCDCD; -0820 : CDCDCDCD; -0821 : CDCDCDCD; -0822 : CDCDCDCD; -0823 : CDCDCDCD; -0824 : CDCDCDCD; -0825 : CDCDCDCD; -0826 : CDCDCDCD; -0827 : CDCDCDCD; -0828 : CDCDCDCD; -0829 : CDCDCDCD; -082A : CDCDCDCD; -082B : CDCDCDCD; -082C : CDCDCDCD; -082D : CDCDCDCD; -082E : CDCDCDCD; -082F : CDCDCDCD; -0830 : CDCDCDCD; -0831 : CDCDCDCD; -0832 : CDCDCDCD; -0833 : CDCDCDCD; -0834 : CDCDCDCD; -0835 : CDCDCDCD; -0836 : CDCDCDCD; -0837 : CDCDCDCD; -0838 : CDCDCDCD; -0839 : CDCDCDCD; -083A : CDCDCDCD; -083B : CDCDCDCD; -083C : CDCDCDCD; -083D : CDCDCDCD; -083E : CDCDCDCD; -083F : CDCDCDCD; -0840 : CDCDCDCD; -0841 : CDCDCDCD; -0842 : CDCDCDCD; -0843 : CDCDCDCD; -0844 : CDCDCDCD; -0845 : CDCDCDCD; -0846 : CDCDCDCD; -0847 : CDCDCDCD; -0848 : CDCDCDCD; -0849 : CDCDCDCD; -084A : CDCDCDCD; -084B : CDCDCDCD; -084C : CDCDCDCD; -084D : CDCDCDCD; -084E : CDCDCDCD; -084F : CDCDCDCD; -0850 : CDCDCDCD; -0851 : CDCDCDCD; -0852 : CDCDCDCD; -0853 : CDCDCDCD; -0854 : CDCDCDCD; -0855 : CDCDCDCD; -0856 : CDCDCDCD; -0857 : CDCDCDCD; -0858 : CDCDCDCD; -0859 : CDCDCDCD; -085A : CDCDCDCD; -085B : CDCDCDCD; -085C : CDCDCDCD; -085D : CDCDCDCD; -085E : CDCDCDCD; -085F : CDCDCDCD; -0860 : CDCDCDCD; -0861 : CDCDCDCD; -0862 : CDCDCDCD; -0863 : CDCDCDCD; -0864 : CDCDCDCD; -0865 : CDCDCDCD; -0866 : CDCDCDCD; -0867 : CDCDCDCD; -0868 : CDCDCDCD; -0869 : CDCDCDCD; -086A : CDCDCDCD; -086B : CDCDCDCD; -086C : CDCDCDCD; -086D : CDCDCDCD; -086E : CDCDCDCD; -086F : CDCDCDCD; -0870 : CDCDCDCD; -0871 : CDCDCDCD; -0872 : CDCDCDCD; -0873 : CDCDCDCD; -0874 : CDCDCDCD; -0875 : CDCDCDCD; -0876 : CDCDCDCD; -0877 : CDCDCDCD; -0878 : CDCDCDCD; -0879 : CDCDCDCD; -087A : CDCDCDCD; -087B : CDCDCDCD; -087C : CDCDCDCD; -087D : CDCDCDCD; -087E : CDCDCDCD; -087F : CDCDCDCD; -0880 : CDCDCDCD; -0881 : CDCDCDCD; -0882 : CDCDCDCD; -0883 : CDCDCDCD; -0884 : CDCDCDCD; -0885 : CDCDCDCD; -0886 : CDCDCDCD; -0887 : CDCDCDCD; -0888 : CDCDCDCD; -0889 : CDCDCDCD; -088A : CDCDCDCD; -088B : CDCDCDCD; -088C : CDCDCDCD; -088D : CDCDCDCD; -088E : CDCDCDCD; -088F : CDCDCDCD; -0890 : CDCDCDCD; -0891 : CDCDCDCD; -0892 : CDCDCDCD; -0893 : CDCDCDCD; -0894 : CDCDCDCD; -0895 : CDCDCDCD; -0896 : CDCDCDCD; -0897 : CDCDCDCD; -0898 : CDCDCDCD; -0899 : CDCDCDCD; -089A : CDCDCDCD; -089B : CDCDCDCD; -089C : CDCDCDCD; -089D : CDCDCDCD; -089E : CDCDCDCD; -089F : CDCDCDCD; -08A0 : CDCDCDCD; -08A1 : CDCDCDCD; -08A2 : CDCDCDCD; -08A3 : CDCDCDCD; -08A4 : CDCDCDCD; -08A5 : CDCDCDCD; -08A6 : CDCDCDCD; -08A7 : CDCDCDCD; -08A8 : CDCDCDCD; -08A9 : CDCDCDCD; -08AA : CDCDCDCD; -08AB : CDCDCDCD; -08AC : CDCDCDCD; -08AD : CDCDCDCD; -08AE : CDCDCDCD; -08AF : CDCDCDCD; -08B0 : CDCDCDCD; -08B1 : CDCDCDCD; -08B2 : CDCDCDCD; -08B3 : CDCDCDCD; -08B4 : CDCDCDCD; -08B5 : CDCDCDCD; -08B6 : CDCDCDCD; -08B7 : CDCDCDCD; -08B8 : CDCDCDCD; -08B9 : CDCDCDCD; -08BA : CDCDCDCD; -08BB : CDCDCDCD; -08BC : CDCDCDCD; -08BD : CDCDCDCD; -08BE : CDCDCDCD; -08BF : CDCDCDCD; -08C0 : CDCDCDCD; -08C1 : CDCDCDCD; -08C2 : CDCDCDCD; -08C3 : CDCDCDCD; -08C4 : CDCDCDCD; -08C5 : CDCDCDCD; -08C6 : CDCDCDCD; -08C7 : CDCDCDCD; -08C8 : CDCDCDCD; -08C9 : CDCDCDCD; -08CA : CDCDCDCD; -08CB : CDCDCDCD; -08CC : CDCDCDCD; -08CD : CDCDCDCD; -08CE : CDCDCDCD; -08CF : CDCDCDCD; -08D0 : CDCDCDCD; -08D1 : CDCDCDCD; -08D2 : CDCDCDCD; -08D3 : CDCDCDCD; -08D4 : CDCDCDCD; -08D5 : CDCDCDCD; -08D6 : CDCDCDCD; -08D7 : CDCDCDCD; -08D8 : CDCDCDCD; -08D9 : CDCDCDCD; -08DA : CDCDCDCD; -08DB : CDCDCDCD; -08DC : CDCDCDCD; -08DD : CDCDCDCD; -08DE : CDCDCDCD; -08DF : CDCDCDCD; -08E0 : CDCDCDCD; -08E1 : CDCDCDCD; -08E2 : CDCDCDCD; -08E3 : CDCDCDCD; -08E4 : CDCDCDCD; -08E5 : CDCDCDCD; -08E6 : CDCDCDCD; -08E7 : CDCDCDCD; -08E8 : CDCDCDCD; -08E9 : CDCDCDCD; -08EA : CDCDCDCD; -08EB : CDCDCDCD; -08EC : CDCDCDCD; -08ED : CDCDCDCD; -08EE : CDCDCDCD; -08EF : CDCDCDCD; -08F0 : CDCDCDCD; -08F1 : CDCDCDCD; -08F2 : CDCDCDCD; -08F3 : CDCDCDCD; -08F4 : CDCDCDCD; -08F5 : CDCDCDCD; -08F6 : CDCDCDCD; -08F7 : CDCDCDCD; -08F8 : CDCDCDCD; -08F9 : CDCDCDCD; -08FA : CDCDCDCD; -08FB : CDCDCDCD; -08FC : CDCDCDCD; -08FD : CDCDCDCD; -08FE : CDCDCDCD; -08FF : CDCDCDCD; -0900 : CDCDCDCD; -0901 : CDCDCDCD; -0902 : CDCDCDCD; -0903 : CDCDCDCD; -0904 : CDCDCDCD; -0905 : CDCDCDCD; -0906 : CDCDCDCD; -0907 : CDCDCDCD; -0908 : CDCDCDCD; -0909 : CDCDCDCD; -090A : CDCDCDCD; -090B : CDCDCDCD; -090C : CDCDCDCD; -090D : CDCDCDCD; -090E : CDCDCDCD; -090F : CDCDCDCD; -0910 : CDCDCDCD; -0911 : CDCDCDCD; -0912 : CDCDCDCD; -0913 : CDCDCDCD; -0914 : CDCDCDCD; -0915 : CDCDCDCD; -0916 : CDCDCDCD; -0917 : CDCDCDCD; -0918 : CDCDCDCD; -0919 : CDCDCDCD; -091A : CDCDCDCD; -091B : CDCDCDCD; -091C : CDCDCDCD; -091D : CDCDCDCD; +0160 : FC442783; +0161 : 01C79793; +0162 : FC042703; +0163 : 00475813; +0164 : 0107E833; +0165 : FC442783; +0166 : 0047D893; +0167 : FD042023; +0168 : FD142223; +0169 : FE842783; +016A : 00178793; +016B : FEF42423; +016C : FC042783; +016D : FC442703; +016E : 00E7E7B3; +016F : F60794E3; +0170 : 0240006F; +0171 : FE842783; +0172 : 00178713; +0173 : FEE42423; +0174 : 00078713; +0175 : FCC42783; +0176 : 00E787B3; +0177 : FBF44703; +0178 : 00E78023; +0179 : FE842703; +017A : FC842783; +017B : FCF74CE3; +017C : FE042623; +017D : 06C0006F; +017E : FE842783; +017F : FFF78713; +0180 : FEC42783; +0181 : 40F707B3; +0182 : FEF42223; +0183 : FEC42783; +0184 : FCC42703; +0185 : 00F707B3; +0186 : 0007C783; +0187 : FEF401A3; +0188 : FE442783; +0189 : FCC42703; +018A : 00F70733; +018B : FEC42783; +018C : FCC42683; +018D : 00F687B3; +018E : 00074703; +018F : 00E78023; +0190 : FE442783; +0191 : FCC42703; +0192 : 00F707B3; +0193 : FE344703; +0194 : 00E78023; +0195 : FEC42783; +0196 : 00178793; +0197 : FEF42623; +0198 : FE842783; +0199 : 01F7D713; +019A : 00F707B3; +019B : 4017D793; +019C : 00078713; +019D : FEC42783; +019E : F8E7C0E3; +019F : FE842783; +01A0 : FCC42703; +01A1 : 00F707B3; +01A2 : 00078023; +01A3 : FE842783; +01A4 : 00078513; +01A5 : 04C12403; +01A6 : 05010113; +01A7 : 00008067; +01A8 : FD010113; +01A9 : 02812623; +01AA : 03010413; +01AB : FCA42E23; +01AC : FCB42C23; +01AD : FE042623; +01AE : FE042423; +01AF : 00100793; +01B0 : FEF42223; +01B1 : 08C0006F; +01B2 : FDC42783; +01B3 : 0007C783; +01B4 : FEF42023; +01B5 : FE042703; +01B6 : 02F00793; +01B7 : 04E7D263; +01B8 : FE042703; +01B9 : 03900793; +01BA : 02E7CC63; +01BB : FE842703; +01BC : 00070793; +01BD : 00279793; +01BE : 00E787B3; +01BF : 00179793; +01C0 : 00078713; +01C1 : FE042783; +01C2 : 00F707B3; +01C3 : FD078793; +01C4 : FEF42423; +01C5 : 00100793; +01C6 : FEF42623; +01C7 : 0280006F; +01C8 : FEC42783; +01C9 : 02079E63; +01CA : FE042703; +01CB : 02D00793; +01CC : 00F71A63; +01CD : FFF00793; +01CE : FEF42223; +01CF : 00100793; +01D0 : FEF42623; +01D1 : FDC42783; +01D2 : 00178793; +01D3 : FCF42E23; +01D4 : FDC42783; +01D5 : 0007C783; +01D6 : F60798E3; +01D7 : 0080006F; +01D8 : 00000013; +01D9 : FE842703; +01DA : FE442783; +01DB : 02F707B3; +01DC : FEF42423; +01DD : FD842783; +01DE : 00078863; +01DF : FD842783; +01E0 : FDC42703; +01E1 : 00E7A023; +01E2 : FE842783; +01E3 : 00078513; +01E4 : 02C12403; +01E5 : 03010113; +01E6 : 00008067; +01E7 : FD010113; +01E8 : 02812623; +01E9 : 03010413; +01EA : FCA42E23; +01EB : FCB42C23; +01EC : FE042623; +01ED : FE042423; +01EE : 0E00006F; +01EF : FDC42783; +01F0 : 0007C783; +01F1 : FEF42223; +01F2 : FE442703; +01F3 : 02F00793; +01F4 : 02E7DA63; +01F5 : FE442703; +01F6 : 03900793; +01F7 : 02E7C463; +01F8 : 00100793; +01F9 : FEF42623; +01FA : FE842783; +01FB : 00479713; +01FC : FE442783; +01FD : 00F707B3; +01FE : FD078793; +01FF : FEF42423; +0200 : 08C0006F; +0201 : FE442703; +0202 : 06000793; +0203 : 02E7DA63; +0204 : FE442703; +0205 : 06600793; +0206 : 02E7C463; +0207 : 00100793; +0208 : FEF42623; +0209 : FE842783; +020A : 00479713; +020B : FE442783; +020C : 00F707B3; +020D : FA978793; +020E : FEF42423; +020F : 0500006F; +0210 : FE442703; +0211 : 04000793; +0212 : 02E7DA63; +0213 : FE442703; +0214 : 04600793; +0215 : 02E7C463; +0216 : 00100793; +0217 : FEF42623; +0218 : FE842783; +0219 : 00479713; +021A : FE442783; +021B : 00F707B3; +021C : FC978793; +021D : FEF42423; +021E : 0140006F; +021F : FEC42783; +0220 : 02079463; +0221 : 00100793; +0222 : FEF42623; +0223 : FDC42783; +0224 : 00178793; +0225 : FCF42E23; +0226 : FDC42783; +0227 : 0007C783; +0228 : F0079EE3; +0229 : 0080006F; +022A : 00000013; +022B : FD842783; +022C : 00078863; +022D : FD842783; +022E : FDC42703; +022F : 00E7A023; +0230 : FE842783; +0231 : 00078513; +0232 : 02C12403; +0233 : 03010113; +0234 : 00008067; +0235 : FD010113; +0236 : 02812623; +0237 : 03010413; +0238 : FCA42E23; +0239 : FCB42C23; +023A : FDC42783; +023B : FEF42623; +023C : 0100006F; +023D : FDC42783; +023E : 00178793; +023F : FCF42E23; +0240 : FDC42783; +0241 : 0007C783; +0242 : FE0796E3; +0243 : 0240006F; +0244 : FD842703; +0245 : 00170793; +0246 : FCF42C23; +0247 : FDC42783; +0248 : 00178693; +0249 : FCD42E23; +024A : 00074703; +024B : 00E78023; +024C : FD842783; +024D : 0007C783; +024E : FC079CE3; +024F : FDC42783; +0250 : 00078023; +0251 : FDC42703; +0252 : FEC42783; +0253 : 40F707B3; +0254 : 00078513; +0255 : 02C12403; +0256 : 03010113; +0257 : 00008067; +0258 : FD010113; +0259 : 02812623; +025A : 03010413; +025B : FCA42E23; +025C : FCB42C23; +025D : FCC42A23; +025E : FE042623; +025F : 0500006F; +0260 : FDC42783; +0261 : 00178713; +0262 : FCE42E23; +0263 : 0007C703; +0264 : FD842783; +0265 : 00178693; +0266 : FCD42C23; +0267 : 0007C783; +0268 : 00F70663; +0269 : 00100793; +026A : 0400006F; +026B : FEC42783; +026C : 00178793; +026D : FEF42623; +026E : FEC42703; +026F : FD442783; +0270 : 00F74663; +0271 : 00000793; +0272 : 0200006F; +0273 : FDC42783; +0274 : 0007C783; +0275 : 00078863; +0276 : FD842783; +0277 : 0007C783; +0278 : FA0790E3; +0279 : 00000793; +027A : 00078513; +027B : 02C12403; +027C : 03010113; +027D : 00008067; +027E : FE010113; +027F : 00812E23; +0280 : 02010413; +0281 : FEA42623; +0282 : 05F5E7B7; +0283 : 10078713; +0284 : FEC42783; +0285 : 02F74733; +0286 : C2C1A783; +0287 : 01078793; +0288 : 00E7A023; +0289 : 00000793; +028A : 00078513; +028B : 01C12403; +028C : 02010113; +028D : 00008067; +028E : EC010113; +028F : 12112E23; +0290 : 12812C23; +0291 : 13212A23; +0292 : 13312823; +0293 : 13412623; +0294 : 13512423; +0295 : 14010413; +0296 : C381A783; +0297 : FF07F793; +0298 : FCF42A23; +0299 : FC042C23; +029A : 1F80006F; +029B : FD442783; +029C : 00078913; +029D : 00000993; +029E : ECC40793; +029F : 03000713; +02A0 : 00800693; +02A1 : 00090593; +02A2 : 00098613; +02A3 : 00078513; +02A4 : A69FF0EF; +02A5 : ECC40713; +02A6 : 000027B7; +02A7 : AD078593; +02A8 : 00070513; +02A9 : E31FF0EF; +02AA : FC042E23; +02AB : 0C00006F; +02AC : FD442783; +02AD : FCF42623; +02AE : FDC42703; +02AF : FD442783; +02B0 : 00F70733; +02B1 : C381A783; +02B2 : 00F77E63; +02B3 : ECC40713; +02B4 : 000027B7; +02B5 : AD478593; +02B6 : 00070513; +02B7 : DF9FF0EF; +02B8 : 0600006F; +02B9 : FDC42783; +02BA : FCC42703; +02BB : 00F707B3; +02BC : 0007C783; +02BD : 00078A13; +02BE : 00000A93; +02BF : EC440793; +02C0 : 03000713; +02C1 : 00200693; +02C2 : 000A0593; +02C3 : 000A8613; +02C4 : 00078513; +02C5 : 9E5FF0EF; +02C6 : EC440713; +02C7 : 000027B7; +02C8 : AD878593; +02C9 : 00070513; +02CA : DADFF0EF; +02CB : EC440713; +02CC : ECC40793; +02CD : 00070593; +02CE : 00078513; +02CF : D99FF0EF; +02D0 : FDC42703; +02D1 : 00700793; +02D2 : 00F71C63; +02D3 : ECC40713; +02D4 : 000027B7; +02D5 : ADC78593; +02D6 : 00070513; +02D7 : D79FF0EF; +02D8 : FDC42783; +02D9 : 00178793; +02DA : FCF42E23; +02DB : FDC42703; +02DC : 00F00793; +02DD : F2E7DEE3; +02DE : ECC40713; +02DF : 000027B7; +02E0 : AE078593; +02E1 : 00070513; +02E2 : D4DFF0EF; +02E3 : FC042E23; +02E4 : 0800006F; +02E5 : FD442783; +02E6 : FCF42823; +02E7 : FDC42783; +02E8 : FD042703; +02E9 : 00F707B3; +02EA : 0007C703; +02EB : 01F00793; +02EC : 02E7FA63; +02ED : FDC42783; +02EE : FD042703; +02EF : 00F707B3; +02F0 : 0007C703; +02F1 : 07E00793; +02F2 : 00E7EE63; +02F3 : FDC42783; +02F4 : FD042703; +02F5 : 00F707B3; +02F6 : 0007C783; +02F7 : ECF40023; +02F8 : 00C0006F; +02F9 : 02E00793; +02FA : ECF40023; +02FB : EC0400A3; +02FC : EC040713; +02FD : ECC40793; +02FE : 00070593; +02FF : 00078513; +0300 : CD5FF0EF; +0301 : FDC42783; +0302 : 00178793; +0303 : FCF42E23; +0304 : FDC42703; +0305 : 00F00793; +0306 : F6E7DEE3; +0307 : ECC40713; +0308 : 000027B7; +0309 : AE478593; +030A : 00070513; +030B : CA9FF0EF; +030C : ECC40793; +030D : 00078513; +030E : E24FF0EF; +030F : FD442783; +0310 : 01078793; +0311 : FCF42A23; +0312 : FD442783; +0313 : 0FF7F793; +0314 : 02078063; +0315 : FD842783; +0316 : 00178793; +0317 : FCF42C23; +0318 : FD842703; +0319 : 00F00793; +031A : E0E7D2E3; +031B : 0080006F; +031C : 00000013; +031D : 000027B7; +031E : AE878513; +031F : DE0FF0EF; +0320 : FD442703; +0321 : C2E1AC23; +0322 : 00000013; +0323 : 13C12083; +0324 : 13812403; +0325 : 13412903; +0326 : 13012983; +0327 : 12C12A03; +0328 : 12812A83; +0329 : 14010113; +032A : 00008067; +032B : FE010113; +032C : 00812E23; +032D : 02010413; +032E : C00065F3; +032F : FEB42623; +0330 : C80065F3; +0331 : FEB42423; +0332 : FE842583; +0333 : FEB42023; +0334 : FE042223; +0335 : FE042583; +0336 : 00059893; +0337 : 00000813; +0338 : FF042023; +0339 : FF142223; +033A : FEC42583; +033B : 00058713; +033C : 00000793; +033D : FE042583; +033E : 00E5E633; +033F : FE442583; +0340 : 00F5E6B3; +0341 : FEC42023; +0342 : FED42223; +0343 : FE042703; +0344 : FE442783; +0345 : 00070513; +0346 : 00078593; +0347 : 01C12403; +0348 : 02010113; +0349 : 00008067; +034A : FE010113; +034B : 00812E23; +034C : 02010413; +034D : C02065F3; +034E : FEB42623; +034F : C82065F3; +0350 : FEB42423; +0351 : FE842583; +0352 : FEB42023; +0353 : FE042223; +0354 : FE042583; +0355 : 00059893; +0356 : 00000813; +0357 : FF042023; +0358 : FF142223; +0359 : FEC42583; +035A : 00058713; +035B : 00000793; +035C : FE042583; +035D : 00E5E633; +035E : FE442583; +035F : 00F5E6B3; +0360 : FEC42023; +0361 : FED42223; +0362 : FE042703; +0363 : FE442783; +0364 : 00070513; +0365 : 00078593; +0366 : 01C12403; +0367 : 02010113; +0368 : 00008067; +0369 : FF010113; +036A : 00112623; +036B : 00812423; +036C : 01010413; +036D : 000027B7; +036E : AEC78513; +036F : CA0FF0EF; +0370 : 000027B7; +0371 : B0C78513; +0372 : C94FF0EF; +0373 : 000027B7; +0374 : B3078513; +0375 : C88FF0EF; +0376 : 000027B7; +0377 : B5878513; +0378 : C7CFF0EF; +0379 : 000027B7; +037A : B8C78513; +037B : C70FF0EF; +037C : 00000013; +037D : 00C12083; +037E : 00812403; +037F : 01010113; +0380 : 00008067; +0381 : E8010113; +0382 : 16112E23; +0383 : 16812C23; +0384 : 17212A23; +0385 : 17312823; +0386 : 17412623; +0387 : 17512423; +0388 : 17612223; +0389 : 17712023; +038A : 18010413; +038B : E8A42623; +038C : E8B42423; +038D : F00007B7; +038E : FCF42C23; +038F : F00007B7; +0390 : 01078793; +0391 : FCF42A23; +0392 : 0001C7B7; +0393 : 20078513; +0394 : BA9FF0EF; +0395 : FC042823; +0396 : FC042623; +0397 : E51FF0EF; +0398 : 00050913; +0399 : 00058993; +039A : EC1FF0EF; +039B : 00050713; +039C : 00058793; +039D : 06400613; +039E : 00000693; +039F : 00070513; +03A0 : 00078593; +03A1 : 414000EF; +03A2 : 00050713; +03A3 : 00058793; +03A4 : 00070613; +03A5 : 00078693; +03A6 : 00090513; +03A7 : 00098593; +03A8 : 3F8000EF; +03A9 : 00050713; +03AA : 00058793; +03AB : FCE42423; +03AC : A8CFF0EF; +03AD : 00050793; +03AE : 08078E63; +03AF : DF1FF0EF; +03B0 : E9040793; +03B1 : 03000713; +03B2 : 00800693; +03B3 : 00058613; +03B4 : 00050593; +03B5 : 00078513; +03B6 : E20FF0EF; +03B7 : E9040793; +03B8 : 00078513; +03B9 : B78FF0EF; +03BA : 000027B7; +03BB : BA478513; +03BC : B6CFF0EF; +03BD : E35FF0EF; +03BE : E9040793; +03BF : 03000713; +03C0 : 00800693; +03C1 : 00058613; +03C2 : 00050593; +03C3 : 00078513; +03C4 : DE8FF0EF; +03C5 : E9040793; +03C6 : 00078513; +03C7 : B40FF0EF; +03C8 : 000027B7; +03C9 : BA478513; +03CA : B34FF0EF; +03CB : E9040793; +03CC : FC842583; +03CD : 00078513; +03CE : C64FF0EF; +03CF : E9040793; +03D0 : 00078513; +03D1 : B18FF0EF; +03D2 : 000027B7; +03D3 : BA878513; +03D4 : B0CFF0EF; +03D5 : A24FF0EF; +03D6 : 00050793; +03D7 : FE078CE3; +03D8 : E9040793; +03D9 : 0FF00593; +03DA : 00078513; +03DB : B58FF0EF; +03DC : 00000013; +03DD : 000027B7; +03DE : BAC78513; +03DF : AE0FF0EF; +03E0 : E9040793; +03E1 : 00078513; +03E2 : AD4FF0EF; +03E3 : 000027B7; +03E4 : BB078513; +03E5 : AC8FF0EF; +03E6 : E9040713; +03E7 : 00400613; +03E8 : 000027B7; +03E9 : BB478593; +03EA : 00070513; +03EB : 9B5FF0EF; +03EC : 00050793; +03ED : 00079663; +03EE : DEDFF0EF; +03EF : EA1FF06F; +03F0 : E9044703; +03F1 : 06200793; +03F2 : 02F71C63; +03F3 : E9040793; +03F4 : 00278793; +03F5 : 00000593; +03F6 : 00078513; +03F7 : EC4FF0EF; +03F8 : FAA42623; +03F9 : FAC42783; +03FA : 00F05863; +03FB : FAC42503; +03FC : A09FF0EF; +03FD : E69FF06F; +03FE : DADFF0EF; +03FF : E61FF06F; +0400 : E9044703; +0401 : 06400793; +0402 : 02F71A63; +0403 : E9040793; +0404 : 00278793; +0405 : 00000593; +0406 : 00078513; +0407 : F80FF0EF; +0408 : FAA42823; +0409 : FB042783; +040A : 00F05663; +040B : FB042703; +040C : C2E1AC23; +040D : A05FF0EF; +040E : E25FF06F; +040F : E9044703; +0410 : 07700793; +0411 : 0AF71C63; +0412 : E9040793; +0413 : 00278793; +0414 : F9440713; +0415 : 00070593; +0416 : 00078513; +0417 : F40FF0EF; +0418 : FAA42E23; +0419 : F9442783; +041A : F9440713; +041B : 00070593; +041C : 00078513; +041D : F28FF0EF; +041E : FAA42C23; +041F : F9442783; +0420 : F9440713; +0421 : 00070593; +0422 : 00078513; +0423 : F10FF0EF; +0424 : FAA42A23; +0425 : FB442703; +0426 : 00100793; +0427 : 00F71C63; +0428 : FBC42783; +0429 : FB842703; +042A : 0FF77713; +042B : 00E78023; +042C : DADFF06F; +042D : FB442703; +042E : 00200793; +042F : 00F71E63; +0430 : FBC42783; +0431 : FB842703; +0432 : 01071713; +0433 : 41075713; +0434 : 00E79023; +0435 : D89FF06F; +0436 : FB442703; +0437 : 00400793; +0438 : 00F71A63; +0439 : FBC42783; +043A : FB842703; +043B : 00E7A023; +043C : D6DFF06F; +043D : CB1FF0EF; +043E : D65FF06F; +043F : E9044703; +0440 : 07200793; +0441 : D4F71CE3; +0442 : FC042E23; +0443 : E9040793; +0444 : 00278793; +0445 : F9040713; +0446 : 00070593; +0447 : 00078513; +0448 : E7CFF0EF; +0449 : FCA42223; +044A : F9042783; +044B : F9040713; +044C : 00070593; +044D : 00078513; +044E : E64FF0EF; +044F : FCA42023; +0450 : FC042703; +0451 : 00100793; +0452 : 02F71063; +0453 : FC442783; +0454 : 0007C783; +0455 : FCF42E23; +0456 : 000027B7; +0457 : BBC78513; +0458 : 8FCFF0EF; +0459 : 0580006F; +045A : FC042703; +045B : 00200793; +045C : 02F71063; +045D : FC442783; +045E : 00079783; +045F : FCF42E23; +0460 : 000027B7; +0461 : BC478513; +0462 : 8D4FF0EF; +0463 : 0300006F; +0464 : FC042703; +0465 : 00400793; +0466 : 02F71063; +0467 : FC442783; +0468 : 0007A783; +0469 : FCF42E23; +046A : 000027B7; +046B : BCC78513; +046C : 8ACFF0EF; +046D : 0080006F; +046E : BEDFF0EF; +046F : FC042703; +0470 : 00100793; +0471 : 00F70E63; +0472 : FC042703; +0473 : 00200793; +0474 : 00F70863; +0475 : FC042703; +0476 : 00400793; +0477 : C8F710E3; +0478 : FC442783; +0479 : 00078A13; +047A : 41F7D793; +047B : 00078A93; +047C : E9040793; +047D : 03000713; +047E : 00800693; +047F : 000A0593; +0480 : 000A8613; +0481 : 00078513; +0482 : AF0FF0EF; +0483 : E9040793; +0484 : 00078513; +0485 : 848FF0EF; +0486 : 000027B7; +0487 : BD478513; +0488 : 83CFF0EF; +0489 : E9040793; +048A : FDC42583; +048B : 00078513; +048C : 96CFF0EF; +048D : E9040793; +048E : 00078513; +048F : 820FF0EF; +0490 : 000027B7; +0491 : BD878513; +0492 : 814FF0EF; +0493 : FDC42783; +0494 : 00078B13; +0495 : 41F7D793; +0496 : 00078B93; +0497 : FC042783; +0498 : 00179693; +0499 : E9040793; +049A : 03000713; +049B : 000B0593; +049C : 000B8613; +049D : 00078513; +049E : A80FF0EF; +049F : E9040793; +04A0 : 00078513; +04A1 : FD9FE0EF; +04A2 : 000027B7; +04A3 : BDC78513; +04A4 : FCDFE0EF; +04A5 : BC9FF06F; +04A6 : 00050313; +04A7 : 00058893; +04A8 : 00060713; +04A9 : 00050813; +04AA : 00058793; +04AB : 28069263; +04AC : 000025B7; +04AD : BE058593; +04AE : 0EC8F663; +04AF : 000106B7; +04B0 : 0CD67863; +04B1 : 10063693; +04B2 : 0016C693; +04B3 : 00369693; +04B4 : 00D65533; +04B5 : 00A585B3; +04B6 : 0005C583; +04B7 : 02000513; +04B8 : 00D586B3; +04B9 : 40D505B3; +04BA : 00D50C63; +04BB : 00B897B3; +04BC : 00D356B3; +04BD : 00B61733; +04BE : 00F6E7B3; +04BF : 00B31833; +04C0 : 01075593; +04C1 : 02B7D333; +04C2 : 01071613; +04C3 : 01065613; +04C4 : 02B7F7B3; +04C5 : 00030513; +04C6 : 026608B3; +04C7 : 01079693; +04C8 : 01085793; +04C9 : 00D7E7B3; +04CA : 0117FE63; +04CB : 00E787B3; +04CC : FFF30513; +04CD : 00E7E863; +04CE : 0117F663; +04CF : FFE30513; +04D0 : 00E787B3; +04D1 : 411787B3; +04D2 : 02B7D8B3; +04D3 : 01081813; +04D4 : 01085813; +04D5 : 02B7F7B3; +04D6 : 031606B3; +04D7 : 01079793; +04D8 : 00F86833; +04D9 : 00088793; +04DA : 00D87C63; +04DB : 01070833; +04DC : FFF88793; +04DD : 00E86663; +04DE : 00D87463; +04DF : FFE88793; +04E0 : 01051513; +04E1 : 00F56533; +04E2 : 00000593; +04E3 : 00008067; +04E4 : 01000537; +04E5 : 01000693; +04E6 : F2A66CE3; +04E7 : 01800693; +04E8 : F31FF06F; +04E9 : 00061463; +04EA : 00100073; +04EB : 000107B7; +04EC : 0CF67063; +04ED : 10063693; +04EE : 0016C693; +04EF : 00369693; +04F0 : 00D657B3; +04F1 : 00F585B3; +04F2 : 0005C783; +04F3 : 00D787B3; +04F4 : 02000693; +04F5 : 40F685B3; +04F6 : 0AF69663; +04F7 : 40C887B3; +04F8 : 00100593; +04F9 : 01075893; +04FA : 0317DE33; +04FB : 01071613; +04FC : 01065613; +04FD : 01085693; +04FE : 0317F7B3; +04FF : 000E0513; +0500 : 03C60333; +0501 : 01079793; +0502 : 00F6E7B3; +0503 : 0067FE63; +0504 : 00E787B3; +0505 : FFFE0513; +0506 : 00E7E863; +0507 : 0067F663; +0508 : FFEE0513; +0509 : 00E787B3; +050A : 406787B3; +050B : 0317D333; +050C : 01081813; +050D : 01085813; +050E : 0317F7B3; +050F : 026606B3; +0510 : 01079793; +0511 : 00F86833; +0512 : 00030793; +0513 : 00D87C63; +0514 : 01070833; +0515 : FFF30793; +0516 : 00E86663; +0517 : 00D87463; +0518 : FFE30793; +0519 : 01051513; +051A : 00F56533; +051B : 00008067; +051C : 010007B7; +051D : 01000693; +051E : F4F664E3; +051F : 01800693; +0520 : F41FF06F; +0521 : 00B61733; +0522 : 00F8D6B3; +0523 : 01075513; +0524 : 00F357B3; +0525 : 00B31833; +0526 : 02A6D333; +0527 : 01071613; +0528 : 00B898B3; +0529 : 01065613; +052A : 0117E7B3; +052B : 02A6F6B3; +052C : 026608B3; +052D : 01069593; +052E : 0107D693; +052F : 00B6E6B3; +0530 : 00030593; +0531 : 0116FE63; +0532 : 00E686B3; +0533 : FFF30593; +0534 : 00E6E863; +0535 : 0116F663; +0536 : FFE30593; +0537 : 00E686B3; +0538 : 411686B3; +0539 : 02A6D8B3; +053A : 01079793; +053B : 0107D793; +053C : 02A6F6B3; +053D : 03160633; +053E : 01069693; +053F : 00D7E7B3; +0540 : 00088693; +0541 : 00C7FE63; +0542 : 00E787B3; +0543 : FFF88693; +0544 : 00E7E863; +0545 : 00C7F663; +0546 : FFE88693; +0547 : 00E787B3; +0548 : 01059593; +0549 : 40C787B3; +054A : 00D5E5B3; +054B : EB9FF06F; +054C : 18D5E663; +054D : 000107B7; +054E : 04F6F463; +054F : 1006B713; +0550 : 00174713; +0551 : 00371713; +0552 : 000027B7; +0553 : 00E6D5B3; +0554 : BE078793; +0555 : 00B787B3; +0556 : 0007C783; +0557 : 00E787B3; +0558 : 02000713; +0559 : 40F705B3; +055A : 02F71663; +055B : 00100513; +055C : E116EEE3; +055D : 00C33533; +055E : 00154513; +055F : 00008067; +0560 : 010007B7; +0561 : 01000713; +0562 : FCF6E0E3; +0563 : 01800713; +0564 : FB9FF06F; +0565 : 00F65733; +0566 : 00B696B3; +0567 : 00D766B3; +0568 : 00F8D733; +0569 : 00B898B3; +056A : 00F357B3; +056B : 0117E7B3; +056C : 0106D893; +056D : 03175EB3; +056E : 01069813; +056F : 01085813; +0570 : 00B61633; +0571 : 03177733; +0572 : 03D80E33; +0573 : 01071513; +0574 : 0107D713; +0575 : 00A76733; +0576 : 000E8513; +0577 : 01C77E63; +0578 : 00D70733; +0579 : FFFE8513; +057A : 00D76863; +057B : 01C77663; +057C : FFEE8513; +057D : 00D70733; +057E : 41C70733; +057F : 03175E33; +0580 : 01079793; +0581 : 0107D793; +0582 : 03177733; +0583 : 03C80833; +0584 : 01071713; +0585 : 00E7E7B3; +0586 : 000E0713; +0587 : 0107FE63; +0588 : 00D787B3; +0589 : FFFE0713; +058A : 00D7E863; +058B : 0107F663; +058C : FFEE0713; +058D : 00D787B3; +058E : 01051513; +058F : 00010E37; +0590 : 00E56533; +0591 : FFFE0693; +0592 : 00D57733; +0593 : 410787B3; +0594 : 00D676B3; +0595 : 01055813; +0596 : 01065613; +0597 : 02D708B3; +0598 : 02D806B3; +0599 : 02C70733; +059A : 02C80833; +059B : 00D70633; +059C : 0108D713; +059D : 00C70733; +059E : 00D77463; +059F : 01C80833; +05A0 : 01075693; +05A1 : 010686B3; +05A2 : 02D7E663; +05A3 : CED79EE3; +05A4 : 000107B7; +05A5 : FFF78793; +05A6 : 00F77733; +05A7 : 01071713; +05A8 : 00F8F8B3; +05A9 : 00B31333; +05AA : 01170733; +05AB : 00000593; +05AC : CCE37EE3; +05AD : FFF50513; +05AE : CD1FF06F; +05AF : 00000593; +05B0 : 00000513; +05B1 : 00008067; +05B2 : FF010113; +05B3 : 00000593; +05B4 : 00812423; +05B5 : 00112623; +05B6 : 00050413; +05B7 : 194000EF; +05B8 : C281A503; +05B9 : 03C52783; +05BA : 00078463; +05BB : 000780E7; +05BC : 00040513; +05BD : 3A4000EF; +05BE : FF010113; +05BF : 00812423; +05C0 : 01212023; +05C1 : 00002437; +05C2 : 00002937; +05C3 : 02C40793; +05C4 : 02C90913; +05C5 : 40F90933; +05C6 : 00112623; +05C7 : 00912223; +05C8 : 40295913; +05C9 : 02090063; +05CA : 02C40413; +05CB : 00000493; +05CC : 00042783; +05CD : 00148493; +05CE : 00440413; +05CF : 000780E7; +05D0 : FE9918E3; +05D1 : 00002437; +05D2 : 00002937; +05D3 : 02C40793; +05D4 : 03490913; +05D5 : 40F90933; +05D6 : 40295913; +05D7 : 02090063; +05D8 : 02C40413; +05D9 : 00000493; +05DA : 00042783; +05DB : 00148493; +05DC : 00440413; +05DD : 000780E7; +05DE : FE9918E3; +05DF : 00C12083; +05E0 : 00812403; +05E1 : 00412483; +05E2 : 00012903; +05E3 : 01010113; +05E4 : 00008067; +05E5 : 00F00313; +05E6 : 00050713; +05E7 : 02C37E63; +05E8 : 00F77793; +05E9 : 0A079063; +05EA : 08059263; +05EB : FF067693; +05EC : 00F67613; +05ED : 00E686B3; +05EE : 00B72023; +05EF : 00B72223; +05F0 : 00B72423; +05F1 : 00B72623; +05F2 : 01070713; +05F3 : FED766E3; +05F4 : 00061463; +05F5 : 00008067; +05F6 : 40C306B3; +05F7 : 00269693; +05F8 : 00000297; +05F9 : 005686B3; +05FA : 00C68067; +05FB : 00B70723; +05FC : 00B706A3; +05FD : 00B70623; +05FE : 00B705A3; +05FF : 00B70523; +0600 : 00B704A3; +0601 : 00B70423; +0602 : 00B703A3; +0603 : 00B70323; +0604 : 00B702A3; +0605 : 00B70223; +0606 : 00B701A3; +0607 : 00B70123; +0608 : 00B700A3; +0609 : 00B70023; +060A : 00008067; +060B : 0FF5F593; +060C : 00859693; +060D : 00D5E5B3; +060E : 01059693; +060F : 00D5E5B3; +0610 : F6DFF06F; +0611 : 00279693; +0612 : 00000297; +0613 : 005686B3; +0614 : 00008293; +0615 : FA0680E7; +0616 : 00028093; +0617 : FF078793; +0618 : 40F70733; +0619 : 00F60633; +061A : F6C378E3; +061B : F3DFF06F; +061C : FD010113; +061D : 01412C23; +061E : C281AA03; +061F : 03212023; +0620 : 02112623; +0621 : 148A2903; +0622 : 02812423; +0623 : 02912223; +0624 : 01312E23; +0625 : 01512A23; +0626 : 01612823; +0627 : 01712623; +0628 : 01812423; +0629 : 04090063; +062A : 00050B13; +062B : 00058B93; +062C : 00100A93; +062D : FFF00993; +062E : 00492483; +062F : FFF48413; +0630 : 02044263; +0631 : 00249493; +0632 : 009904B3; +0633 : 040B8463; +0634 : 1044A783; +0635 : 05778063; +0636 : FFF40413; +0637 : FFC48493; +0638 : FF3416E3; +0639 : 02C12083; +063A : 02812403; +063B : 02412483; +063C : 02012903; +063D : 01C12983; +063E : 01812A03; +063F : 01412A83; +0640 : 01012B03; +0641 : 00C12B83; +0642 : 00812C03; +0643 : 03010113; +0644 : 00008067; +0645 : 00492783; +0646 : 0044A683; +0647 : FFF78793; +0648 : 04878E63; +0649 : 0004A223; +064A : FA0688E3; +064B : 18892783; +064C : 008A9733; +064D : 00492C03; +064E : 00F777B3; +064F : 02079263; +0650 : 000680E7; +0651 : 00492703; +0652 : 148A2783; +0653 : 01871463; +0654 : F92784E3; +0655 : F80788E3; +0656 : 00078913; +0657 : F5DFF06F; +0658 : 18C92783; +0659 : 0844A583; +065A : 00F77733; +065B : 00071C63; +065C : 000B0513; +065D : 000680E7; +065E : FCDFF06F; +065F : 00892223; +0660 : FA9FF06F; +0661 : 00058513; +0662 : 000680E7; +0663 : FB9FF06F; +0664 : FF010113; +0665 : 00812423; +0666 : 000027B7; +0667 : 00002437; +0668 : 03478793; +0669 : 03840413; +066A : 40F40433; +066B : 00912223; +066C : 00112623; +066D : 40245493; +066E : 02048063; +066F : FFC40413; +0670 : 00F40433; +0671 : 00042783; +0672 : FFF48493; +0673 : FFC40413; +0674 : 000780E7; +0675 : FE0498E3; +0676 : 00C12083; +0677 : 00812403; +0678 : 00412483; +0679 : 01010113; +067A : 00008067; +067B : 00050593; +067C : 00000693; +067D : 00000613; +067E : 00000513; +067F : 0040006F; +0680 : C281A703; +0681 : 14872783; +0682 : 04078C63; +0683 : 0047A703; +0684 : 01F00813; +0685 : 06E84E63; +0686 : 00271813; +0687 : 02050663; +0688 : 01078333; +0689 : 08C32423; +068A : 1887A883; +068B : 00100613; +068C : 00E61633; +068D : 00C8E8B3; +068E : 1917A423; +068F : 10D32423; +0690 : 00200693; +0691 : 02D50463; +0692 : 00170713; +0693 : 00E7A223; +0694 : 010787B3; +0695 : 00B7A423; +0696 : 00000513; +0697 : 00008067; +0698 : 14C70793; +0699 : 14F72423; +069A : FA5FF06F; +069B : 18C7A683; +069C : 00170713; +069D : 00E7A223; +069E : 00C6E6B3; +069F : 18D7A623; +06A0 : 010787B3; +06A1 : 00B7A423; +06A2 : 00000513; +06A3 : 00008067; +06A4 : FFF00513; +06A5 : 00008067; +06A6 : 05D00893; +06A7 : 00000073; +06A8 : 00054463; +06A9 : 0000006F; +06AA : FF010113; +06AB : 00812423; +06AC : 00050413; +06AD : 00112623; +06AE : 40800433; +06AF : 00C000EF; +06B0 : 00852023; +06B1 : 0000006F; +06B2 : C301A503; +06B3 : 00008067; +06B4 : 00002020; +06B5 : 00202020; +06B6 : 00000020; +06B7 : 0000202D; +06B8 : 007C2020; +06B9 : 00000A7C; +06BA : 0000000A; +06BB : 20642020; +06BC : 6464613C; +06BD : 2D203E72; +06BE : 6964202D; +06BF : 616C7073; +06C0 : 656D2079; +06C1 : 79726F6D; +06C2 : 00000A20; +06C3 : 20622020; +06C4 : 7561623C; +06C5 : 74617264; +06C6 : 2D203E65; +06C7 : 6573202D; +06C8 : 61622074; +06C9 : 61726475; +06CA : 0A206574; +06CB : 00000000; +06CC : 20722020; +06CD : 6464613C; +06CE : 3C203E72; +06CF : 74646977; +06D0 : 2D203E68; +06D1 : 6572202D; +06D2 : 6D206461; +06D3 : 726F6D65; +06D4 : 6F772079; +06D5 : 000A6472; +06D6 : 20772020; +06D7 : 6464613C; +06D8 : 3C203E72; +06D9 : 756C6176; +06DA : 3C203E65; +06DB : 74646977; +06DC : 2D203E68; +06DD : 7277202D; +06DE : 20657469; +06DF : 6F6D656D; +06E0 : 77207972; +06E1 : 0A64726F; +06E2 : 00000000; +06E3 : 20202020; +06E4 : 74646977; +06E5 : 2C313D68; +06E6 : 6F203220; +06E7 : 0A342072; +06E8 : 00000000; +06E9 : 0000003A; +06EA : 00003E3E; +06EB : 003A0D0A; +06EC : 00000D0A; +06ED : 706C6568; +06EE : 00000020; +06EF : 72616863; +06F0 : 00004020; +06F1 : 726F6873; +06F2 : 00402074; +06F3 : 20746E69; +06F4 : 00000040; +06F5 : 00203D20; +06F6 : 00000028; +06F7 : 000D0A29; +06F8 : 02020100; +06F9 : 03030303; +06FA : 04040404; +06FB : 04040404; +06FC : 05050505; +06FD : 05050505; +06FE : 05050505; +06FF : 05050505; +0700 : 06060606; +0701 : 06060606; +0702 : 06060606; +0703 : 06060606; +0704 : 06060606; +0705 : 06060606; +0706 : 06060606; +0707 : 06060606; +0708 : 07070707; +0709 : 07070707; +070A : 07070707; +070B : 07070707; +070C : 07070707; +070D : 07070707; +070E : 07070707; +070F : 07070707; +0710 : 07070707; +0711 : 07070707; +0712 : 07070707; +0713 : 07070707; +0714 : 07070707; +0715 : 07070707; +0716 : 07070707; +0717 : 07070707; +0718 : 08080808; +0719 : 08080808; +071A : 08080808; +071B : 08080808; +071C : 08080808; +071D : 08080808; +071E : 08080808; +071F : 08080808; +0720 : 08080808; +0721 : 08080808; +0722 : 08080808; +0723 : 08080808; +0724 : 08080808; +0725 : 08080808; +0726 : 08080808; +0727 : 08080808; +0728 : 08080808; +0729 : 08080808; +072A : 08080808; +072B : 08080808; +072C : 08080808; +072D : 08080808; +072E : 08080808; +072F : 08080808; +0730 : 08080808; +0731 : 08080808; +0732 : 08080808; +0733 : 08080808; +0734 : 08080808; +0735 : 08080808; +0736 : 08080808; +0737 : 08080808; +0738 : CDCDCDCD; +0739 : CDCDCDCD; +073A : CDCDCDCD; +073B : CDCDCDCD; +073C : CDCDCDCD; +073D : CDCDCDCD; +073E : CDCDCDCD; +073F : CDCDCDCD; +0740 : CDCDCDCD; +0741 : CDCDCDCD; +0742 : CDCDCDCD; +0743 : CDCDCDCD; +0744 : CDCDCDCD; +0745 : CDCDCDCD; +0746 : CDCDCDCD; +0747 : CDCDCDCD; +0748 : CDCDCDCD; +0749 : CDCDCDCD; +074A : CDCDCDCD; +074B : CDCDCDCD; +074C : CDCDCDCD; +074D : CDCDCDCD; +074E : CDCDCDCD; +074F : CDCDCDCD; +0750 : CDCDCDCD; +0751 : CDCDCDCD; +0752 : CDCDCDCD; +0753 : CDCDCDCD; +0754 : CDCDCDCD; +0755 : CDCDCDCD; +0756 : CDCDCDCD; +0757 : CDCDCDCD; +0758 : CDCDCDCD; +0759 : CDCDCDCD; +075A : CDCDCDCD; +075B : CDCDCDCD; +075C : CDCDCDCD; +075D : CDCDCDCD; +075E : CDCDCDCD; +075F : CDCDCDCD; +0760 : CDCDCDCD; +0761 : CDCDCDCD; +0762 : CDCDCDCD; +0763 : CDCDCDCD; +0764 : CDCDCDCD; +0765 : CDCDCDCD; +0766 : CDCDCDCD; +0767 : CDCDCDCD; +0768 : CDCDCDCD; +0769 : CDCDCDCD; +076A : CDCDCDCD; +076B : CDCDCDCD; +076C : CDCDCDCD; +076D : CDCDCDCD; +076E : CDCDCDCD; +076F : CDCDCDCD; +0770 : CDCDCDCD; +0771 : CDCDCDCD; +0772 : CDCDCDCD; +0773 : CDCDCDCD; +0774 : CDCDCDCD; +0775 : CDCDCDCD; +0776 : CDCDCDCD; +0777 : CDCDCDCD; +0778 : CDCDCDCD; +0779 : CDCDCDCD; +077A : CDCDCDCD; +077B : CDCDCDCD; +077C : CDCDCDCD; +077D : CDCDCDCD; +077E : CDCDCDCD; +077F : CDCDCDCD; +0780 : CDCDCDCD; +0781 : CDCDCDCD; +0782 : CDCDCDCD; +0783 : CDCDCDCD; +0784 : CDCDCDCD; +0785 : CDCDCDCD; +0786 : CDCDCDCD; +0787 : CDCDCDCD; +0788 : CDCDCDCD; +0789 : CDCDCDCD; +078A : CDCDCDCD; +078B : CDCDCDCD; +078C : CDCDCDCD; +078D : CDCDCDCD; +078E : CDCDCDCD; +078F : CDCDCDCD; +0790 : CDCDCDCD; +0791 : CDCDCDCD; +0792 : CDCDCDCD; +0793 : CDCDCDCD; +0794 : CDCDCDCD; +0795 : CDCDCDCD; +0796 : CDCDCDCD; +0797 : CDCDCDCD; +0798 : CDCDCDCD; +0799 : CDCDCDCD; +079A : CDCDCDCD; +079B : CDCDCDCD; +079C : CDCDCDCD; +079D : CDCDCDCD; +079E : CDCDCDCD; +079F : CDCDCDCD; +07A0 : CDCDCDCD; +07A1 : CDCDCDCD; +07A2 : CDCDCDCD; +07A3 : CDCDCDCD; +07A4 : CDCDCDCD; +07A5 : CDCDCDCD; +07A6 : CDCDCDCD; +07A7 : CDCDCDCD; +07A8 : CDCDCDCD; +07A9 : CDCDCDCD; +07AA : CDCDCDCD; +07AB : CDCDCDCD; +07AC : CDCDCDCD; +07AD : CDCDCDCD; +07AE : CDCDCDCD; +07AF : CDCDCDCD; +07B0 : CDCDCDCD; +07B1 : CDCDCDCD; +07B2 : CDCDCDCD; +07B3 : CDCDCDCD; +07B4 : CDCDCDCD; +07B5 : CDCDCDCD; +07B6 : CDCDCDCD; +07B7 : CDCDCDCD; +07B8 : CDCDCDCD; +07B9 : CDCDCDCD; +07BA : CDCDCDCD; +07BB : CDCDCDCD; +07BC : CDCDCDCD; +07BD : CDCDCDCD; +07BE : CDCDCDCD; +07BF : CDCDCDCD; +07C0 : CDCDCDCD; +07C1 : CDCDCDCD; +07C2 : CDCDCDCD; +07C3 : CDCDCDCD; +07C4 : CDCDCDCD; +07C5 : CDCDCDCD; +07C6 : CDCDCDCD; +07C7 : CDCDCDCD; +07C8 : CDCDCDCD; +07C9 : CDCDCDCD; +07CA : CDCDCDCD; +07CB : CDCDCDCD; +07CC : CDCDCDCD; +07CD : CDCDCDCD; +07CE : CDCDCDCD; +07CF : CDCDCDCD; +07D0 : CDCDCDCD; +07D1 : CDCDCDCD; +07D2 : CDCDCDCD; +07D3 : CDCDCDCD; +07D4 : CDCDCDCD; +07D5 : CDCDCDCD; +07D6 : CDCDCDCD; +07D7 : CDCDCDCD; +07D8 : CDCDCDCD; +07D9 : CDCDCDCD; +07DA : CDCDCDCD; +07DB : CDCDCDCD; +07DC : CDCDCDCD; +07DD : CDCDCDCD; +07DE : CDCDCDCD; +07DF : CDCDCDCD; +07E0 : CDCDCDCD; +07E1 : CDCDCDCD; +07E2 : CDCDCDCD; +07E3 : CDCDCDCD; +07E4 : CDCDCDCD; +07E5 : CDCDCDCD; +07E6 : CDCDCDCD; +07E7 : CDCDCDCD; +07E8 : CDCDCDCD; +07E9 : CDCDCDCD; +07EA : CDCDCDCD; +07EB : CDCDCDCD; +07EC : CDCDCDCD; +07ED : CDCDCDCD; +07EE : CDCDCDCD; +07EF : CDCDCDCD; +07F0 : CDCDCDCD; +07F1 : CDCDCDCD; +07F2 : CDCDCDCD; +07F3 : CDCDCDCD; +07F4 : CDCDCDCD; +07F5 : CDCDCDCD; +07F6 : CDCDCDCD; +07F7 : CDCDCDCD; +07F8 : CDCDCDCD; +07F9 : CDCDCDCD; +07FA : CDCDCDCD; +07FB : CDCDCDCD; +07FC : CDCDCDCD; +07FD : CDCDCDCD; +07FE : CDCDCDCD; +07FF : CDCDCDCD; +0800 : 00000010; +0801 : 00000000; +0802 : 00527A03; +0803 : 01017C01; +0804 : 00020D1B; +0805 : 00000010; +0806 : 00000018; +0807 : FFFFF27C; +0808 : 00000430; +0809 : 00000000; +080A : 00000000; +080B : 00000074; +080C : 0000011C; +080D : 000000D8; +080E : 00000000; +080F : 00002324; +0810 : 0000238C; +0811 : 000023F4; +0812 : 00000000; +0813 : 00000000; +0814 : 00000000; +0815 : 00000000; +0816 : 00000000; +0817 : 00000000; +0818 : 00000000; +0819 : 00000000; +081A : 00000000; +081B : 00000000; +081C : 00000000; +081D : 00000000; +081E : 00000000; +081F : 00000000; +0820 : 00000000; +0821 : 00000000; +0822 : 00000000; +0823 : 00000000; +0824 : 00000000; +0825 : 00000000; +0826 : 00000000; +0827 : 00000000; +0828 : 00000000; +0829 : 00000000; +082A : 00000000; +082B : 00000000; +082C : 00000000; +082D : 00000000; +082E : 00000000; +082F : 00000000; +0830 : 00000000; +0831 : 00000000; +0832 : 00000000; +0833 : 00000000; +0834 : 00000000; +0835 : 00000000; +0836 : 00000000; +0837 : 00000000; +0838 : 00000001; +0839 : 00000000; +083A : ABCD330E; +083B : E66D1234; +083C : 0005DEEC; +083D : 0000000B; +083E : 00000000; +083F : 00000000; +0840 : 00000000; +0841 : 00000000; +0842 : 00000000; +0843 : 00000000; +0844 : 00000000; +0845 : 00000000; +0846 : 00000000; +0847 : 00000000; +0848 : 00000000; +0849 : 00000000; +084A : 00000000; +084B : 00000000; +084C : 00000000; +084D : 00000000; +084E : 00000000; +084F : 00000000; +0850 : 00000000; +0851 : 00000000; +0852 : 00000000; +0853 : 00000000; +0854 : 00000000; +0855 : 00000000; +0856 : 00000000; +0857 : 00000000; +0858 : 00000000; +0859 : 00000000; +085A : 00000000; +085B : 00000000; +085C : 00000000; +085D : 00000000; +085E : 00000000; +085F : 00000000; +0860 : 00000000; +0861 : 00000000; +0862 : 00000000; +0863 : 00000000; +0864 : 00000000; +0865 : 00000000; +0866 : 00000000; +0867 : 00000000; +0868 : 00000000; +0869 : 00000000; +086A : 00000000; +086B : 00000000; +086C : 00000000; +086D : 00000000; +086E : 00000000; +086F : 00000000; +0870 : 00000000; +0871 : 00000000; +0872 : 00000000; +0873 : 00000000; +0874 : 00000000; +0875 : 00000000; +0876 : 00000000; +0877 : 00000000; +0878 : 00000000; +0879 : 00000000; +087A : 00000000; +087B : 00000000; +087C : 00000000; +087D : 00000000; +087E : 00000000; +087F : 00000000; +0880 : 00000000; +0881 : 00000000; +0882 : 00000000; +0883 : 00000000; +0884 : 00000000; +0885 : 00000000; +0886 : 00000000; +0887 : 00000000; +0888 : 00000000; +0889 : 00000000; +088A : 00000000; +088B : 00000000; +088C : 00000000; +088D : 00000000; +088E : 00000000; +088F : 00000000; +0890 : 00000000; +0891 : 00000000; +0892 : 00000000; +0893 : 00000000; +0894 : 00000000; +0895 : 00000000; +0896 : 00000000; +0897 : 00000000; +0898 : 00000000; +0899 : 00000000; +089A : 00000000; +089B : 00000000; +089C : 00000000; +089D : 00000000; +089E : 00000000; +089F : 00000000; +08A0 : 00000000; +08A1 : 00000000; +08A2 : 00000000; +08A3 : 00000000; +08A4 : 00000000; +08A5 : 00000000; +08A6 : 00000000; +08A7 : 00000000; +08A8 : 00000000; +08A9 : 00000000; +08AA : 00000000; +08AB : 00000000; +08AC : 00000000; +08AD : 00000000; +08AE : 00000000; +08AF : 00000000; +08B0 : 00000000; +08B1 : 00000000; +08B2 : 00000000; +08B3 : 00000000; +08B4 : 00000000; +08B5 : 00000000; +08B6 : 00000000; +08B7 : 00000000; +08B8 : 00000000; +08B9 : 00000000; +08BA : 00000000; +08BB : 00000000; +08BC : 00000000; +08BD : 00000000; +08BE : 00000000; +08BF : 00000000; +08C0 : 00000000; +08C1 : 00000000; +08C2 : 00000000; +08C3 : 00000000; +08C4 : 00000000; +08C5 : 00000000; +08C6 : 00000000; +08C7 : 00000000; +08C8 : 00000000; +08C9 : 00000000; +08CA : 00000000; +08CB : 00000000; +08CC : 00000000; +08CD : 00000000; +08CE : 00000000; +08CF : 00000000; +08D0 : 00000000; +08D1 : 00000000; +08D2 : 00000000; +08D3 : 00000000; +08D4 : 00000000; +08D5 : 00000000; +08D6 : 00000000; +08D7 : 00000000; +08D8 : 00000000; +08D9 : 00000000; +08DA : 00000000; +08DB : 00000000; +08DC : 00000000; +08DD : 00000000; +08DE : 00000000; +08DF : 00000000; +08E0 : 00000000; +08E1 : 00000000; +08E2 : 00000000; +08E3 : 00000000; +08E4 : 00000000; +08E5 : 00000000; +08E6 : 00000000; +08E7 : 00000000; +08E8 : 00000000; +08E9 : 00000000; +08EA : 00000000; +08EB : 00000000; +08EC : 00000000; +08ED : 00000000; +08EE : 00000000; +08EF : 00000000; +08F0 : 00000000; +08F1 : 00000000; +08F2 : 00000000; +08F3 : 00000000; +08F4 : 00000000; +08F5 : 00000000; +08F6 : 00000000; +08F7 : 00000000; +08F8 : 00000000; +08F9 : 00000000; +08FA : 00000000; +08FB : 00000000; +08FC : 00000000; +08FD : 00000000; +08FE : 00000000; +08FF : 00000000; +0900 : 00000000; +0901 : 00000000; +0902 : 00000000; +0903 : 00000000; +0904 : 00000000; +0905 : 00000000; +0906 : 00000000; +0907 : 00000000; +0908 : 00000000; +0909 : 00000000; +090A : 00000000; +090B : 00000000; +090C : 00000000; +090D : 00000000; +090E : 00000000; +090F : 00000000; +0910 : 00000000; +0911 : 00000000; +0912 : 00000000; +0913 : 00000000; +0914 : 00000000; +0915 : 00000000; +0916 : 00000000; +0917 : 00000000; +0918 : 00002038; +0919 : F0000100; +091A : 00002038; +091B : 00002038; +091C : F0000100; +091D : 00002038; 091E : CDCDCDCD; 091F : CDCDCDCD; 0920 : CDCDCDCD; @@ -3095,293 +3095,293 @@ BEGIN 0C10 : CDCDCDCD; 0C11 : CDCDCDCD; 0C12 : CDCDCDCD; -0C13 : 00000010; -0C14 : 00000000; -0C15 : 00527A03; -0C16 : 01017C01; -0C17 : 00020D1B; -0C18 : 00000010; -0C19 : 00000018; -0C1A : FFFFE584; -0C1B : 00000430; -0C1C : 00000000; -0C1D : 00000000; -0C1E : 00000074; -0C1F : 0000011C; -0C20 : 000000D8; +0C13 : CDCDCDCD; +0C14 : CDCDCDCD; +0C15 : CDCDCDCD; +0C16 : CDCDCDCD; +0C17 : CDCDCDCD; +0C18 : CDCDCDCD; +0C19 : CDCDCDCD; +0C1A : CDCDCDCD; +0C1B : CDCDCDCD; +0C1C : CDCDCDCD; +0C1D : CDCDCDCD; +0C1E : CDCDCDCD; +0C1F : CDCDCDCD; +0C20 : CDCDCDCD; 0C21 : CDCDCDCD; -0C22 : 00000000; -0C23 : 00003374; -0C24 : 000033DC; -0C25 : 00003444; -0C26 : 00000000; -0C27 : 00000000; -0C28 : 00000000; -0C29 : 00000000; -0C2A : 00000000; -0C2B : 00000000; -0C2C : 00000000; -0C2D : 00000000; -0C2E : 00000000; -0C2F : 00000000; -0C30 : 00000000; -0C31 : 00000000; -0C32 : 00000000; -0C33 : 00000000; -0C34 : 00000000; -0C35 : 00000000; -0C36 : 00000000; -0C37 : 00000000; -0C38 : 00000000; -0C39 : 00000000; -0C3A : 00000000; -0C3B : 00000000; -0C3C : 00000000; -0C3D : 00000000; -0C3E : 00000000; -0C3F : 00000000; -0C40 : 00000000; -0C41 : 00000000; -0C42 : 00000000; -0C43 : 00000000; -0C44 : 00000000; -0C45 : 00000000; -0C46 : 00000000; -0C47 : 00000000; -0C48 : 00000000; -0C49 : 00000000; -0C4A : 00000000; -0C4B : 00000000; -0C4C : 00000001; -0C4D : 00000000; -0C4E : ABCD330E; -0C4F : E66D1234; -0C50 : 0005DEEC; -0C51 : 0000000B; -0C52 : 00000000; -0C53 : 00000000; -0C54 : 00000000; -0C55 : 00000000; -0C56 : 00000000; -0C57 : 00000000; -0C58 : 00000000; -0C59 : 00000000; -0C5A : 00000000; -0C5B : 00000000; -0C5C : 00000000; -0C5D : 00000000; -0C5E : 00000000; -0C5F : 00000000; -0C60 : 00000000; -0C61 : 00000000; -0C62 : 00000000; -0C63 : 00000000; -0C64 : 00000000; -0C65 : 00000000; -0C66 : 00000000; -0C67 : 00000000; -0C68 : 00000000; -0C69 : 00000000; -0C6A : 00000000; -0C6B : 00000000; -0C6C : 00000000; -0C6D : 00000000; -0C6E : 00000000; -0C6F : 00000000; -0C70 : 00000000; -0C71 : 00000000; -0C72 : 00000000; -0C73 : 00000000; -0C74 : 00000000; -0C75 : 00000000; -0C76 : 00000000; -0C77 : 00000000; -0C78 : 00000000; -0C79 : 00000000; -0C7A : 00000000; -0C7B : 00000000; -0C7C : 00000000; -0C7D : 00000000; -0C7E : 00000000; -0C7F : 00000000; -0C80 : 00000000; -0C81 : 00000000; -0C82 : 00000000; -0C83 : 00000000; -0C84 : 00000000; -0C85 : 00000000; -0C86 : 00000000; -0C87 : 00000000; -0C88 : 00000000; -0C89 : 00000000; -0C8A : 00000000; -0C8B : 00000000; -0C8C : 00000000; -0C8D : 00000000; -0C8E : 00000000; -0C8F : 00000000; -0C90 : 00000000; -0C91 : 00000000; -0C92 : 00000000; -0C93 : 00000000; -0C94 : 00000000; -0C95 : 00000000; -0C96 : 00000000; -0C97 : 00000000; -0C98 : 00000000; -0C99 : 00000000; -0C9A : 00000000; -0C9B : 00000000; -0C9C : 00000000; -0C9D : 00000000; -0C9E : 00000000; -0C9F : 00000000; -0CA0 : 00000000; -0CA1 : 00000000; -0CA2 : 00000000; -0CA3 : 00000000; -0CA4 : 00000000; -0CA5 : 00000000; -0CA6 : 00000000; -0CA7 : 00000000; -0CA8 : 00000000; -0CA9 : 00000000; -0CAA : 00000000; -0CAB : 00000000; -0CAC : 00000000; -0CAD : 00000000; -0CAE : 00000000; -0CAF : 00000000; -0CB0 : 00000000; -0CB1 : 00000000; -0CB2 : 00000000; -0CB3 : 00000000; -0CB4 : 00000000; -0CB5 : 00000000; -0CB6 : 00000000; -0CB7 : 00000000; -0CB8 : 00000000; -0CB9 : 00000000; -0CBA : 00000000; -0CBB : 00000000; -0CBC : 00000000; -0CBD : 00000000; -0CBE : 00000000; -0CBF : 00000000; -0CC0 : 00000000; -0CC1 : 00000000; -0CC2 : 00000000; -0CC3 : 00000000; -0CC4 : 00000000; -0CC5 : 00000000; -0CC6 : 00000000; -0CC7 : 00000000; -0CC8 : 00000000; -0CC9 : 00000000; -0CCA : 00000000; -0CCB : 00000000; -0CCC : 00000000; -0CCD : 00000000; -0CCE : 00000000; -0CCF : 00000000; -0CD0 : 00000000; -0CD1 : 00000000; -0CD2 : 00000000; -0CD3 : 00000000; -0CD4 : 00000000; -0CD5 : 00000000; -0CD6 : 00000000; -0CD7 : 00000000; -0CD8 : 00000000; -0CD9 : 00000000; -0CDA : 00000000; -0CDB : 00000000; -0CDC : 00000000; -0CDD : 00000000; -0CDE : 00000000; -0CDF : 00000000; -0CE0 : 00000000; -0CE1 : 00000000; -0CE2 : 00000000; -0CE3 : 00000000; -0CE4 : 00000000; -0CE5 : 00000000; -0CE6 : 00000000; -0CE7 : 00000000; -0CE8 : 00000000; -0CE9 : 00000000; -0CEA : 00000000; -0CEB : 00000000; -0CEC : 00000000; -0CED : 00000000; -0CEE : 00000000; -0CEF : 00000000; -0CF0 : 00000000; -0CF1 : 00000000; -0CF2 : 00000000; -0CF3 : 00000000; -0CF4 : 00000000; -0CF5 : 00000000; -0CF6 : 00000000; -0CF7 : 00000000; -0CF8 : 00000000; -0CF9 : 00000000; -0CFA : 00000000; -0CFB : 00000000; -0CFC : 00000000; -0CFD : 00000000; -0CFE : 00000000; -0CFF : 00000000; -0D00 : 00000000; -0D01 : 00000000; -0D02 : 00000000; -0D03 : 00000000; -0D04 : 00000000; -0D05 : 00000000; -0D06 : 00000000; -0D07 : 00000000; -0D08 : 00000000; -0D09 : 00000000; -0D0A : 00000000; -0D0B : 00000000; -0D0C : 00000000; -0D0D : 00000000; -0D0E : 00000000; -0D0F : 00000000; -0D10 : 00000000; -0D11 : 00000000; -0D12 : 00000000; -0D13 : 00000000; -0D14 : 00000000; -0D15 : 00000000; -0D16 : 00000000; -0D17 : 00000000; -0D18 : 00000000; -0D19 : 00000000; -0D1A : 00000000; -0D1B : 00000000; -0D1C : 00000000; -0D1D : 00000000; -0D1E : 00000000; -0D1F : 00000000; -0D20 : 00000000; -0D21 : 00000000; -0D22 : 00000000; -0D23 : 00000000; -0D24 : 00000000; -0D25 : 00000000; -0D26 : 00000000; -0D27 : 00000000; -0D28 : 00000000; -0D29 : 00000000; -0D2A : 00000000; -0D2B : 00000000; -0D2C : 00003088; -0D2D : F0000100; -0D2E : 00003088; -0D2F : 00003088; -0D30 : F0000100; -0D31 : 00003088; +0C22 : CDCDCDCD; +0C23 : CDCDCDCD; +0C24 : CDCDCDCD; +0C25 : CDCDCDCD; +0C26 : CDCDCDCD; +0C27 : CDCDCDCD; +0C28 : CDCDCDCD; +0C29 : CDCDCDCD; +0C2A : CDCDCDCD; +0C2B : CDCDCDCD; +0C2C : CDCDCDCD; +0C2D : CDCDCDCD; +0C2E : CDCDCDCD; +0C2F : CDCDCDCD; +0C30 : CDCDCDCD; +0C31 : CDCDCDCD; +0C32 : CDCDCDCD; +0C33 : CDCDCDCD; +0C34 : CDCDCDCD; +0C35 : CDCDCDCD; +0C36 : CDCDCDCD; +0C37 : CDCDCDCD; +0C38 : CDCDCDCD; +0C39 : CDCDCDCD; +0C3A : CDCDCDCD; +0C3B : CDCDCDCD; +0C3C : CDCDCDCD; +0C3D : CDCDCDCD; +0C3E : CDCDCDCD; +0C3F : CDCDCDCD; +0C40 : CDCDCDCD; +0C41 : CDCDCDCD; +0C42 : CDCDCDCD; +0C43 : CDCDCDCD; +0C44 : CDCDCDCD; +0C45 : CDCDCDCD; +0C46 : CDCDCDCD; +0C47 : CDCDCDCD; +0C48 : CDCDCDCD; +0C49 : CDCDCDCD; +0C4A : CDCDCDCD; +0C4B : CDCDCDCD; +0C4C : CDCDCDCD; +0C4D : CDCDCDCD; +0C4E : CDCDCDCD; +0C4F : CDCDCDCD; +0C50 : CDCDCDCD; +0C51 : CDCDCDCD; +0C52 : CDCDCDCD; +0C53 : CDCDCDCD; +0C54 : CDCDCDCD; +0C55 : CDCDCDCD; +0C56 : CDCDCDCD; +0C57 : CDCDCDCD; +0C58 : CDCDCDCD; +0C59 : CDCDCDCD; +0C5A : CDCDCDCD; +0C5B : CDCDCDCD; +0C5C : CDCDCDCD; +0C5D : CDCDCDCD; +0C5E : CDCDCDCD; +0C5F : CDCDCDCD; +0C60 : CDCDCDCD; +0C61 : CDCDCDCD; +0C62 : CDCDCDCD; +0C63 : CDCDCDCD; +0C64 : CDCDCDCD; +0C65 : CDCDCDCD; +0C66 : CDCDCDCD; +0C67 : CDCDCDCD; +0C68 : CDCDCDCD; +0C69 : CDCDCDCD; +0C6A : CDCDCDCD; +0C6B : CDCDCDCD; +0C6C : CDCDCDCD; +0C6D : CDCDCDCD; +0C6E : CDCDCDCD; +0C6F : CDCDCDCD; +0C70 : CDCDCDCD; +0C71 : CDCDCDCD; +0C72 : CDCDCDCD; +0C73 : CDCDCDCD; +0C74 : CDCDCDCD; +0C75 : CDCDCDCD; +0C76 : CDCDCDCD; +0C77 : CDCDCDCD; +0C78 : CDCDCDCD; +0C79 : CDCDCDCD; +0C7A : CDCDCDCD; +0C7B : CDCDCDCD; +0C7C : CDCDCDCD; +0C7D : CDCDCDCD; +0C7E : CDCDCDCD; +0C7F : CDCDCDCD; +0C80 : CDCDCDCD; +0C81 : CDCDCDCD; +0C82 : CDCDCDCD; +0C83 : CDCDCDCD; +0C84 : CDCDCDCD; +0C85 : CDCDCDCD; +0C86 : CDCDCDCD; +0C87 : CDCDCDCD; +0C88 : CDCDCDCD; +0C89 : CDCDCDCD; +0C8A : CDCDCDCD; +0C8B : CDCDCDCD; +0C8C : CDCDCDCD; +0C8D : CDCDCDCD; +0C8E : CDCDCDCD; +0C8F : CDCDCDCD; +0C90 : CDCDCDCD; +0C91 : CDCDCDCD; +0C92 : CDCDCDCD; +0C93 : CDCDCDCD; +0C94 : CDCDCDCD; +0C95 : CDCDCDCD; +0C96 : CDCDCDCD; +0C97 : CDCDCDCD; +0C98 : CDCDCDCD; +0C99 : CDCDCDCD; +0C9A : CDCDCDCD; +0C9B : CDCDCDCD; +0C9C : CDCDCDCD; +0C9D : CDCDCDCD; +0C9E : CDCDCDCD; +0C9F : CDCDCDCD; +0CA0 : CDCDCDCD; +0CA1 : CDCDCDCD; +0CA2 : CDCDCDCD; +0CA3 : CDCDCDCD; +0CA4 : CDCDCDCD; +0CA5 : CDCDCDCD; +0CA6 : CDCDCDCD; +0CA7 : CDCDCDCD; +0CA8 : CDCDCDCD; +0CA9 : CDCDCDCD; +0CAA : CDCDCDCD; +0CAB : CDCDCDCD; +0CAC : CDCDCDCD; +0CAD : CDCDCDCD; +0CAE : CDCDCDCD; +0CAF : CDCDCDCD; +0CB0 : CDCDCDCD; +0CB1 : CDCDCDCD; +0CB2 : CDCDCDCD; +0CB3 : CDCDCDCD; +0CB4 : CDCDCDCD; +0CB5 : CDCDCDCD; +0CB6 : CDCDCDCD; +0CB7 : CDCDCDCD; +0CB8 : CDCDCDCD; +0CB9 : CDCDCDCD; +0CBA : CDCDCDCD; +0CBB : CDCDCDCD; +0CBC : CDCDCDCD; +0CBD : CDCDCDCD; +0CBE : CDCDCDCD; +0CBF : CDCDCDCD; +0CC0 : CDCDCDCD; +0CC1 : CDCDCDCD; +0CC2 : CDCDCDCD; +0CC3 : CDCDCDCD; +0CC4 : CDCDCDCD; +0CC5 : CDCDCDCD; +0CC6 : CDCDCDCD; +0CC7 : CDCDCDCD; +0CC8 : CDCDCDCD; +0CC9 : CDCDCDCD; +0CCA : CDCDCDCD; +0CCB : CDCDCDCD; +0CCC : CDCDCDCD; +0CCD : CDCDCDCD; +0CCE : CDCDCDCD; +0CCF : CDCDCDCD; +0CD0 : CDCDCDCD; +0CD1 : CDCDCDCD; +0CD2 : CDCDCDCD; +0CD3 : CDCDCDCD; +0CD4 : CDCDCDCD; +0CD5 : CDCDCDCD; +0CD6 : CDCDCDCD; +0CD7 : CDCDCDCD; +0CD8 : CDCDCDCD; +0CD9 : CDCDCDCD; +0CDA : CDCDCDCD; +0CDB : CDCDCDCD; +0CDC : CDCDCDCD; +0CDD : CDCDCDCD; +0CDE : CDCDCDCD; +0CDF : CDCDCDCD; +0CE0 : CDCDCDCD; +0CE1 : CDCDCDCD; +0CE2 : CDCDCDCD; +0CE3 : CDCDCDCD; +0CE4 : CDCDCDCD; +0CE5 : CDCDCDCD; +0CE6 : CDCDCDCD; +0CE7 : CDCDCDCD; +0CE8 : CDCDCDCD; +0CE9 : CDCDCDCD; +0CEA : CDCDCDCD; +0CEB : CDCDCDCD; +0CEC : CDCDCDCD; +0CED : CDCDCDCD; +0CEE : CDCDCDCD; +0CEF : CDCDCDCD; +0CF0 : CDCDCDCD; +0CF1 : CDCDCDCD; +0CF2 : CDCDCDCD; +0CF3 : CDCDCDCD; +0CF4 : CDCDCDCD; +0CF5 : CDCDCDCD; +0CF6 : CDCDCDCD; +0CF7 : CDCDCDCD; +0CF8 : CDCDCDCD; +0CF9 : CDCDCDCD; +0CFA : CDCDCDCD; +0CFB : CDCDCDCD; +0CFC : CDCDCDCD; +0CFD : CDCDCDCD; +0CFE : CDCDCDCD; +0CFF : CDCDCDCD; +0D00 : CDCDCDCD; +0D01 : CDCDCDCD; +0D02 : CDCDCDCD; +0D03 : CDCDCDCD; +0D04 : CDCDCDCD; +0D05 : CDCDCDCD; +0D06 : CDCDCDCD; +0D07 : CDCDCDCD; +0D08 : CDCDCDCD; +0D09 : CDCDCDCD; +0D0A : CDCDCDCD; +0D0B : CDCDCDCD; +0D0C : CDCDCDCD; +0D0D : CDCDCDCD; +0D0E : CDCDCDCD; +0D0F : CDCDCDCD; +0D10 : CDCDCDCD; +0D11 : CDCDCDCD; +0D12 : CDCDCDCD; +0D13 : CDCDCDCD; +0D14 : CDCDCDCD; +0D15 : CDCDCDCD; +0D16 : CDCDCDCD; +0D17 : CDCDCDCD; +0D18 : CDCDCDCD; +0D19 : CDCDCDCD; +0D1A : CDCDCDCD; +0D1B : CDCDCDCD; +0D1C : CDCDCDCD; +0D1D : CDCDCDCD; +0D1E : CDCDCDCD; +0D1F : CDCDCDCD; +0D20 : CDCDCDCD; +0D21 : CDCDCDCD; +0D22 : CDCDCDCD; +0D23 : CDCDCDCD; +0D24 : CDCDCDCD; +0D25 : CDCDCDCD; +0D26 : CDCDCDCD; +0D27 : CDCDCDCD; +0D28 : CDCDCDCD; +0D29 : CDCDCDCD; +0D2A : CDCDCDCD; +0D2B : CDCDCDCD; +0D2C : CDCDCDCD; +0D2D : CDCDCDCD; +0D2E : CDCDCDCD; +0D2F : CDCDCDCD; +0D30 : CDCDCDCD; +0D31 : CDCDCDCD; 0D32 : CDCDCDCD; 0D33 : CDCDCDCD; 0D34 : CDCDCDCD; diff --git a/examples/hdl4se_riscv/test_code/test.txt b/examples/hdl4se_riscv/test_code/test.txt index e30cbb02446b0b96c7a5fd1d51fa7c263cac6d5a..87d68538a9626cbb60628b07b6a958556e820acc 100644 --- a/examples/hdl4se_riscv/test_code/test.txt +++ b/examples/hdl4se_riscv/test_code/test.txt @@ -8,45 +8,45 @@ Disassembly of section .text: 74: 00000793 addi x15,x0,0 78: 00078863 beq x15,x0,88 7c: 00002537 lui x10,0x2 - 80: ce450513 addi x10,x10,-796 # 1ce4 <__libc_fini_array> - 84: 4bd0106f jal x0,1d40 + 80: 99050513 addi x10,x10,-1648 # 1990 <__libc_fini_array> + 84: 1690106f jal x0,19ec 88: 00008067 jalr x0,0(x1) 0000008c <_start>: - 8c: 00003197 auipc x3,0x3 - 90: 7fc18193 addi x3,x3,2044 # 3888 <__global_pointer$> - 94: c3418513 addi x10,x3,-972 # 34bc <_uartstate> - 98: c5818613 addi x12,x3,-936 # 34e0 <__BSS_END__> + 8c: 00002197 auipc x3,0x2 + 90: 7ac18193 addi x3,x3,1964 # 2838 <__global_pointer$> + 94: c3418513 addi x10,x3,-972 # 246c <_uartstate> + 98: c5818613 addi x12,x3,-936 # 2490 <__BSS_END__> 9c: 40a60633 sub x12,x12,x10 a0: 00000593 addi x11,x0,0 - a4: 245010ef jal x1,1ae8 + a4: 6f0010ef jal x1,1794 a8: 00002517 auipc x10,0x2 - ac: c9850513 addi x10,x10,-872 # 1d40 + ac: 94450513 addi x10,x10,-1724 # 19ec b0: 00050863 beq x10,x0,c0 <_start+0x34> b4: 00002517 auipc x10,0x2 - b8: c3050513 addi x10,x10,-976 # 1ce4 <__libc_fini_array> - bc: 485010ef jal x1,1d40 - c0: 18d010ef jal x1,1a4c <__libc_init_array> + b8: 8dc50513 addi x10,x10,-1828 # 1990 <__libc_fini_array> + bc: 131010ef jal x1,19ec + c0: 638010ef jal x1,16f8 <__libc_init_array> c4: 00012503 lw x10,0(x2) c8: 00410593 addi x11,x2,4 cc: 00000613 addi x12,x0,0 - d0: 599000ef jal x1,e68
- d4: 1490106f jal x0,1a1c + d0: 535000ef jal x1,e04
+ d4: 5f40106f jal x0,16c8 000000d8 <__do_global_dtors_aux>: d8: ff010113 addi x2,x2,-16 dc: 00812423 sw x8,8(x2) - e0: c3c1c783 lbu x15,-964(x3) # 34c4 + e0: c3c1c783 lbu x15,-964(x3) # 2474 e4: 00112623 sw x1,12(x2) e8: 02079263 bne x15,x0,10c <__do_global_dtors_aux+0x34> ec: 00000793 addi x15,x0,0 f0: 00078a63 beq x15,x0,104 <__do_global_dtors_aux+0x2c> - f4: 00003537 lui x10,0x3 - f8: 04c50513 addi x10,x10,76 # 304c <__EH_FRAME_BEGIN__> + f4: 00002537 lui x10,0x2 + f8: 00050513 addi x10,x10,0 # 2000 <__EH_FRAME_BEGIN__> fc: 00000097 auipc x1,0x0 100: 000000e7 jalr x1,0(x0) # 0 104: 00100793 addi x15,x0,1 - 108: c2f18e23 sb x15,-964(x3) # 34c4 + 108: c2f18e23 sb x15,-964(x3) # 2474 10c: 00c12083 lw x1,12(x2) 110: 00812403 lw x8,8(x2) 114: 01010113 addi x2,x2,16 @@ -55,9 +55,9 @@ Disassembly of section .text: 0000011c : 11c: 00000793 addi x15,x0,0 120: 00078c63 beq x15,x0,138 - 124: 00003537 lui x10,0x3 - 128: c4018593 addi x11,x3,-960 # 34c8 - 12c: 04c50513 addi x10,x10,76 # 304c <__EH_FRAME_BEGIN__> + 124: 00002537 lui x10,0x2 + 128: c4018593 addi x11,x3,-960 # 2478 + 12c: 00050513 addi x10,x10,0 # 2000 <__EH_FRAME_BEGIN__> 130: 00000317 auipc x6,0x0 134: 00000067 jalr x0,0(x0) # 0 138: 00008067 jalr x0,0(x1) @@ -66,11 +66,11 @@ Disassembly of section .text: 13c: ff010113 addi x2,x2,-16 140: 00812623 sw x8,12(x2) 144: 01010413 addi x8,x2,16 - 148: c2c1a783 lw x15,-980(x3) # 34b4 <_uartaddr> + 148: c2c1a783 lw x15,-980(x3) # 2464 <_uartaddr> 14c: 00878793 addi x15,x15,8 150: 0007a703 lw x14,0(x15) - 154: c2e1aa23 sw x14,-972(x3) # 34bc <_uartstate> - 158: c341a783 lw x15,-972(x3) # 34bc <_uartstate> + 154: c2e1aa23 sw x14,-972(x3) # 246c <_uartstate> + 158: c341a783 lw x15,-972(x3) # 246c <_uartstate> 15c: 0017f793 andi x15,x15,1 160: 0017b793 sltiu x15,x15,1 164: 0ff7f793 andi x15,x15,255 @@ -83,16 +83,16 @@ Disassembly of section .text: 178: ff010113 addi x2,x2,-16 17c: 00812623 sw x8,12(x2) 180: 01010413 addi x8,x2,16 - 184: c2c1a783 lw x15,-980(x3) # 34b4 <_uartaddr> + 184: c2c1a783 lw x15,-980(x3) # 2464 <_uartaddr> 188: 00878793 addi x15,x15,8 18c: 0007a703 lw x14,0(x15) - 190: c2e1aa23 sw x14,-972(x3) # 34bc <_uartstate> - 194: c341a703 lw x14,-972(x3) # 34bc <_uartstate> + 190: c2e1aa23 sw x14,-972(x3) # 246c <_uartstate> + 194: c341a703 lw x14,-972(x3) # 246c <_uartstate> 198: 000107b7 lui x15,0x10 19c: 00f777b3 and x15,x14,x15 1a0: 0017b793 sltiu x15,x15,1 1a4: 0ff7f793 andi x15,x15,255 - 1a8: 00078513 addi x10,x15,0 # 10000 <__global_pointer$+0xc778> + 1a8: 00078513 addi x10,x15,0 # 10000 <__global_pointer$+0xd7c8> 1ac: 00c12403 lw x8,12(x2) 1b0: 01010113 addi x2,x2,16 1b4: 00008067 jalr x0,0(x1) @@ -102,14 +102,14 @@ Disassembly of section .text: 1bc: 00812e23 sw x8,28(x2) 1c0: 02010413 addi x8,x2,32 1c4: fea42623 sw x10,-20(x8) - 1c8: c2c1a783 lw x15,-980(x3) # 34b4 <_uartaddr> + 1c8: c2c1a783 lw x15,-980(x3) # 2464 <_uartaddr> 1cc: 00878793 addi x15,x15,8 1d0: 0007a703 lw x14,0(x15) - 1d4: c2e1aa23 sw x14,-972(x3) # 34bc <_uartstate> - 1d8: c341a783 lw x15,-972(x3) # 34bc <_uartstate> + 1d4: c2e1aa23 sw x14,-972(x3) # 246c <_uartstate> + 1d8: c341a783 lw x15,-972(x3) # 246c <_uartstate> 1dc: 0017f793 andi x15,x15,1 1e0: 00079e63 bne x15,x0,1fc <_putchar+0x44> - 1e4: c2c1a783 lw x15,-980(x3) # 34b4 <_uartaddr> + 1e4: c2c1a783 lw x15,-980(x3) # 2464 <_uartaddr> 1e8: 00478793 addi x15,x15,4 1ec: fec42703 lw x14,-20(x8) 1f0: 00e7a023 sw x14,0(x15) @@ -125,16 +125,16 @@ Disassembly of section .text: 210: ff010113 addi x2,x2,-16 214: 00812623 sw x8,12(x2) 218: 01010413 addi x8,x2,16 - 21c: c2c1a783 lw x15,-980(x3) # 34b4 <_uartaddr> + 21c: c2c1a783 lw x15,-980(x3) # 2464 <_uartaddr> 220: 00878793 addi x15,x15,8 224: 0007a703 lw x14,0(x15) - 228: c2e1aa23 sw x14,-972(x3) # 34bc <_uartstate> - 22c: c341a703 lw x14,-972(x3) # 34bc <_uartstate> + 228: c2e1aa23 sw x14,-972(x3) # 246c <_uartstate> + 22c: c341a703 lw x14,-972(x3) # 246c <_uartstate> 230: 000107b7 lui x15,0x10 234: 00f777b3 and x15,x14,x15 238: 00079863 bne x15,x0,248 <_getchar+0x38> - 23c: c2c1a783 lw x15,-980(x3) # 34b4 <_uartaddr> - 240: 0007a783 lw x15,0(x15) # 10000 <__global_pointer$+0xc778> + 23c: c2c1a783 lw x15,-980(x3) # 2464 <_uartaddr> + 240: 0007a783 lw x15,0(x15) # 10000 <__global_pointer$+0xd7c8> 244: 0080006f jal x0,24c <_getchar+0x3c> 248: fff00793 addi x15,x0,-1 24c: 00078513 addi x10,x15,0 @@ -182,2141 +182,1918 @@ Disassembly of section .text: 2e4: 00100793 addi x15,x0,1 2e8: 00e7c663 blt x15,x14,2f4 <_gets+0x30> 2ec: 00000793 addi x15,x0,0 - 2f0: 0bc0006f jal x0,3ac <_gets+0xe8> + 2f0: 0980006f jal x0,388 <_gets+0xc4> 2f4: f1dff0ef jal x1,210 <_getchar> 2f8: fea42423 sw x10,-24(x8) 2fc: fe842703 lw x14,-24(x8) 300: fff00793 addi x15,x0,-1 304: fef708e3 beq x14,x15,2f4 <_gets+0x30> - 308: fe842703 lw x14,-24(x8) - 30c: 00800793 addi x15,x0,8 - 310: 00f71e63 bne x14,x15,32c <_gets+0x68> - 314: fec42783 lw x15,-20(x8) - 318: 02f05c63 bge x0,x15,350 <_gets+0x8c> - 31c: fec42783 lw x15,-20(x8) - 320: fff78793 addi x15,x15,-1 - 324: fef42623 sw x15,-20(x8) - 328: 0280006f jal x0,350 <_gets+0x8c> - 32c: fec42783 lw x15,-20(x8) - 330: 00178713 addi x14,x15,1 - 334: fee42623 sw x14,-20(x8) - 338: 00078713 addi x14,x15,0 - 33c: fdc42783 lw x15,-36(x8) - 340: 00e787b3 add x15,x15,x14 - 344: fe842703 lw x14,-24(x8) - 348: 0ff77713 andi x14,x14,255 - 34c: 00e78023 sb x14,0(x15) - 350: 00000013 addi x0,x0,0 - 354: fe842503 lw x10,-24(x8) - 358: e61ff0ef jal x1,1b8 <_putchar> - 35c: 00050713 addi x14,x10,0 - 360: fff00793 addi x15,x0,-1 - 364: fef708e3 beq x14,x15,354 <_gets+0x90> - 368: fd842783 lw x15,-40(x8) - 36c: fff78793 addi x15,x15,-1 - 370: fec42703 lw x14,-20(x8) - 374: 02f75063 bge x14,x15,394 <_gets+0xd0> - 378: fe842703 lw x14,-24(x8) - 37c: 00a00793 addi x15,x0,10 - 380: 00f70c63 beq x14,x15,398 <_gets+0xd4> - 384: fe842703 lw x14,-24(x8) - 388: 00d00793 addi x15,x0,13 - 38c: 00f70663 beq x14,x15,398 <_gets+0xd4> - 390: f65ff06f jal x0,2f4 <_gets+0x30> - 394: 00000013 addi x0,x0,0 - 398: fec42783 lw x15,-20(x8) - 39c: fdc42703 lw x14,-36(x8) - 3a0: 00f707b3 add x15,x14,x15 - 3a4: 00078023 sb x0,0(x15) - 3a8: fec42783 lw x15,-20(x8) - 3ac: 00078513 addi x10,x15,0 - 3b0: 02c12083 lw x1,44(x2) - 3b4: 02812403 lw x8,40(x2) - 3b8: 03010113 addi x2,x2,48 - 3bc: 00008067 jalr x0,0(x1) + 308: fec42783 lw x15,-20(x8) + 30c: 00178713 addi x14,x15,1 + 310: fee42623 sw x14,-20(x8) + 314: 00078713 addi x14,x15,0 + 318: fdc42783 lw x15,-36(x8) + 31c: 00e787b3 add x15,x15,x14 + 320: fe842703 lw x14,-24(x8) + 324: 0ff77713 andi x14,x14,255 + 328: 00e78023 sb x14,0(x15) + 32c: 00000013 addi x0,x0,0 + 330: fe842503 lw x10,-24(x8) + 334: e85ff0ef jal x1,1b8 <_putchar> + 338: 00050713 addi x14,x10,0 + 33c: fff00793 addi x15,x0,-1 + 340: fef708e3 beq x14,x15,330 <_gets+0x6c> + 344: fd842783 lw x15,-40(x8) + 348: fff78793 addi x15,x15,-1 + 34c: fec42703 lw x14,-20(x8) + 350: 02f75063 bge x14,x15,370 <_gets+0xac> + 354: fe842703 lw x14,-24(x8) + 358: 00a00793 addi x15,x0,10 + 35c: 00f70c63 beq x14,x15,374 <_gets+0xb0> + 360: fe842703 lw x14,-24(x8) + 364: 00d00793 addi x15,x0,13 + 368: 00f70663 beq x14,x15,374 <_gets+0xb0> + 36c: f89ff06f jal x0,2f4 <_gets+0x30> + 370: 00000013 addi x0,x0,0 + 374: fec42783 lw x15,-20(x8) + 378: fdc42703 lw x14,-36(x8) + 37c: 00f707b3 add x15,x14,x15 + 380: 00078023 sb x0,0(x15) + 384: fec42783 lw x15,-20(x8) + 388: 00078513 addi x10,x15,0 + 38c: 02c12083 lw x1,44(x2) + 390: 02812403 lw x8,40(x2) + 394: 03010113 addi x2,x2,48 + 398: 00008067 jalr x0,0(x1) -000003c0 <_d2s>: - 3c0: fc010113 addi x2,x2,-64 - 3c4: 02812e23 sw x8,60(x2) - 3c8: 04010413 addi x8,x2,64 - 3cc: fca42623 sw x10,-52(x8) - 3d0: fcb42423 sw x11,-56(x8) - 3d4: fe042423 sw x0,-24(x8) - 3d8: fe042223 sw x0,-28(x8) - 3dc: fc842783 lw x15,-56(x8) - 3e0: 0407de63 bge x15,x0,43c <_d2s+0x7c> - 3e4: fc842783 lw x15,-56(x8) - 3e8: 40f007b3 sub x15,x0,x15 - 3ec: fcf42423 sw x15,-56(x8) - 3f0: fe042223 sw x0,-28(x8) - 3f4: 0480006f jal x0,43c <_d2s+0x7c> - 3f8: fc842703 lw x14,-56(x8) - 3fc: 00a00793 addi x15,x0,10 - 400: 02f767b3 rem x15,x14,x15 - 404: 0ff7f713 andi x14,x15,255 - 408: fe842783 lw x15,-24(x8) - 40c: 00178693 addi x13,x15,1 - 410: fed42423 sw x13,-24(x8) - 414: 00078693 addi x13,x15,0 - 418: fcc42783 lw x15,-52(x8) - 41c: 00d787b3 add x15,x15,x13 - 420: 03070713 addi x14,x14,48 - 424: 0ff77713 andi x14,x14,255 - 428: 00e78023 sb x14,0(x15) - 42c: fc842703 lw x14,-56(x8) - 430: 00a00793 addi x15,x0,10 - 434: 02f747b3 div x15,x14,x15 - 438: fcf42423 sw x15,-56(x8) - 43c: fc842783 lw x15,-56(x8) - 440: faf04ce3 blt x0,x15,3f8 <_d2s+0x38> - 444: fe442783 lw x15,-28(x8) - 448: 02078263 beq x15,x0,46c <_d2s+0xac> - 44c: fe842783 lw x15,-24(x8) - 450: 00178713 addi x14,x15,1 - 454: fee42423 sw x14,-24(x8) - 458: 00078713 addi x14,x15,0 - 45c: fcc42783 lw x15,-52(x8) - 460: 00e787b3 add x15,x15,x14 - 464: 02d00713 addi x14,x0,45 - 468: 00e78023 sb x14,0(x15) - 46c: fe042623 sw x0,-20(x8) - 470: 06c0006f jal x0,4dc <_d2s+0x11c> - 474: fe842783 lw x15,-24(x8) - 478: fff78713 addi x14,x15,-1 - 47c: fec42783 lw x15,-20(x8) - 480: 40f707b3 sub x15,x14,x15 - 484: fef42023 sw x15,-32(x8) - 488: fec42783 lw x15,-20(x8) - 48c: fcc42703 lw x14,-52(x8) - 490: 00f707b3 add x15,x14,x15 - 494: 0007c783 lbu x15,0(x15) - 498: fcf40fa3 sb x15,-33(x8) - 49c: fe042783 lw x15,-32(x8) - 4a0: fcc42703 lw x14,-52(x8) - 4a4: 00f70733 add x14,x14,x15 - 4a8: fec42783 lw x15,-20(x8) - 4ac: fcc42683 lw x13,-52(x8) - 4b0: 00f687b3 add x15,x13,x15 - 4b4: 00074703 lbu x14,0(x14) - 4b8: 00e78023 sb x14,0(x15) - 4bc: fe042783 lw x15,-32(x8) - 4c0: fcc42703 lw x14,-52(x8) - 4c4: 00f707b3 add x15,x14,x15 - 4c8: fdf44703 lbu x14,-33(x8) - 4cc: 00e78023 sb x14,0(x15) - 4d0: fec42783 lw x15,-20(x8) - 4d4: 00178793 addi x15,x15,1 - 4d8: fef42623 sw x15,-20(x8) - 4dc: fe842783 lw x15,-24(x8) - 4e0: 01f7d713 srli x14,x15,0x1f - 4e4: 00f707b3 add x15,x14,x15 - 4e8: 4017d793 srai x15,x15,0x1 - 4ec: 00078713 addi x14,x15,0 - 4f0: fec42783 lw x15,-20(x8) - 4f4: f8e7c0e3 blt x15,x14,474 <_d2s+0xb4> - 4f8: fe842783 lw x15,-24(x8) - 4fc: fcc42703 lw x14,-52(x8) - 500: 00f707b3 add x15,x14,x15 - 504: 00078023 sb x0,0(x15) - 508: fe842783 lw x15,-24(x8) - 50c: 00078513 addi x10,x15,0 - 510: 03c12403 lw x8,60(x2) - 514: 04010113 addi x2,x2,64 - 518: 00008067 jalr x0,0(x1) +0000039c <_d2s>: + 39c: fc010113 addi x2,x2,-64 + 3a0: 02812e23 sw x8,60(x2) + 3a4: 04010413 addi x8,x2,64 + 3a8: fca42623 sw x10,-52(x8) + 3ac: fcb42423 sw x11,-56(x8) + 3b0: fe042423 sw x0,-24(x8) + 3b4: fe042223 sw x0,-28(x8) + 3b8: fc842783 lw x15,-56(x8) + 3bc: 0407de63 bge x15,x0,418 <_d2s+0x7c> + 3c0: fc842783 lw x15,-56(x8) + 3c4: 40f007b3 sub x15,x0,x15 + 3c8: fcf42423 sw x15,-56(x8) + 3cc: fe042223 sw x0,-28(x8) + 3d0: 0480006f jal x0,418 <_d2s+0x7c> + 3d4: fc842703 lw x14,-56(x8) + 3d8: 00a00793 addi x15,x0,10 + 3dc: 02f767b3 rem x15,x14,x15 + 3e0: 0ff7f713 andi x14,x15,255 + 3e4: fe842783 lw x15,-24(x8) + 3e8: 00178693 addi x13,x15,1 + 3ec: fed42423 sw x13,-24(x8) + 3f0: 00078693 addi x13,x15,0 + 3f4: fcc42783 lw x15,-52(x8) + 3f8: 00d787b3 add x15,x15,x13 + 3fc: 03070713 addi x14,x14,48 + 400: 0ff77713 andi x14,x14,255 + 404: 00e78023 sb x14,0(x15) + 408: fc842703 lw x14,-56(x8) + 40c: 00a00793 addi x15,x0,10 + 410: 02f747b3 div x15,x14,x15 + 414: fcf42423 sw x15,-56(x8) + 418: fc842783 lw x15,-56(x8) + 41c: faf04ce3 blt x0,x15,3d4 <_d2s+0x38> + 420: fe442783 lw x15,-28(x8) + 424: 02078263 beq x15,x0,448 <_d2s+0xac> + 428: fe842783 lw x15,-24(x8) + 42c: 00178713 addi x14,x15,1 + 430: fee42423 sw x14,-24(x8) + 434: 00078713 addi x14,x15,0 + 438: fcc42783 lw x15,-52(x8) + 43c: 00e787b3 add x15,x15,x14 + 440: 02d00713 addi x14,x0,45 + 444: 00e78023 sb x14,0(x15) + 448: fe042623 sw x0,-20(x8) + 44c: 06c0006f jal x0,4b8 <_d2s+0x11c> + 450: fe842783 lw x15,-24(x8) + 454: fff78713 addi x14,x15,-1 + 458: fec42783 lw x15,-20(x8) + 45c: 40f707b3 sub x15,x14,x15 + 460: fef42023 sw x15,-32(x8) + 464: fec42783 lw x15,-20(x8) + 468: fcc42703 lw x14,-52(x8) + 46c: 00f707b3 add x15,x14,x15 + 470: 0007c783 lbu x15,0(x15) + 474: fcf40fa3 sb x15,-33(x8) + 478: fe042783 lw x15,-32(x8) + 47c: fcc42703 lw x14,-52(x8) + 480: 00f70733 add x14,x14,x15 + 484: fec42783 lw x15,-20(x8) + 488: fcc42683 lw x13,-52(x8) + 48c: 00f687b3 add x15,x13,x15 + 490: 00074703 lbu x14,0(x14) + 494: 00e78023 sb x14,0(x15) + 498: fe042783 lw x15,-32(x8) + 49c: fcc42703 lw x14,-52(x8) + 4a0: 00f707b3 add x15,x14,x15 + 4a4: fdf44703 lbu x14,-33(x8) + 4a8: 00e78023 sb x14,0(x15) + 4ac: fec42783 lw x15,-20(x8) + 4b0: 00178793 addi x15,x15,1 + 4b4: fef42623 sw x15,-20(x8) + 4b8: fe842783 lw x15,-24(x8) + 4bc: 01f7d713 srli x14,x15,0x1f + 4c0: 00f707b3 add x15,x14,x15 + 4c4: 4017d793 srai x15,x15,0x1 + 4c8: 00078713 addi x14,x15,0 + 4cc: fec42783 lw x15,-20(x8) + 4d0: f8e7c0e3 blt x15,x14,450 <_d2s+0xb4> + 4d4: fe842783 lw x15,-24(x8) + 4d8: fcc42703 lw x14,-52(x8) + 4dc: 00f707b3 add x15,x14,x15 + 4e0: 00078023 sb x0,0(x15) + 4e4: fe842783 lw x15,-24(x8) + 4e8: 00078513 addi x10,x15,0 + 4ec: 03c12403 lw x8,60(x2) + 4f0: 04010113 addi x2,x2,64 + 4f4: 00008067 jalr x0,0(x1) -0000051c <_h2s>: - 51c: fb010113 addi x2,x2,-80 - 520: 04812623 sw x8,76(x2) - 524: 05010413 addi x8,x2,80 - 528: fca42623 sw x10,-52(x8) - 52c: fcb42023 sw x11,-64(x8) - 530: fcc42223 sw x12,-60(x8) - 534: fcd42423 sw x13,-56(x8) - 538: 00070793 addi x15,x14,0 - 53c: faf40fa3 sb x15,-65(x8) - 540: fe042423 sw x0,-24(x8) - 544: 0900006f jal x0,5d4 <_h2s+0xb8> - 548: fc042783 lw x15,-64(x8) - 54c: 00f7f793 andi x15,x15,15 - 550: fcf42e23 sw x15,-36(x8) - 554: fdc42703 lw x14,-36(x8) - 558: 00900793 addi x15,x0,9 - 55c: 02e7c463 blt x15,x14,584 <_h2s+0x68> +000004f8 <_h2s>: + 4f8: fb010113 addi x2,x2,-80 + 4fc: 04812623 sw x8,76(x2) + 500: 05010413 addi x8,x2,80 + 504: fca42623 sw x10,-52(x8) + 508: fcb42023 sw x11,-64(x8) + 50c: fcc42223 sw x12,-60(x8) + 510: fcd42423 sw x13,-56(x8) + 514: 00070793 addi x15,x14,0 + 518: faf40fa3 sb x15,-65(x8) + 51c: fe042423 sw x0,-24(x8) + 520: 0900006f jal x0,5b0 <_h2s+0xb8> + 524: fc042783 lw x15,-64(x8) + 528: 00f7f793 andi x15,x15,15 + 52c: fcf42e23 sw x15,-36(x8) + 530: fdc42703 lw x14,-36(x8) + 534: 00900793 addi x15,x0,9 + 538: 02e7c463 blt x15,x14,560 <_h2s+0x68> + 53c: fdc42783 lw x15,-36(x8) + 540: 0ff7f713 andi x14,x15,255 + 544: fe842783 lw x15,-24(x8) + 548: fcc42683 lw x13,-52(x8) + 54c: 00f687b3 add x15,x13,x15 + 550: 03070713 addi x14,x14,48 + 554: 0ff77713 andi x14,x14,255 + 558: 00e78023 sb x14,0(x15) + 55c: 0240006f jal x0,580 <_h2s+0x88> 560: fdc42783 lw x15,-36(x8) 564: 0ff7f713 andi x14,x15,255 568: fe842783 lw x15,-24(x8) 56c: fcc42683 lw x13,-52(x8) 570: 00f687b3 add x15,x13,x15 - 574: 03070713 addi x14,x14,48 + 574: 05770713 addi x14,x14,87 578: 0ff77713 andi x14,x14,255 57c: 00e78023 sb x14,0(x15) - 580: 0240006f jal x0,5a4 <_h2s+0x88> - 584: fdc42783 lw x15,-36(x8) - 588: 0ff7f713 andi x14,x15,255 - 58c: fe842783 lw x15,-24(x8) - 590: fcc42683 lw x13,-52(x8) - 594: 00f687b3 add x15,x13,x15 - 598: 05770713 addi x14,x14,87 - 59c: 0ff77713 andi x14,x14,255 - 5a0: 00e78023 sb x14,0(x15) - 5a4: fc442783 lw x15,-60(x8) - 5a8: 01c79793 slli x15,x15,0x1c - 5ac: fc042703 lw x14,-64(x8) - 5b0: 00475813 srli x16,x14,0x4 - 5b4: 0107e833 or x16,x15,x16 - 5b8: fc442783 lw x15,-60(x8) - 5bc: 0047d893 srli x17,x15,0x4 - 5c0: fd042023 sw x16,-64(x8) - 5c4: fd142223 sw x17,-60(x8) - 5c8: fe842783 lw x15,-24(x8) - 5cc: 00178793 addi x15,x15,1 - 5d0: fef42423 sw x15,-24(x8) - 5d4: fc042783 lw x15,-64(x8) - 5d8: fc442703 lw x14,-60(x8) - 5dc: 00e7e7b3 or x15,x15,x14 - 5e0: f60794e3 bne x15,x0,548 <_h2s+0x2c> - 5e4: 0240006f jal x0,608 <_h2s+0xec> - 5e8: fe842783 lw x15,-24(x8) - 5ec: 00178713 addi x14,x15,1 - 5f0: fee42423 sw x14,-24(x8) - 5f4: 00078713 addi x14,x15,0 - 5f8: fcc42783 lw x15,-52(x8) - 5fc: 00e787b3 add x15,x15,x14 - 600: fbf44703 lbu x14,-65(x8) - 604: 00e78023 sb x14,0(x15) - 608: fe842703 lw x14,-24(x8) - 60c: fc842783 lw x15,-56(x8) - 610: fcf74ce3 blt x14,x15,5e8 <_h2s+0xcc> - 614: fe042623 sw x0,-20(x8) - 618: 06c0006f jal x0,684 <_h2s+0x168> - 61c: fe842783 lw x15,-24(x8) - 620: fff78713 addi x14,x15,-1 - 624: fec42783 lw x15,-20(x8) - 628: 40f707b3 sub x15,x14,x15 - 62c: fef42223 sw x15,-28(x8) - 630: fec42783 lw x15,-20(x8) - 634: fcc42703 lw x14,-52(x8) - 638: 00f707b3 add x15,x14,x15 - 63c: 0007c783 lbu x15,0(x15) - 640: fef401a3 sb x15,-29(x8) - 644: fe442783 lw x15,-28(x8) - 648: fcc42703 lw x14,-52(x8) - 64c: 00f70733 add x14,x14,x15 - 650: fec42783 lw x15,-20(x8) - 654: fcc42683 lw x13,-52(x8) - 658: 00f687b3 add x15,x13,x15 - 65c: 00074703 lbu x14,0(x14) - 660: 00e78023 sb x14,0(x15) - 664: fe442783 lw x15,-28(x8) - 668: fcc42703 lw x14,-52(x8) - 66c: 00f707b3 add x15,x14,x15 - 670: fe344703 lbu x14,-29(x8) - 674: 00e78023 sb x14,0(x15) - 678: fec42783 lw x15,-20(x8) - 67c: 00178793 addi x15,x15,1 - 680: fef42623 sw x15,-20(x8) - 684: fe842783 lw x15,-24(x8) - 688: 01f7d713 srli x14,x15,0x1f - 68c: 00f707b3 add x15,x14,x15 - 690: 4017d793 srai x15,x15,0x1 - 694: 00078713 addi x14,x15,0 - 698: fec42783 lw x15,-20(x8) - 69c: f8e7c0e3 blt x15,x14,61c <_h2s+0x100> - 6a0: fe842783 lw x15,-24(x8) - 6a4: fcc42703 lw x14,-52(x8) - 6a8: 00f707b3 add x15,x14,x15 - 6ac: 00078023 sb x0,0(x15) - 6b0: fe842783 lw x15,-24(x8) - 6b4: 00078513 addi x10,x15,0 - 6b8: 04c12403 lw x8,76(x2) - 6bc: 05010113 addi x2,x2,80 - 6c0: 00008067 jalr x0,0(x1) + 580: fc442783 lw x15,-60(x8) + 584: 01c79793 slli x15,x15,0x1c + 588: fc042703 lw x14,-64(x8) + 58c: 00475813 srli x16,x14,0x4 + 590: 0107e833 or x16,x15,x16 + 594: fc442783 lw x15,-60(x8) + 598: 0047d893 srli x17,x15,0x4 + 59c: fd042023 sw x16,-64(x8) + 5a0: fd142223 sw x17,-60(x8) + 5a4: fe842783 lw x15,-24(x8) + 5a8: 00178793 addi x15,x15,1 + 5ac: fef42423 sw x15,-24(x8) + 5b0: fc042783 lw x15,-64(x8) + 5b4: fc442703 lw x14,-60(x8) + 5b8: 00e7e7b3 or x15,x15,x14 + 5bc: f60794e3 bne x15,x0,524 <_h2s+0x2c> + 5c0: 0240006f jal x0,5e4 <_h2s+0xec> + 5c4: fe842783 lw x15,-24(x8) + 5c8: 00178713 addi x14,x15,1 + 5cc: fee42423 sw x14,-24(x8) + 5d0: 00078713 addi x14,x15,0 + 5d4: fcc42783 lw x15,-52(x8) + 5d8: 00e787b3 add x15,x15,x14 + 5dc: fbf44703 lbu x14,-65(x8) + 5e0: 00e78023 sb x14,0(x15) + 5e4: fe842703 lw x14,-24(x8) + 5e8: fc842783 lw x15,-56(x8) + 5ec: fcf74ce3 blt x14,x15,5c4 <_h2s+0xcc> + 5f0: fe042623 sw x0,-20(x8) + 5f4: 06c0006f jal x0,660 <_h2s+0x168> + 5f8: fe842783 lw x15,-24(x8) + 5fc: fff78713 addi x14,x15,-1 + 600: fec42783 lw x15,-20(x8) + 604: 40f707b3 sub x15,x14,x15 + 608: fef42223 sw x15,-28(x8) + 60c: fec42783 lw x15,-20(x8) + 610: fcc42703 lw x14,-52(x8) + 614: 00f707b3 add x15,x14,x15 + 618: 0007c783 lbu x15,0(x15) + 61c: fef401a3 sb x15,-29(x8) + 620: fe442783 lw x15,-28(x8) + 624: fcc42703 lw x14,-52(x8) + 628: 00f70733 add x14,x14,x15 + 62c: fec42783 lw x15,-20(x8) + 630: fcc42683 lw x13,-52(x8) + 634: 00f687b3 add x15,x13,x15 + 638: 00074703 lbu x14,0(x14) + 63c: 00e78023 sb x14,0(x15) + 640: fe442783 lw x15,-28(x8) + 644: fcc42703 lw x14,-52(x8) + 648: 00f707b3 add x15,x14,x15 + 64c: fe344703 lbu x14,-29(x8) + 650: 00e78023 sb x14,0(x15) + 654: fec42783 lw x15,-20(x8) + 658: 00178793 addi x15,x15,1 + 65c: fef42623 sw x15,-20(x8) + 660: fe842783 lw x15,-24(x8) + 664: 01f7d713 srli x14,x15,0x1f + 668: 00f707b3 add x15,x14,x15 + 66c: 4017d793 srai x15,x15,0x1 + 670: 00078713 addi x14,x15,0 + 674: fec42783 lw x15,-20(x8) + 678: f8e7c0e3 blt x15,x14,5f8 <_h2s+0x100> + 67c: fe842783 lw x15,-24(x8) + 680: fcc42703 lw x14,-52(x8) + 684: 00f707b3 add x15,x14,x15 + 688: 00078023 sb x0,0(x15) + 68c: fe842783 lw x15,-24(x8) + 690: 00078513 addi x10,x15,0 + 694: 04c12403 lw x8,76(x2) + 698: 05010113 addi x2,x2,80 + 69c: 00008067 jalr x0,0(x1) -000006c4 <_s2d>: - 6c4: fd010113 addi x2,x2,-48 - 6c8: 02812623 sw x8,44(x2) - 6cc: 03010413 addi x8,x2,48 - 6d0: fca42e23 sw x10,-36(x8) - 6d4: fcb42c23 sw x11,-40(x8) - 6d8: fe042623 sw x0,-20(x8) - 6dc: fe042423 sw x0,-24(x8) - 6e0: 00100793 addi x15,x0,1 - 6e4: fef42223 sw x15,-28(x8) - 6e8: 08c0006f jal x0,774 <_s2d+0xb0> - 6ec: fdc42783 lw x15,-36(x8) - 6f0: 0007c783 lbu x15,0(x15) - 6f4: fef42023 sw x15,-32(x8) - 6f8: fe042703 lw x14,-32(x8) - 6fc: 02f00793 addi x15,x0,47 - 700: 04e7d263 bge x15,x14,744 <_s2d+0x80> - 704: fe042703 lw x14,-32(x8) - 708: 03900793 addi x15,x0,57 - 70c: 02e7cc63 blt x15,x14,744 <_s2d+0x80> - 710: fe842703 lw x14,-24(x8) - 714: 00070793 addi x15,x14,0 - 718: 00279793 slli x15,x15,0x2 - 71c: 00e787b3 add x15,x15,x14 - 720: 00179793 slli x15,x15,0x1 - 724: 00078713 addi x14,x15,0 - 728: fe042783 lw x15,-32(x8) - 72c: 00f707b3 add x15,x14,x15 - 730: fd078793 addi x15,x15,-48 - 734: fef42423 sw x15,-24(x8) - 738: 00100793 addi x15,x0,1 - 73c: fef42623 sw x15,-20(x8) - 740: 0280006f jal x0,768 <_s2d+0xa4> - 744: fec42783 lw x15,-20(x8) - 748: 02079e63 bne x15,x0,784 <_s2d+0xc0> - 74c: fe042703 lw x14,-32(x8) - 750: 02d00793 addi x15,x0,45 - 754: 00f71a63 bne x14,x15,768 <_s2d+0xa4> - 758: fff00793 addi x15,x0,-1 - 75c: fef42223 sw x15,-28(x8) - 760: 00100793 addi x15,x0,1 - 764: fef42623 sw x15,-20(x8) - 768: fdc42783 lw x15,-36(x8) - 76c: 00178793 addi x15,x15,1 - 770: fcf42e23 sw x15,-36(x8) - 774: fdc42783 lw x15,-36(x8) - 778: 0007c783 lbu x15,0(x15) - 77c: f60798e3 bne x15,x0,6ec <_s2d+0x28> - 780: 0080006f jal x0,788 <_s2d+0xc4> - 784: 00000013 addi x0,x0,0 - 788: fe842703 lw x14,-24(x8) - 78c: fe442783 lw x15,-28(x8) - 790: 02f707b3 mul x15,x14,x15 - 794: fef42423 sw x15,-24(x8) - 798: fd842783 lw x15,-40(x8) - 79c: 00078863 beq x15,x0,7ac <_s2d+0xe8> - 7a0: fd842783 lw x15,-40(x8) - 7a4: fdc42703 lw x14,-36(x8) - 7a8: 00e7a023 sw x14,0(x15) - 7ac: fe842783 lw x15,-24(x8) - 7b0: 00078513 addi x10,x15,0 - 7b4: 02c12403 lw x8,44(x2) - 7b8: 03010113 addi x2,x2,48 - 7bc: 00008067 jalr x0,0(x1) +000006a0 <_s2d>: + 6a0: fd010113 addi x2,x2,-48 + 6a4: 02812623 sw x8,44(x2) + 6a8: 03010413 addi x8,x2,48 + 6ac: fca42e23 sw x10,-36(x8) + 6b0: fcb42c23 sw x11,-40(x8) + 6b4: fe042623 sw x0,-20(x8) + 6b8: fe042423 sw x0,-24(x8) + 6bc: 00100793 addi x15,x0,1 + 6c0: fef42223 sw x15,-28(x8) + 6c4: 08c0006f jal x0,750 <_s2d+0xb0> + 6c8: fdc42783 lw x15,-36(x8) + 6cc: 0007c783 lbu x15,0(x15) + 6d0: fef42023 sw x15,-32(x8) + 6d4: fe042703 lw x14,-32(x8) + 6d8: 02f00793 addi x15,x0,47 + 6dc: 04e7d263 bge x15,x14,720 <_s2d+0x80> + 6e0: fe042703 lw x14,-32(x8) + 6e4: 03900793 addi x15,x0,57 + 6e8: 02e7cc63 blt x15,x14,720 <_s2d+0x80> + 6ec: fe842703 lw x14,-24(x8) + 6f0: 00070793 addi x15,x14,0 + 6f4: 00279793 slli x15,x15,0x2 + 6f8: 00e787b3 add x15,x15,x14 + 6fc: 00179793 slli x15,x15,0x1 + 700: 00078713 addi x14,x15,0 + 704: fe042783 lw x15,-32(x8) + 708: 00f707b3 add x15,x14,x15 + 70c: fd078793 addi x15,x15,-48 + 710: fef42423 sw x15,-24(x8) + 714: 00100793 addi x15,x0,1 + 718: fef42623 sw x15,-20(x8) + 71c: 0280006f jal x0,744 <_s2d+0xa4> + 720: fec42783 lw x15,-20(x8) + 724: 02079e63 bne x15,x0,760 <_s2d+0xc0> + 728: fe042703 lw x14,-32(x8) + 72c: 02d00793 addi x15,x0,45 + 730: 00f71a63 bne x14,x15,744 <_s2d+0xa4> + 734: fff00793 addi x15,x0,-1 + 738: fef42223 sw x15,-28(x8) + 73c: 00100793 addi x15,x0,1 + 740: fef42623 sw x15,-20(x8) + 744: fdc42783 lw x15,-36(x8) + 748: 00178793 addi x15,x15,1 + 74c: fcf42e23 sw x15,-36(x8) + 750: fdc42783 lw x15,-36(x8) + 754: 0007c783 lbu x15,0(x15) + 758: f60798e3 bne x15,x0,6c8 <_s2d+0x28> + 75c: 0080006f jal x0,764 <_s2d+0xc4> + 760: 00000013 addi x0,x0,0 + 764: fe842703 lw x14,-24(x8) + 768: fe442783 lw x15,-28(x8) + 76c: 02f707b3 mul x15,x14,x15 + 770: fef42423 sw x15,-24(x8) + 774: fd842783 lw x15,-40(x8) + 778: 00078863 beq x15,x0,788 <_s2d+0xe8> + 77c: fd842783 lw x15,-40(x8) + 780: fdc42703 lw x14,-36(x8) + 784: 00e7a023 sw x14,0(x15) + 788: fe842783 lw x15,-24(x8) + 78c: 00078513 addi x10,x15,0 + 790: 02c12403 lw x8,44(x2) + 794: 03010113 addi x2,x2,48 + 798: 00008067 jalr x0,0(x1) -000007c0 <_s2h>: - 7c0: fd010113 addi x2,x2,-48 - 7c4: 02812623 sw x8,44(x2) - 7c8: 03010413 addi x8,x2,48 - 7cc: fca42e23 sw x10,-36(x8) - 7d0: fcb42c23 sw x11,-40(x8) - 7d4: fe042623 sw x0,-20(x8) - 7d8: fe042423 sw x0,-24(x8) - 7dc: 0e00006f jal x0,8bc <_s2h+0xfc> - 7e0: fdc42783 lw x15,-36(x8) - 7e4: 0007c783 lbu x15,0(x15) - 7e8: fef42223 sw x15,-28(x8) - 7ec: fe442703 lw x14,-28(x8) - 7f0: 02f00793 addi x15,x0,47 - 7f4: 02e7da63 bge x15,x14,828 <_s2h+0x68> - 7f8: fe442703 lw x14,-28(x8) - 7fc: 03900793 addi x15,x0,57 - 800: 02e7c463 blt x15,x14,828 <_s2h+0x68> - 804: 00100793 addi x15,x0,1 - 808: fef42623 sw x15,-20(x8) - 80c: fe842783 lw x15,-24(x8) - 810: 00479713 slli x14,x15,0x4 - 814: fe442783 lw x15,-28(x8) - 818: 00f707b3 add x15,x14,x15 - 81c: fd078793 addi x15,x15,-48 - 820: fef42423 sw x15,-24(x8) - 824: 08c0006f jal x0,8b0 <_s2h+0xf0> - 828: fe442703 lw x14,-28(x8) - 82c: 06000793 addi x15,x0,96 - 830: 02e7da63 bge x15,x14,864 <_s2h+0xa4> - 834: fe442703 lw x14,-28(x8) - 838: 06600793 addi x15,x0,102 - 83c: 02e7c463 blt x15,x14,864 <_s2h+0xa4> - 840: 00100793 addi x15,x0,1 - 844: fef42623 sw x15,-20(x8) - 848: fe842783 lw x15,-24(x8) - 84c: 00479713 slli x14,x15,0x4 - 850: fe442783 lw x15,-28(x8) - 854: 00f707b3 add x15,x14,x15 - 858: fa978793 addi x15,x15,-87 - 85c: fef42423 sw x15,-24(x8) - 860: 0500006f jal x0,8b0 <_s2h+0xf0> - 864: fe442703 lw x14,-28(x8) - 868: 04000793 addi x15,x0,64 - 86c: 02e7da63 bge x15,x14,8a0 <_s2h+0xe0> - 870: fe442703 lw x14,-28(x8) - 874: 04600793 addi x15,x0,70 - 878: 02e7c463 blt x15,x14,8a0 <_s2h+0xe0> - 87c: 00100793 addi x15,x0,1 - 880: fef42623 sw x15,-20(x8) - 884: fe842783 lw x15,-24(x8) - 888: 00479713 slli x14,x15,0x4 - 88c: fe442783 lw x15,-28(x8) - 890: 00f707b3 add x15,x14,x15 - 894: fc978793 addi x15,x15,-55 - 898: fef42423 sw x15,-24(x8) - 89c: 0140006f jal x0,8b0 <_s2h+0xf0> - 8a0: fec42783 lw x15,-20(x8) - 8a4: 02079463 bne x15,x0,8cc <_s2h+0x10c> - 8a8: 00100793 addi x15,x0,1 - 8ac: fef42623 sw x15,-20(x8) - 8b0: fdc42783 lw x15,-36(x8) - 8b4: 00178793 addi x15,x15,1 - 8b8: fcf42e23 sw x15,-36(x8) - 8bc: fdc42783 lw x15,-36(x8) - 8c0: 0007c783 lbu x15,0(x15) - 8c4: f0079ee3 bne x15,x0,7e0 <_s2h+0x20> - 8c8: 0080006f jal x0,8d0 <_s2h+0x110> - 8cc: 00000013 addi x0,x0,0 - 8d0: fd842783 lw x15,-40(x8) - 8d4: 00078863 beq x15,x0,8e4 <_s2h+0x124> - 8d8: fd842783 lw x15,-40(x8) - 8dc: fdc42703 lw x14,-36(x8) - 8e0: 00e7a023 sw x14,0(x15) - 8e4: fe842783 lw x15,-24(x8) - 8e8: 00078513 addi x10,x15,0 - 8ec: 02c12403 lw x8,44(x2) - 8f0: 03010113 addi x2,x2,48 - 8f4: 00008067 jalr x0,0(x1) +0000079c <_s2h>: + 79c: fd010113 addi x2,x2,-48 + 7a0: 02812623 sw x8,44(x2) + 7a4: 03010413 addi x8,x2,48 + 7a8: fca42e23 sw x10,-36(x8) + 7ac: fcb42c23 sw x11,-40(x8) + 7b0: fe042623 sw x0,-20(x8) + 7b4: fe042423 sw x0,-24(x8) + 7b8: 0e00006f jal x0,898 <_s2h+0xfc> + 7bc: fdc42783 lw x15,-36(x8) + 7c0: 0007c783 lbu x15,0(x15) + 7c4: fef42223 sw x15,-28(x8) + 7c8: fe442703 lw x14,-28(x8) + 7cc: 02f00793 addi x15,x0,47 + 7d0: 02e7da63 bge x15,x14,804 <_s2h+0x68> + 7d4: fe442703 lw x14,-28(x8) + 7d8: 03900793 addi x15,x0,57 + 7dc: 02e7c463 blt x15,x14,804 <_s2h+0x68> + 7e0: 00100793 addi x15,x0,1 + 7e4: fef42623 sw x15,-20(x8) + 7e8: fe842783 lw x15,-24(x8) + 7ec: 00479713 slli x14,x15,0x4 + 7f0: fe442783 lw x15,-28(x8) + 7f4: 00f707b3 add x15,x14,x15 + 7f8: fd078793 addi x15,x15,-48 + 7fc: fef42423 sw x15,-24(x8) + 800: 08c0006f jal x0,88c <_s2h+0xf0> + 804: fe442703 lw x14,-28(x8) + 808: 06000793 addi x15,x0,96 + 80c: 02e7da63 bge x15,x14,840 <_s2h+0xa4> + 810: fe442703 lw x14,-28(x8) + 814: 06600793 addi x15,x0,102 + 818: 02e7c463 blt x15,x14,840 <_s2h+0xa4> + 81c: 00100793 addi x15,x0,1 + 820: fef42623 sw x15,-20(x8) + 824: fe842783 lw x15,-24(x8) + 828: 00479713 slli x14,x15,0x4 + 82c: fe442783 lw x15,-28(x8) + 830: 00f707b3 add x15,x14,x15 + 834: fa978793 addi x15,x15,-87 + 838: fef42423 sw x15,-24(x8) + 83c: 0500006f jal x0,88c <_s2h+0xf0> + 840: fe442703 lw x14,-28(x8) + 844: 04000793 addi x15,x0,64 + 848: 02e7da63 bge x15,x14,87c <_s2h+0xe0> + 84c: fe442703 lw x14,-28(x8) + 850: 04600793 addi x15,x0,70 + 854: 02e7c463 blt x15,x14,87c <_s2h+0xe0> + 858: 00100793 addi x15,x0,1 + 85c: fef42623 sw x15,-20(x8) + 860: fe842783 lw x15,-24(x8) + 864: 00479713 slli x14,x15,0x4 + 868: fe442783 lw x15,-28(x8) + 86c: 00f707b3 add x15,x14,x15 + 870: fc978793 addi x15,x15,-55 + 874: fef42423 sw x15,-24(x8) + 878: 0140006f jal x0,88c <_s2h+0xf0> + 87c: fec42783 lw x15,-20(x8) + 880: 02079463 bne x15,x0,8a8 <_s2h+0x10c> + 884: 00100793 addi x15,x0,1 + 888: fef42623 sw x15,-20(x8) + 88c: fdc42783 lw x15,-36(x8) + 890: 00178793 addi x15,x15,1 + 894: fcf42e23 sw x15,-36(x8) + 898: fdc42783 lw x15,-36(x8) + 89c: 0007c783 lbu x15,0(x15) + 8a0: f0079ee3 bne x15,x0,7bc <_s2h+0x20> + 8a4: 0080006f jal x0,8ac <_s2h+0x110> + 8a8: 00000013 addi x0,x0,0 + 8ac: fd842783 lw x15,-40(x8) + 8b0: 00078863 beq x15,x0,8c0 <_s2h+0x124> + 8b4: fd842783 lw x15,-40(x8) + 8b8: fdc42703 lw x14,-36(x8) + 8bc: 00e7a023 sw x14,0(x15) + 8c0: fe842783 lw x15,-24(x8) + 8c4: 00078513 addi x10,x15,0 + 8c8: 02c12403 lw x8,44(x2) + 8cc: 03010113 addi x2,x2,48 + 8d0: 00008067 jalr x0,0(x1) -000008f8 <_strcat>: - 8f8: fd010113 addi x2,x2,-48 - 8fc: 02812623 sw x8,44(x2) - 900: 03010413 addi x8,x2,48 - 904: fca42e23 sw x10,-36(x8) - 908: fcb42c23 sw x11,-40(x8) - 90c: fdc42783 lw x15,-36(x8) - 910: fef42623 sw x15,-20(x8) - 914: 0100006f jal x0,924 <_strcat+0x2c> - 918: fdc42783 lw x15,-36(x8) - 91c: 00178793 addi x15,x15,1 - 920: fcf42e23 sw x15,-36(x8) - 924: fdc42783 lw x15,-36(x8) - 928: 0007c783 lbu x15,0(x15) - 92c: fe0796e3 bne x15,x0,918 <_strcat+0x20> - 930: 0240006f jal x0,954 <_strcat+0x5c> - 934: fd842703 lw x14,-40(x8) - 938: 00170793 addi x15,x14,1 - 93c: fcf42c23 sw x15,-40(x8) - 940: fdc42783 lw x15,-36(x8) - 944: 00178693 addi x13,x15,1 - 948: fcd42e23 sw x13,-36(x8) - 94c: 00074703 lbu x14,0(x14) - 950: 00e78023 sb x14,0(x15) - 954: fd842783 lw x15,-40(x8) - 958: 0007c783 lbu x15,0(x15) - 95c: fc079ce3 bne x15,x0,934 <_strcat+0x3c> - 960: fdc42783 lw x15,-36(x8) - 964: 00078023 sb x0,0(x15) - 968: fdc42703 lw x14,-36(x8) - 96c: fec42783 lw x15,-20(x8) - 970: 40f707b3 sub x15,x14,x15 - 974: 00078513 addi x10,x15,0 - 978: 02c12403 lw x8,44(x2) - 97c: 03010113 addi x2,x2,48 - 980: 00008067 jalr x0,0(x1) +000008d4 <_strcat>: + 8d4: fd010113 addi x2,x2,-48 + 8d8: 02812623 sw x8,44(x2) + 8dc: 03010413 addi x8,x2,48 + 8e0: fca42e23 sw x10,-36(x8) + 8e4: fcb42c23 sw x11,-40(x8) + 8e8: fdc42783 lw x15,-36(x8) + 8ec: fef42623 sw x15,-20(x8) + 8f0: 0100006f jal x0,900 <_strcat+0x2c> + 8f4: fdc42783 lw x15,-36(x8) + 8f8: 00178793 addi x15,x15,1 + 8fc: fcf42e23 sw x15,-36(x8) + 900: fdc42783 lw x15,-36(x8) + 904: 0007c783 lbu x15,0(x15) + 908: fe0796e3 bne x15,x0,8f4 <_strcat+0x20> + 90c: 0240006f jal x0,930 <_strcat+0x5c> + 910: fd842703 lw x14,-40(x8) + 914: 00170793 addi x15,x14,1 + 918: fcf42c23 sw x15,-40(x8) + 91c: fdc42783 lw x15,-36(x8) + 920: 00178693 addi x13,x15,1 + 924: fcd42e23 sw x13,-36(x8) + 928: 00074703 lbu x14,0(x14) + 92c: 00e78023 sb x14,0(x15) + 930: fd842783 lw x15,-40(x8) + 934: 0007c783 lbu x15,0(x15) + 938: fc079ce3 bne x15,x0,910 <_strcat+0x3c> + 93c: fdc42783 lw x15,-36(x8) + 940: 00078023 sb x0,0(x15) + 944: fdc42703 lw x14,-36(x8) + 948: fec42783 lw x15,-20(x8) + 94c: 40f707b3 sub x15,x14,x15 + 950: 00078513 addi x10,x15,0 + 954: 02c12403 lw x8,44(x2) + 958: 03010113 addi x2,x2,48 + 95c: 00008067 jalr x0,0(x1) -00000984 <_strncmp>: - 984: fd010113 addi x2,x2,-48 - 988: 02812623 sw x8,44(x2) - 98c: 03010413 addi x8,x2,48 - 990: fca42e23 sw x10,-36(x8) - 994: fcb42c23 sw x11,-40(x8) - 998: fcc42a23 sw x12,-44(x8) - 99c: fe042623 sw x0,-20(x8) - 9a0: 0500006f jal x0,9f0 <_strncmp+0x6c> - 9a4: fdc42783 lw x15,-36(x8) - 9a8: 00178713 addi x14,x15,1 - 9ac: fce42e23 sw x14,-36(x8) - 9b0: 0007c703 lbu x14,0(x15) - 9b4: fd842783 lw x15,-40(x8) - 9b8: 00178693 addi x13,x15,1 - 9bc: fcd42c23 sw x13,-40(x8) - 9c0: 0007c783 lbu x15,0(x15) - 9c4: 00f70663 beq x14,x15,9d0 <_strncmp+0x4c> - 9c8: 00100793 addi x15,x0,1 - 9cc: 0400006f jal x0,a0c <_strncmp+0x88> - 9d0: fec42783 lw x15,-20(x8) - 9d4: 00178793 addi x15,x15,1 - 9d8: fef42623 sw x15,-20(x8) - 9dc: fec42703 lw x14,-20(x8) - 9e0: fd442783 lw x15,-44(x8) - 9e4: 00f74663 blt x14,x15,9f0 <_strncmp+0x6c> - 9e8: 00000793 addi x15,x0,0 - 9ec: 0200006f jal x0,a0c <_strncmp+0x88> - 9f0: fdc42783 lw x15,-36(x8) - 9f4: 0007c783 lbu x15,0(x15) - 9f8: 00078863 beq x15,x0,a08 <_strncmp+0x84> - 9fc: fd842783 lw x15,-40(x8) - a00: 0007c783 lbu x15,0(x15) - a04: fa0790e3 bne x15,x0,9a4 <_strncmp+0x20> - a08: 00000793 addi x15,x0,0 - a0c: 00078513 addi x10,x15,0 - a10: 02c12403 lw x8,44(x2) - a14: 03010113 addi x2,x2,48 - a18: 00008067 jalr x0,0(x1) +00000960 <_strncmp>: + 960: fd010113 addi x2,x2,-48 + 964: 02812623 sw x8,44(x2) + 968: 03010413 addi x8,x2,48 + 96c: fca42e23 sw x10,-36(x8) + 970: fcb42c23 sw x11,-40(x8) + 974: fcc42a23 sw x12,-44(x8) + 978: fe042623 sw x0,-20(x8) + 97c: 0500006f jal x0,9cc <_strncmp+0x6c> + 980: fdc42783 lw x15,-36(x8) + 984: 00178713 addi x14,x15,1 + 988: fce42e23 sw x14,-36(x8) + 98c: 0007c703 lbu x14,0(x15) + 990: fd842783 lw x15,-40(x8) + 994: 00178693 addi x13,x15,1 + 998: fcd42c23 sw x13,-40(x8) + 99c: 0007c783 lbu x15,0(x15) + 9a0: 00f70663 beq x14,x15,9ac <_strncmp+0x4c> + 9a4: 00100793 addi x15,x0,1 + 9a8: 0400006f jal x0,9e8 <_strncmp+0x88> + 9ac: fec42783 lw x15,-20(x8) + 9b0: 00178793 addi x15,x15,1 + 9b4: fef42623 sw x15,-20(x8) + 9b8: fec42703 lw x14,-20(x8) + 9bc: fd442783 lw x15,-44(x8) + 9c0: 00f74663 blt x14,x15,9cc <_strncmp+0x6c> + 9c4: 00000793 addi x15,x0,0 + 9c8: 0200006f jal x0,9e8 <_strncmp+0x88> + 9cc: fdc42783 lw x15,-36(x8) + 9d0: 0007c783 lbu x15,0(x15) + 9d4: 00078863 beq x15,x0,9e4 <_strncmp+0x84> + 9d8: fd842783 lw x15,-40(x8) + 9dc: 0007c783 lbu x15,0(x15) + 9e0: fa0790e3 bne x15,x0,980 <_strncmp+0x20> + 9e4: 00000793 addi x15,x0,0 + 9e8: 00078513 addi x10,x15,0 + 9ec: 02c12403 lw x8,44(x2) + 9f0: 03010113 addi x2,x2,48 + 9f4: 00008067 jalr x0,0(x1) -00000a1c <_buadrateset>: - a1c: fe010113 addi x2,x2,-32 - a20: 00812e23 sw x8,28(x2) - a24: 02010413 addi x8,x2,32 - a28: fea42623 sw x10,-20(x8) - a2c: 02faf7b7 lui x15,0x2faf - a30: 08078713 addi x14,x15,128 # 2faf080 <__global_pointer$+0x2fab7f8> - a34: fec42783 lw x15,-20(x8) - a38: 02f74733 div x14,x14,x15 - a3c: c2c1a783 lw x15,-980(x3) # 34b4 <_uartaddr> - a40: 01078793 addi x15,x15,16 - a44: 00e7a023 sw x14,0(x15) - a48: 00000793 addi x15,x0,0 - a4c: 00078513 addi x10,x15,0 - a50: 01c12403 lw x8,28(x2) - a54: 02010113 addi x2,x2,32 - a58: 00008067 jalr x0,0(x1) +000009f8 <_buadrateset>: + 9f8: fe010113 addi x2,x2,-32 + 9fc: 00812e23 sw x8,28(x2) + a00: 02010413 addi x8,x2,32 + a04: fea42623 sw x10,-20(x8) + a08: 05f5e7b7 lui x15,0x5f5e + a0c: 10078713 addi x14,x15,256 # 5f5e100 <__global_pointer$+0x5f5b8c8> + a10: fec42783 lw x15,-20(x8) + a14: 02f74733 div x14,x14,x15 + a18: c2c1a783 lw x15,-980(x3) # 2464 <_uartaddr> + a1c: 01078793 addi x15,x15,16 + a20: 00e7a023 sw x14,0(x15) + a24: 00000793 addi x15,x0,0 + a28: 00078513 addi x10,x15,0 + a2c: 01c12403 lw x8,28(x2) + a30: 02010113 addi x2,x2,32 + a34: 00008067 jalr x0,0(x1) -00000a5c : - a5c: ec010113 addi x2,x2,-320 - a60: 12112e23 sw x1,316(x2) - a64: 12812c23 sw x8,312(x2) - a68: 13212a23 sw x18,308(x2) - a6c: 13312823 sw x19,304(x2) - a70: 13412623 sw x20,300(x2) - a74: 13512423 sw x21,296(x2) - a78: 14010413 addi x8,x2,320 - a7c: c381a783 lw x15,-968(x3) # 34c0 - a80: ff07f793 andi x15,x15,-16 - a84: fcf42a23 sw x15,-44(x8) - a88: fc042c23 sw x0,-40(x8) - a8c: 1f80006f jal x0,c84 - a90: fd442783 lw x15,-44(x8) - a94: 00078913 addi x18,x15,0 - a98: 00000993 addi x19,x0,0 - a9c: ecc40793 addi x15,x8,-308 - aa0: 03000713 addi x14,x0,48 - aa4: 00800693 addi x13,x0,8 - aa8: 00090593 addi x11,x18,0 - aac: 00098613 addi x12,x19,0 - ab0: 00078513 addi x10,x15,0 - ab4: a69ff0ef jal x1,51c <_h2s> - ab8: ecc40713 addi x14,x8,-308 - abc: 000027b7 lui x15,0x2 - ac0: e2478593 addi x11,x15,-476 # 1e24 <__errno+0x8> - ac4: 00070513 addi x10,x14,0 - ac8: e31ff0ef jal x1,8f8 <_strcat> - acc: fc042e23 sw x0,-36(x8) - ad0: 0c00006f jal x0,b90 - ad4: fd442783 lw x15,-44(x8) - ad8: fcf42623 sw x15,-52(x8) - adc: fdc42703 lw x14,-36(x8) - ae0: fd442783 lw x15,-44(x8) - ae4: 00f70733 add x14,x14,x15 - ae8: c381a783 lw x15,-968(x3) # 34c0 - aec: 00f77e63 bgeu x14,x15,b08 - af0: ecc40713 addi x14,x8,-308 - af4: 000027b7 lui x15,0x2 - af8: e2878593 addi x11,x15,-472 # 1e28 <__errno+0xc> - afc: 00070513 addi x10,x14,0 - b00: df9ff0ef jal x1,8f8 <_strcat> - b04: 0600006f jal x0,b64 - b08: fdc42783 lw x15,-36(x8) - b0c: fcc42703 lw x14,-52(x8) - b10: 00f707b3 add x15,x14,x15 - b14: 0007c783 lbu x15,0(x15) - b18: 00078a13 addi x20,x15,0 - b1c: 00000a93 addi x21,x0,0 - b20: ec440793 addi x15,x8,-316 - b24: 03000713 addi x14,x0,48 - b28: 00200693 addi x13,x0,2 - b2c: 000a0593 addi x11,x20,0 - b30: 000a8613 addi x12,x21,0 - b34: 00078513 addi x10,x15,0 - b38: 9e5ff0ef jal x1,51c <_h2s> - b3c: ec440713 addi x14,x8,-316 - b40: 000027b7 lui x15,0x2 - b44: e2c78593 addi x11,x15,-468 # 1e2c <__errno+0x10> - b48: 00070513 addi x10,x14,0 - b4c: dadff0ef jal x1,8f8 <_strcat> - b50: ec440713 addi x14,x8,-316 - b54: ecc40793 addi x15,x8,-308 - b58: 00070593 addi x11,x14,0 - b5c: 00078513 addi x10,x15,0 - b60: d99ff0ef jal x1,8f8 <_strcat> - b64: fdc42703 lw x14,-36(x8) - b68: 00700793 addi x15,x0,7 - b6c: 00f71c63 bne x14,x15,b84 - b70: ecc40713 addi x14,x8,-308 - b74: 000027b7 lui x15,0x2 - b78: e3078593 addi x11,x15,-464 # 1e30 <__errno+0x14> - b7c: 00070513 addi x10,x14,0 - b80: d79ff0ef jal x1,8f8 <_strcat> - b84: fdc42783 lw x15,-36(x8) - b88: 00178793 addi x15,x15,1 - b8c: fcf42e23 sw x15,-36(x8) - b90: fdc42703 lw x14,-36(x8) - b94: 00f00793 addi x15,x0,15 - b98: f2e7dee3 bge x15,x14,ad4 - b9c: ecc40713 addi x14,x8,-308 - ba0: 000027b7 lui x15,0x2 - ba4: e3478593 addi x11,x15,-460 # 1e34 <__errno+0x18> - ba8: 00070513 addi x10,x14,0 - bac: d4dff0ef jal x1,8f8 <_strcat> - bb0: fc042e23 sw x0,-36(x8) - bb4: 0800006f jal x0,c34 - bb8: fd442783 lw x15,-44(x8) - bbc: fcf42823 sw x15,-48(x8) - bc0: fdc42783 lw x15,-36(x8) - bc4: fd042703 lw x14,-48(x8) - bc8: 00f707b3 add x15,x14,x15 - bcc: 0007c703 lbu x14,0(x15) - bd0: 02000793 addi x15,x0,32 - bd4: 02e7fa63 bgeu x15,x14,c08 - bd8: fdc42783 lw x15,-36(x8) - bdc: fd042703 lw x14,-48(x8) - be0: 00f707b3 add x15,x14,x15 - be4: 0007c703 lbu x14,0(x15) - be8: 07e00793 addi x15,x0,126 - bec: 00e7ee63 bltu x15,x14,c08 - bf0: fdc42783 lw x15,-36(x8) - bf4: fd042703 lw x14,-48(x8) - bf8: 00f707b3 add x15,x14,x15 - bfc: 0007c783 lbu x15,0(x15) - c00: ecf40023 sb x15,-320(x8) - c04: 00c0006f jal x0,c10 - c08: 02e00793 addi x15,x0,46 - c0c: ecf40023 sb x15,-320(x8) - c10: ec0400a3 sb x0,-319(x8) - c14: ec040713 addi x14,x8,-320 - c18: ecc40793 addi x15,x8,-308 - c1c: 00070593 addi x11,x14,0 - c20: 00078513 addi x10,x15,0 - c24: cd5ff0ef jal x1,8f8 <_strcat> - c28: fdc42783 lw x15,-36(x8) - c2c: 00178793 addi x15,x15,1 - c30: fcf42e23 sw x15,-36(x8) - c34: fdc42703 lw x14,-36(x8) - c38: 00f00793 addi x15,x0,15 - c3c: f6e7dee3 bge x15,x14,bb8 - c40: ecc40713 addi x14,x8,-308 - c44: 000027b7 lui x15,0x2 - c48: e3878593 addi x11,x15,-456 # 1e38 <__errno+0x1c> - c4c: 00070513 addi x10,x14,0 - c50: ca9ff0ef jal x1,8f8 <_strcat> - c54: ecc40793 addi x15,x8,-308 - c58: 00078513 addi x10,x15,0 - c5c: e00ff0ef jal x1,25c <_puts> - c60: fd442783 lw x15,-44(x8) - c64: 01078793 addi x15,x15,16 - c68: fcf42a23 sw x15,-44(x8) - c6c: fd442783 lw x15,-44(x8) - c70: 0ff7f793 andi x15,x15,255 - c74: 02078063 beq x15,x0,c94 - c78: fd842783 lw x15,-40(x8) - c7c: 00178793 addi x15,x15,1 - c80: fcf42c23 sw x15,-40(x8) - c84: fd842703 lw x14,-40(x8) - c88: 00f00793 addi x15,x0,15 - c8c: e0e7d2e3 bge x15,x14,a90 - c90: 0080006f jal x0,c98 - c94: 00000013 addi x0,x0,0 - c98: 000027b7 lui x15,0x2 - c9c: e3c78513 addi x10,x15,-452 # 1e3c <__errno+0x20> - ca0: dbcff0ef jal x1,25c <_puts> - ca4: fd442703 lw x14,-44(x8) - ca8: c2e1ac23 sw x14,-968(x3) # 34c0 - cac: 00000013 addi x0,x0,0 - cb0: 13c12083 lw x1,316(x2) - cb4: 13812403 lw x8,312(x2) - cb8: 13412903 lw x18,308(x2) - cbc: 13012983 lw x19,304(x2) - cc0: 12c12a03 lw x20,300(x2) - cc4: 12812a83 lw x21,296(x2) - cc8: 14010113 addi x2,x2,320 - ccc: 00008067 jalr x0,0(x1) +00000a38 : + a38: ec010113 addi x2,x2,-320 + a3c: 12112e23 sw x1,316(x2) + a40: 12812c23 sw x8,312(x2) + a44: 13212a23 sw x18,308(x2) + a48: 13312823 sw x19,304(x2) + a4c: 13412623 sw x20,300(x2) + a50: 13512423 sw x21,296(x2) + a54: 14010413 addi x8,x2,320 + a58: c381a783 lw x15,-968(x3) # 2470 + a5c: ff07f793 andi x15,x15,-16 + a60: fcf42a23 sw x15,-44(x8) + a64: fc042c23 sw x0,-40(x8) + a68: 1f80006f jal x0,c60 + a6c: fd442783 lw x15,-44(x8) + a70: 00078913 addi x18,x15,0 + a74: 00000993 addi x19,x0,0 + a78: ecc40793 addi x15,x8,-308 + a7c: 03000713 addi x14,x0,48 + a80: 00800693 addi x13,x0,8 + a84: 00090593 addi x11,x18,0 + a88: 00098613 addi x12,x19,0 + a8c: 00078513 addi x10,x15,0 + a90: a69ff0ef jal x1,4f8 <_h2s> + a94: ecc40713 addi x14,x8,-308 + a98: 000027b7 lui x15,0x2 + a9c: ad078593 addi x11,x15,-1328 # 1ad0 <__errno+0x8> + aa0: 00070513 addi x10,x14,0 + aa4: e31ff0ef jal x1,8d4 <_strcat> + aa8: fc042e23 sw x0,-36(x8) + aac: 0c00006f jal x0,b6c + ab0: fd442783 lw x15,-44(x8) + ab4: fcf42623 sw x15,-52(x8) + ab8: fdc42703 lw x14,-36(x8) + abc: fd442783 lw x15,-44(x8) + ac0: 00f70733 add x14,x14,x15 + ac4: c381a783 lw x15,-968(x3) # 2470 + ac8: 00f77e63 bgeu x14,x15,ae4 + acc: ecc40713 addi x14,x8,-308 + ad0: 000027b7 lui x15,0x2 + ad4: ad478593 addi x11,x15,-1324 # 1ad4 <__errno+0xc> + ad8: 00070513 addi x10,x14,0 + adc: df9ff0ef jal x1,8d4 <_strcat> + ae0: 0600006f jal x0,b40 + ae4: fdc42783 lw x15,-36(x8) + ae8: fcc42703 lw x14,-52(x8) + aec: 00f707b3 add x15,x14,x15 + af0: 0007c783 lbu x15,0(x15) + af4: 00078a13 addi x20,x15,0 + af8: 00000a93 addi x21,x0,0 + afc: ec440793 addi x15,x8,-316 + b00: 03000713 addi x14,x0,48 + b04: 00200693 addi x13,x0,2 + b08: 000a0593 addi x11,x20,0 + b0c: 000a8613 addi x12,x21,0 + b10: 00078513 addi x10,x15,0 + b14: 9e5ff0ef jal x1,4f8 <_h2s> + b18: ec440713 addi x14,x8,-316 + b1c: 000027b7 lui x15,0x2 + b20: ad878593 addi x11,x15,-1320 # 1ad8 <__errno+0x10> + b24: 00070513 addi x10,x14,0 + b28: dadff0ef jal x1,8d4 <_strcat> + b2c: ec440713 addi x14,x8,-316 + b30: ecc40793 addi x15,x8,-308 + b34: 00070593 addi x11,x14,0 + b38: 00078513 addi x10,x15,0 + b3c: d99ff0ef jal x1,8d4 <_strcat> + b40: fdc42703 lw x14,-36(x8) + b44: 00700793 addi x15,x0,7 + b48: 00f71c63 bne x14,x15,b60 + b4c: ecc40713 addi x14,x8,-308 + b50: 000027b7 lui x15,0x2 + b54: adc78593 addi x11,x15,-1316 # 1adc <__errno+0x14> + b58: 00070513 addi x10,x14,0 + b5c: d79ff0ef jal x1,8d4 <_strcat> + b60: fdc42783 lw x15,-36(x8) + b64: 00178793 addi x15,x15,1 + b68: fcf42e23 sw x15,-36(x8) + b6c: fdc42703 lw x14,-36(x8) + b70: 00f00793 addi x15,x0,15 + b74: f2e7dee3 bge x15,x14,ab0 + b78: ecc40713 addi x14,x8,-308 + b7c: 000027b7 lui x15,0x2 + b80: ae078593 addi x11,x15,-1312 # 1ae0 <__errno+0x18> + b84: 00070513 addi x10,x14,0 + b88: d4dff0ef jal x1,8d4 <_strcat> + b8c: fc042e23 sw x0,-36(x8) + b90: 0800006f jal x0,c10 + b94: fd442783 lw x15,-44(x8) + b98: fcf42823 sw x15,-48(x8) + b9c: fdc42783 lw x15,-36(x8) + ba0: fd042703 lw x14,-48(x8) + ba4: 00f707b3 add x15,x14,x15 + ba8: 0007c703 lbu x14,0(x15) + bac: 01f00793 addi x15,x0,31 + bb0: 02e7fa63 bgeu x15,x14,be4 + bb4: fdc42783 lw x15,-36(x8) + bb8: fd042703 lw x14,-48(x8) + bbc: 00f707b3 add x15,x14,x15 + bc0: 0007c703 lbu x14,0(x15) + bc4: 07e00793 addi x15,x0,126 + bc8: 00e7ee63 bltu x15,x14,be4 + bcc: fdc42783 lw x15,-36(x8) + bd0: fd042703 lw x14,-48(x8) + bd4: 00f707b3 add x15,x14,x15 + bd8: 0007c783 lbu x15,0(x15) + bdc: ecf40023 sb x15,-320(x8) + be0: 00c0006f jal x0,bec + be4: 02e00793 addi x15,x0,46 + be8: ecf40023 sb x15,-320(x8) + bec: ec0400a3 sb x0,-319(x8) + bf0: ec040713 addi x14,x8,-320 + bf4: ecc40793 addi x15,x8,-308 + bf8: 00070593 addi x11,x14,0 + bfc: 00078513 addi x10,x15,0 + c00: cd5ff0ef jal x1,8d4 <_strcat> + c04: fdc42783 lw x15,-36(x8) + c08: 00178793 addi x15,x15,1 + c0c: fcf42e23 sw x15,-36(x8) + c10: fdc42703 lw x14,-36(x8) + c14: 00f00793 addi x15,x0,15 + c18: f6e7dee3 bge x15,x14,b94 + c1c: ecc40713 addi x14,x8,-308 + c20: 000027b7 lui x15,0x2 + c24: ae478593 addi x11,x15,-1308 # 1ae4 <__errno+0x1c> + c28: 00070513 addi x10,x14,0 + c2c: ca9ff0ef jal x1,8d4 <_strcat> + c30: ecc40793 addi x15,x8,-308 + c34: 00078513 addi x10,x15,0 + c38: e24ff0ef jal x1,25c <_puts> + c3c: fd442783 lw x15,-44(x8) + c40: 01078793 addi x15,x15,16 + c44: fcf42a23 sw x15,-44(x8) + c48: fd442783 lw x15,-44(x8) + c4c: 0ff7f793 andi x15,x15,255 + c50: 02078063 beq x15,x0,c70 + c54: fd842783 lw x15,-40(x8) + c58: 00178793 addi x15,x15,1 + c5c: fcf42c23 sw x15,-40(x8) + c60: fd842703 lw x14,-40(x8) + c64: 00f00793 addi x15,x0,15 + c68: e0e7d2e3 bge x15,x14,a6c + c6c: 0080006f jal x0,c74 + c70: 00000013 addi x0,x0,0 + c74: 000027b7 lui x15,0x2 + c78: ae878513 addi x10,x15,-1304 # 1ae8 <__errno+0x20> + c7c: de0ff0ef jal x1,25c <_puts> + c80: fd442703 lw x14,-44(x8) + c84: c2e1ac23 sw x14,-968(x3) # 2470 + c88: 00000013 addi x0,x0,0 + c8c: 13c12083 lw x1,316(x2) + c90: 13812403 lw x8,312(x2) + c94: 13412903 lw x18,308(x2) + c98: 13012983 lw x19,304(x2) + c9c: 12c12a03 lw x20,300(x2) + ca0: 12812a83 lw x21,296(x2) + ca4: 14010113 addi x2,x2,320 + ca8: 00008067 jalr x0,0(x1) -00000cd0 : - cd0: fe010113 addi x2,x2,-32 - cd4: 00812e23 sw x8,28(x2) - cd8: 02010413 addi x8,x2,32 - cdc: fea42623 sw x10,-20(x8) - ce0: fec42703 lw x14,-20(x8) - ce4: 00a00793 addi x15,x0,10 - ce8: 02f777b3 remu x15,x14,x15 - cec: 00002737 lui x14,0x2 - cf0: f2470713 addi x14,x14,-220 # 1f24 - cf4: 00279793 slli x15,x15,0x2 - cf8: 00f707b3 add x15,x14,x15 - cfc: 0007a783 lw x15,0(x15) - d00: 00078513 addi x10,x15,0 - d04: 01c12403 lw x8,28(x2) - d08: 02010113 addi x2,x2,32 - d0c: 00008067 jalr x0,0(x1) +00000cac : + cac: fe010113 addi x2,x2,-32 + cb0: 00812e23 sw x8,28(x2) + cb4: 02010413 addi x8,x2,32 + cb8: c00065f3 csrrsi x11,cycle,0 + cbc: feb42623 sw x11,-20(x8) + cc0: c80065f3 csrrsi x11,cycleh,0 + cc4: feb42423 sw x11,-24(x8) + cc8: fe842583 lw x11,-24(x8) + ccc: feb42023 sw x11,-32(x8) + cd0: fe042223 sw x0,-28(x8) + cd4: fe042583 lw x11,-32(x8) + cd8: 00059893 slli x17,x11,0x0 + cdc: 00000813 addi x16,x0,0 + ce0: ff042023 sw x16,-32(x8) + ce4: ff142223 sw x17,-28(x8) + ce8: fec42583 lw x11,-20(x8) + cec: 00058713 addi x14,x11,0 + cf0: 00000793 addi x15,x0,0 + cf4: fe042583 lw x11,-32(x8) + cf8: 00e5e633 or x12,x11,x14 + cfc: fe442583 lw x11,-28(x8) + d00: 00f5e6b3 or x13,x11,x15 + d04: fec42023 sw x12,-32(x8) + d08: fed42223 sw x13,-28(x8) + d0c: fe042703 lw x14,-32(x8) + d10: fe442783 lw x15,-28(x8) + d14: 00070513 addi x10,x14,0 + d18: 00078593 addi x11,x15,0 + d1c: 01c12403 lw x8,28(x2) + d20: 02010113 addi x2,x2,32 + d24: 00008067 jalr x0,0(x1) -00000d10 : - d10: fe010113 addi x2,x2,-32 - d14: 00812e23 sw x8,28(x2) - d18: 02010413 addi x8,x2,32 - d1c: c00065f3 csrrsi x11,cycle,0 - d20: feb42623 sw x11,-20(x8) - d24: c80065f3 csrrsi x11,cycleh,0 - d28: feb42423 sw x11,-24(x8) - d2c: fe842583 lw x11,-24(x8) - d30: feb42023 sw x11,-32(x8) - d34: fe042223 sw x0,-28(x8) - d38: fe042583 lw x11,-32(x8) - d3c: 00059893 slli x17,x11,0x0 - d40: 00000813 addi x16,x0,0 - d44: ff042023 sw x16,-32(x8) - d48: ff142223 sw x17,-28(x8) - d4c: fec42583 lw x11,-20(x8) - d50: 00058713 addi x14,x11,0 - d54: 00000793 addi x15,x0,0 - d58: fe042583 lw x11,-32(x8) - d5c: 00e5e633 or x12,x11,x14 - d60: fe442583 lw x11,-28(x8) - d64: 00f5e6b3 or x13,x11,x15 - d68: fec42023 sw x12,-32(x8) - d6c: fed42223 sw x13,-28(x8) - d70: fe042703 lw x14,-32(x8) - d74: fe442783 lw x15,-28(x8) - d78: 00070513 addi x10,x14,0 - d7c: 00078593 addi x11,x15,0 - d80: 01c12403 lw x8,28(x2) - d84: 02010113 addi x2,x2,32 - d88: 00008067 jalr x0,0(x1) +00000d28 : + d28: fe010113 addi x2,x2,-32 + d2c: 00812e23 sw x8,28(x2) + d30: 02010413 addi x8,x2,32 + d34: c02065f3 csrrsi x11,instret,0 + d38: feb42623 sw x11,-20(x8) + d3c: c82065f3 csrrsi x11,instreth,0 + d40: feb42423 sw x11,-24(x8) + d44: fe842583 lw x11,-24(x8) + d48: feb42023 sw x11,-32(x8) + d4c: fe042223 sw x0,-28(x8) + d50: fe042583 lw x11,-32(x8) + d54: 00059893 slli x17,x11,0x0 + d58: 00000813 addi x16,x0,0 + d5c: ff042023 sw x16,-32(x8) + d60: ff142223 sw x17,-28(x8) + d64: fec42583 lw x11,-20(x8) + d68: 00058713 addi x14,x11,0 + d6c: 00000793 addi x15,x0,0 + d70: fe042583 lw x11,-32(x8) + d74: 00e5e633 or x12,x11,x14 + d78: fe442583 lw x11,-28(x8) + d7c: 00f5e6b3 or x13,x11,x15 + d80: fec42023 sw x12,-32(x8) + d84: fed42223 sw x13,-28(x8) + d88: fe042703 lw x14,-32(x8) + d8c: fe442783 lw x15,-28(x8) + d90: 00070513 addi x10,x14,0 + d94: 00078593 addi x11,x15,0 + d98: 01c12403 lw x8,28(x2) + d9c: 02010113 addi x2,x2,32 + da0: 00008067 jalr x0,0(x1) -00000d8c : - d8c: fe010113 addi x2,x2,-32 - d90: 00812e23 sw x8,28(x2) - d94: 02010413 addi x8,x2,32 - d98: c02065f3 csrrsi x11,instret,0 - d9c: feb42623 sw x11,-20(x8) - da0: c82065f3 csrrsi x11,instreth,0 - da4: feb42423 sw x11,-24(x8) - da8: fe842583 lw x11,-24(x8) - dac: feb42023 sw x11,-32(x8) - db0: fe042223 sw x0,-28(x8) - db4: fe042583 lw x11,-32(x8) - db8: 00059893 slli x17,x11,0x0 - dbc: 00000813 addi x16,x0,0 - dc0: ff042023 sw x16,-32(x8) - dc4: ff142223 sw x17,-28(x8) - dc8: fec42583 lw x11,-20(x8) - dcc: 00058713 addi x14,x11,0 - dd0: 00000793 addi x15,x0,0 - dd4: fe042583 lw x11,-32(x8) - dd8: 00e5e633 or x12,x11,x14 - ddc: fe442583 lw x11,-28(x8) - de0: 00f5e6b3 or x13,x11,x15 - de4: fec42023 sw x12,-32(x8) - de8: fed42223 sw x13,-28(x8) - dec: fe042703 lw x14,-32(x8) - df0: fe442783 lw x15,-28(x8) - df4: 00070513 addi x10,x14,0 - df8: 00078593 addi x11,x15,0 - dfc: 01c12403 lw x8,28(x2) - e00: 02010113 addi x2,x2,32 - e04: 00008067 jalr x0,0(x1) +00000da4 : + da4: ff010113 addi x2,x2,-16 + da8: 00112623 sw x1,12(x2) + dac: 00812423 sw x8,8(x2) + db0: 01010413 addi x8,x2,16 + db4: 000027b7 lui x15,0x2 + db8: aec78513 addi x10,x15,-1300 # 1aec <__errno+0x24> + dbc: ca0ff0ef jal x1,25c <_puts> + dc0: 000027b7 lui x15,0x2 + dc4: b0c78513 addi x10,x15,-1268 # 1b0c <__errno+0x44> + dc8: c94ff0ef jal x1,25c <_puts> + dcc: 000027b7 lui x15,0x2 + dd0: b3078513 addi x10,x15,-1232 # 1b30 <__errno+0x68> + dd4: c88ff0ef jal x1,25c <_puts> + dd8: 000027b7 lui x15,0x2 + ddc: b5878513 addi x10,x15,-1192 # 1b58 <__errno+0x90> + de0: c7cff0ef jal x1,25c <_puts> + de4: 000027b7 lui x15,0x2 + de8: b8c78513 addi x10,x15,-1140 # 1b8c <__errno+0xc4> + dec: c70ff0ef jal x1,25c <_puts> + df0: 00000013 addi x0,x0,0 + df4: 00c12083 lw x1,12(x2) + df8: 00812403 lw x8,8(x2) + dfc: 01010113 addi x2,x2,16 + e00: 00008067 jalr x0,0(x1) -00000e08 : - e08: ff010113 addi x2,x2,-16 - e0c: 00112623 sw x1,12(x2) - e10: 00812423 sw x8,8(x2) - e14: 01010413 addi x8,x2,16 - e18: 000027b7 lui x15,0x2 - e1c: e4078513 addi x10,x15,-448 # 1e40 <__errno+0x24> - e20: c3cff0ef jal x1,25c <_puts> - e24: 000027b7 lui x15,0x2 - e28: e6078513 addi x10,x15,-416 # 1e60 <__errno+0x44> - e2c: c30ff0ef jal x1,25c <_puts> - e30: 000027b7 lui x15,0x2 - e34: e8478513 addi x10,x15,-380 # 1e84 <__errno+0x68> - e38: c24ff0ef jal x1,25c <_puts> - e3c: 000027b7 lui x15,0x2 - e40: e9c78513 addi x10,x15,-356 # 1e9c <__errno+0x80> - e44: c18ff0ef jal x1,25c <_puts> - e48: 000027b7 lui x15,0x2 - e4c: ebc78513 addi x10,x15,-324 # 1ebc <__errno+0xa0> - e50: c0cff0ef jal x1,25c <_puts> - e54: 00000013 addi x0,x0,0 - e58: 00c12083 lw x1,12(x2) - e5c: 00812403 lw x8,8(x2) - e60: 01010113 addi x2,x2,16 - e64: 00008067 jalr x0,0(x1) +00000e04
: + e04: e8010113 addi x2,x2,-384 + e08: 16112e23 sw x1,380(x2) + e0c: 16812c23 sw x8,376(x2) + e10: 17212a23 sw x18,372(x2) + e14: 17312823 sw x19,368(x2) + e18: 17412623 sw x20,364(x2) + e1c: 17512423 sw x21,360(x2) + e20: 17612223 sw x22,356(x2) + e24: 17712023 sw x23,352(x2) + e28: 18010413 addi x8,x2,384 + e2c: e8a42623 sw x10,-372(x8) + e30: e8b42423 sw x11,-376(x8) + e34: f00007b7 lui x15,0xf0000 + e38: fcf42c23 sw x15,-40(x8) + e3c: f00007b7 lui x15,0xf0000 + e40: 01078793 addi x15,x15,16 # f0000010 <__global_pointer$+0xefffd7d8> + e44: fcf42a23 sw x15,-44(x8) + e48: 0001c7b7 lui x15,0x1c + e4c: 20078513 addi x10,x15,512 # 1c200 <__global_pointer$+0x199c8> + e50: ba9ff0ef jal x1,9f8 <_buadrateset> + e54: fc042823 sw x0,-48(x8) + e58: fc042623 sw x0,-52(x8) + e5c: e51ff0ef jal x1,cac + e60: 00050913 addi x18,x10,0 + e64: 00058993 addi x19,x11,0 + e68: ec1ff0ef jal x1,d28 + e6c: 00050713 addi x14,x10,0 + e70: 00058793 addi x15,x11,0 + e74: 06400613 addi x12,x0,100 + e78: 00000693 addi x13,x0,0 + e7c: 00070513 addi x10,x14,0 + e80: 00078593 addi x11,x15,0 + e84: 414000ef jal x1,1298 <__udivdi3> + e88: 00050713 addi x14,x10,0 + e8c: 00058793 addi x15,x11,0 + e90: 00070613 addi x12,x14,0 + e94: 00078693 addi x13,x15,0 + e98: 00090513 addi x10,x18,0 + e9c: 00098593 addi x11,x19,0 + ea0: 3f8000ef jal x1,1298 <__udivdi3> + ea4: 00050713 addi x14,x10,0 + ea8: 00058793 addi x15,x11,0 + eac: fce42423 sw x14,-56(x8) + eb0: a8cff0ef jal x1,13c <_canputchar> + eb4: 00050793 addi x15,x10,0 + eb8: 08078e63 beq x15,x0,f54 + ebc: df1ff0ef jal x1,cac + ec0: e9040793 addi x15,x8,-368 + ec4: 03000713 addi x14,x0,48 + ec8: 00800693 addi x13,x0,8 + ecc: 00058613 addi x12,x11,0 + ed0: 00050593 addi x11,x10,0 + ed4: 00078513 addi x10,x15,0 + ed8: e20ff0ef jal x1,4f8 <_h2s> + edc: e9040793 addi x15,x8,-368 + ee0: 00078513 addi x10,x15,0 + ee4: b78ff0ef jal x1,25c <_puts> + ee8: 000027b7 lui x15,0x2 + eec: ba478513 addi x10,x15,-1116 # 1ba4 <__errno+0xdc> + ef0: b6cff0ef jal x1,25c <_puts> + ef4: e35ff0ef jal x1,d28 + ef8: e9040793 addi x15,x8,-368 + efc: 03000713 addi x14,x0,48 + f00: 00800693 addi x13,x0,8 + f04: 00058613 addi x12,x11,0 + f08: 00050593 addi x11,x10,0 + f0c: 00078513 addi x10,x15,0 + f10: de8ff0ef jal x1,4f8 <_h2s> + f14: e9040793 addi x15,x8,-368 + f18: 00078513 addi x10,x15,0 + f1c: b40ff0ef jal x1,25c <_puts> + f20: 000027b7 lui x15,0x2 + f24: ba478513 addi x10,x15,-1116 # 1ba4 <__errno+0xdc> + f28: b34ff0ef jal x1,25c <_puts> + f2c: e9040793 addi x15,x8,-368 + f30: fc842583 lw x11,-56(x8) + f34: 00078513 addi x10,x15,0 + f38: c64ff0ef jal x1,39c <_d2s> + f3c: e9040793 addi x15,x8,-368 + f40: 00078513 addi x10,x15,0 + f44: b18ff0ef jal x1,25c <_puts> + f48: 000027b7 lui x15,0x2 + f4c: ba878513 addi x10,x15,-1112 # 1ba8 <__errno+0xe0> + f50: b0cff0ef jal x1,25c <_puts> + f54: a24ff0ef jal x1,178 <_haschar> + f58: 00050793 addi x15,x10,0 + f5c: fe078ce3 beq x15,x0,f54 + f60: e9040793 addi x15,x8,-368 + f64: 0ff00593 addi x11,x0,255 + f68: 00078513 addi x10,x15,0 + f6c: b58ff0ef jal x1,2c4 <_gets> + f70: 00000013 addi x0,x0,0 + f74: 000027b7 lui x15,0x2 + f78: bac78513 addi x10,x15,-1108 # 1bac <__errno+0xe4> + f7c: ae0ff0ef jal x1,25c <_puts> + f80: e9040793 addi x15,x8,-368 + f84: 00078513 addi x10,x15,0 + f88: ad4ff0ef jal x1,25c <_puts> + f8c: 000027b7 lui x15,0x2 + f90: bb078513 addi x10,x15,-1104 # 1bb0 <__errno+0xe8> + f94: ac8ff0ef jal x1,25c <_puts> + f98: e9040713 addi x14,x8,-368 + f9c: 00400613 addi x12,x0,4 + fa0: 000027b7 lui x15,0x2 + fa4: bb478593 addi x11,x15,-1100 # 1bb4 <__errno+0xec> + fa8: 00070513 addi x10,x14,0 + fac: 9b5ff0ef jal x1,960 <_strncmp> + fb0: 00050793 addi x15,x10,0 + fb4: 00079663 bne x15,x0,fc0 + fb8: dedff0ef jal x1,da4 + fbc: ea1ff06f jal x0,e5c + fc0: e9044703 lbu x14,-368(x8) + fc4: 06200793 addi x15,x0,98 + fc8: 02f71c63 bne x14,x15,1000 + fcc: e9040793 addi x15,x8,-368 + fd0: 00278793 addi x15,x15,2 + fd4: 00000593 addi x11,x0,0 + fd8: 00078513 addi x10,x15,0 + fdc: ec4ff0ef jal x1,6a0 <_s2d> + fe0: faa42623 sw x10,-84(x8) + fe4: fac42783 lw x15,-84(x8) + fe8: 00f05863 bge x0,x15,ff8 + fec: fac42503 lw x10,-84(x8) + ff0: a09ff0ef jal x1,9f8 <_buadrateset> + ff4: e69ff06f jal x0,e5c + ff8: dadff0ef jal x1,da4 + ffc: e61ff06f jal x0,e5c + 1000: e9044703 lbu x14,-368(x8) + 1004: 06400793 addi x15,x0,100 + 1008: 02f71a63 bne x14,x15,103c + 100c: e9040793 addi x15,x8,-368 + 1010: 00278793 addi x15,x15,2 + 1014: 00000593 addi x11,x0,0 + 1018: 00078513 addi x10,x15,0 + 101c: f80ff0ef jal x1,79c <_s2h> + 1020: faa42823 sw x10,-80(x8) + 1024: fb042783 lw x15,-80(x8) + 1028: 00f05663 bge x0,x15,1034 + 102c: fb042703 lw x14,-80(x8) + 1030: c2e1ac23 sw x14,-968(x3) # 2470 + 1034: a05ff0ef jal x1,a38 + 1038: e25ff06f jal x0,e5c + 103c: e9044703 lbu x14,-368(x8) + 1040: 07700793 addi x15,x0,119 + 1044: 0af71c63 bne x14,x15,10fc + 1048: e9040793 addi x15,x8,-368 + 104c: 00278793 addi x15,x15,2 + 1050: f9440713 addi x14,x8,-108 + 1054: 00070593 addi x11,x14,0 + 1058: 00078513 addi x10,x15,0 + 105c: f40ff0ef jal x1,79c <_s2h> + 1060: faa42e23 sw x10,-68(x8) + 1064: f9442783 lw x15,-108(x8) + 1068: f9440713 addi x14,x8,-108 + 106c: 00070593 addi x11,x14,0 + 1070: 00078513 addi x10,x15,0 + 1074: f28ff0ef jal x1,79c <_s2h> + 1078: faa42c23 sw x10,-72(x8) + 107c: f9442783 lw x15,-108(x8) + 1080: f9440713 addi x14,x8,-108 + 1084: 00070593 addi x11,x14,0 + 1088: 00078513 addi x10,x15,0 + 108c: f10ff0ef jal x1,79c <_s2h> + 1090: faa42a23 sw x10,-76(x8) + 1094: fb442703 lw x14,-76(x8) + 1098: 00100793 addi x15,x0,1 + 109c: 00f71c63 bne x14,x15,10b4 + 10a0: fbc42783 lw x15,-68(x8) + 10a4: fb842703 lw x14,-72(x8) + 10a8: 0ff77713 andi x14,x14,255 + 10ac: 00e78023 sb x14,0(x15) + 10b0: dadff06f jal x0,e5c + 10b4: fb442703 lw x14,-76(x8) + 10b8: 00200793 addi x15,x0,2 + 10bc: 00f71e63 bne x14,x15,10d8 + 10c0: fbc42783 lw x15,-68(x8) + 10c4: fb842703 lw x14,-72(x8) + 10c8: 01071713 slli x14,x14,0x10 + 10cc: 41075713 srai x14,x14,0x10 + 10d0: 00e79023 sh x14,0(x15) + 10d4: d89ff06f jal x0,e5c + 10d8: fb442703 lw x14,-76(x8) + 10dc: 00400793 addi x15,x0,4 + 10e0: 00f71a63 bne x14,x15,10f4 + 10e4: fbc42783 lw x15,-68(x8) + 10e8: fb842703 lw x14,-72(x8) + 10ec: 00e7a023 sw x14,0(x15) + 10f0: d6dff06f jal x0,e5c + 10f4: cb1ff0ef jal x1,da4 + 10f8: d65ff06f jal x0,e5c + 10fc: e9044703 lbu x14,-368(x8) + 1100: 07200793 addi x15,x0,114 + 1104: d4f71ce3 bne x14,x15,e5c + 1108: fc042e23 sw x0,-36(x8) + 110c: e9040793 addi x15,x8,-368 + 1110: 00278793 addi x15,x15,2 + 1114: f9040713 addi x14,x8,-112 + 1118: 00070593 addi x11,x14,0 + 111c: 00078513 addi x10,x15,0 + 1120: e7cff0ef jal x1,79c <_s2h> + 1124: fca42223 sw x10,-60(x8) + 1128: f9042783 lw x15,-112(x8) + 112c: f9040713 addi x14,x8,-112 + 1130: 00070593 addi x11,x14,0 + 1134: 00078513 addi x10,x15,0 + 1138: e64ff0ef jal x1,79c <_s2h> + 113c: fca42023 sw x10,-64(x8) + 1140: fc042703 lw x14,-64(x8) + 1144: 00100793 addi x15,x0,1 + 1148: 02f71063 bne x14,x15,1168 + 114c: fc442783 lw x15,-60(x8) + 1150: 0007c783 lbu x15,0(x15) + 1154: fcf42e23 sw x15,-36(x8) + 1158: 000027b7 lui x15,0x2 + 115c: bbc78513 addi x10,x15,-1092 # 1bbc <__errno+0xf4> + 1160: 8fcff0ef jal x1,25c <_puts> + 1164: 0580006f jal x0,11bc + 1168: fc042703 lw x14,-64(x8) + 116c: 00200793 addi x15,x0,2 + 1170: 02f71063 bne x14,x15,1190 + 1174: fc442783 lw x15,-60(x8) + 1178: 00079783 lh x15,0(x15) + 117c: fcf42e23 sw x15,-36(x8) + 1180: 000027b7 lui x15,0x2 + 1184: bc478513 addi x10,x15,-1084 # 1bc4 <__errno+0xfc> + 1188: 8d4ff0ef jal x1,25c <_puts> + 118c: 0300006f jal x0,11bc + 1190: fc042703 lw x14,-64(x8) + 1194: 00400793 addi x15,x0,4 + 1198: 02f71063 bne x14,x15,11b8 + 119c: fc442783 lw x15,-60(x8) + 11a0: 0007a783 lw x15,0(x15) + 11a4: fcf42e23 sw x15,-36(x8) + 11a8: 000027b7 lui x15,0x2 + 11ac: bcc78513 addi x10,x15,-1076 # 1bcc <__errno+0x104> + 11b0: 8acff0ef jal x1,25c <_puts> + 11b4: 0080006f jal x0,11bc + 11b8: bedff0ef jal x1,da4 + 11bc: fc042703 lw x14,-64(x8) + 11c0: 00100793 addi x15,x0,1 + 11c4: 00f70e63 beq x14,x15,11e0 + 11c8: fc042703 lw x14,-64(x8) + 11cc: 00200793 addi x15,x0,2 + 11d0: 00f70863 beq x14,x15,11e0 + 11d4: fc042703 lw x14,-64(x8) + 11d8: 00400793 addi x15,x0,4 + 11dc: c8f710e3 bne x14,x15,e5c + 11e0: fc442783 lw x15,-60(x8) + 11e4: 00078a13 addi x20,x15,0 + 11e8: 41f7d793 srai x15,x15,0x1f + 11ec: 00078a93 addi x21,x15,0 + 11f0: e9040793 addi x15,x8,-368 + 11f4: 03000713 addi x14,x0,48 + 11f8: 00800693 addi x13,x0,8 + 11fc: 000a0593 addi x11,x20,0 + 1200: 000a8613 addi x12,x21,0 + 1204: 00078513 addi x10,x15,0 + 1208: af0ff0ef jal x1,4f8 <_h2s> + 120c: e9040793 addi x15,x8,-368 + 1210: 00078513 addi x10,x15,0 + 1214: 848ff0ef jal x1,25c <_puts> + 1218: 000027b7 lui x15,0x2 + 121c: bd478513 addi x10,x15,-1068 # 1bd4 <__errno+0x10c> + 1220: 83cff0ef jal x1,25c <_puts> + 1224: e9040793 addi x15,x8,-368 + 1228: fdc42583 lw x11,-36(x8) + 122c: 00078513 addi x10,x15,0 + 1230: 96cff0ef jal x1,39c <_d2s> + 1234: e9040793 addi x15,x8,-368 + 1238: 00078513 addi x10,x15,0 + 123c: 820ff0ef jal x1,25c <_puts> + 1240: 000027b7 lui x15,0x2 + 1244: bd878513 addi x10,x15,-1064 # 1bd8 <__errno+0x110> + 1248: 814ff0ef jal x1,25c <_puts> + 124c: fdc42783 lw x15,-36(x8) + 1250: 00078b13 addi x22,x15,0 + 1254: 41f7d793 srai x15,x15,0x1f + 1258: 00078b93 addi x23,x15,0 + 125c: fc042783 lw x15,-64(x8) + 1260: 00179693 slli x13,x15,0x1 + 1264: e9040793 addi x15,x8,-368 + 1268: 03000713 addi x14,x0,48 + 126c: 000b0593 addi x11,x22,0 + 1270: 000b8613 addi x12,x23,0 + 1274: 00078513 addi x10,x15,0 + 1278: a80ff0ef jal x1,4f8 <_h2s> + 127c: e9040793 addi x15,x8,-368 + 1280: 00078513 addi x10,x15,0 + 1284: fd9fe0ef jal x1,25c <_puts> + 1288: 000027b7 lui x15,0x2 + 128c: bdc78513 addi x10,x15,-1060 # 1bdc <__errno+0x114> + 1290: fcdfe0ef jal x1,25c <_puts> + 1294: bc9ff06f jal x0,e5c -00000e68
: - e68: e5010113 addi x2,x2,-432 - e6c: 1a112623 sw x1,428(x2) - e70: 1a812423 sw x8,424(x2) - e74: 1b212223 sw x18,420(x2) - e78: 1b312023 sw x19,416(x2) - e7c: 19412e23 sw x20,412(x2) - e80: 19512c23 sw x21,408(x2) - e84: 19612a23 sw x22,404(x2) - e88: 19712823 sw x23,400(x2) - e8c: 19812623 sw x24,396(x2) - e90: 19912423 sw x25,392(x2) - e94: 1b010413 addi x8,x2,432 - e98: e4a42e23 sw x10,-420(x8) - e9c: e4b42c23 sw x11,-424(x8) - ea0: f00007b7 lui x15,0xf0000 - ea4: fcf42023 sw x15,-64(x8) - ea8: f00007b7 lui x15,0xf0000 - eac: 01078793 addi x15,x15,16 # f0000010 <__global_pointer$+0xefffc788> - eb0: faf42e23 sw x15,-68(x8) - eb4: fa042c23 sw x0,-72(x8) - eb8: 000027b7 lui x15,0x2 - ebc: f1878793 addi x15,x15,-232 # 1f18 <__errno+0xfc> - ec0: 0007a683 lw x13,0(x15) - ec4: 0047a703 lw x14,4(x15) - ec8: f6d42623 sw x13,-148(x8) - ecc: f6e42823 sw x14,-144(x8) - ed0: 0087d703 lhu x14,8(x15) - ed4: f6e41a23 sh x14,-140(x8) - ed8: 00a7c783 lbu x15,10(x15) - edc: f6f40b23 sb x15,-138(x8) - ee0: 000027b7 lui x15,0x2 - ee4: ed078793 addi x15,x15,-304 # 1ed0 <__errno+0xb4> - ee8: faf42a23 sw x15,-76(x8) - eec: 0001c7b7 lui x15,0x1c - ef0: 20078513 addi x10,x15,512 # 1c200 <__global_pointer$+0x18978> - ef4: b29ff0ef jal x1,a1c <_buadrateset> - ef8: f6c40793 addi x15,x8,-148 - efc: 00178793 addi x15,x15,1 - f00: 99887737 lui x14,0x99887 - f04: 76670713 addi x14,x14,1894 # 99887766 <__global_pointer$+0x99883ede> - f08: 00e7a023 sw x14,0(x15) - f0c: f6c40793 addi x15,x8,-148 - f10: 00278793 addi x15,x15,2 - f14: 0007a783 lw x15,0(x15) - f18: faf42c23 sw x15,-72(x8) - f1c: a20ff0ef jal x1,13c <_canputchar> - f20: 00050793 addi x15,x10,0 - f24: 00078663 beq x15,x0,f30 - f28: fb442503 lw x10,-76(x8) - f2c: b30ff0ef jal x1,25c <_puts> - f30: fc042623 sw x0,-52(x8) - f34: fc042423 sw x0,-56(x8) - f38: dd9ff0ef jal x1,d10 - f3c: 00050a13 addi x20,x10,0 - f40: 00058a93 addi x21,x11,0 - f44: e49ff0ef jal x1,d8c - f48: 00050713 addi x14,x10,0 - f4c: 00058793 addi x15,x11,0 - f50: 06400613 addi x12,x0,100 - f54: 00000693 addi x13,x0,0 - f58: 00070513 addi x10,x14,0 - f5c: 00078593 addi x11,x15,0 - f60: 68c000ef jal x1,15ec <__udivdi3> - f64: 00050713 addi x14,x10,0 - f68: 00058793 addi x15,x11,0 - f6c: 00070613 addi x12,x14,0 - f70: 00078693 addi x13,x15,0 - f74: 000a0513 addi x10,x20,0 - f78: 000a8593 addi x11,x21,0 - f7c: 670000ef jal x1,15ec <__udivdi3> - f80: 00050713 addi x14,x10,0 - f84: 00058793 addi x15,x11,0 - f88: fae42823 sw x14,-80(x8) - f8c: 9b0ff0ef jal x1,13c <_canputchar> - f90: 00050793 addi x15,x10,0 - f94: 08078e63 beq x15,x0,1030 - f98: d79ff0ef jal x1,d10 - f9c: e6440793 addi x15,x8,-412 - fa0: 03000713 addi x14,x0,48 - fa4: 00800693 addi x13,x0,8 - fa8: 00058613 addi x12,x11,0 - fac: 00050593 addi x11,x10,0 - fb0: 00078513 addi x10,x15,0 - fb4: d68ff0ef jal x1,51c <_h2s> - fb8: e6440793 addi x15,x8,-412 - fbc: 00078513 addi x10,x15,0 - fc0: a9cff0ef jal x1,25c <_puts> - fc4: 000027b7 lui x15,0x2 - fc8: ee078513 addi x10,x15,-288 # 1ee0 <__errno+0xc4> - fcc: a90ff0ef jal x1,25c <_puts> - fd0: dbdff0ef jal x1,d8c - fd4: e6440793 addi x15,x8,-412 - fd8: 03000713 addi x14,x0,48 - fdc: 00800693 addi x13,x0,8 - fe0: 00058613 addi x12,x11,0 - fe4: 00050593 addi x11,x10,0 - fe8: 00078513 addi x10,x15,0 - fec: d30ff0ef jal x1,51c <_h2s> - ff0: e6440793 addi x15,x8,-412 - ff4: 00078513 addi x10,x15,0 - ff8: a64ff0ef jal x1,25c <_puts> - ffc: 000027b7 lui x15,0x2 - 1000: ee078513 addi x10,x15,-288 # 1ee0 <__errno+0xc4> - 1004: a58ff0ef jal x1,25c <_puts> - 1008: e6440793 addi x15,x8,-412 - 100c: fb042583 lw x11,-80(x8) - 1010: 00078513 addi x10,x15,0 - 1014: bacff0ef jal x1,3c0 <_d2s> - 1018: e6440793 addi x15,x8,-412 - 101c: 00078513 addi x10,x15,0 - 1020: a3cff0ef jal x1,25c <_puts> - 1024: 000027b7 lui x15,0x2 - 1028: ee478513 addi x10,x15,-284 # 1ee4 <__errno+0xc8> - 102c: a30ff0ef jal x1,25c <_puts> - 1030: 948ff0ef jal x1,178 <_haschar> - 1034: 00050793 addi x15,x10,0 - 1038: 06078063 beq x15,x0,1098 - 103c: e6440793 addi x15,x8,-412 - 1040: 0ff00593 addi x11,x0,255 - 1044: 00078513 addi x10,x15,0 - 1048: a7cff0ef jal x1,2c4 <_gets> - 104c: 00000013 addi x0,x0,0 - 1050: 000027b7 lui x15,0x2 - 1054: ee078513 addi x10,x15,-288 # 1ee0 <__errno+0xc4> - 1058: a04ff0ef jal x1,25c <_puts> - 105c: e6440793 addi x15,x8,-412 - 1060: 00078513 addi x10,x15,0 - 1064: 9f8ff0ef jal x1,25c <_puts> - 1068: 000027b7 lui x15,0x2 - 106c: ee878513 addi x10,x15,-280 # 1ee8 <__errno+0xcc> - 1070: 9ecff0ef jal x1,25c <_puts> - 1074: e6440713 addi x14,x8,-412 - 1078: 00400613 addi x12,x0,4 - 107c: 000027b7 lui x15,0x2 - 1080: eec78593 addi x11,x15,-276 # 1eec <__errno+0xd0> - 1084: 00070513 addi x10,x14,0 - 1088: 8fdff0ef jal x1,984 <_strncmp> - 108c: 00050793 addi x15,x10,0 - 1090: 28079263 bne x15,x0,1314 - 1094: 2780006f jal x0,130c - 1098: fcc42783 lw x15,-52(x8) - 109c: 00178793 addi x15,x15,1 - 10a0: fcf42623 sw x15,-52(x8) - 10a4: fcc42703 lw x14,-52(x8) - 10a8: 000027b7 lui x15,0x2 - 10ac: 71078793 addi x15,x15,1808 # 2710 <__clz_tab+0x7c4> - 10b0: 1ae7fa63 bgeu x15,x14,1264 - 10b4: fc842783 lw x15,-56(x8) - 10b8: 00178793 addi x15,x15,1 - 10bc: fcf42423 sw x15,-56(x8) - 10c0: fc042623 sw x0,-52(x8) - 10c4: fc842783 lw x15,-56(x8) - 10c8: faf42423 sw x15,-88(x8) - 10cc: fa842503 lw x10,-88(x8) - 10d0: c01ff0ef jal x1,cd0 - 10d4: 00050793 addi x15,x10,0 - 10d8: 0ff7f793 andi x15,x15,255 - 10dc: f6f40c23 sb x15,-136(x8) - 10e0: fa842703 lw x14,-88(x8) - 10e4: 00a00793 addi x15,x0,10 - 10e8: 02f757b3 divu x15,x14,x15 - 10ec: 00078513 addi x10,x15,0 - 10f0: be1ff0ef jal x1,cd0 - 10f4: 00050793 addi x15,x10,0 - 10f8: 0ff7f793 andi x15,x15,255 - 10fc: f6f40ca3 sb x15,-135(x8) - 1100: fa842703 lw x14,-88(x8) - 1104: 06400793 addi x15,x0,100 - 1108: 02f757b3 divu x15,x14,x15 - 110c: 00078513 addi x10,x15,0 - 1110: bc1ff0ef jal x1,cd0 - 1114: 00050793 addi x15,x10,0 - 1118: 0ff7f793 andi x15,x15,255 - 111c: f6f40d23 sb x15,-134(x8) - 1120: fa842703 lw x14,-88(x8) - 1124: 3e800793 addi x15,x0,1000 - 1128: 02f757b3 divu x15,x14,x15 - 112c: 00078513 addi x10,x15,0 - 1130: ba1ff0ef jal x1,cd0 - 1134: 00050793 addi x15,x10,0 - 1138: 0ff7f793 andi x15,x15,255 - 113c: f6f40da3 sb x15,-133(x8) - 1140: fa842703 lw x14,-88(x8) - 1144: 000027b7 lui x15,0x2 - 1148: 71078793 addi x15,x15,1808 # 2710 <__clz_tab+0x7c4> - 114c: 02f757b3 divu x15,x14,x15 - 1150: faf42423 sw x15,-88(x8) - 1154: fa842503 lw x10,-88(x8) - 1158: b79ff0ef jal x1,cd0 - 115c: 00050793 addi x15,x10,0 - 1160: 0ff7f793 andi x15,x15,255 - 1164: f6f40e23 sb x15,-132(x8) - 1168: fa842703 lw x14,-88(x8) - 116c: 00a00793 addi x15,x0,10 - 1170: 02f757b3 divu x15,x14,x15 - 1174: 00078513 addi x10,x15,0 - 1178: b59ff0ef jal x1,cd0 - 117c: 00050793 addi x15,x10,0 - 1180: 0ff7f793 andi x15,x15,255 - 1184: f6f40ea3 sb x15,-131(x8) - 1188: fa842703 lw x14,-88(x8) - 118c: 06400793 addi x15,x0,100 - 1190: 02f757b3 divu x15,x14,x15 - 1194: 00078513 addi x10,x15,0 - 1198: b39ff0ef jal x1,cd0 - 119c: 00050793 addi x15,x10,0 - 11a0: 0ff7f793 andi x15,x15,255 - 11a4: f6f40f23 sb x15,-130(x8) - 11a8: fa842703 lw x14,-88(x8) - 11ac: 3e800793 addi x15,x0,1000 - 11b0: 02f757b3 divu x15,x14,x15 - 11b4: 00078513 addi x10,x15,0 - 11b8: b19ff0ef jal x1,cd0 - 11bc: 00050793 addi x15,x10,0 - 11c0: 0ff7f793 andi x15,x15,255 - 11c4: f6f40fa3 sb x15,-129(x8) - 11c8: fa842703 lw x14,-88(x8) - 11cc: 000027b7 lui x15,0x2 - 11d0: 71078793 addi x15,x15,1808 # 2710 <__clz_tab+0x7c4> - 11d4: 02f757b3 divu x15,x14,x15 - 11d8: faf42423 sw x15,-88(x8) - 11dc: fa842503 lw x10,-88(x8) - 11e0: af1ff0ef jal x1,cd0 - 11e4: 00050793 addi x15,x10,0 - 11e8: 0ff7f793 andi x15,x15,255 - 11ec: f8f40023 sb x15,-128(x8) - 11f0: fa842703 lw x14,-88(x8) - 11f4: 00a00793 addi x15,x0,10 - 11f8: 02f757b3 divu x15,x14,x15 - 11fc: 00078513 addi x10,x15,0 - 1200: ad1ff0ef jal x1,cd0 - 1204: 00050793 addi x15,x10,0 - 1208: 0ff7f793 andi x15,x15,255 - 120c: f8f400a3 sb x15,-127(x8) - 1210: fa842703 lw x14,-88(x8) - 1214: 06400793 addi x15,x0,100 - 1218: 02f757b3 divu x15,x14,x15 - 121c: 00078513 addi x10,x15,0 - 1220: ab1ff0ef jal x1,cd0 - 1224: 00050793 addi x15,x10,0 - 1228: 0ff7f793 andi x15,x15,255 - 122c: f8f40123 sb x15,-126(x8) - 1230: fa842703 lw x14,-88(x8) - 1234: 3e800793 addi x15,x0,1000 - 1238: 02f757b3 divu x15,x14,x15 - 123c: 00078513 addi x10,x15,0 - 1240: a91ff0ef jal x1,cd0 - 1244: 00050793 addi x15,x10,0 - 1248: 0ff7f793 andi x15,x15,255 - 124c: f8f401a3 sb x15,-125(x8) - 1250: f7840793 addi x15,x8,-136 - 1254: 0007a703 lw x14,0(x15) - 1258: fbc42783 lw x15,-68(x8) - 125c: 00e7a023 sw x14,0(x15) - 1260: dd1ff06f jal x0,1030 - 1264: aadff0ef jal x1,d10 - 1268: 00050713 addi x14,x10,0 - 126c: 00058793 addi x15,x11,0 - 1270: 01679693 slli x13,x15,0x16 - 1274: 00a75913 srli x18,x14,0xa - 1278: 0126e933 or x18,x13,x18 - 127c: 00a7d993 srli x19,x15,0xa - 1280: fb242623 sw x18,-84(x8) - 1284: fac42503 lw x10,-84(x8) - 1288: a49ff0ef jal x1,cd0 - 128c: 00050793 addi x15,x10,0 - 1290: 0ff7f793 andi x15,x15,255 - 1294: f6f40c23 sb x15,-136(x8) - 1298: fc842703 lw x14,-56(x8) - 129c: 00a00793 addi x15,x0,10 - 12a0: 02f757b3 divu x15,x14,x15 - 12a4: 00078513 addi x10,x15,0 - 12a8: a29ff0ef jal x1,cd0 - 12ac: 00050793 addi x15,x10,0 - 12b0: 0ff7f793 andi x15,x15,255 - 12b4: f6f40ca3 sb x15,-135(x8) - 12b8: fc842703 lw x14,-56(x8) - 12bc: 06400793 addi x15,x0,100 - 12c0: 02f757b3 divu x15,x14,x15 - 12c4: 00078513 addi x10,x15,0 - 12c8: a09ff0ef jal x1,cd0 - 12cc: 00050793 addi x15,x10,0 - 12d0: 0ff7f793 andi x15,x15,255 - 12d4: f6f40d23 sb x15,-134(x8) - 12d8: fc842703 lw x14,-56(x8) - 12dc: 3e800793 addi x15,x0,1000 - 12e0: 02f757b3 divu x15,x14,x15 - 12e4: 00078513 addi x10,x15,0 - 12e8: 9e9ff0ef jal x1,cd0 - 12ec: 00050793 addi x15,x10,0 - 12f0: 0ff7f793 andi x15,x15,255 - 12f4: f6f40da3 sb x15,-133(x8) - 12f8: f7840793 addi x15,x8,-136 - 12fc: 0007a703 lw x14,0(x15) - 1300: fbc42783 lw x15,-68(x8) - 1304: 00e7a023 sw x14,0(x15) - 1308: d29ff06f jal x0,1030 - 130c: afdff0ef jal x1,e08 - 1310: c29ff06f jal x0,f38 - 1314: e6444703 lbu x14,-412(x8) - 1318: 06200793 addi x15,x0,98 - 131c: 02f71c63 bne x14,x15,1354 - 1320: e6440793 addi x15,x8,-412 - 1324: 00278793 addi x15,x15,2 - 1328: 00000593 addi x11,x0,0 - 132c: 00078513 addi x10,x15,0 - 1330: b94ff0ef jal x1,6c4 <_s2d> - 1334: f8a42623 sw x10,-116(x8) - 1338: f8c42783 lw x15,-116(x8) - 133c: 00f05863 bge x0,x15,134c - 1340: f8c42503 lw x10,-116(x8) - 1344: ed8ff0ef jal x1,a1c <_buadrateset> - 1348: bf1ff06f jal x0,f38 - 134c: abdff0ef jal x1,e08 - 1350: be9ff06f jal x0,f38 - 1354: e6444703 lbu x14,-412(x8) - 1358: 06400793 addi x15,x0,100 - 135c: 02f71a63 bne x14,x15,1390 - 1360: e6440793 addi x15,x8,-412 - 1364: 00278793 addi x15,x15,2 - 1368: 00000593 addi x11,x0,0 - 136c: 00078513 addi x10,x15,0 - 1370: c50ff0ef jal x1,7c0 <_s2h> - 1374: f8a42823 sw x10,-112(x8) - 1378: f9042783 lw x15,-112(x8) - 137c: 00f05663 bge x0,x15,1388 - 1380: f9042703 lw x14,-112(x8) - 1384: c2e1ac23 sw x14,-968(x3) # 34c0 - 1388: ed4ff0ef jal x1,a5c - 138c: badff06f jal x0,f38 - 1390: e6444703 lbu x14,-412(x8) - 1394: 07700793 addi x15,x0,119 - 1398: 0af71c63 bne x14,x15,1450 - 139c: e6440793 addi x15,x8,-412 - 13a0: 00278793 addi x15,x15,2 - 13a4: f6840713 addi x14,x8,-152 - 13a8: 00070593 addi x11,x14,0 - 13ac: 00078513 addi x10,x15,0 - 13b0: c10ff0ef jal x1,7c0 <_s2h> - 13b4: f8a42e23 sw x10,-100(x8) - 13b8: f6842783 lw x15,-152(x8) - 13bc: f6840713 addi x14,x8,-152 - 13c0: 00070593 addi x11,x14,0 - 13c4: 00078513 addi x10,x15,0 - 13c8: bf8ff0ef jal x1,7c0 <_s2h> - 13cc: f8a42c23 sw x10,-104(x8) - 13d0: f6842783 lw x15,-152(x8) - 13d4: f6840713 addi x14,x8,-152 - 13d8: 00070593 addi x11,x14,0 - 13dc: 00078513 addi x10,x15,0 - 13e0: be0ff0ef jal x1,7c0 <_s2h> - 13e4: f8a42a23 sw x10,-108(x8) - 13e8: f9442703 lw x14,-108(x8) - 13ec: 00100793 addi x15,x0,1 - 13f0: 00f71c63 bne x14,x15,1408 - 13f4: f9c42783 lw x15,-100(x8) - 13f8: f9842703 lw x14,-104(x8) - 13fc: 0ff77713 andi x14,x14,255 - 1400: 00e78023 sb x14,0(x15) - 1404: b35ff06f jal x0,f38 - 1408: f9442703 lw x14,-108(x8) - 140c: 00200793 addi x15,x0,2 - 1410: 00f71e63 bne x14,x15,142c - 1414: f9c42783 lw x15,-100(x8) - 1418: f9842703 lw x14,-104(x8) - 141c: 01071713 slli x14,x14,0x10 - 1420: 41075713 srai x14,x14,0x10 - 1424: 00e79023 sh x14,0(x15) - 1428: b11ff06f jal x0,f38 - 142c: f9442703 lw x14,-108(x8) - 1430: 00400793 addi x15,x0,4 - 1434: 00f71a63 bne x14,x15,1448 - 1438: f9c42783 lw x15,-100(x8) - 143c: f9842703 lw x14,-104(x8) - 1440: 00e7a023 sw x14,0(x15) - 1444: af5ff06f jal x0,f38 - 1448: 9c1ff0ef jal x1,e08 - 144c: aedff06f jal x0,f38 - 1450: e6444703 lbu x14,-412(x8) - 1454: 07200793 addi x15,x0,114 - 1458: aef710e3 bne x14,x15,f38 - 145c: fc042223 sw x0,-60(x8) - 1460: e6440793 addi x15,x8,-412 - 1464: 00278793 addi x15,x15,2 - 1468: f6440713 addi x14,x8,-156 - 146c: 00070593 addi x11,x14,0 - 1470: 00078513 addi x10,x15,0 - 1474: b4cff0ef jal x1,7c0 <_s2h> - 1478: faa42223 sw x10,-92(x8) - 147c: f6442783 lw x15,-156(x8) - 1480: f6440713 addi x14,x8,-156 - 1484: 00070593 addi x11,x14,0 - 1488: 00078513 addi x10,x15,0 - 148c: b34ff0ef jal x1,7c0 <_s2h> - 1490: faa42023 sw x10,-96(x8) - 1494: fa042703 lw x14,-96(x8) - 1498: 00100793 addi x15,x0,1 - 149c: 02f71063 bne x14,x15,14bc - 14a0: fa442783 lw x15,-92(x8) - 14a4: 0007c783 lbu x15,0(x15) - 14a8: fcf42223 sw x15,-60(x8) - 14ac: 000027b7 lui x15,0x2 - 14b0: ef478513 addi x10,x15,-268 # 1ef4 <__errno+0xd8> - 14b4: da9fe0ef jal x1,25c <_puts> - 14b8: 0580006f jal x0,1510 - 14bc: fa042703 lw x14,-96(x8) - 14c0: 00200793 addi x15,x0,2 - 14c4: 02f71063 bne x14,x15,14e4 - 14c8: fa442783 lw x15,-92(x8) - 14cc: 00079783 lh x15,0(x15) - 14d0: fcf42223 sw x15,-60(x8) - 14d4: 000027b7 lui x15,0x2 - 14d8: efc78513 addi x10,x15,-260 # 1efc <__errno+0xe0> - 14dc: d81fe0ef jal x1,25c <_puts> - 14e0: 0300006f jal x0,1510 - 14e4: fa042703 lw x14,-96(x8) - 14e8: 00400793 addi x15,x0,4 - 14ec: 02f71063 bne x14,x15,150c - 14f0: fa442783 lw x15,-92(x8) - 14f4: 0007a783 lw x15,0(x15) - 14f8: fcf42223 sw x15,-60(x8) - 14fc: 000027b7 lui x15,0x2 - 1500: f0478513 addi x10,x15,-252 # 1f04 <__errno+0xe8> - 1504: d59fe0ef jal x1,25c <_puts> - 1508: 0080006f jal x0,1510 - 150c: 8fdff0ef jal x1,e08 - 1510: fa042703 lw x14,-96(x8) - 1514: 00100793 addi x15,x0,1 - 1518: 00f70e63 beq x14,x15,1534 - 151c: fa042703 lw x14,-96(x8) - 1520: 00200793 addi x15,x0,2 - 1524: 00f70863 beq x14,x15,1534 - 1528: fa042703 lw x14,-96(x8) - 152c: 00400793 addi x15,x0,4 - 1530: a0f714e3 bne x14,x15,f38 - 1534: fa442783 lw x15,-92(x8) - 1538: 00078b13 addi x22,x15,0 - 153c: 41f7d793 srai x15,x15,0x1f - 1540: 00078b93 addi x23,x15,0 - 1544: e6440793 addi x15,x8,-412 - 1548: 03000713 addi x14,x0,48 - 154c: 00800693 addi x13,x0,8 - 1550: 000b0593 addi x11,x22,0 - 1554: 000b8613 addi x12,x23,0 - 1558: 00078513 addi x10,x15,0 - 155c: fc1fe0ef jal x1,51c <_h2s> - 1560: e6440793 addi x15,x8,-412 - 1564: 00078513 addi x10,x15,0 - 1568: cf5fe0ef jal x1,25c <_puts> - 156c: 000027b7 lui x15,0x2 - 1570: f0c78513 addi x10,x15,-244 # 1f0c <__errno+0xf0> - 1574: ce9fe0ef jal x1,25c <_puts> - 1578: e6440793 addi x15,x8,-412 - 157c: fc442583 lw x11,-60(x8) - 1580: 00078513 addi x10,x15,0 - 1584: e3dfe0ef jal x1,3c0 <_d2s> - 1588: e6440793 addi x15,x8,-412 - 158c: 00078513 addi x10,x15,0 - 1590: ccdfe0ef jal x1,25c <_puts> - 1594: 000027b7 lui x15,0x2 - 1598: f1078513 addi x10,x15,-240 # 1f10 <__errno+0xf4> - 159c: cc1fe0ef jal x1,25c <_puts> - 15a0: fc442783 lw x15,-60(x8) - 15a4: 00078c13 addi x24,x15,0 - 15a8: 41f7d793 srai x15,x15,0x1f - 15ac: 00078c93 addi x25,x15,0 - 15b0: fa042783 lw x15,-96(x8) - 15b4: 00179693 slli x13,x15,0x1 - 15b8: e6440793 addi x15,x8,-412 - 15bc: 03000713 addi x14,x0,48 - 15c0: 000c0593 addi x11,x24,0 - 15c4: 000c8613 addi x12,x25,0 - 15c8: 00078513 addi x10,x15,0 - 15cc: f51fe0ef jal x1,51c <_h2s> - 15d0: e6440793 addi x15,x8,-412 - 15d4: 00078513 addi x10,x15,0 - 15d8: c85fe0ef jal x1,25c <_puts> - 15dc: 000027b7 lui x15,0x2 - 15e0: f1478513 addi x10,x15,-236 # 1f14 <__errno+0xf8> - 15e4: c79fe0ef jal x1,25c <_puts> - 15e8: 951ff06f jal x0,f38 +00001298 <__udivdi3>: + 1298: 00050313 addi x6,x10,0 + 129c: 00058893 addi x17,x11,0 + 12a0: 00060713 addi x14,x12,0 + 12a4: 00050813 addi x16,x10,0 + 12a8: 00058793 addi x15,x11,0 + 12ac: 28069263 bne x13,x0,1530 <__udivdi3+0x298> + 12b0: 000025b7 lui x11,0x2 + 12b4: be058593 addi x11,x11,-1056 # 1be0 <__clz_tab> + 12b8: 0ec8f663 bgeu x17,x12,13a4 <__udivdi3+0x10c> + 12bc: 000106b7 lui x13,0x10 + 12c0: 0cd67863 bgeu x12,x13,1390 <__udivdi3+0xf8> + 12c4: 10063693 sltiu x13,x12,256 + 12c8: 0016c693 xori x13,x13,1 + 12cc: 00369693 slli x13,x13,0x3 + 12d0: 00d65533 srl x10,x12,x13 + 12d4: 00a585b3 add x11,x11,x10 + 12d8: 0005c583 lbu x11,0(x11) + 12dc: 02000513 addi x10,x0,32 + 12e0: 00d586b3 add x13,x11,x13 + 12e4: 40d505b3 sub x11,x10,x13 + 12e8: 00d50c63 beq x10,x13,1300 <__udivdi3+0x68> + 12ec: 00b897b3 sll x15,x17,x11 + 12f0: 00d356b3 srl x13,x6,x13 + 12f4: 00b61733 sll x14,x12,x11 + 12f8: 00f6e7b3 or x15,x13,x15 + 12fc: 00b31833 sll x16,x6,x11 + 1300: 01075593 srli x11,x14,0x10 + 1304: 02b7d333 divu x6,x15,x11 + 1308: 01071613 slli x12,x14,0x10 + 130c: 01065613 srli x12,x12,0x10 + 1310: 02b7f7b3 remu x15,x15,x11 + 1314: 00030513 addi x10,x6,0 # 130 + 1318: 026608b3 mul x17,x12,x6 + 131c: 01079693 slli x13,x15,0x10 + 1320: 01085793 srli x15,x16,0x10 + 1324: 00d7e7b3 or x15,x15,x13 + 1328: 0117fe63 bgeu x15,x17,1344 <__udivdi3+0xac> + 132c: 00e787b3 add x15,x15,x14 + 1330: fff30513 addi x10,x6,-1 + 1334: 00e7e863 bltu x15,x14,1344 <__udivdi3+0xac> + 1338: 0117f663 bgeu x15,x17,1344 <__udivdi3+0xac> + 133c: ffe30513 addi x10,x6,-2 + 1340: 00e787b3 add x15,x15,x14 + 1344: 411787b3 sub x15,x15,x17 + 1348: 02b7d8b3 divu x17,x15,x11 + 134c: 01081813 slli x16,x16,0x10 + 1350: 01085813 srli x16,x16,0x10 + 1354: 02b7f7b3 remu x15,x15,x11 + 1358: 031606b3 mul x13,x12,x17 + 135c: 01079793 slli x15,x15,0x10 + 1360: 00f86833 or x16,x16,x15 + 1364: 00088793 addi x15,x17,0 + 1368: 00d87c63 bgeu x16,x13,1380 <__udivdi3+0xe8> + 136c: 01070833 add x16,x14,x16 + 1370: fff88793 addi x15,x17,-1 + 1374: 00e86663 bltu x16,x14,1380 <__udivdi3+0xe8> + 1378: 00d87463 bgeu x16,x13,1380 <__udivdi3+0xe8> + 137c: ffe88793 addi x15,x17,-2 + 1380: 01051513 slli x10,x10,0x10 + 1384: 00f56533 or x10,x10,x15 + 1388: 00000593 addi x11,x0,0 + 138c: 00008067 jalr x0,0(x1) + 1390: 01000537 lui x10,0x1000 + 1394: 01000693 addi x13,x0,16 + 1398: f2a66ce3 bltu x12,x10,12d0 <__udivdi3+0x38> + 139c: 01800693 addi x13,x0,24 + 13a0: f31ff06f jal x0,12d0 <__udivdi3+0x38> + 13a4: 00061463 bne x12,x0,13ac <__udivdi3+0x114> + 13a8: 00100073 ebreak + 13ac: 000107b7 lui x15,0x10 + 13b0: 0cf67063 bgeu x12,x15,1470 <__udivdi3+0x1d8> + 13b4: 10063693 sltiu x13,x12,256 + 13b8: 0016c693 xori x13,x13,1 + 13bc: 00369693 slli x13,x13,0x3 + 13c0: 00d657b3 srl x15,x12,x13 + 13c4: 00f585b3 add x11,x11,x15 + 13c8: 0005c783 lbu x15,0(x11) + 13cc: 00d787b3 add x15,x15,x13 + 13d0: 02000693 addi x13,x0,32 + 13d4: 40f685b3 sub x11,x13,x15 + 13d8: 0af69663 bne x13,x15,1484 <__udivdi3+0x1ec> + 13dc: 40c887b3 sub x15,x17,x12 + 13e0: 00100593 addi x11,x0,1 + 13e4: 01075893 srli x17,x14,0x10 + 13e8: 0317de33 divu x28,x15,x17 + 13ec: 01071613 slli x12,x14,0x10 + 13f0: 01065613 srli x12,x12,0x10 + 13f4: 01085693 srli x13,x16,0x10 + 13f8: 0317f7b3 remu x15,x15,x17 + 13fc: 000e0513 addi x10,x28,0 + 1400: 03c60333 mul x6,x12,x28 + 1404: 01079793 slli x15,x15,0x10 + 1408: 00f6e7b3 or x15,x13,x15 + 140c: 0067fe63 bgeu x15,x6,1428 <__udivdi3+0x190> + 1410: 00e787b3 add x15,x15,x14 + 1414: fffe0513 addi x10,x28,-1 + 1418: 00e7e863 bltu x15,x14,1428 <__udivdi3+0x190> + 141c: 0067f663 bgeu x15,x6,1428 <__udivdi3+0x190> + 1420: ffee0513 addi x10,x28,-2 + 1424: 00e787b3 add x15,x15,x14 + 1428: 406787b3 sub x15,x15,x6 + 142c: 0317d333 divu x6,x15,x17 + 1430: 01081813 slli x16,x16,0x10 + 1434: 01085813 srli x16,x16,0x10 + 1438: 0317f7b3 remu x15,x15,x17 + 143c: 026606b3 mul x13,x12,x6 + 1440: 01079793 slli x15,x15,0x10 + 1444: 00f86833 or x16,x16,x15 + 1448: 00030793 addi x15,x6,0 + 144c: 00d87c63 bgeu x16,x13,1464 <__udivdi3+0x1cc> + 1450: 01070833 add x16,x14,x16 + 1454: fff30793 addi x15,x6,-1 + 1458: 00e86663 bltu x16,x14,1464 <__udivdi3+0x1cc> + 145c: 00d87463 bgeu x16,x13,1464 <__udivdi3+0x1cc> + 1460: ffe30793 addi x15,x6,-2 + 1464: 01051513 slli x10,x10,0x10 + 1468: 00f56533 or x10,x10,x15 + 146c: 00008067 jalr x0,0(x1) + 1470: 010007b7 lui x15,0x1000 + 1474: 01000693 addi x13,x0,16 + 1478: f4f664e3 bltu x12,x15,13c0 <__udivdi3+0x128> + 147c: 01800693 addi x13,x0,24 + 1480: f41ff06f jal x0,13c0 <__udivdi3+0x128> + 1484: 00b61733 sll x14,x12,x11 + 1488: 00f8d6b3 srl x13,x17,x15 + 148c: 01075513 srli x10,x14,0x10 + 1490: 00f357b3 srl x15,x6,x15 + 1494: 00b31833 sll x16,x6,x11 + 1498: 02a6d333 divu x6,x13,x10 + 149c: 01071613 slli x12,x14,0x10 + 14a0: 00b898b3 sll x17,x17,x11 + 14a4: 01065613 srli x12,x12,0x10 + 14a8: 0117e7b3 or x15,x15,x17 + 14ac: 02a6f6b3 remu x13,x13,x10 + 14b0: 026608b3 mul x17,x12,x6 + 14b4: 01069593 slli x11,x13,0x10 + 14b8: 0107d693 srli x13,x15,0x10 + 14bc: 00b6e6b3 or x13,x13,x11 + 14c0: 00030593 addi x11,x6,0 + 14c4: 0116fe63 bgeu x13,x17,14e0 <__udivdi3+0x248> + 14c8: 00e686b3 add x13,x13,x14 + 14cc: fff30593 addi x11,x6,-1 + 14d0: 00e6e863 bltu x13,x14,14e0 <__udivdi3+0x248> + 14d4: 0116f663 bgeu x13,x17,14e0 <__udivdi3+0x248> + 14d8: ffe30593 addi x11,x6,-2 + 14dc: 00e686b3 add x13,x13,x14 + 14e0: 411686b3 sub x13,x13,x17 + 14e4: 02a6d8b3 divu x17,x13,x10 + 14e8: 01079793 slli x15,x15,0x10 + 14ec: 0107d793 srli x15,x15,0x10 + 14f0: 02a6f6b3 remu x13,x13,x10 + 14f4: 03160633 mul x12,x12,x17 + 14f8: 01069693 slli x13,x13,0x10 + 14fc: 00d7e7b3 or x15,x15,x13 + 1500: 00088693 addi x13,x17,0 + 1504: 00c7fe63 bgeu x15,x12,1520 <__udivdi3+0x288> + 1508: 00e787b3 add x15,x15,x14 + 150c: fff88693 addi x13,x17,-1 + 1510: 00e7e863 bltu x15,x14,1520 <__udivdi3+0x288> + 1514: 00c7f663 bgeu x15,x12,1520 <__udivdi3+0x288> + 1518: ffe88693 addi x13,x17,-2 + 151c: 00e787b3 add x15,x15,x14 + 1520: 01059593 slli x11,x11,0x10 + 1524: 40c787b3 sub x15,x15,x12 + 1528: 00d5e5b3 or x11,x11,x13 + 152c: eb9ff06f jal x0,13e4 <__udivdi3+0x14c> + 1530: 18d5e663 bltu x11,x13,16bc <__udivdi3+0x424> + 1534: 000107b7 lui x15,0x10 + 1538: 04f6f463 bgeu x13,x15,1580 <__udivdi3+0x2e8> + 153c: 1006b713 sltiu x14,x13,256 + 1540: 00174713 xori x14,x14,1 + 1544: 00371713 slli x14,x14,0x3 + 1548: 000027b7 lui x15,0x2 + 154c: 00e6d5b3 srl x11,x13,x14 + 1550: be078793 addi x15,x15,-1056 # 1be0 <__clz_tab> + 1554: 00b787b3 add x15,x15,x11 + 1558: 0007c783 lbu x15,0(x15) + 155c: 00e787b3 add x15,x15,x14 + 1560: 02000713 addi x14,x0,32 + 1564: 40f705b3 sub x11,x14,x15 + 1568: 02f71663 bne x14,x15,1594 <__udivdi3+0x2fc> + 156c: 00100513 addi x10,x0,1 + 1570: e116eee3 bltu x13,x17,138c <__udivdi3+0xf4> + 1574: 00c33533 sltu x10,x6,x12 + 1578: 00154513 xori x10,x10,1 + 157c: 00008067 jalr x0,0(x1) + 1580: 010007b7 lui x15,0x1000 + 1584: 01000713 addi x14,x0,16 + 1588: fcf6e0e3 bltu x13,x15,1548 <__udivdi3+0x2b0> + 158c: 01800713 addi x14,x0,24 + 1590: fb9ff06f jal x0,1548 <__udivdi3+0x2b0> + 1594: 00f65733 srl x14,x12,x15 + 1598: 00b696b3 sll x13,x13,x11 + 159c: 00d766b3 or x13,x14,x13 + 15a0: 00f8d733 srl x14,x17,x15 + 15a4: 00b898b3 sll x17,x17,x11 + 15a8: 00f357b3 srl x15,x6,x15 + 15ac: 0117e7b3 or x15,x15,x17 + 15b0: 0106d893 srli x17,x13,0x10 + 15b4: 03175eb3 divu x29,x14,x17 + 15b8: 01069813 slli x16,x13,0x10 + 15bc: 01085813 srli x16,x16,0x10 + 15c0: 00b61633 sll x12,x12,x11 + 15c4: 03177733 remu x14,x14,x17 + 15c8: 03d80e33 mul x28,x16,x29 + 15cc: 01071513 slli x10,x14,0x10 + 15d0: 0107d713 srli x14,x15,0x10 + 15d4: 00a76733 or x14,x14,x10 + 15d8: 000e8513 addi x10,x29,0 + 15dc: 01c77e63 bgeu x14,x28,15f8 <__udivdi3+0x360> + 15e0: 00d70733 add x14,x14,x13 + 15e4: fffe8513 addi x10,x29,-1 + 15e8: 00d76863 bltu x14,x13,15f8 <__udivdi3+0x360> + 15ec: 01c77663 bgeu x14,x28,15f8 <__udivdi3+0x360> + 15f0: ffee8513 addi x10,x29,-2 + 15f4: 00d70733 add x14,x14,x13 + 15f8: 41c70733 sub x14,x14,x28 + 15fc: 03175e33 divu x28,x14,x17 + 1600: 01079793 slli x15,x15,0x10 + 1604: 0107d793 srli x15,x15,0x10 + 1608: 03177733 remu x14,x14,x17 + 160c: 03c80833 mul x16,x16,x28 + 1610: 01071713 slli x14,x14,0x10 + 1614: 00e7e7b3 or x15,x15,x14 + 1618: 000e0713 addi x14,x28,0 + 161c: 0107fe63 bgeu x15,x16,1638 <__udivdi3+0x3a0> + 1620: 00d787b3 add x15,x15,x13 + 1624: fffe0713 addi x14,x28,-1 + 1628: 00d7e863 bltu x15,x13,1638 <__udivdi3+0x3a0> + 162c: 0107f663 bgeu x15,x16,1638 <__udivdi3+0x3a0> + 1630: ffee0713 addi x14,x28,-2 + 1634: 00d787b3 add x15,x15,x13 + 1638: 01051513 slli x10,x10,0x10 + 163c: 00010e37 lui x28,0x10 + 1640: 00e56533 or x10,x10,x14 + 1644: fffe0693 addi x13,x28,-1 # ffff <__global_pointer$+0xd7c7> + 1648: 00d57733 and x14,x10,x13 + 164c: 410787b3 sub x15,x15,x16 + 1650: 00d676b3 and x13,x12,x13 + 1654: 01055813 srli x16,x10,0x10 + 1658: 01065613 srli x12,x12,0x10 + 165c: 02d708b3 mul x17,x14,x13 + 1660: 02d806b3 mul x13,x16,x13 + 1664: 02c70733 mul x14,x14,x12 + 1668: 02c80833 mul x16,x16,x12 + 166c: 00d70633 add x12,x14,x13 + 1670: 0108d713 srli x14,x17,0x10 + 1674: 00c70733 add x14,x14,x12 + 1678: 00d77463 bgeu x14,x13,1680 <__udivdi3+0x3e8> + 167c: 01c80833 add x16,x16,x28 + 1680: 01075693 srli x13,x14,0x10 + 1684: 010686b3 add x13,x13,x16 + 1688: 02d7e663 bltu x15,x13,16b4 <__udivdi3+0x41c> + 168c: ced79ee3 bne x15,x13,1388 <__udivdi3+0xf0> + 1690: 000107b7 lui x15,0x10 + 1694: fff78793 addi x15,x15,-1 # ffff <__global_pointer$+0xd7c7> + 1698: 00f77733 and x14,x14,x15 + 169c: 01071713 slli x14,x14,0x10 + 16a0: 00f8f8b3 and x17,x17,x15 + 16a4: 00b31333 sll x6,x6,x11 + 16a8: 01170733 add x14,x14,x17 + 16ac: 00000593 addi x11,x0,0 + 16b0: cce37ee3 bgeu x6,x14,138c <__udivdi3+0xf4> + 16b4: fff50513 addi x10,x10,-1 # ffffff <__global_pointer$+0xffd7c7> + 16b8: cd1ff06f jal x0,1388 <__udivdi3+0xf0> + 16bc: 00000593 addi x11,x0,0 + 16c0: 00000513 addi x10,x0,0 + 16c4: 00008067 jalr x0,0(x1) -000015ec <__udivdi3>: - 15ec: 00050313 addi x6,x10,0 - 15f0: 00058893 addi x17,x11,0 - 15f4: 00060713 addi x14,x12,0 - 15f8: 00050813 addi x16,x10,0 - 15fc: 00058793 addi x15,x11,0 - 1600: 28069263 bne x13,x0,1884 <__udivdi3+0x298> - 1604: 000025b7 lui x11,0x2 - 1608: f4c58593 addi x11,x11,-180 # 1f4c <__clz_tab> - 160c: 0ec8f663 bgeu x17,x12,16f8 <__udivdi3+0x10c> - 1610: 000106b7 lui x13,0x10 - 1614: 0cd67863 bgeu x12,x13,16e4 <__udivdi3+0xf8> - 1618: 10063693 sltiu x13,x12,256 - 161c: 0016c693 xori x13,x13,1 - 1620: 00369693 slli x13,x13,0x3 - 1624: 00d65533 srl x10,x12,x13 - 1628: 00a585b3 add x11,x11,x10 - 162c: 0005c583 lbu x11,0(x11) - 1630: 02000513 addi x10,x0,32 - 1634: 00d586b3 add x13,x11,x13 - 1638: 40d505b3 sub x11,x10,x13 - 163c: 00d50c63 beq x10,x13,1654 <__udivdi3+0x68> - 1640: 00b897b3 sll x15,x17,x11 - 1644: 00d356b3 srl x13,x6,x13 - 1648: 00b61733 sll x14,x12,x11 - 164c: 00f6e7b3 or x15,x13,x15 - 1650: 00b31833 sll x16,x6,x11 - 1654: 01075593 srli x11,x14,0x10 - 1658: 02b7d333 divu x6,x15,x11 - 165c: 01071613 slli x12,x14,0x10 - 1660: 01065613 srli x12,x12,0x10 - 1664: 02b7f7b3 remu x15,x15,x11 - 1668: 00030513 addi x10,x6,0 # 130 - 166c: 026608b3 mul x17,x12,x6 - 1670: 01079693 slli x13,x15,0x10 - 1674: 01085793 srli x15,x16,0x10 - 1678: 00d7e7b3 or x15,x15,x13 - 167c: 0117fe63 bgeu x15,x17,1698 <__udivdi3+0xac> - 1680: 00e787b3 add x15,x15,x14 - 1684: fff30513 addi x10,x6,-1 - 1688: 00e7e863 bltu x15,x14,1698 <__udivdi3+0xac> - 168c: 0117f663 bgeu x15,x17,1698 <__udivdi3+0xac> - 1690: ffe30513 addi x10,x6,-2 - 1694: 00e787b3 add x15,x15,x14 - 1698: 411787b3 sub x15,x15,x17 - 169c: 02b7d8b3 divu x17,x15,x11 - 16a0: 01081813 slli x16,x16,0x10 - 16a4: 01085813 srli x16,x16,0x10 - 16a8: 02b7f7b3 remu x15,x15,x11 - 16ac: 031606b3 mul x13,x12,x17 - 16b0: 01079793 slli x15,x15,0x10 - 16b4: 00f86833 or x16,x16,x15 - 16b8: 00088793 addi x15,x17,0 - 16bc: 00d87c63 bgeu x16,x13,16d4 <__udivdi3+0xe8> - 16c0: 01070833 add x16,x14,x16 - 16c4: fff88793 addi x15,x17,-1 - 16c8: 00e86663 bltu x16,x14,16d4 <__udivdi3+0xe8> - 16cc: 00d87463 bgeu x16,x13,16d4 <__udivdi3+0xe8> - 16d0: ffe88793 addi x15,x17,-2 - 16d4: 01051513 slli x10,x10,0x10 - 16d8: 00f56533 or x10,x10,x15 - 16dc: 00000593 addi x11,x0,0 - 16e0: 00008067 jalr x0,0(x1) - 16e4: 01000537 lui x10,0x1000 - 16e8: 01000693 addi x13,x0,16 - 16ec: f2a66ce3 bltu x12,x10,1624 <__udivdi3+0x38> - 16f0: 01800693 addi x13,x0,24 - 16f4: f31ff06f jal x0,1624 <__udivdi3+0x38> - 16f8: 00061463 bne x12,x0,1700 <__udivdi3+0x114> - 16fc: 00100073 ebreak - 1700: 000107b7 lui x15,0x10 - 1704: 0cf67063 bgeu x12,x15,17c4 <__udivdi3+0x1d8> - 1708: 10063693 sltiu x13,x12,256 - 170c: 0016c693 xori x13,x13,1 - 1710: 00369693 slli x13,x13,0x3 - 1714: 00d657b3 srl x15,x12,x13 - 1718: 00f585b3 add x11,x11,x15 - 171c: 0005c783 lbu x15,0(x11) - 1720: 00d787b3 add x15,x15,x13 - 1724: 02000693 addi x13,x0,32 - 1728: 40f685b3 sub x11,x13,x15 - 172c: 0af69663 bne x13,x15,17d8 <__udivdi3+0x1ec> - 1730: 40c887b3 sub x15,x17,x12 - 1734: 00100593 addi x11,x0,1 - 1738: 01075893 srli x17,x14,0x10 - 173c: 0317de33 divu x28,x15,x17 - 1740: 01071613 slli x12,x14,0x10 - 1744: 01065613 srli x12,x12,0x10 - 1748: 01085693 srli x13,x16,0x10 - 174c: 0317f7b3 remu x15,x15,x17 - 1750: 000e0513 addi x10,x28,0 - 1754: 03c60333 mul x6,x12,x28 - 1758: 01079793 slli x15,x15,0x10 - 175c: 00f6e7b3 or x15,x13,x15 - 1760: 0067fe63 bgeu x15,x6,177c <__udivdi3+0x190> - 1764: 00e787b3 add x15,x15,x14 - 1768: fffe0513 addi x10,x28,-1 - 176c: 00e7e863 bltu x15,x14,177c <__udivdi3+0x190> - 1770: 0067f663 bgeu x15,x6,177c <__udivdi3+0x190> - 1774: ffee0513 addi x10,x28,-2 - 1778: 00e787b3 add x15,x15,x14 - 177c: 406787b3 sub x15,x15,x6 - 1780: 0317d333 divu x6,x15,x17 - 1784: 01081813 slli x16,x16,0x10 - 1788: 01085813 srli x16,x16,0x10 - 178c: 0317f7b3 remu x15,x15,x17 - 1790: 026606b3 mul x13,x12,x6 - 1794: 01079793 slli x15,x15,0x10 - 1798: 00f86833 or x16,x16,x15 - 179c: 00030793 addi x15,x6,0 - 17a0: 00d87c63 bgeu x16,x13,17b8 <__udivdi3+0x1cc> - 17a4: 01070833 add x16,x14,x16 - 17a8: fff30793 addi x15,x6,-1 - 17ac: 00e86663 bltu x16,x14,17b8 <__udivdi3+0x1cc> - 17b0: 00d87463 bgeu x16,x13,17b8 <__udivdi3+0x1cc> - 17b4: ffe30793 addi x15,x6,-2 - 17b8: 01051513 slli x10,x10,0x10 - 17bc: 00f56533 or x10,x10,x15 - 17c0: 00008067 jalr x0,0(x1) - 17c4: 010007b7 lui x15,0x1000 - 17c8: 01000693 addi x13,x0,16 - 17cc: f4f664e3 bltu x12,x15,1714 <__udivdi3+0x128> - 17d0: 01800693 addi x13,x0,24 - 17d4: f41ff06f jal x0,1714 <__udivdi3+0x128> - 17d8: 00b61733 sll x14,x12,x11 - 17dc: 00f8d6b3 srl x13,x17,x15 - 17e0: 01075513 srli x10,x14,0x10 - 17e4: 00f357b3 srl x15,x6,x15 - 17e8: 00b31833 sll x16,x6,x11 - 17ec: 02a6d333 divu x6,x13,x10 - 17f0: 01071613 slli x12,x14,0x10 - 17f4: 00b898b3 sll x17,x17,x11 - 17f8: 01065613 srli x12,x12,0x10 - 17fc: 0117e7b3 or x15,x15,x17 - 1800: 02a6f6b3 remu x13,x13,x10 - 1804: 026608b3 mul x17,x12,x6 - 1808: 01069593 slli x11,x13,0x10 - 180c: 0107d693 srli x13,x15,0x10 - 1810: 00b6e6b3 or x13,x13,x11 - 1814: 00030593 addi x11,x6,0 - 1818: 0116fe63 bgeu x13,x17,1834 <__udivdi3+0x248> - 181c: 00e686b3 add x13,x13,x14 - 1820: fff30593 addi x11,x6,-1 - 1824: 00e6e863 bltu x13,x14,1834 <__udivdi3+0x248> - 1828: 0116f663 bgeu x13,x17,1834 <__udivdi3+0x248> - 182c: ffe30593 addi x11,x6,-2 - 1830: 00e686b3 add x13,x13,x14 - 1834: 411686b3 sub x13,x13,x17 - 1838: 02a6d8b3 divu x17,x13,x10 - 183c: 01079793 slli x15,x15,0x10 - 1840: 0107d793 srli x15,x15,0x10 - 1844: 02a6f6b3 remu x13,x13,x10 - 1848: 03160633 mul x12,x12,x17 - 184c: 01069693 slli x13,x13,0x10 - 1850: 00d7e7b3 or x15,x15,x13 - 1854: 00088693 addi x13,x17,0 - 1858: 00c7fe63 bgeu x15,x12,1874 <__udivdi3+0x288> - 185c: 00e787b3 add x15,x15,x14 - 1860: fff88693 addi x13,x17,-1 - 1864: 00e7e863 bltu x15,x14,1874 <__udivdi3+0x288> - 1868: 00c7f663 bgeu x15,x12,1874 <__udivdi3+0x288> - 186c: ffe88693 addi x13,x17,-2 - 1870: 00e787b3 add x15,x15,x14 - 1874: 01059593 slli x11,x11,0x10 - 1878: 40c787b3 sub x15,x15,x12 - 187c: 00d5e5b3 or x11,x11,x13 - 1880: eb9ff06f jal x0,1738 <__udivdi3+0x14c> - 1884: 18d5e663 bltu x11,x13,1a10 <__udivdi3+0x424> - 1888: 000107b7 lui x15,0x10 - 188c: 04f6f463 bgeu x13,x15,18d4 <__udivdi3+0x2e8> - 1890: 1006b713 sltiu x14,x13,256 - 1894: 00174713 xori x14,x14,1 - 1898: 00371713 slli x14,x14,0x3 - 189c: 000027b7 lui x15,0x2 - 18a0: 00e6d5b3 srl x11,x13,x14 - 18a4: f4c78793 addi x15,x15,-180 # 1f4c <__clz_tab> - 18a8: 00b787b3 add x15,x15,x11 - 18ac: 0007c783 lbu x15,0(x15) - 18b0: 00e787b3 add x15,x15,x14 - 18b4: 02000713 addi x14,x0,32 - 18b8: 40f705b3 sub x11,x14,x15 - 18bc: 02f71663 bne x14,x15,18e8 <__udivdi3+0x2fc> - 18c0: 00100513 addi x10,x0,1 - 18c4: e116eee3 bltu x13,x17,16e0 <__udivdi3+0xf4> - 18c8: 00c33533 sltu x10,x6,x12 - 18cc: 00154513 xori x10,x10,1 - 18d0: 00008067 jalr x0,0(x1) - 18d4: 010007b7 lui x15,0x1000 - 18d8: 01000713 addi x14,x0,16 - 18dc: fcf6e0e3 bltu x13,x15,189c <__udivdi3+0x2b0> - 18e0: 01800713 addi x14,x0,24 - 18e4: fb9ff06f jal x0,189c <__udivdi3+0x2b0> - 18e8: 00f65733 srl x14,x12,x15 - 18ec: 00b696b3 sll x13,x13,x11 - 18f0: 00d766b3 or x13,x14,x13 - 18f4: 00f8d733 srl x14,x17,x15 - 18f8: 00b898b3 sll x17,x17,x11 - 18fc: 00f357b3 srl x15,x6,x15 - 1900: 0117e7b3 or x15,x15,x17 - 1904: 0106d893 srli x17,x13,0x10 - 1908: 03175eb3 divu x29,x14,x17 - 190c: 01069813 slli x16,x13,0x10 - 1910: 01085813 srli x16,x16,0x10 - 1914: 00b61633 sll x12,x12,x11 - 1918: 03177733 remu x14,x14,x17 - 191c: 03d80e33 mul x28,x16,x29 - 1920: 01071513 slli x10,x14,0x10 - 1924: 0107d713 srli x14,x15,0x10 - 1928: 00a76733 or x14,x14,x10 - 192c: 000e8513 addi x10,x29,0 - 1930: 01c77e63 bgeu x14,x28,194c <__udivdi3+0x360> - 1934: 00d70733 add x14,x14,x13 - 1938: fffe8513 addi x10,x29,-1 - 193c: 00d76863 bltu x14,x13,194c <__udivdi3+0x360> - 1940: 01c77663 bgeu x14,x28,194c <__udivdi3+0x360> - 1944: ffee8513 addi x10,x29,-2 - 1948: 00d70733 add x14,x14,x13 - 194c: 41c70733 sub x14,x14,x28 - 1950: 03175e33 divu x28,x14,x17 - 1954: 01079793 slli x15,x15,0x10 - 1958: 0107d793 srli x15,x15,0x10 - 195c: 03177733 remu x14,x14,x17 - 1960: 03c80833 mul x16,x16,x28 - 1964: 01071713 slli x14,x14,0x10 - 1968: 00e7e7b3 or x15,x15,x14 - 196c: 000e0713 addi x14,x28,0 - 1970: 0107fe63 bgeu x15,x16,198c <__udivdi3+0x3a0> - 1974: 00d787b3 add x15,x15,x13 - 1978: fffe0713 addi x14,x28,-1 - 197c: 00d7e863 bltu x15,x13,198c <__udivdi3+0x3a0> - 1980: 0107f663 bgeu x15,x16,198c <__udivdi3+0x3a0> - 1984: ffee0713 addi x14,x28,-2 - 1988: 00d787b3 add x15,x15,x13 - 198c: 01051513 slli x10,x10,0x10 - 1990: 00010e37 lui x28,0x10 - 1994: 00e56533 or x10,x10,x14 - 1998: fffe0693 addi x13,x28,-1 # ffff <__global_pointer$+0xc777> - 199c: 00d57733 and x14,x10,x13 - 19a0: 410787b3 sub x15,x15,x16 - 19a4: 00d676b3 and x13,x12,x13 - 19a8: 01055813 srli x16,x10,0x10 - 19ac: 01065613 srli x12,x12,0x10 - 19b0: 02d708b3 mul x17,x14,x13 - 19b4: 02d806b3 mul x13,x16,x13 - 19b8: 02c70733 mul x14,x14,x12 - 19bc: 02c80833 mul x16,x16,x12 - 19c0: 00d70633 add x12,x14,x13 - 19c4: 0108d713 srli x14,x17,0x10 - 19c8: 00c70733 add x14,x14,x12 - 19cc: 00d77463 bgeu x14,x13,19d4 <__udivdi3+0x3e8> - 19d0: 01c80833 add x16,x16,x28 - 19d4: 01075693 srli x13,x14,0x10 - 19d8: 010686b3 add x13,x13,x16 - 19dc: 02d7e663 bltu x15,x13,1a08 <__udivdi3+0x41c> - 19e0: ced79ee3 bne x15,x13,16dc <__udivdi3+0xf0> - 19e4: 000107b7 lui x15,0x10 - 19e8: fff78793 addi x15,x15,-1 # ffff <__global_pointer$+0xc777> - 19ec: 00f77733 and x14,x14,x15 - 19f0: 01071713 slli x14,x14,0x10 - 19f4: 00f8f8b3 and x17,x17,x15 - 19f8: 00b31333 sll x6,x6,x11 - 19fc: 01170733 add x14,x14,x17 - 1a00: 00000593 addi x11,x0,0 - 1a04: cce37ee3 bgeu x6,x14,16e0 <__udivdi3+0xf4> - 1a08: fff50513 addi x10,x10,-1 # ffffff <__global_pointer$+0xffc777> - 1a0c: cd1ff06f jal x0,16dc <__udivdi3+0xf0> - 1a10: 00000593 addi x11,x0,0 - 1a14: 00000513 addi x10,x0,0 - 1a18: 00008067 jalr x0,0(x1) +000016c8 : + 16c8: ff010113 addi x2,x2,-16 + 16cc: 00000593 addi x11,x0,0 + 16d0: 00812423 sw x8,8(x2) + 16d4: 00112623 sw x1,12(x2) + 16d8: 00050413 addi x8,x10,0 + 16dc: 194000ef jal x1,1870 <__call_exitprocs> + 16e0: c281a503 lw x10,-984(x3) # 2460 <_global_impure_ptr> + 16e4: 03c52783 lw x15,60(x10) + 16e8: 00078463 beq x15,x0,16f0 + 16ec: 000780e7 jalr x1,0(x15) + 16f0: 00040513 addi x10,x8,0 + 16f4: 3a4000ef jal x1,1a98 <_exit> -00001a1c : - 1a1c: ff010113 addi x2,x2,-16 - 1a20: 00000593 addi x11,x0,0 - 1a24: 00812423 sw x8,8(x2) - 1a28: 00112623 sw x1,12(x2) - 1a2c: 00050413 addi x8,x10,0 - 1a30: 194000ef jal x1,1bc4 <__call_exitprocs> - 1a34: c281a503 lw x10,-984(x3) # 34b0 <_global_impure_ptr> - 1a38: 03c52783 lw x15,60(x10) - 1a3c: 00078463 beq x15,x0,1a44 - 1a40: 000780e7 jalr x1,0(x15) - 1a44: 00040513 addi x10,x8,0 - 1a48: 3a4000ef jal x1,1dec <_exit> +000016f8 <__libc_init_array>: + 16f8: ff010113 addi x2,x2,-16 + 16fc: 00812423 sw x8,8(x2) + 1700: 01212023 sw x18,0(x2) + 1704: 00002437 lui x8,0x2 + 1708: 00002937 lui x18,0x2 + 170c: 02c40793 addi x15,x8,44 # 202c <__init_array_start> + 1710: 02c90913 addi x18,x18,44 # 202c <__init_array_start> + 1714: 40f90933 sub x18,x18,x15 + 1718: 00112623 sw x1,12(x2) + 171c: 00912223 sw x9,4(x2) + 1720: 40295913 srai x18,x18,0x2 + 1724: 02090063 beq x18,x0,1744 <__libc_init_array+0x4c> + 1728: 02c40413 addi x8,x8,44 + 172c: 00000493 addi x9,x0,0 + 1730: 00042783 lw x15,0(x8) + 1734: 00148493 addi x9,x9,1 + 1738: 00440413 addi x8,x8,4 + 173c: 000780e7 jalr x1,0(x15) + 1740: fe9918e3 bne x18,x9,1730 <__libc_init_array+0x38> + 1744: 00002437 lui x8,0x2 + 1748: 00002937 lui x18,0x2 + 174c: 02c40793 addi x15,x8,44 # 202c <__init_array_start> + 1750: 03490913 addi x18,x18,52 # 2034 <__do_global_dtors_aux_fini_array_entry> + 1754: 40f90933 sub x18,x18,x15 + 1758: 40295913 srai x18,x18,0x2 + 175c: 02090063 beq x18,x0,177c <__libc_init_array+0x84> + 1760: 02c40413 addi x8,x8,44 + 1764: 00000493 addi x9,x0,0 + 1768: 00042783 lw x15,0(x8) + 176c: 00148493 addi x9,x9,1 + 1770: 00440413 addi x8,x8,4 + 1774: 000780e7 jalr x1,0(x15) + 1778: fe9918e3 bne x18,x9,1768 <__libc_init_array+0x70> + 177c: 00c12083 lw x1,12(x2) + 1780: 00812403 lw x8,8(x2) + 1784: 00412483 lw x9,4(x2) + 1788: 00012903 lw x18,0(x2) + 178c: 01010113 addi x2,x2,16 + 1790: 00008067 jalr x0,0(x1) -00001a4c <__libc_init_array>: - 1a4c: ff010113 addi x2,x2,-16 - 1a50: 00812423 sw x8,8(x2) - 1a54: 01212023 sw x18,0(x2) - 1a58: 00003437 lui x8,0x3 - 1a5c: 00003937 lui x18,0x3 - 1a60: 07840793 addi x15,x8,120 # 3078 <__init_array_start> - 1a64: 07890913 addi x18,x18,120 # 3078 <__init_array_start> - 1a68: 40f90933 sub x18,x18,x15 - 1a6c: 00112623 sw x1,12(x2) - 1a70: 00912223 sw x9,4(x2) - 1a74: 40295913 srai x18,x18,0x2 - 1a78: 02090063 beq x18,x0,1a98 <__libc_init_array+0x4c> - 1a7c: 07840413 addi x8,x8,120 - 1a80: 00000493 addi x9,x0,0 - 1a84: 00042783 lw x15,0(x8) - 1a88: 00148493 addi x9,x9,1 - 1a8c: 00440413 addi x8,x8,4 - 1a90: 000780e7 jalr x1,0(x15) - 1a94: fe9918e3 bne x18,x9,1a84 <__libc_init_array+0x38> - 1a98: 00003437 lui x8,0x3 - 1a9c: 00003937 lui x18,0x3 - 1aa0: 07840793 addi x15,x8,120 # 3078 <__init_array_start> - 1aa4: 08090913 addi x18,x18,128 # 3080 <__do_global_dtors_aux_fini_array_entry> - 1aa8: 40f90933 sub x18,x18,x15 - 1aac: 40295913 srai x18,x18,0x2 - 1ab0: 02090063 beq x18,x0,1ad0 <__libc_init_array+0x84> - 1ab4: 07840413 addi x8,x8,120 - 1ab8: 00000493 addi x9,x0,0 - 1abc: 00042783 lw x15,0(x8) - 1ac0: 00148493 addi x9,x9,1 - 1ac4: 00440413 addi x8,x8,4 - 1ac8: 000780e7 jalr x1,0(x15) - 1acc: fe9918e3 bne x18,x9,1abc <__libc_init_array+0x70> - 1ad0: 00c12083 lw x1,12(x2) - 1ad4: 00812403 lw x8,8(x2) - 1ad8: 00412483 lw x9,4(x2) - 1adc: 00012903 lw x18,0(x2) - 1ae0: 01010113 addi x2,x2,16 - 1ae4: 00008067 jalr x0,0(x1) +00001794 : + 1794: 00f00313 addi x6,x0,15 + 1798: 00050713 addi x14,x10,0 + 179c: 02c37e63 bgeu x6,x12,17d8 + 17a0: 00f77793 andi x15,x14,15 + 17a4: 0a079063 bne x15,x0,1844 + 17a8: 08059263 bne x11,x0,182c + 17ac: ff067693 andi x13,x12,-16 + 17b0: 00f67613 andi x12,x12,15 + 17b4: 00e686b3 add x13,x13,x14 + 17b8: 00b72023 sw x11,0(x14) + 17bc: 00b72223 sw x11,4(x14) + 17c0: 00b72423 sw x11,8(x14) + 17c4: 00b72623 sw x11,12(x14) + 17c8: 01070713 addi x14,x14,16 + 17cc: fed766e3 bltu x14,x13,17b8 + 17d0: 00061463 bne x12,x0,17d8 + 17d4: 00008067 jalr x0,0(x1) + 17d8: 40c306b3 sub x13,x6,x12 + 17dc: 00269693 slli x13,x13,0x2 + 17e0: 00000297 auipc x5,0x0 + 17e4: 005686b3 add x13,x13,x5 + 17e8: 00c68067 jalr x0,12(x13) # 1000c <__global_pointer$+0xd7d4> + 17ec: 00b70723 sb x11,14(x14) + 17f0: 00b706a3 sb x11,13(x14) + 17f4: 00b70623 sb x11,12(x14) + 17f8: 00b705a3 sb x11,11(x14) + 17fc: 00b70523 sb x11,10(x14) + 1800: 00b704a3 sb x11,9(x14) + 1804: 00b70423 sb x11,8(x14) + 1808: 00b703a3 sb x11,7(x14) + 180c: 00b70323 sb x11,6(x14) + 1810: 00b702a3 sb x11,5(x14) + 1814: 00b70223 sb x11,4(x14) + 1818: 00b701a3 sb x11,3(x14) + 181c: 00b70123 sb x11,2(x14) + 1820: 00b700a3 sb x11,1(x14) + 1824: 00b70023 sb x11,0(x14) + 1828: 00008067 jalr x0,0(x1) + 182c: 0ff5f593 andi x11,x11,255 + 1830: 00859693 slli x13,x11,0x8 + 1834: 00d5e5b3 or x11,x11,x13 + 1838: 01059693 slli x13,x11,0x10 + 183c: 00d5e5b3 or x11,x11,x13 + 1840: f6dff06f jal x0,17ac + 1844: 00279693 slli x13,x15,0x2 + 1848: 00000297 auipc x5,0x0 + 184c: 005686b3 add x13,x13,x5 + 1850: 00008293 addi x5,x1,0 + 1854: fa0680e7 jalr x1,-96(x13) + 1858: 00028093 addi x1,x5,0 # 1848 + 185c: ff078793 addi x15,x15,-16 + 1860: 40f70733 sub x14,x14,x15 + 1864: 00f60633 add x12,x12,x15 + 1868: f6c378e3 bgeu x6,x12,17d8 + 186c: f3dff06f jal x0,17a8 -00001ae8 : - 1ae8: 00f00313 addi x6,x0,15 - 1aec: 00050713 addi x14,x10,0 - 1af0: 02c37e63 bgeu x6,x12,1b2c - 1af4: 00f77793 andi x15,x14,15 - 1af8: 0a079063 bne x15,x0,1b98 - 1afc: 08059263 bne x11,x0,1b80 - 1b00: ff067693 andi x13,x12,-16 - 1b04: 00f67613 andi x12,x12,15 - 1b08: 00e686b3 add x13,x13,x14 - 1b0c: 00b72023 sw x11,0(x14) - 1b10: 00b72223 sw x11,4(x14) - 1b14: 00b72423 sw x11,8(x14) - 1b18: 00b72623 sw x11,12(x14) - 1b1c: 01070713 addi x14,x14,16 - 1b20: fed766e3 bltu x14,x13,1b0c - 1b24: 00061463 bne x12,x0,1b2c - 1b28: 00008067 jalr x0,0(x1) - 1b2c: 40c306b3 sub x13,x6,x12 - 1b30: 00269693 slli x13,x13,0x2 - 1b34: 00000297 auipc x5,0x0 - 1b38: 005686b3 add x13,x13,x5 - 1b3c: 00c68067 jalr x0,12(x13) # 1000c <__global_pointer$+0xc784> - 1b40: 00b70723 sb x11,14(x14) - 1b44: 00b706a3 sb x11,13(x14) - 1b48: 00b70623 sb x11,12(x14) - 1b4c: 00b705a3 sb x11,11(x14) - 1b50: 00b70523 sb x11,10(x14) - 1b54: 00b704a3 sb x11,9(x14) - 1b58: 00b70423 sb x11,8(x14) - 1b5c: 00b703a3 sb x11,7(x14) - 1b60: 00b70323 sb x11,6(x14) - 1b64: 00b702a3 sb x11,5(x14) - 1b68: 00b70223 sb x11,4(x14) - 1b6c: 00b701a3 sb x11,3(x14) - 1b70: 00b70123 sb x11,2(x14) - 1b74: 00b700a3 sb x11,1(x14) - 1b78: 00b70023 sb x11,0(x14) - 1b7c: 00008067 jalr x0,0(x1) - 1b80: 0ff5f593 andi x11,x11,255 - 1b84: 00859693 slli x13,x11,0x8 - 1b88: 00d5e5b3 or x11,x11,x13 - 1b8c: 01059693 slli x13,x11,0x10 - 1b90: 00d5e5b3 or x11,x11,x13 - 1b94: f6dff06f jal x0,1b00 - 1b98: 00279693 slli x13,x15,0x2 - 1b9c: 00000297 auipc x5,0x0 - 1ba0: 005686b3 add x13,x13,x5 - 1ba4: 00008293 addi x5,x1,0 - 1ba8: fa0680e7 jalr x1,-96(x13) - 1bac: 00028093 addi x1,x5,0 # 1b9c - 1bb0: ff078793 addi x15,x15,-16 - 1bb4: 40f70733 sub x14,x14,x15 - 1bb8: 00f60633 add x12,x12,x15 - 1bbc: f6c378e3 bgeu x6,x12,1b2c - 1bc0: f3dff06f jal x0,1afc +00001870 <__call_exitprocs>: + 1870: fd010113 addi x2,x2,-48 + 1874: 01412c23 sw x20,24(x2) + 1878: c281aa03 lw x20,-984(x3) # 2460 <_global_impure_ptr> + 187c: 03212023 sw x18,32(x2) + 1880: 02112623 sw x1,44(x2) + 1884: 148a2903 lw x18,328(x20) + 1888: 02812423 sw x8,40(x2) + 188c: 02912223 sw x9,36(x2) + 1890: 01312e23 sw x19,28(x2) + 1894: 01512a23 sw x21,20(x2) + 1898: 01612823 sw x22,16(x2) + 189c: 01712623 sw x23,12(x2) + 18a0: 01812423 sw x24,8(x2) + 18a4: 04090063 beq x18,x0,18e4 <__call_exitprocs+0x74> + 18a8: 00050b13 addi x22,x10,0 + 18ac: 00058b93 addi x23,x11,0 + 18b0: 00100a93 addi x21,x0,1 + 18b4: fff00993 addi x19,x0,-1 + 18b8: 00492483 lw x9,4(x18) + 18bc: fff48413 addi x8,x9,-1 + 18c0: 02044263 blt x8,x0,18e4 <__call_exitprocs+0x74> + 18c4: 00249493 slli x9,x9,0x2 + 18c8: 009904b3 add x9,x18,x9 + 18cc: 040b8463 beq x23,x0,1914 <__call_exitprocs+0xa4> + 18d0: 1044a783 lw x15,260(x9) + 18d4: 05778063 beq x15,x23,1914 <__call_exitprocs+0xa4> + 18d8: fff40413 addi x8,x8,-1 + 18dc: ffc48493 addi x9,x9,-4 + 18e0: ff3416e3 bne x8,x19,18cc <__call_exitprocs+0x5c> + 18e4: 02c12083 lw x1,44(x2) + 18e8: 02812403 lw x8,40(x2) + 18ec: 02412483 lw x9,36(x2) + 18f0: 02012903 lw x18,32(x2) + 18f4: 01c12983 lw x19,28(x2) + 18f8: 01812a03 lw x20,24(x2) + 18fc: 01412a83 lw x21,20(x2) + 1900: 01012b03 lw x22,16(x2) + 1904: 00c12b83 lw x23,12(x2) + 1908: 00812c03 lw x24,8(x2) + 190c: 03010113 addi x2,x2,48 + 1910: 00008067 jalr x0,0(x1) + 1914: 00492783 lw x15,4(x18) + 1918: 0044a683 lw x13,4(x9) + 191c: fff78793 addi x15,x15,-1 + 1920: 04878e63 beq x15,x8,197c <__call_exitprocs+0x10c> + 1924: 0004a223 sw x0,4(x9) + 1928: fa0688e3 beq x13,x0,18d8 <__call_exitprocs+0x68> + 192c: 18892783 lw x15,392(x18) + 1930: 008a9733 sll x14,x21,x8 + 1934: 00492c03 lw x24,4(x18) + 1938: 00f777b3 and x15,x14,x15 + 193c: 02079263 bne x15,x0,1960 <__call_exitprocs+0xf0> + 1940: 000680e7 jalr x1,0(x13) + 1944: 00492703 lw x14,4(x18) + 1948: 148a2783 lw x15,328(x20) + 194c: 01871463 bne x14,x24,1954 <__call_exitprocs+0xe4> + 1950: f92784e3 beq x15,x18,18d8 <__call_exitprocs+0x68> + 1954: f80788e3 beq x15,x0,18e4 <__call_exitprocs+0x74> + 1958: 00078913 addi x18,x15,0 + 195c: f5dff06f jal x0,18b8 <__call_exitprocs+0x48> + 1960: 18c92783 lw x15,396(x18) + 1964: 0844a583 lw x11,132(x9) + 1968: 00f77733 and x14,x14,x15 + 196c: 00071c63 bne x14,x0,1984 <__call_exitprocs+0x114> + 1970: 000b0513 addi x10,x22,0 + 1974: 000680e7 jalr x1,0(x13) + 1978: fcdff06f jal x0,1944 <__call_exitprocs+0xd4> + 197c: 00892223 sw x8,4(x18) + 1980: fa9ff06f jal x0,1928 <__call_exitprocs+0xb8> + 1984: 00058513 addi x10,x11,0 + 1988: 000680e7 jalr x1,0(x13) + 198c: fb9ff06f jal x0,1944 <__call_exitprocs+0xd4> -00001bc4 <__call_exitprocs>: - 1bc4: fd010113 addi x2,x2,-48 - 1bc8: 01412c23 sw x20,24(x2) - 1bcc: c281aa03 lw x20,-984(x3) # 34b0 <_global_impure_ptr> - 1bd0: 03212023 sw x18,32(x2) - 1bd4: 02112623 sw x1,44(x2) - 1bd8: 148a2903 lw x18,328(x20) - 1bdc: 02812423 sw x8,40(x2) - 1be0: 02912223 sw x9,36(x2) - 1be4: 01312e23 sw x19,28(x2) - 1be8: 01512a23 sw x21,20(x2) - 1bec: 01612823 sw x22,16(x2) - 1bf0: 01712623 sw x23,12(x2) - 1bf4: 01812423 sw x24,8(x2) - 1bf8: 04090063 beq x18,x0,1c38 <__call_exitprocs+0x74> - 1bfc: 00050b13 addi x22,x10,0 - 1c00: 00058b93 addi x23,x11,0 - 1c04: 00100a93 addi x21,x0,1 - 1c08: fff00993 addi x19,x0,-1 - 1c0c: 00492483 lw x9,4(x18) - 1c10: fff48413 addi x8,x9,-1 - 1c14: 02044263 blt x8,x0,1c38 <__call_exitprocs+0x74> - 1c18: 00249493 slli x9,x9,0x2 - 1c1c: 009904b3 add x9,x18,x9 - 1c20: 040b8463 beq x23,x0,1c68 <__call_exitprocs+0xa4> - 1c24: 1044a783 lw x15,260(x9) - 1c28: 05778063 beq x15,x23,1c68 <__call_exitprocs+0xa4> - 1c2c: fff40413 addi x8,x8,-1 - 1c30: ffc48493 addi x9,x9,-4 - 1c34: ff3416e3 bne x8,x19,1c20 <__call_exitprocs+0x5c> - 1c38: 02c12083 lw x1,44(x2) - 1c3c: 02812403 lw x8,40(x2) - 1c40: 02412483 lw x9,36(x2) - 1c44: 02012903 lw x18,32(x2) - 1c48: 01c12983 lw x19,28(x2) - 1c4c: 01812a03 lw x20,24(x2) - 1c50: 01412a83 lw x21,20(x2) - 1c54: 01012b03 lw x22,16(x2) - 1c58: 00c12b83 lw x23,12(x2) - 1c5c: 00812c03 lw x24,8(x2) - 1c60: 03010113 addi x2,x2,48 - 1c64: 00008067 jalr x0,0(x1) - 1c68: 00492783 lw x15,4(x18) - 1c6c: 0044a683 lw x13,4(x9) - 1c70: fff78793 addi x15,x15,-1 - 1c74: 04878e63 beq x15,x8,1cd0 <__call_exitprocs+0x10c> - 1c78: 0004a223 sw x0,4(x9) - 1c7c: fa0688e3 beq x13,x0,1c2c <__call_exitprocs+0x68> - 1c80: 18892783 lw x15,392(x18) - 1c84: 008a9733 sll x14,x21,x8 - 1c88: 00492c03 lw x24,4(x18) - 1c8c: 00f777b3 and x15,x14,x15 - 1c90: 02079263 bne x15,x0,1cb4 <__call_exitprocs+0xf0> - 1c94: 000680e7 jalr x1,0(x13) - 1c98: 00492703 lw x14,4(x18) - 1c9c: 148a2783 lw x15,328(x20) - 1ca0: 01871463 bne x14,x24,1ca8 <__call_exitprocs+0xe4> - 1ca4: f92784e3 beq x15,x18,1c2c <__call_exitprocs+0x68> - 1ca8: f80788e3 beq x15,x0,1c38 <__call_exitprocs+0x74> - 1cac: 00078913 addi x18,x15,0 - 1cb0: f5dff06f jal x0,1c0c <__call_exitprocs+0x48> - 1cb4: 18c92783 lw x15,396(x18) - 1cb8: 0844a583 lw x11,132(x9) - 1cbc: 00f77733 and x14,x14,x15 - 1cc0: 00071c63 bne x14,x0,1cd8 <__call_exitprocs+0x114> - 1cc4: 000b0513 addi x10,x22,0 - 1cc8: 000680e7 jalr x1,0(x13) - 1ccc: fcdff06f jal x0,1c98 <__call_exitprocs+0xd4> - 1cd0: 00892223 sw x8,4(x18) - 1cd4: fa9ff06f jal x0,1c7c <__call_exitprocs+0xb8> - 1cd8: 00058513 addi x10,x11,0 - 1cdc: 000680e7 jalr x1,0(x13) - 1ce0: fb9ff06f jal x0,1c98 <__call_exitprocs+0xd4> +00001990 <__libc_fini_array>: + 1990: ff010113 addi x2,x2,-16 + 1994: 00812423 sw x8,8(x2) + 1998: 000027b7 lui x15,0x2 + 199c: 00002437 lui x8,0x2 + 19a0: 03478793 addi x15,x15,52 # 2034 <__do_global_dtors_aux_fini_array_entry> + 19a4: 03840413 addi x8,x8,56 # 2038 + 19a8: 40f40433 sub x8,x8,x15 + 19ac: 00912223 sw x9,4(x2) + 19b0: 00112623 sw x1,12(x2) + 19b4: 40245493 srai x9,x8,0x2 + 19b8: 02048063 beq x9,x0,19d8 <__libc_fini_array+0x48> + 19bc: ffc40413 addi x8,x8,-4 + 19c0: 00f40433 add x8,x8,x15 + 19c4: 00042783 lw x15,0(x8) + 19c8: fff48493 addi x9,x9,-1 + 19cc: ffc40413 addi x8,x8,-4 + 19d0: 000780e7 jalr x1,0(x15) + 19d4: fe0498e3 bne x9,x0,19c4 <__libc_fini_array+0x34> + 19d8: 00c12083 lw x1,12(x2) + 19dc: 00812403 lw x8,8(x2) + 19e0: 00412483 lw x9,4(x2) + 19e4: 01010113 addi x2,x2,16 + 19e8: 00008067 jalr x0,0(x1) -00001ce4 <__libc_fini_array>: - 1ce4: ff010113 addi x2,x2,-16 - 1ce8: 00812423 sw x8,8(x2) - 1cec: 000037b7 lui x15,0x3 - 1cf0: 00003437 lui x8,0x3 - 1cf4: 08078793 addi x15,x15,128 # 3080 <__do_global_dtors_aux_fini_array_entry> - 1cf8: 08440413 addi x8,x8,132 # 3084 <__fini_array_end> - 1cfc: 40f40433 sub x8,x8,x15 - 1d00: 00912223 sw x9,4(x2) - 1d04: 00112623 sw x1,12(x2) - 1d08: 40245493 srai x9,x8,0x2 - 1d0c: 02048063 beq x9,x0,1d2c <__libc_fini_array+0x48> - 1d10: ffc40413 addi x8,x8,-4 - 1d14: 00f40433 add x8,x8,x15 - 1d18: 00042783 lw x15,0(x8) - 1d1c: fff48493 addi x9,x9,-1 - 1d20: ffc40413 addi x8,x8,-4 - 1d24: 000780e7 jalr x1,0(x15) - 1d28: fe0498e3 bne x9,x0,1d18 <__libc_fini_array+0x34> - 1d2c: 00c12083 lw x1,12(x2) - 1d30: 00812403 lw x8,8(x2) - 1d34: 00412483 lw x9,4(x2) - 1d38: 01010113 addi x2,x2,16 - 1d3c: 00008067 jalr x0,0(x1) +000019ec : + 19ec: 00050593 addi x11,x10,0 + 19f0: 00000693 addi x13,x0,0 + 19f4: 00000613 addi x12,x0,0 + 19f8: 00000513 addi x10,x0,0 + 19fc: 0040006f jal x0,1a00 <__register_exitproc> -00001d40 : - 1d40: 00050593 addi x11,x10,0 - 1d44: 00000693 addi x13,x0,0 - 1d48: 00000613 addi x12,x0,0 - 1d4c: 00000513 addi x10,x0,0 - 1d50: 0040006f jal x0,1d54 <__register_exitproc> +00001a00 <__register_exitproc>: + 1a00: c281a703 lw x14,-984(x3) # 2460 <_global_impure_ptr> + 1a04: 14872783 lw x15,328(x14) + 1a08: 04078c63 beq x15,x0,1a60 <__register_exitproc+0x60> + 1a0c: 0047a703 lw x14,4(x15) + 1a10: 01f00813 addi x16,x0,31 + 1a14: 06e84e63 blt x16,x14,1a90 <__register_exitproc+0x90> + 1a18: 00271813 slli x16,x14,0x2 + 1a1c: 02050663 beq x10,x0,1a48 <__register_exitproc+0x48> + 1a20: 01078333 add x6,x15,x16 + 1a24: 08c32423 sw x12,136(x6) + 1a28: 1887a883 lw x17,392(x15) + 1a2c: 00100613 addi x12,x0,1 + 1a30: 00e61633 sll x12,x12,x14 + 1a34: 00c8e8b3 or x17,x17,x12 + 1a38: 1917a423 sw x17,392(x15) + 1a3c: 10d32423 sw x13,264(x6) + 1a40: 00200693 addi x13,x0,2 + 1a44: 02d50463 beq x10,x13,1a6c <__register_exitproc+0x6c> + 1a48: 00170713 addi x14,x14,1 + 1a4c: 00e7a223 sw x14,4(x15) + 1a50: 010787b3 add x15,x15,x16 + 1a54: 00b7a423 sw x11,8(x15) + 1a58: 00000513 addi x10,x0,0 + 1a5c: 00008067 jalr x0,0(x1) + 1a60: 14c70793 addi x15,x14,332 + 1a64: 14f72423 sw x15,328(x14) + 1a68: fa5ff06f jal x0,1a0c <__register_exitproc+0xc> + 1a6c: 18c7a683 lw x13,396(x15) + 1a70: 00170713 addi x14,x14,1 + 1a74: 00e7a223 sw x14,4(x15) + 1a78: 00c6e6b3 or x13,x13,x12 + 1a7c: 18d7a623 sw x13,396(x15) + 1a80: 010787b3 add x15,x15,x16 + 1a84: 00b7a423 sw x11,8(x15) + 1a88: 00000513 addi x10,x0,0 + 1a8c: 00008067 jalr x0,0(x1) + 1a90: fff00513 addi x10,x0,-1 + 1a94: 00008067 jalr x0,0(x1) -00001d54 <__register_exitproc>: - 1d54: c281a703 lw x14,-984(x3) # 34b0 <_global_impure_ptr> - 1d58: 14872783 lw x15,328(x14) - 1d5c: 04078c63 beq x15,x0,1db4 <__register_exitproc+0x60> - 1d60: 0047a703 lw x14,4(x15) - 1d64: 01f00813 addi x16,x0,31 - 1d68: 06e84e63 blt x16,x14,1de4 <__register_exitproc+0x90> - 1d6c: 00271813 slli x16,x14,0x2 - 1d70: 02050663 beq x10,x0,1d9c <__register_exitproc+0x48> - 1d74: 01078333 add x6,x15,x16 - 1d78: 08c32423 sw x12,136(x6) - 1d7c: 1887a883 lw x17,392(x15) - 1d80: 00100613 addi x12,x0,1 - 1d84: 00e61633 sll x12,x12,x14 - 1d88: 00c8e8b3 or x17,x17,x12 - 1d8c: 1917a423 sw x17,392(x15) - 1d90: 10d32423 sw x13,264(x6) - 1d94: 00200693 addi x13,x0,2 - 1d98: 02d50463 beq x10,x13,1dc0 <__register_exitproc+0x6c> - 1d9c: 00170713 addi x14,x14,1 - 1da0: 00e7a223 sw x14,4(x15) - 1da4: 010787b3 add x15,x15,x16 - 1da8: 00b7a423 sw x11,8(x15) - 1dac: 00000513 addi x10,x0,0 - 1db0: 00008067 jalr x0,0(x1) - 1db4: 14c70793 addi x15,x14,332 - 1db8: 14f72423 sw x15,328(x14) - 1dbc: fa5ff06f jal x0,1d60 <__register_exitproc+0xc> - 1dc0: 18c7a683 lw x13,396(x15) - 1dc4: 00170713 addi x14,x14,1 - 1dc8: 00e7a223 sw x14,4(x15) - 1dcc: 00c6e6b3 or x13,x13,x12 - 1dd0: 18d7a623 sw x13,396(x15) - 1dd4: 010787b3 add x15,x15,x16 - 1dd8: 00b7a423 sw x11,8(x15) - 1ddc: 00000513 addi x10,x0,0 - 1de0: 00008067 jalr x0,0(x1) - 1de4: fff00513 addi x10,x0,-1 - 1de8: 00008067 jalr x0,0(x1) +00001a98 <_exit>: + 1a98: 05d00893 addi x17,x0,93 + 1a9c: 00000073 ecall + 1aa0: 00054463 blt x10,x0,1aa8 <_exit+0x10> + 1aa4: 0000006f jal x0,1aa4 <_exit+0xc> + 1aa8: ff010113 addi x2,x2,-16 + 1aac: 00812423 sw x8,8(x2) + 1ab0: 00050413 addi x8,x10,0 + 1ab4: 00112623 sw x1,12(x2) + 1ab8: 40800433 sub x8,x0,x8 + 1abc: 00c000ef jal x1,1ac8 <__errno> + 1ac0: 00852023 sw x8,0(x10) + 1ac4: 0000006f jal x0,1ac4 <_exit+0x2c> -00001dec <_exit>: - 1dec: 05d00893 addi x17,x0,93 - 1df0: 00000073 ecall - 1df4: 00054463 blt x10,x0,1dfc <_exit+0x10> - 1df8: 0000006f jal x0,1df8 <_exit+0xc> - 1dfc: ff010113 addi x2,x2,-16 - 1e00: 00812423 sw x8,8(x2) - 1e04: 00050413 addi x8,x10,0 - 1e08: 00112623 sw x1,12(x2) - 1e0c: 40800433 sub x8,x0,x8 - 1e10: 00c000ef jal x1,1e1c <__errno> - 1e14: 00852023 sw x8,0(x10) - 1e18: 0000006f jal x0,1e18 <_exit+0x2c> - -00001e1c <__errno>: - 1e1c: c301a503 lw x10,-976(x3) # 34b8 <_impure_ptr> - 1e20: 00008067 jalr x0,0(x1) +00001ac8 <__errno>: + 1ac8: c301a503 lw x10,-976(x3) # 2468 <_impure_ptr> + 1acc: 00008067 jalr x0,0(x1) Disassembly of section .rodata: -00001e24 : - 1e24: 2020 c.fld f8,64(x8) - 1e26: 0000 c.unimp - 1e28: 2020 c.fld f8,64(x8) - 1e2a: 0020 c.addi4spn x8,x2,8 - 1e2c: 0020 c.addi4spn x8,x2,8 - 1e2e: 0000 c.unimp - 1e30: 202d c.jal 1e5a <__errno+0x3e> - 1e32: 0000 c.unimp - 1e34: 2020 c.fld f8,64(x8) - 1e36: 007c c.addi4spn x15,x2,12 - 1e38: 0a7c c.addi4spn x15,x2,284 - 1e3a: 0000 c.unimp - 1e3c: 000a c.slli x0,0x2 - 1e3e: 0000 c.unimp - 1e40: 2020 c.fld f8,64(x8) - 1e42: 2064 c.fld f9,192(x8) - 1e44: 613c c.flw f15,64(x10) - 1e46: 6464 c.flw f9,76(x8) - 1e48: 3e72 c.fldsp f28,312(x2) - 1e4a: 2d20 c.fld f8,88(x10) - 1e4c: 202d c.jal 1e76 <__errno+0x5a> - 1e4e: 6964 c.flw f9,84(x10) - 1e50: 616c7073 csrrci x0,0x616,24 - 1e54: 2079 c.jal 1ee2 <__errno+0xc6> - 1e56: 656d c.lui x10,0x1b - 1e58: 6f6d c.lui x30,0x1b - 1e5a: 7972 c.flwsp f18,60(x2) - 1e5c: 0a20 c.addi4spn x8,x2,280 - 1e5e: 0000 c.unimp - 1e60: 2020 c.fld f8,64(x8) - 1e62: 2062 c.fldsp f0,24(x2) - 1e64: 623c c.flw f15,64(x12) - 1e66: 7561 c.lui x10,0xffff8 - 1e68: 7264 c.flw f9,100(x12) - 1e6a: 7461 c.lui x8,0xffff8 - 1e6c: 3e65 c.jal 1a24 - 1e6e: 2d20 c.fld f8,88(x10) - 1e70: 202d c.jal 1e9a <__errno+0x7e> - 1e72: 20746573 csrrsi x10,0x207,8 - 1e76: 6162 c.flwsp f2,24(x2) - 1e78: 6475 c.lui x8,0x1d - 1e7a: 6172 c.flwsp f2,28(x2) - 1e7c: 6574 c.flw f13,76(x10) - 1e7e: 0a20 c.addi4spn x8,x2,280 - 1e80: 0000 c.unimp - 1e82: 0000 c.unimp - 1e84: 2020 c.fld f8,64(x8) - 1e86: 2072 c.fldsp f0,280(x2) - 1e88: 613c c.flw f15,64(x10) - 1e8a: 6464 c.flw f9,76(x8) - 1e8c: 3e72 c.fldsp f28,312(x2) - 1e8e: 3c20 c.fld f8,120(x8) - 1e90: 74646977 0x74646977 - 1e94: 3e68 c.fld f10,248(x12) - 1e96: 0a20 c.addi4spn x8,x2,280 - 1e98: 0000 c.unimp - 1e9a: 0000 c.unimp - 1e9c: 2020 c.fld f8,64(x8) - 1e9e: 613c2077 0x613c2077 - 1ea2: 6464 c.flw f9,76(x8) - 1ea4: 3e72 c.fldsp f28,312(x2) - 1ea6: 3c20 c.fld f8,120(x8) - 1ea8: 6176 c.flwsp f2,92(x2) - 1eaa: 756c c.flw f11,108(x10) - 1eac: 3e65 c.jal 1a64 <__libc_init_array+0x18> - 1eae: 3c20 c.fld f8,120(x8) - 1eb0: 74646977 0x74646977 - 1eb4: 3e68 c.fld f10,248(x12) - 1eb6: 0a20 c.addi4spn x8,x2,280 - 1eb8: 0000 c.unimp - 1eba: 0000 c.unimp - 1ebc: 2020 c.fld f8,64(x8) - 1ebe: 74646977 0x74646977 - 1ec2: 3d68 c.fld f10,248(x10) - 1ec4: 2c31 c.jal 20e0 <__clz_tab+0x194> - 1ec6: 2032 c.fldsp f0,264(x2) - 1ec8: 3420726f jal x4,920a <__global_pointer$+0x5982> - 1ecc: 000a c.slli x0,0x2 - 1ece: 0000 c.unimp - 1ed0: 6548 c.flw f10,12(x10) - 1ed2: 6c6c c.flw f11,92(x8) - 1ed4: 57202c6f jal x24,4446 <__global_pointer$+0xbbe> - 1ed8: 646c726f jal x4,c951e <__global_pointer$+0xc5c96> - 1edc: 000a c.slli x0,0x2 - 1ede: 0000 c.unimp - 1ee0: 003a c.slli x0,0xe - 1ee2: 0000 c.unimp - 1ee4: 3e3e c.fldsp f28,488(x2) - 1ee6: 0000 c.unimp - 1ee8: 0d0a c.slli x26,0x2 - 1eea: 0000 c.unimp - 1eec: 6568 c.flw f10,76(x10) - 1eee: 706c c.flw f11,100(x8) - 1ef0: 0020 c.addi4spn x8,x2,8 - 1ef2: 0000 c.unimp - 1ef4: 72616863 bltu x2,x6,2624 <__clz_tab+0x6d8> - 1ef8: 4020 c.lw x8,64(x8) - 1efa: 0000 c.unimp - 1efc: 726f6873 csrrsi x16,0x726,30 - 1f00: 2074 c.fld f13,192(x8) - 1f02: 0040 c.addi4spn x8,x2,4 - 1f04: 6e69 c.lui x28,0x1a - 1f06: 2074 c.fld f13,192(x8) - 1f08: 0040 c.addi4spn x8,x2,4 - 1f0a: 0000 c.unimp - 1f0c: 3d20 c.fld f8,120(x10) - 1f0e: 0020 c.addi4spn x8,x2,8 - 1f10: 0028 c.addi4spn x10,x2,8 - 1f12: 0000 c.unimp - 1f14: 0a29 c.addi x20,10 - 1f16: 000d c.addi x0,3 - 1f18: 0100 c.addi4spn x8,x2,128 - 1f1a: 0302 c.slli64 x6 - 1f1c: 0504 c.addi4spn x9,x2,640 - 1f1e: 0706 c.slli x14,0x1 - 1f20: 0908 c.addi4spn x10,x2,144 - 1f22: 000a c.slli x0,0x2 - -00001f24 : - 1f24: 0000003f 00000006 0x60000003f - 1f2c: 0000005b 0x5b - 1f30: 0000004f fnmadd.s f0,f0,f0,f0,rne - 1f34: 0066 c.slli x0,0x19 - 1f36: 0000 c.unimp - 1f38: 006d c.addi x0,27 - 1f3a: 0000 c.unimp - 1f3c: 007d c.addi x0,31 - 1f3e: 0000 c.unimp - 1f40: 00000007 0x7 - 1f44: 007f 0x7f - 1f46: 0000 c.unimp - 1f48: 0000006f jal x0,1f48 +00001ad0 <__clz_tab-0x110>: + 1ad0: 2020 c.fld f8,64(x8) + 1ad2: 0000 c.unimp + 1ad4: 2020 c.fld f8,64(x8) + 1ad6: 0020 c.addi4spn x8,x2,8 + 1ad8: 0020 c.addi4spn x8,x2,8 + 1ada: 0000 c.unimp + 1adc: 202d c.jal 1b06 <__errno+0x3e> + 1ade: 0000 c.unimp + 1ae0: 2020 c.fld f8,64(x8) + 1ae2: 007c c.addi4spn x15,x2,12 + 1ae4: 0a7c c.addi4spn x15,x2,284 + 1ae6: 0000 c.unimp + 1ae8: 000a c.slli x0,0x2 + 1aea: 0000 c.unimp + 1aec: 2020 c.fld f8,64(x8) + 1aee: 2064 c.fld f9,192(x8) + 1af0: 613c c.flw f15,64(x10) + 1af2: 6464 c.flw f9,76(x8) + 1af4: 3e72 c.fldsp f28,312(x2) + 1af6: 2d20 c.fld f8,88(x10) + 1af8: 202d c.jal 1b22 <__errno+0x5a> + 1afa: 6964 c.flw f9,84(x10) + 1afc: 616c7073 csrrci x0,0x616,24 + 1b00: 2079 c.jal 1b8e <__errno+0xc6> + 1b02: 656d c.lui x10,0x1b + 1b04: 6f6d c.lui x30,0x1b + 1b06: 7972 c.flwsp f18,60(x2) + 1b08: 0a20 c.addi4spn x8,x2,280 + 1b0a: 0000 c.unimp + 1b0c: 2020 c.fld f8,64(x8) + 1b0e: 2062 c.fldsp f0,24(x2) + 1b10: 623c c.flw f15,64(x12) + 1b12: 7561 c.lui x10,0xffff8 + 1b14: 7264 c.flw f9,100(x12) + 1b16: 7461 c.lui x8,0xffff8 + 1b18: 3e65 c.jal 16d0 + 1b1a: 2d20 c.fld f8,88(x10) + 1b1c: 202d c.jal 1b46 <__errno+0x7e> + 1b1e: 20746573 csrrsi x10,0x207,8 + 1b22: 6162 c.flwsp f2,24(x2) + 1b24: 6475 c.lui x8,0x1d + 1b26: 6172 c.flwsp f2,28(x2) + 1b28: 6574 c.flw f13,76(x10) + 1b2a: 0a20 c.addi4spn x8,x2,280 + 1b2c: 0000 c.unimp + 1b2e: 0000 c.unimp + 1b30: 2020 c.fld f8,64(x8) + 1b32: 2072 c.fldsp f0,280(x2) + 1b34: 613c c.flw f15,64(x10) + 1b36: 6464 c.flw f9,76(x8) + 1b38: 3e72 c.fldsp f28,312(x2) + 1b3a: 3c20 c.fld f8,120(x8) + 1b3c: 74646977 0x74646977 + 1b40: 3e68 c.fld f10,248(x12) + 1b42: 2d20 c.fld f8,88(x10) + 1b44: 202d c.jal 1b6e <__errno+0xa6> + 1b46: 6572 c.flwsp f10,28(x2) + 1b48: 6461 c.lui x8,0x18 + 1b4a: 6d20 c.flw f8,88(x10) + 1b4c: 6d65 c.lui x26,0x19 + 1b4e: 2079726f jal x4,99554 <__global_pointer$+0x96d1c> + 1b52: 64726f77 0x64726f77 + 1b56: 000a c.slli x0,0x2 + 1b58: 2020 c.fld f8,64(x8) + 1b5a: 613c2077 0x613c2077 + 1b5e: 6464 c.flw f9,76(x8) + 1b60: 3e72 c.fldsp f28,312(x2) + 1b62: 3c20 c.fld f8,120(x8) + 1b64: 6176 c.flwsp f2,92(x2) + 1b66: 756c c.flw f11,108(x10) + 1b68: 3e65 c.jal 1720 <__libc_init_array+0x28> + 1b6a: 3c20 c.fld f8,120(x8) + 1b6c: 74646977 0x74646977 + 1b70: 3e68 c.fld f10,248(x12) + 1b72: 2d20 c.fld f8,88(x10) + 1b74: 202d c.jal 1b9e <__errno+0xd6> + 1b76: 74697277 0x74697277 + 1b7a: 2065 c.jal 1c22 <__clz_tab+0x42> + 1b7c: 656d c.lui x10,0x1b + 1b7e: 6f6d c.lui x30,0x1b + 1b80: 7972 c.flwsp f18,60(x2) + 1b82: 7720 c.flw f8,104(x14) + 1b84: 0a64726f jal x4,48c2a <__global_pointer$+0x463f2> + 1b88: 0000 c.unimp + 1b8a: 0000 c.unimp + 1b8c: 2020 c.fld f8,64(x8) + 1b8e: 2020 c.fld f8,64(x8) + 1b90: 74646977 0x74646977 + 1b94: 3d68 c.fld f10,248(x10) + 1b96: 2c31 c.jal 1db2 <__clz_tab+0x1d2> + 1b98: 3220 c.fld f8,96(x12) + 1b9a: 6f20 c.flw f8,88(x14) + 1b9c: 2072 c.fldsp f0,280(x2) + 1b9e: 0a34 c.addi4spn x13,x2,280 + 1ba0: 0000 c.unimp + 1ba2: 0000 c.unimp + 1ba4: 003a c.slli x0,0xe + 1ba6: 0000 c.unimp + 1ba8: 3e3e c.fldsp f28,488(x2) + 1baa: 0000 c.unimp + 1bac: 0d0a c.slli x26,0x2 + 1bae: 003a c.slli x0,0xe + 1bb0: 0d0a c.slli x26,0x2 + 1bb2: 0000 c.unimp + 1bb4: 6568 c.flw f10,76(x10) + 1bb6: 706c c.flw f11,100(x8) + 1bb8: 0020 c.addi4spn x8,x2,8 + 1bba: 0000 c.unimp + 1bbc: 72616863 bltu x2,x6,22ec + 1bc0: 4020 c.lw x8,64(x8) + 1bc2: 0000 c.unimp + 1bc4: 726f6873 csrrsi x16,0x726,30 + 1bc8: 2074 c.fld f13,192(x8) + 1bca: 0040 c.addi4spn x8,x2,4 + 1bcc: 6e69 c.lui x28,0x1a + 1bce: 2074 c.fld f13,192(x8) + 1bd0: 0040 c.addi4spn x8,x2,4 + 1bd2: 0000 c.unimp + 1bd4: 3d20 c.fld f8,120(x10) + 1bd6: 0020 c.addi4spn x8,x2,8 + 1bd8: 0028 c.addi4spn x10,x2,8 + 1bda: 0000 c.unimp + 1bdc: 0a29 c.addi x20,10 + 1bde: 000d c.addi x0,3 -00001f4c <__clz_tab>: - 1f4c: 0100 c.addi4spn x8,x2,128 - 1f4e: 0202 c.slli64 x4 - 1f50: 03030303 lb x6,48(x6) - 1f54: 0404 c.addi4spn x9,x2,512 - 1f56: 0404 c.addi4spn x9,x2,512 - 1f58: 0404 c.addi4spn x9,x2,512 - 1f5a: 0404 c.addi4spn x9,x2,512 - 1f5c: 0505 c.addi x10,1 - 1f5e: 0505 c.addi x10,1 - 1f60: 0505 c.addi x10,1 - 1f62: 0505 c.addi x10,1 - 1f64: 0505 c.addi x10,1 - 1f66: 0505 c.addi x10,1 - 1f68: 0505 c.addi x10,1 - 1f6a: 0505 c.addi x10,1 - 1f6c: 0606 c.slli x12,0x1 - 1f6e: 0606 c.slli x12,0x1 - 1f70: 0606 c.slli x12,0x1 - 1f72: 0606 c.slli x12,0x1 - 1f74: 0606 c.slli x12,0x1 - 1f76: 0606 c.slli x12,0x1 - 1f78: 0606 c.slli x12,0x1 - 1f7a: 0606 c.slli x12,0x1 - 1f7c: 0606 c.slli x12,0x1 - 1f7e: 0606 c.slli x12,0x1 - 1f80: 0606 c.slli x12,0x1 - 1f82: 0606 c.slli x12,0x1 - 1f84: 0606 c.slli x12,0x1 - 1f86: 0606 c.slli x12,0x1 - 1f88: 0606 c.slli x12,0x1 - 1f8a: 0606 c.slli x12,0x1 - 1f8c: 07070707 0x7070707 - 1f90: 07070707 0x7070707 - 1f94: 07070707 0x7070707 - 1f98: 07070707 0x7070707 - 1f9c: 07070707 0x7070707 - 1fa0: 07070707 0x7070707 - 1fa4: 07070707 0x7070707 - 1fa8: 07070707 0x7070707 - 1fac: 07070707 0x7070707 - 1fb0: 07070707 0x7070707 - 1fb4: 07070707 0x7070707 - 1fb8: 07070707 0x7070707 - 1fbc: 07070707 0x7070707 - 1fc0: 07070707 0x7070707 - 1fc4: 07070707 0x7070707 - 1fc8: 07070707 0x7070707 - 1fcc: 0808 c.addi4spn x10,x2,16 - 1fce: 0808 c.addi4spn x10,x2,16 - 1fd0: 0808 c.addi4spn x10,x2,16 - 1fd2: 0808 c.addi4spn x10,x2,16 - 1fd4: 0808 c.addi4spn x10,x2,16 - 1fd6: 0808 c.addi4spn x10,x2,16 - 1fd8: 0808 c.addi4spn x10,x2,16 - 1fda: 0808 c.addi4spn x10,x2,16 - 1fdc: 0808 c.addi4spn x10,x2,16 - 1fde: 0808 c.addi4spn x10,x2,16 - 1fe0: 0808 c.addi4spn x10,x2,16 - 1fe2: 0808 c.addi4spn x10,x2,16 - 1fe4: 0808 c.addi4spn x10,x2,16 - 1fe6: 0808 c.addi4spn x10,x2,16 - 1fe8: 0808 c.addi4spn x10,x2,16 - 1fea: 0808 c.addi4spn x10,x2,16 - 1fec: 0808 c.addi4spn x10,x2,16 - 1fee: 0808 c.addi4spn x10,x2,16 - 1ff0: 0808 c.addi4spn x10,x2,16 - 1ff2: 0808 c.addi4spn x10,x2,16 - 1ff4: 0808 c.addi4spn x10,x2,16 - 1ff6: 0808 c.addi4spn x10,x2,16 - 1ff8: 0808 c.addi4spn x10,x2,16 - 1ffa: 0808 c.addi4spn x10,x2,16 - 1ffc: 0808 c.addi4spn x10,x2,16 - 1ffe: 0808 c.addi4spn x10,x2,16 - 2000: 0808 c.addi4spn x10,x2,16 - 2002: 0808 c.addi4spn x10,x2,16 - 2004: 0808 c.addi4spn x10,x2,16 - 2006: 0808 c.addi4spn x10,x2,16 - 2008: 0808 c.addi4spn x10,x2,16 - 200a: 0808 c.addi4spn x10,x2,16 - 200c: 0808 c.addi4spn x10,x2,16 - 200e: 0808 c.addi4spn x10,x2,16 - 2010: 0808 c.addi4spn x10,x2,16 - 2012: 0808 c.addi4spn x10,x2,16 - 2014: 0808 c.addi4spn x10,x2,16 - 2016: 0808 c.addi4spn x10,x2,16 - 2018: 0808 c.addi4spn x10,x2,16 - 201a: 0808 c.addi4spn x10,x2,16 - 201c: 0808 c.addi4spn x10,x2,16 - 201e: 0808 c.addi4spn x10,x2,16 - 2020: 0808 c.addi4spn x10,x2,16 - 2022: 0808 c.addi4spn x10,x2,16 - 2024: 0808 c.addi4spn x10,x2,16 - 2026: 0808 c.addi4spn x10,x2,16 - 2028: 0808 c.addi4spn x10,x2,16 - 202a: 0808 c.addi4spn x10,x2,16 - 202c: 0808 c.addi4spn x10,x2,16 - 202e: 0808 c.addi4spn x10,x2,16 - 2030: 0808 c.addi4spn x10,x2,16 - 2032: 0808 c.addi4spn x10,x2,16 - 2034: 0808 c.addi4spn x10,x2,16 - 2036: 0808 c.addi4spn x10,x2,16 - 2038: 0808 c.addi4spn x10,x2,16 - 203a: 0808 c.addi4spn x10,x2,16 - 203c: 0808 c.addi4spn x10,x2,16 - 203e: 0808 c.addi4spn x10,x2,16 - 2040: 0808 c.addi4spn x10,x2,16 - 2042: 0808 c.addi4spn x10,x2,16 - 2044: 0808 c.addi4spn x10,x2,16 - 2046: 0808 c.addi4spn x10,x2,16 - 2048: 0808 c.addi4spn x10,x2,16 - 204a: 0808 c.addi4spn x10,x2,16 +00001be0 <__clz_tab>: + 1be0: 0100 c.addi4spn x8,x2,128 + 1be2: 0202 c.slli64 x4 + 1be4: 03030303 lb x6,48(x6) + 1be8: 0404 c.addi4spn x9,x2,512 + 1bea: 0404 c.addi4spn x9,x2,512 + 1bec: 0404 c.addi4spn x9,x2,512 + 1bee: 0404 c.addi4spn x9,x2,512 + 1bf0: 0505 c.addi x10,1 + 1bf2: 0505 c.addi x10,1 + 1bf4: 0505 c.addi x10,1 + 1bf6: 0505 c.addi x10,1 + 1bf8: 0505 c.addi x10,1 + 1bfa: 0505 c.addi x10,1 + 1bfc: 0505 c.addi x10,1 + 1bfe: 0505 c.addi x10,1 + 1c00: 0606 c.slli x12,0x1 + 1c02: 0606 c.slli x12,0x1 + 1c04: 0606 c.slli x12,0x1 + 1c06: 0606 c.slli x12,0x1 + 1c08: 0606 c.slli x12,0x1 + 1c0a: 0606 c.slli x12,0x1 + 1c0c: 0606 c.slli x12,0x1 + 1c0e: 0606 c.slli x12,0x1 + 1c10: 0606 c.slli x12,0x1 + 1c12: 0606 c.slli x12,0x1 + 1c14: 0606 c.slli x12,0x1 + 1c16: 0606 c.slli x12,0x1 + 1c18: 0606 c.slli x12,0x1 + 1c1a: 0606 c.slli x12,0x1 + 1c1c: 0606 c.slli x12,0x1 + 1c1e: 0606 c.slli x12,0x1 + 1c20: 07070707 0x7070707 + 1c24: 07070707 0x7070707 + 1c28: 07070707 0x7070707 + 1c2c: 07070707 0x7070707 + 1c30: 07070707 0x7070707 + 1c34: 07070707 0x7070707 + 1c38: 07070707 0x7070707 + 1c3c: 07070707 0x7070707 + 1c40: 07070707 0x7070707 + 1c44: 07070707 0x7070707 + 1c48: 07070707 0x7070707 + 1c4c: 07070707 0x7070707 + 1c50: 07070707 0x7070707 + 1c54: 07070707 0x7070707 + 1c58: 07070707 0x7070707 + 1c5c: 07070707 0x7070707 + 1c60: 0808 c.addi4spn x10,x2,16 + 1c62: 0808 c.addi4spn x10,x2,16 + 1c64: 0808 c.addi4spn x10,x2,16 + 1c66: 0808 c.addi4spn x10,x2,16 + 1c68: 0808 c.addi4spn x10,x2,16 + 1c6a: 0808 c.addi4spn x10,x2,16 + 1c6c: 0808 c.addi4spn x10,x2,16 + 1c6e: 0808 c.addi4spn x10,x2,16 + 1c70: 0808 c.addi4spn x10,x2,16 + 1c72: 0808 c.addi4spn x10,x2,16 + 1c74: 0808 c.addi4spn x10,x2,16 + 1c76: 0808 c.addi4spn x10,x2,16 + 1c78: 0808 c.addi4spn x10,x2,16 + 1c7a: 0808 c.addi4spn x10,x2,16 + 1c7c: 0808 c.addi4spn x10,x2,16 + 1c7e: 0808 c.addi4spn x10,x2,16 + 1c80: 0808 c.addi4spn x10,x2,16 + 1c82: 0808 c.addi4spn x10,x2,16 + 1c84: 0808 c.addi4spn x10,x2,16 + 1c86: 0808 c.addi4spn x10,x2,16 + 1c88: 0808 c.addi4spn x10,x2,16 + 1c8a: 0808 c.addi4spn x10,x2,16 + 1c8c: 0808 c.addi4spn x10,x2,16 + 1c8e: 0808 c.addi4spn x10,x2,16 + 1c90: 0808 c.addi4spn x10,x2,16 + 1c92: 0808 c.addi4spn x10,x2,16 + 1c94: 0808 c.addi4spn x10,x2,16 + 1c96: 0808 c.addi4spn x10,x2,16 + 1c98: 0808 c.addi4spn x10,x2,16 + 1c9a: 0808 c.addi4spn x10,x2,16 + 1c9c: 0808 c.addi4spn x10,x2,16 + 1c9e: 0808 c.addi4spn x10,x2,16 + 1ca0: 0808 c.addi4spn x10,x2,16 + 1ca2: 0808 c.addi4spn x10,x2,16 + 1ca4: 0808 c.addi4spn x10,x2,16 + 1ca6: 0808 c.addi4spn x10,x2,16 + 1ca8: 0808 c.addi4spn x10,x2,16 + 1caa: 0808 c.addi4spn x10,x2,16 + 1cac: 0808 c.addi4spn x10,x2,16 + 1cae: 0808 c.addi4spn x10,x2,16 + 1cb0: 0808 c.addi4spn x10,x2,16 + 1cb2: 0808 c.addi4spn x10,x2,16 + 1cb4: 0808 c.addi4spn x10,x2,16 + 1cb6: 0808 c.addi4spn x10,x2,16 + 1cb8: 0808 c.addi4spn x10,x2,16 + 1cba: 0808 c.addi4spn x10,x2,16 + 1cbc: 0808 c.addi4spn x10,x2,16 + 1cbe: 0808 c.addi4spn x10,x2,16 + 1cc0: 0808 c.addi4spn x10,x2,16 + 1cc2: 0808 c.addi4spn x10,x2,16 + 1cc4: 0808 c.addi4spn x10,x2,16 + 1cc6: 0808 c.addi4spn x10,x2,16 + 1cc8: 0808 c.addi4spn x10,x2,16 + 1cca: 0808 c.addi4spn x10,x2,16 + 1ccc: 0808 c.addi4spn x10,x2,16 + 1cce: 0808 c.addi4spn x10,x2,16 + 1cd0: 0808 c.addi4spn x10,x2,16 + 1cd2: 0808 c.addi4spn x10,x2,16 + 1cd4: 0808 c.addi4spn x10,x2,16 + 1cd6: 0808 c.addi4spn x10,x2,16 + 1cd8: 0808 c.addi4spn x10,x2,16 + 1cda: 0808 c.addi4spn x10,x2,16 + 1cdc: 0808 c.addi4spn x10,x2,16 + 1cde: 0808 c.addi4spn x10,x2,16 Disassembly of section .eh_frame: -0000304c <__EH_FRAME_BEGIN__>: - 304c: 0010 0x10 - 304e: 0000 c.unimp - 3050: 0000 c.unimp - 3052: 0000 c.unimp - 3054: 00527a03 0x527a03 - 3058: 7c01 c.lui x24,0xfffe0 - 305a: 0101 c.addi x2,0 - 305c: 00020d1b 0x20d1b - 3060: 0010 0x10 - 3062: 0000 c.unimp - 3064: 0018 0x18 - 3066: 0000 c.unimp - 3068: e584 c.fsw f9,8(x11) - 306a: ffff 0xffff - 306c: 0430 c.addi4spn x12,x2,520 - 306e: 0000 c.unimp - 3070: 0000 c.unimp +00002000 <__EH_FRAME_BEGIN__>: + 2000: 0010 0x10 + 2002: 0000 c.unimp + 2004: 0000 c.unimp + 2006: 0000 c.unimp + 2008: 00527a03 0x527a03 + 200c: 7c01 c.lui x24,0xfffe0 + 200e: 0101 c.addi x2,0 + 2010: 00020d1b 0x20d1b + 2014: 0010 0x10 + 2016: 0000 c.unimp + 2018: 0018 0x18 + 201a: 0000 c.unimp + 201c: f27c c.fsw f15,100(x12) + 201e: ffff 0xffff + 2020: 0430 c.addi4spn x12,x2,520 + 2022: 0000 c.unimp + 2024: 0000 c.unimp ... -00003074 <__FRAME_END__>: - 3074: 0000 c.unimp +00002028 <__FRAME_END__>: + 2028: 0000 c.unimp ... Disassembly of section .init_array: -00003078 <__init_array_start>: - 3078: 0074 c.addi4spn x13,x2,12 +0000202c <__init_array_start>: + 202c: 0074 c.addi4spn x13,x2,12 ... -0000307c <__frame_dummy_init_array_entry>: - 307c: 011c c.addi4spn x15,x2,128 +00002030 <__frame_dummy_init_array_entry>: + 2030: 011c c.addi4spn x15,x2,128 ... Disassembly of section .fini_array: -00003080 <__do_global_dtors_aux_fini_array_entry>: - 3080: 00d8 c.addi4spn x14,x2,68 +00002034 <__do_global_dtors_aux_fini_array_entry>: + 2034: 00d8 c.addi4spn x14,x2,68 ... Disassembly of section .data: -00003088 : - 3088: 0000 c.unimp - 308a: 0000 c.unimp - 308c: 3374 c.fld f13,224(x14) - 308e: 0000 c.unimp - 3090: 33dc c.fld f15,160(x15) - 3092: 0000 c.unimp - 3094: 3444 c.fld f9,168(x8) +00002038 : + 2038: 0000 c.unimp + 203a: 0000 c.unimp + 203c: 2324 c.fld f9,64(x14) + 203e: 0000 c.unimp + 2040: 238c c.fld f11,0(x15) + 2042: 0000 c.unimp + 2044: 23f4 c.fld f13,192(x15) ... - 312e: 0000 c.unimp - 3130: 0001 c.addi x0,0 - 3132: 0000 c.unimp - 3134: 0000 c.unimp - 3136: 0000 c.unimp - 3138: 330e c.fldsp f6,224(x2) - 313a: abcd c.j 372c <__BSS_END__+0x24c> - 313c: 1234 c.addi4spn x13,x2,296 - 313e: e66d c.bnez x12,3228 - 3140: deec c.sw x11,124(x13) - 3142: 0005 c.addi x0,1 - 3144: 0000000b 0xb + 20de: 0000 c.unimp + 20e0: 0001 c.addi x0,0 + 20e2: 0000 c.unimp + 20e4: 0000 c.unimp + 20e6: 0000 c.unimp + 20e8: 330e c.fldsp f6,224(x2) + 20ea: abcd c.j 26dc <__BSS_END__+0x24c> + 20ec: 1234 c.addi4spn x13,x2,296 + 20ee: e66d c.bnez x12,21d8 + 20f0: deec c.sw x11,124(x13) + 20f2: 0005 c.addi x0,1 + 20f4: 0000000b 0xb ... Disassembly of section .sdata: -000034b0 <_global_impure_ptr>: - 34b0: 3088 c.fld f10,32(x9) +00002460 <_global_impure_ptr>: + 2460: 2038 c.fld f14,64(x8) ... -000034b4 <_uartaddr>: - 34b4: 0100 c.addi4spn x8,x2,128 - 34b6: f000 c.fsw f8,32(x8) +00002464 <_uartaddr>: + 2464: 0100 c.addi4spn x8,x2,128 + 2466: f000 c.fsw f8,32(x8) -000034b8 <_impure_ptr>: - 34b8: 3088 c.fld f10,32(x9) +00002468 <_impure_ptr>: + 2468: 2038 c.fld f14,64(x8) ... Disassembly of section .sbss: -000034bc <_uartstate>: - 34bc: 0000 c.unimp +0000246c <_uartstate>: + 246c: 0000 c.unimp ... -000034c0 : - 34c0: 0000 c.unimp +00002470 : + 2470: 0000 c.unimp ... Disassembly of section .bss: -000034c4 : - 34c4: 0000 c.unimp +00002474 : + 2474: 0000 c.unimp ... -000034c8 : +00002478 : ... Disassembly of section .comment: @@ -2346,7 +2123,7 @@ Disassembly of section .riscv.attributes: 16: 6932 c.flwsp f18,12(x2) 18: 7032 c.flwsp f0,44(x2) 1a: 5f30 c.lw x12,120(x14) - 1c: 326d c.jal fffff9c6 <__global_pointer$+0xffffc13e> + 1c: 326d c.jal fffff9c6 <__global_pointer$+0xffffd18e> 1e: 3070 c.fld f12,224(x8) 20: 0800 c.addi4spn x8,x2,16 22: 0a01 c.addi x20,0 @@ -2364,7 +2141,7 @@ Disassembly of section .debug_aranges: a: 0004 0x4 c: 0000 c.unimp e: 0000 c.unimp - 10: 15ec c.addi4spn x11,x2,748 + 10: 1298 c.addi4spn x14,x2,352 12: 0000 c.unimp 14: 0430 c.addi4spn x12,x2,520 ... @@ -2393,7 +2170,7 @@ Disassembly of section .debug_info: 14: 0000 c.unimp 16: 0000 c.unimp 18: 0000 c.unimp - 1a: 15ec c.addi4spn x11,x2,748 + 1a: 1298 c.addi4spn x14,x2,352 1c: 0000 c.unimp 1e: 0430 c.addi4spn x12,x2,520 20: 0000 c.unimp @@ -2528,7 +2305,7 @@ Disassembly of section .debug_info: 140: 0034 c.addi4spn x13,x2,8 142: 0000 c.unimp 144: 00ff 0xff - 146: 3609 c.jal fffffc48 <__global_pointer$+0xffffc3c0> + 146: 3609 c.jal fffffc48 <__global_pointer$+0xffffd410> 148: 0001 c.addi x0,0 14a: 1a00 c.addi4spn x8,x2,304 14c: 0068 c.addi4spn x10,x2,12 @@ -2540,10 +2317,10 @@ Disassembly of section .debug_info: 158: 0000081b 0x81b 15c: 0100 c.addi4spn x8,x2,128 15e: 0512 c.slli x10,0x4 - 160: ab01 c.j 670 <_h2s+0x154> + 160: ab01 c.j 670 <_h2s+0x178> 162: 0000 c.unimp - 164: ec00 c.fsw f8,24(x8) - 166: 0015 c.addi x0,5 + 164: 9800 0x9800 + 166: 0012 c.slli x0,0x4 168: 3000 c.fld f8,32(x8) 16a: 0004 0x4 16c: 0100 c.addi4spn x8,x2,128 @@ -2562,7 +2339,7 @@ Disassembly of section .debug_info: 18a: 1c00 c.addi4spn x8,x2,560 18c: 042e c.slli x8,0xb 18e: 0000 c.unimp - 190: 15ec c.addi4spn x11,x2,748 + 190: 1298 c.addi4spn x14,x2,352 192: 0000 c.unimp 194: 000c 0xc 196: 0000 c.unimp @@ -2610,7 +2387,7 @@ Disassembly of section .debug_info: 1f2: 0000 c.unimp 1f4: 04b6 c.slli x9,0xd 1f6: 0000 c.unimp - 1f8: b101 c.j fffffdf8 <__global_pointer$+0xffffc570> + 1f8: b101 c.j fffffdf8 <__global_pointer$+0xffffd5c0> 1fa: 0004 0x4 1fc: cd00 c.sw x8,24(x10) 1fe: 0004 0x4 @@ -2647,7 +2424,7 @@ Disassembly of section .debug_info: 240: 0e00 c.addi4spn x8,x2,784 242: 0505 c.addi x10,1 244: 0000 c.unimp - 246: 1654 c.addi4spn x13,x2,804 + 246: 1300 c.addi4spn x8,x2,416 248: 0000 c.unimp 24a: 0088 c.addi4spn x10,x2,64 24c: 0000 c.unimp @@ -2671,7 +2448,7 @@ Disassembly of section .debug_info: 270: 0000 c.unimp 272: 05d1 c.addi x11,20 274: 0000 c.unimp - 276: 3a01 c.jal fffffb86 <__global_pointer$+0xffffc2fe> + 276: 3a01 c.jal fffffb86 <__global_pointer$+0xffffd34e> 278: 0005 c.addi x0,1 27a: e800 c.fsw f8,16(x8) 27c: 0005 c.addi x0,1 @@ -2722,7 +2499,7 @@ Disassembly of section .debug_info: 2dc: 0000 c.unimp 2de: 0700 c.addi4spn x8,x2,896 2e0: 0000 c.unimp - 2e2: ad01 c.j 8f2 <_s2h+0x132> + 2e2: ad01 c.j 8f2 <_strcat+0x1e> 2e4: 0006 c.slli x0,0x1 2e6: 1700 c.addi4spn x8,x2,928 2e8: 01000007 0x1000007 @@ -2760,7 +2537,7 @@ Disassembly of section .debug_info: 33c: 0100 c.addi4spn x8,x2,128 33e: 071f 0000 0857 0x8570000071f 344: 0000 c.unimp - 346: 2b01 c.jal 856 <_s2h+0x96> + 346: 2b01 c.jal 856 <_s2h+0xba> 348: 81000007 0x81000007 34c: 0008 0x8 34e: 0000 c.unimp @@ -2780,7 +2557,7 @@ Disassembly of section .debug_info: 36e: 0000 c.unimp 370: 0e00 c.addi4spn x8,x2,784 372: 000005d7 0x5d7 - 376: 1738 c.addi4spn x14,x2,936 + 376: 13e4 c.addi4spn x9,x2,492 378: 0000 c.unimp 37a: 008c c.addi4spn x11,x2,64 37c: 0000 c.unimp @@ -2813,7 +2590,7 @@ Disassembly of section .debug_info: 3b2: 0000 c.unimp 3b4: 0951 c.addi x18,20 3b6: 0000 c.unimp - 3b8: 2401 c.jal 5b8 <_h2s+0x9c> + 3b8: 2401 c.jal 5b8 <_h2s+0xc0> 3ba: 0006 c.slli x0,0x1 3bc: 7800 c.flw f8,48(x8) 3be: 0009 c.addi x0,2 @@ -2835,7 +2612,7 @@ Disassembly of section .debug_info: 3e4: 0000 c.unimp 3e6: 09c8 c.addi4spn x10,x2,212 3e8: 0000 c.unimp - 3ea: a601 c.j 6ea <_s2d+0x26> + 3ea: a601 c.j 6ea <_s2d+0x4a> 3ec: 0005 c.addi x0,1 3ee: df00 c.sw x8,56(x14) 3f0: 0009 c.addi x0,2 @@ -2844,7 +2621,7 @@ Disassembly of section .debug_info: 3f6: 0000 c.unimp 3f8: 09f6 c.slli x19,0x1d 3fa: 0000 c.unimp - 3fc: be01 c.j ffffff0c <__global_pointer$+0xffffc684> + 3fc: be01 c.j ffffff0c <__global_pointer$+0xffffd6d4> 3fe: 0005 c.addi x0,1 400: 2800 c.fld f8,16(x8) 402: 000a c.slli x0,0x2 @@ -2858,7 +2635,7 @@ Disassembly of section .debug_info: 412: 0000 c.unimp 414: 00fe c.slli x1,0x1f 416: 0000 c.unimp - 418: 3601 c.jal ffffff18 <__global_pointer$+0xffffc690> + 418: 3601 c.jal ffffff18 <__global_pointer$+0xffffd6e0> 41a: 0006 c.slli x0,0x1 41c: 8100 0x8100 41e: 000a c.slli x0,0x2 @@ -2872,7 +2649,7 @@ Disassembly of section .debug_info: 42e: aa1e c.fsdsp f7,272(x2) 430: 0001 c.addi x0,0 432: 0100 c.addi4spn x8,x2,128 - 434: ab0103e3 beq x2,x16,fffffeda <__global_pointer$+0xffffc652> + 434: ab0103e3 beq x2,x16,fffffeda <__global_pointer$+0xffffd6a2> 438: 0000 c.unimp 43a: 0300 c.addi4spn x8,x2,384 43c: 075a c.slli x14,0x16 @@ -2895,7 +2672,7 @@ Disassembly of section .debug_info: 46e: 0300 c.addi4spn x8,x2,384 470: 7272 c.flwsp f4,60(x2) 472: e700 c.fsw f8,8(x14) - 474: 01240b03 lb x22,18(x8) # 1d012 <__global_pointer$+0x1978a> + 474: 01240b03 lb x22,18(x8) # 18012 <__global_pointer$+0x157da> 478: 0000 c.unimp 47a: 00306403 0x306403 47e: 03e8 c.addi4spn x10,x2,460 @@ -2904,7 +2681,7 @@ Disassembly of section .debug_info: 484: 0300 c.addi4spn x8,x2,384 486: 3164 c.fld f9,224(x10) 488: e800 c.fsw f8,16(x8) - 48a: 00950e03 lb x28,9(x10) # ffff8009 <__global_pointer$+0xffff4781> + 48a: 00950e03 lb x28,9(x10) # 1b009 <__global_pointer$+0x187d1> 48e: 0000 c.unimp 490: 00306e03 0x306e03 494: 03e8 c.addi4spn x10,x2,460 @@ -2920,7 +2697,7 @@ Disassembly of section .debug_info: 4ac: 951a c.add x10,x6 4ae: 0000 c.unimp 4b0: 0300 c.addi4spn x8,x2,384 - 4b2: 3071 c.jal fffffd3e <__global_pointer$+0xffffc4b6> + 4b2: 3071 c.jal fffffd3e <__global_pointer$+0xffffd506> 4b4: e900 c.fsw f8,16(x10) 4b6: 00950a03 lb x20,9(x10) 4ba: 0000 c.unimp @@ -2950,7 +2727,7 @@ Disassembly of section .debug_info: 4f2: 0404 c.addi4spn x9,x2,512 4f4: 0095 c.addi x1,5 4f6: 0000 c.unimp - 4f8: 615f5f03 lhu x30,1557(x30) # 1b615 <__global_pointer$+0x17d8d> + 4f8: 615f5f03 lhu x30,1557(x30) # 1b615 <__global_pointer$+0x18ddd> 4fc: 1a00 c.addi4spn x8,x2,304 4fe: 0404 c.addi4spn x9,x2,512 500: 0095 c.addi x1,5 @@ -3124,12 +2901,12 @@ Disassembly of section .debug_info: 666: 0491 c.addi x9,4 668: 0000950f 0x950f 66c: 0300 c.addi4spn x8,x2,384 - 66e: 306d c.jal ffffff18 <__global_pointer$+0xffffc690> + 66e: 306d c.jal ffffff18 <__global_pointer$+0xffffd6e0> 670: 9100 0x9100 672: 1304 c.addi4spn x9,x2,416 674: 0095 c.addi x1,5 676: 0000 c.unimp - 678: d205 c.beqz x12,598 <_h2s+0x7c> + 678: d205 c.beqz x12,598 <_h2s+0xa0> 67a: 0006 c.slli x0,0x1 67c: 0200 c.addi4spn x8,x2,256 67e: 0000002f 0x2f @@ -3170,7 +2947,7 @@ Disassembly of section .debug_info: 6cc: 9508 0x9508 6ce: 0000 c.unimp 6d0: 0000 c.unimp - 6d2: 3805 c.jal ffffff02 <__global_pointer$+0xffffc67a> + 6d2: 3805 c.jal ffffff02 <__global_pointer$+0xffffd6ca> 6d4: 02000007 0x2000007 6d8: 014c c.addi4spn x11,x2,132 6da: 0000 c.unimp @@ -3306,7 +3083,7 @@ Disassembly of section .debug_info: 7fa: 0310 c.addi4spn x12,x2,384 7fc: 0196 c.slli x3,0x5 7fe: 0000 c.unimp - 800: 2001 c.jal 800 <_s2h+0x40> + 800: 2001 c.jal 800 <_s2h+0x64> 802: 0001b703 0x1b703 806: 0600 c.addi4spn x8,x2,768 808: 007e c.slli x0,0x1f @@ -3329,27 +3106,27 @@ Disassembly of section .debug_info: 82e: 9e02 c.jalr x28 830: 0f02 c.slli64 x30 832: 0305 c.addi x6,1 - 834: 1f4c c.addi4spn x11,x2,948 + 834: 1be0 c.addi4spn x8,x2,508 836: 0000 c.unimp ... Disassembly of section .debug_abbrev: 00000000 <.debug_abbrev>: - 0: 3401 c.jal fffffa00 <__global_pointer$+0xffffc178> + 0: 3401 c.jal fffffa00 <__global_pointer$+0xffffd1c8> 2: 3100 c.fld f8,32(x10) 4: 00170213 addi x4,x14,1 8: 0200 c.addi4spn x8,x2,256 a: 0034 c.addi4spn x13,x2,8 c: 213a0e03 lb x28,531(x20) - 10: 3b01 c.jal fffffd20 <__global_pointer$+0xffffc498> - 12: 3905 c.jal fffffc42 <__global_pointer$+0xffffc3ba> + 10: 3b01 c.jal fffffd20 <__global_pointer$+0xffffd4e8> + 12: 3905 c.jal fffffc42 <__global_pointer$+0xffffd40a> 14: 0013490b 0x13490b 18: 0300 c.addi4spn x8,x2,384 1a: 0034 c.addi4spn x13,x2,8 1c: 213a0803 lb x16,531(x20) - 20: 3b01 c.jal fffffd30 <__global_pointer$+0xffffc4a8> - 22: 3905 c.jal fffffc52 <__global_pointer$+0xffffc3ca> + 20: 3b01 c.jal fffffd30 <__global_pointer$+0xffffd4f8> + 22: 3905 c.jal fffffc52 <__global_pointer$+0xffffd41a> 24: 0013490b 0x13490b 28: 0400 c.addi4spn x8,x2,512 2a: 0024 c.addi4spn x9,x2,8 @@ -3379,19 +3156,19 @@ Disassembly of section .debug_abbrev: 6c: 0b00 c.addi4spn x8,x2,400 6e: 0005 c.addi x0,1 70: 213a0803 lb x16,531(x20) - 74: 3b01 c.jal fffffd84 <__global_pointer$+0xffffc4fc> + 74: 3b01 c.jal fffffd84 <__global_pointer$+0xffffd54c> 76: e321 c.bnez x14,b6 <_start+0x2a> - 78: 490b3907 fld f18,1168(x22) # fffe0490 <__global_pointer$+0xfffdcc08> + 78: 490b3907 fld f18,1168(x22) # fffe0490 <__global_pointer$+0xfffddc58> 7c: 0c000013 addi x0,x0,192 80: 000d c.addi x0,3 82: 213a0803 lb x16,531(x20) 86: 3b02 c.fldsp f22,32(x2) - 88: 3905 c.jal fffffcb8 <__global_pointer$+0xffffc430> + 88: 3905 c.jal fffffcb8 <__global_pointer$+0xffffd480> 8a: 0013490b 0x13490b 8e: 0d00 c.addi4spn x8,x2,656 90: 0005 c.addi x0,1 92: 213a0803 lb x16,531(x20) - 96: 3b01 c.jal fffffda6 <__global_pointer$+0xffffc51e> + 96: 3b01 c.jal fffffda6 <__global_pointer$+0xffffd56e> 98: 9221 c.srli x12,0x28 9a: 390a c.fldsp f18,160(x2) 9c: 0213490b 0x213490b @@ -3406,7 +3183,7 @@ Disassembly of section .debug_abbrev: b8: 1000 c.addi4spn x8,x2,32 ba: 0000010b 0x10b be: 1111 c.addi x2,-28 - c0: 2501 c.jal 6c0 <_h2s+0x1a4> + c0: 2501 c.jal 6c0 <_s2d+0x20> c2: 130e c.slli x6,0x23 c4: 1b1f030b 0x1b1f030b c8: 111f 1201 1006 0x10061201111f @@ -3417,7 +3194,7 @@ Disassembly of section .debug_abbrev: dc: 03011313 slli x6,x2,0x30 e0: 0b0e c.slli x22,0x3 e2: 3b0b3a0b 0x3b0b3a0b - e6: 3905 c.jal fffffd16 <__global_pointer$+0xffffc48e> + e6: 3905 c.jal fffffd16 <__global_pointer$+0xffffd4de> e8: 0013010b 0x13010b ec: 1400 c.addi4spn x8,x2,544 ee: 000d c.addi x0,3 @@ -3446,7 +3223,7 @@ Disassembly of section .debug_abbrev: 130: 1349 c.addi x6,-14 132: 1301 c.addi x6,-32 134: 0000 c.unimp - 136: 2119 c.jal 53c <_h2s+0x20> + 136: 2119 c.jal 53c <_h2s+0x44> 138: 4900 c.lw x8,16(x10) 13a: 000b2f13 slti x30,x22,0 13e: 1a00 c.addi4spn x8,x2,304 @@ -3484,7 +3261,7 @@ Disassembly of section .debug_abbrev: 19a: 0000 c.unimp 19c: 0f1f 0b00 490b 0x490b0b000f1f 1a2: 00000013 addi x0,x0,0 - 1a6: 2401 c.jal 3a6 <_gets+0xe2> + 1a6: 2401 c.jal 3a6 <_d2s+0xa> 1a8: 0b00 c.addi4spn x8,x2,400 1aa: 030b3e0b 0x30b3e0b 1ae: 000e c.slli x0,0x3 @@ -3492,7 +3269,7 @@ Disassembly of section .debug_abbrev: 1b2: 0026 c.slli x0,0x9 1b4: 1349 c.addi x6,-14 1b6: 0000 c.unimp - 1b8: 25011103 lh x2,592(x2) # b0b0360 <__global_pointer$+0xb0acad8> + 1b8: 25011103 lh x2,592(x2) # b0b0360 <__global_pointer$+0xb0adb28> 1bc: 130e c.slli x6,0x23 1be: 1b1f030b 0x1b1f030b 1c2: 101f 0017 0400 0x4000017101f @@ -3509,7 +3286,7 @@ Disassembly of section .debug_abbrev: 1e4: 1349 c.addi x6,-14 1e6: 1301 c.addi x6,-32 1e8: 0000 c.unimp - 1ea: 49002107 flw f2,1168(x0) # 490 <_d2s+0xd0> + 1ea: 49002107 flw f2,1168(x0) # 490 <_d2s+0xf4> 1ee: 000b2f13 slti x30,x22,0 1f2: 0800 c.addi4spn x8,x2,16 1f4: 0034 c.addi4spn x13,x2,8 @@ -3519,7 +3296,7 @@ Disassembly of section .debug_abbrev: 200: 193c193f 34090000 0x34090000193c193f 208: 4700 c.lw x8,8(x14) 20a: 3b0b3a13 sltiu x20,x22,944 - 20e: 3905 c.jal fffffe3e <__global_pointer$+0xffffc5b6> + 20e: 3905 c.jal fffffe3e <__global_pointer$+0xffffd606> 210: 0018020b 0x18020b ... @@ -3531,7 +3308,7 @@ Disassembly of section .debug_line: 6: 0004 0x4 8: 00000033 add x0,x0,x0 c: 0101 c.addi x2,0 - e: fb01 c.bnez x14,ffffff1e <__global_pointer$+0xffffc696> + e: fb01 c.bnez x14,ffffff1e <__global_pointer$+0xffffd6e6> 10: 0d0e c.slli x26,0x3 12: 0100 c.addi4spn x8,x2,128 14: 0101 c.addi x2,0 @@ -3554,7 +3331,7 @@ Disassembly of section .debug_line: 3e: 0501 c.addi x10,0 40: 0001 c.addi x0,0 42: 0205 c.addi x4,1 - 44: 15ec c.addi4spn x11,x2,748 + 44: 1298 c.addi4spn x14,x2,352 46: 0000 c.unimp 48: 010a9203 lh x4,16(x21) 4c: 0305 c.addi x6,1 @@ -3685,7 +3462,7 @@ Disassembly of section .debug_line: 160: 0100 c.addi4spn x8,x2,128 162: 0405 c.addi x8,1 164: 0306 c.slli x6,0x1 - 166: 00040903 lb x18,0(x8) # 7000 <__global_pointer$+0x3778> + 166: 00040903 lb x18,0(x8) # 7000 <__global_pointer$+0x47c8> 16a: 0301 c.addi x6,0 16c: 0900 c.addi4spn x8,x2,144 16e: 0000 c.unimp @@ -3775,7 +3552,7 @@ Disassembly of section .debug_line: 218: 0900 c.addi4spn x8,x2,144 21a: 0000 c.unimp 21c: 0501 c.addi x10,0 - 21e: 0e030603 lb x12,224(x6) # ffffa0e0 <__global_pointer$+0xffff6858> + 21e: 0e030603 lb x12,224(x6) # ffffa0e0 <__global_pointer$+0xffff78a8> 222: 0409 c.addi x8,2 224: 0100 c.addi4spn x8,x2,128 226: 00090103 lb x2,0(x18) @@ -4261,7 +4038,7 @@ Disassembly of section .debug_line: 720: 0901 c.addi x18,0 722: 0008 0x8 724: 0100 c.addi4spn x8,x2,128 - 726: 3b01 c.jal 436 <_d2s+0x76> + 726: 3b01 c.jal 436 <_d2s+0x9a> 728: 0000 c.unimp 72a: 0500 c.addi4spn x8,x2,640 72c: 0400 c.addi4spn x8,x2,512 @@ -4306,7 +4083,7 @@ Disassembly of section .debug_str: 16: 656c c.flw f11,76(x10) 18: 2078 c.fld f14,192(x8) 1a: 6c66 c.flwsp f24,88(x2) - 1c: 0074616f jal x2,46822 <__global_pointer$+0x42f9a> + 1c: 0074616f jal x2,46822 <__global_pointer$+0x43fea> 20: 726f6873 csrrsi x16,0x726,30 24: 2074 c.fld f13,192(x8) 26: 6e69 c.lui x28,0x1a @@ -4318,13 +4095,13 @@ Disassembly of section .debug_str: 3a: 765f 006c 6f6c 0x6f6c006c765f 40: 676e c.flwsp f14,216(x2) 42: 6c20 c.flw f8,88(x8) - 44: 20676e6f jal x28,7624a <__global_pointer$+0x729c2> + 44: 20676e6f jal x28,7624a <__global_pointer$+0x73a12> 48: 6e69 c.lui x28,0x1a 4a: 0074 c.addi4spn x13,x2,12 4c: 6f6c c.flw f11,92(x14) 4e: 676e c.flwsp f14,216(x2) 50: 6c20 c.flw f8,88(x8) - 52: 20676e6f jal x28,76258 <__global_pointer$+0x729d0> + 52: 20676e6f jal x28,76258 <__global_pointer$+0x73a20> 56: 6e75 c.lui x28,0x1d 58: 6e676973 csrrsi x18,0x6e6,14 5c: 6465 c.lui x8,0x19 @@ -4344,7 +4121,7 @@ Disassembly of section .debug_str: 7e: 0072 c.slli x0,0x1c 80: 20554e47 fmsub.s f28,f10,f5,f4,rmm 84: 20373143 fmadd.s f2,f14,f3,f4,rup - 88: 3131 c.jal fffffc94 <__global_pointer$+0xffffc40c> + 88: 3131 c.jal fffffc94 <__global_pointer$+0xffffd45c> 8a: 312e c.fldsp f2,232(x2) 8c: 302e c.fldsp f0,232(x2) 8e: 2d20 c.fld f8,88(x10) @@ -4354,19 +4131,19 @@ Disassembly of section .debug_str: 96: 3d6c c.fld f11,248(x10) 98: 656d c.lui x10,0x1b 9a: 6c64 c.flw f9,92(x8) - 9c: 2d20776f jal x14,736e <__global_pointer$+0x3ae6> + 9c: 2d20776f jal x14,736e <__global_pointer$+0x4b36> a0: 636d c.lui x6,0x1b a2: 6f6d c.lui x30,0x1b a4: 6564 c.flw f9,76(x10) a6: 3d6c c.fld f11,248(x10) a8: 656d c.lui x10,0x1b aa: 6c64 c.flw f9,92(x8) - ac: 2d20776f jal x14,737e <__global_pointer$+0x3af6> + ac: 2d20776f jal x14,737e <__global_pointer$+0x4b46> b0: 746d c.lui x8,0xffffb b2: 6e75 c.lui x28,0x1d - b4: 3d65 c.jal ffffff6c <__global_pointer$+0xffffc6e4> + b4: 3d65 c.jal ffffff6c <__global_pointer$+0xffffd734> b6: 6f72 c.flwsp f30,28(x2) - b8: 74656b63 bltu x10,x6,80e <_s2h+0x4e> + b8: 74656b63 bltu x10,x6,80e <_s2h+0x72> bc: 2d20 c.fld f8,88(x10) be: 616d c.addi16sp x2,240 c0: 6372 c.flwsp f6,28(x2) @@ -4401,15 +4178,15 @@ Disassembly of section .debug_str: 108: 6f6e c.flwsp f30,216(x2) 10a: 732d c.lui x6,0xfffeb 10c: 6174 c.flw f13,68(x10) - 10e: 702d6b63 bltu x26,x2,824 <_s2h+0x64> + 10e: 702d6b63 bltu x26,x2,824 <_s2h+0x88> 112: 6f72 c.flwsp f30,28(x2) 114: 6574 c.flw f13,76(x10) - 116: 726f7463 bgeu x30,x6,83e <_s2h+0x7e> + 116: 726f7463 bgeu x30,x6,83e <_s2h+0xa2> 11a: 2d20 c.fld f8,88(x10) 11c: 6166 c.flwsp f2,88(x2) 11e: 636e7973 csrrci x18,0x636,28 122: 7268 c.flw f10,100(x12) - 124: 756f6e6f jal x28,f687a <__global_pointer$+0xf2ff2> + 124: 756f6e6f jal x28,f687a <__global_pointer$+0xf4042> 128: 6e752d73 csrrs x26,0x6e7,x10 12c: 646e6977 0x646e6977 130: 742d c.lui x8,0xfffeb @@ -4434,7 +4211,7 @@ Disassembly of section .debug_str: 166: 0065 c.addi x0,25 168: 5744 c.lw x9,44(x14) 16a: 75727473 csrrci x8,0x757,4 - 16e: 73007463 bgeu x0,x16,896 <_s2h+0xd6> + 16e: 73007463 bgeu x0,x16,896 <_s2h+0xfa> 172: 6f68 c.flw f10,92(x14) 174: 7472 c.flwsp f8,60(x2) 176: 7520 c.flw f8,104(x10) @@ -4462,12 +4239,12 @@ Disassembly of section .debug_str: 1ae: 7669 c.lui x12,0xffffa 1b0: 6f6d c.lui x30,0x1b 1b2: 6464 c.flw f9,76(x8) - 1b4: 3469 c.jal fffffc3e <__global_pointer$+0xffffc3b6> + 1b4: 3469 c.jal fffffc3e <__global_pointer$+0xffffd406> 1b6: 6300 c.flw f8,0(x14) - 1b8: 6c706d6f jal x26,707e <__global_pointer$+0x37f6> + 1b8: 6c706d6f jal x26,707e <__global_pointer$+0x4846> 1bc: 7865 c.lui x16,0xffff9 1be: 6c20 c.flw f8,88(x8) - 1c0: 20676e6f jal x28,763c6 <__global_pointer$+0x72b3e> + 1c0: 20676e6f jal x28,763c6 <__global_pointer$+0x73b8e> 1c4: 6f64 c.flw f9,92(x14) 1c6: 6275 c.lui x4,0x1d 1c8: 656c c.flw f11,76(x10) @@ -4485,17 +4262,17 @@ Disassembly of section .debug_str: 1ea: 4320 c.lw x8,64(x14) 1ec: 3731 c.jal f8 <__do_global_dtors_aux+0x20> 1ee: 3120 c.fld f8,96(x10) - 1f0: 2e31 c.jal 50c <_d2s+0x14c> - 1f2: 2e31 c.jal 50e <_d2s+0x14e> + 1f0: 2e31 c.jal 50c <_h2s+0x14> + 1f2: 2e31 c.jal 50e <_h2s+0x16> 1f4: 2030 c.fld f12,64(x8) 1f6: 6d2d c.lui x26,0xb - 1f8: 646f6d63 bltu x30,x6,852 <_s2h+0x92> + 1f8: 646f6d63 bltu x30,x6,852 <_s2h+0xb6> 1fc: 6c65 c.lui x24,0x19 1fe: 6d3d c.lui x26,0xf 200: 6465 c.lui x8,0x19 202: 6f6c c.flw f11,92(x14) 204: 6d2d2077 0x6d2d2077 - 208: 646f6d63 bltu x30,x6,862 <_s2h+0xa2> + 208: 646f6d63 bltu x30,x6,862 <_s2h+0xc6> 20c: 6c65 c.lui x24,0x19 20e: 6d3d c.lui x26,0xf 210: 6465 c.lui x8,0x19 @@ -4504,11 +4281,11 @@ Disassembly of section .debug_str: 218: 7574 c.flw f13,108(x10) 21a: 656e c.flwsp f10,216(x2) 21c: 723d c.lui x4,0xfffef - 21e: 656b636f jal x6,b6874 <__global_pointer$+0xb2fec> + 21e: 656b636f jal x6,b6874 <__global_pointer$+0xb403c> 222: 2074 c.fld f13,192(x8) 224: 6d2d c.lui x26,0xb 226: 7261 c.lui x4,0xffff8 - 228: 723d6863 bltu x26,x3,958 <_strcat+0x60> + 228: 723d6863 bltu x26,x3,958 <_strcat+0x84> 22c: 3376 c.fldsp f6,376(x2) 22e: 6932 c.flwsp f18,12(x2) 230: 206d c.jal 2da <_gets+0x16> @@ -4520,7 +4297,7 @@ Disassembly of section .debug_str: 23c: 2032 c.fldsp f0,264(x2) 23e: 6d2d c.lui x26,0xb 240: 7261 c.lui x4,0xffff8 - 242: 723d6863 bltu x26,x3,972 <_strcat+0x7a> + 242: 723d6863 bltu x26,x3,972 <_strncmp+0x12> 246: 3376 c.fldsp f6,376(x2) 248: 6932 c.flwsp f18,12(x2) 24a: 206d c.jal 2f4 <_gets+0x30> @@ -4535,12 +4312,12 @@ Disassembly of section .debug_str: 262: 6e69 c.lui x28,0x1a 264: 696c2d67 0x696c2d67 268: 6762 c.flwsp f14,24(x2) - 26a: 2d206363 bltu x0,x18,530 <_h2s+0x14> + 26a: 2d206363 bltu x0,x18,530 <_h2s+0x38> 26e: 6e66 c.flwsp f28,88(x2) - 270: 74732d6f jal x26,331b6 <__global_pointer$+0x2f92e> + 270: 74732d6f jal x26,331b6 <__global_pointer$+0x3097e> 274: 6361 c.lui x6,0x18 276: 72702d6b 0x72702d6b - 27a: 6365746f jal x8,578b0 <__global_pointer$+0x54028> + 27a: 6365746f jal x8,578b0 <__global_pointer$+0x55078> 27e: 6f74 c.flw f13,92(x14) 280: 2072 c.fldsp f0,280(x2) 282: 662d c.lui x12,0xb @@ -4557,30 +4334,30 @@ Disassembly of section .debug_line_str: 00000000 <.debug_line_str>: 0: 6d6f682f 0x6d6f682f - 4: 2f65 c.jal 7bc <_s2d+0xf8> + 4: 2f65 c.jal 7bc <_s2h+0x20> 6: 6172 c.flwsp f2,28(x2) - 8: 6169786f jal x16,9761e <__global_pointer$+0x93d96> + 8: 6169786f jal x16,9761e <__global_pointer$+0x94de6> c: 686e c.flwsp f16,216(x2) - e: 2f676e6f jal x28,76304 <__global_pointer$+0x72a7c> + e: 2f676e6f jal x28,76304 <__global_pointer$+0x73acc> 12: 6b726f77 0x6b726f77 16: 7369722f 0x7369722f - 1a: 722f7663 bgeu x30,x2,746 <_s2d+0x82> + 1a: 722f7663 bgeu x30,x2,746 <_s2d+0xa6> 1e: 7369 c.lui x6,0xffffa - 20: 672d7663 bgeu x26,x18,68c <_h2s+0x170> + 20: 672d7663 bgeu x26,x18,68c <_h2s+0x194> 24: 756e c.flwsp f10,248(x2) 26: 742d c.lui x8,0xfffeb - 28: 636c6f6f jal x30,c665e <__global_pointer$+0xc2dd6> + 28: 636c6f6f jal x30,c665e <__global_pointer$+0xc3e26> 2c: 6168 c.flw f10,68(x10) 2e: 6e69 c.lui x28,0x1a 30: 6975622f 0x6975622f 34: 646c c.flw f11,76(x8) 36: 672d c.lui x14,0xb - 38: 6e2d6363 bltu x26,x2,71e <_s2d+0x5a> + 38: 6e2d6363 bltu x26,x2,71e <_s2d+0x7e> 3c: 7765 c.lui x14,0xffff9 3e: 696c c.flw f11,84(x10) 40: 2d62 c.fldsp f26,24(x2) 42: 67617473 csrrci x8,0x676,2 - 46: 3265 c.jal fffff9ee <__global_pointer$+0xffffc166> + 46: 3265 c.jal fffff9ee <__global_pointer$+0xffffd1b6> 48: 7369722f 0x7369722f 4c: 32337663 bgeu x6,x3,378 <_gets+0xb4> 50: 752d c.lui x10,0xfffeb @@ -4595,8 +4372,8 @@ Disassembly of section .debug_line_str: 6a: 2e2e c.fldsp f28,200(x2) 6c: 722f2e2f 0x722f2e2f 70: 7369 c.lui x6,0xffffa - 72: 672d7663 bgeu x26,x18,6de <_s2d+0x1a> - 76: 6c2f6363 bltu x30,x2,73c <_s2d+0x78> + 72: 672d7663 bgeu x26,x18,6de <_s2d+0x3e> + 76: 6c2f6363 bltu x30,x2,73c <_s2d+0x9c> 7a: 6269 c.lui x4,0x1a 7c: 2f636367 0x2f636367 80: 696c c.flw f11,84(x10) @@ -4607,8 +4384,8 @@ Disassembly of section .debug_line_str: 90: 2e2e c.fldsp f28,200(x2) 92: 722f2e2f 0x722f2e2f 96: 7369 c.lui x6,0xffffa - 98: 672d7663 bgeu x26,x18,704 <_s2d+0x40> - 9c: 6c2f6363 bltu x30,x2,762 <_s2d+0x9e> + 98: 672d7663 bgeu x26,x18,704 <_s2d+0x64> + 9c: 6c2f6363 bltu x30,x2,762 <_s2d+0xc2> a0: 6269 c.lui x4,0x1a a2: 00636367 0x636367 a6: 696c c.flw f11,84(x10) @@ -4625,684 +4402,673 @@ Disassembly of section .debug_loclists: 6: 0004 0x4 8: 0000 c.unimp a: 0000 c.unimp - c: 0015ec07 0x15ec07 - 10: 2400 c.fld f8,8(x8) - 12: 0016 c.slli x0,0x5 + c: 00129807 0x129807 + 10: d000 c.sw x8,32(x8) + 12: 0012 c.slli x0,0x4 14: 0600 c.addi4spn x8,x2,768 16: 935a c.add x6,x22 18: 5b04 c.lw x9,48(x14) - 1a: 24070493 addi x9,x14,576 # ffff9240 <__global_pointer$+0xffff59b8> - 1e: 0016 c.slli x0,0x5 - 20: e400 c.fsw f8,8(x8) - 22: 0016 c.slli x0,0x5 - 24: 0600 c.addi4spn x8,x2,768 - 26: 0aa503a3 sb x10,167(x10) # fffeb0a7 <__global_pointer$+0xfffe781f> + 1a: d0070493 addi x9,x14,-768 # ffff8d00 <__global_pointer$+0xffff64c8> + 1e: 0012 c.slli x0,0x4 + 20: 9000 0x9000 + 22: 06000013 addi x0,x0,96 + 26: 0aa503a3 sb x10,167(x10) # fffeb0a7 <__global_pointer$+0xfffe886f> 2a: 9f26 c.add x30,x9 - 2c: 0016e407 0x16e407 - 30: e800 c.fsw f8,16(x8) - 32: 0016 c.slli x0,0x5 - 34: 0600 c.addi4spn x8,x2,768 + 2c: 00139007 0x139007 + 30: 9400 0x9400 + 32: 06000013 addi x0,x0,96 36: 935a c.add x6,x22 38: 5b04 c.lw x9,48(x14) - 3a: e8070493 addi x9,x14,-384 - 3e: 0016 c.slli x0,0x5 - 40: f800 c.fsw f8,48(x8) - 42: 0016 c.slli x0,0x5 - 44: 0600 c.addi4spn x8,x2,768 + 3a: 94070493 addi x9,x14,-1728 + 3e: a4000013 addi x0,x0,-1472 + 42: 06000013 addi x0,x0,96 46: 0aa503a3 sb x10,167(x10) 4a: 9f26 c.add x30,x9 - 4c: 0016f807 0x16f807 - 50: 3800 c.fld f8,48(x8) - 52: 06000017 auipc x0,0x6000 + 4c: 0013a407 flw f8,1(x7) + 50: e400 c.fsw f8,8(x8) + 52: 06000013 addi x0,x0,96 56: 935a c.add x6,x22 58: 5b04 c.lw x9,48(x14) - 5a: 38070493 addi x9,x14,896 - 5e: c4000017 auipc x0,0xc4000 - 62: 06000017 auipc x0,0x6000 + 5a: e4070493 addi x9,x14,-448 + 5e: 70000013 addi x0,x0,1792 + 62: 0014 0x14 + 64: 0600 c.addi4spn x8,x2,768 66: 0aa503a3 sb x10,167(x10) 6a: 9f26 c.add x30,x9 - 6c: 0017c407 flq f8,1(x15) - 70: e400 c.fsw f8,8(x8) - 72: 06000017 auipc x0,0x6000 + 6c: 00147007 0x147007 + 70: 9000 0x9000 + 72: 0014 0x14 + 74: 0600 c.addi4spn x8,x2,768 76: 935a c.add x6,x22 78: 5b04 c.lw x9,48(x14) - 7a: e4070493 addi x9,x14,-448 - 7e: 84000017 auipc x0,0x84000 - 82: 0018 0x18 + 7a: 90070493 addi x9,x14,-1792 + 7e: 0014 0x14 + 80: 3000 c.fld f8,32(x8) + 82: 0015 c.addi x0,5 84: 0600 c.addi4spn x8,x2,768 86: 0aa503a3 sb x10,167(x10) 8a: 9f26 c.add x30,x9 - 8c: 00188407 0x188407 - 90: c400 c.sw x8,8(x8) - 92: 0018 0x18 + 8c: 00153007 fld f0,1(x10) + 90: 7000 c.flw f8,32(x8) + 92: 0015 c.addi x0,5 94: 0600 c.addi4spn x8,x2,768 96: 935a c.add x6,x22 98: 5b04 c.lw x9,48(x14) - 9a: c4070493 addi x9,x14,-960 - 9e: 0018 0x18 - a0: d400 c.sw x8,40(x8) - a2: 0018 0x18 + 9a: 70070493 addi x9,x14,1792 + 9e: 0015 c.addi x0,5 + a0: 8000 0x8000 + a2: 0015 c.addi x0,5 a4: 0600 c.addi4spn x8,x2,768 a6: 0aa503a3 sb x10,167(x10) aa: 9f26 c.add x30,x9 - ac: 0018d407 0x18d407 - b0: 2400 c.fld f8,8(x8) - b2: 0019 c.addi x0,6 + ac: 00158007 0x158007 + b0: d000 c.sw x8,32(x8) + b2: 0015 c.addi x0,5 b4: 0600 c.addi4spn x8,x2,768 b6: 935a c.add x6,x22 b8: 5b04 c.lw x9,48(x14) - ba: 24070493 addi x9,x14,576 - be: 0019 c.addi x0,6 - c0: 1000 c.addi4spn x8,x2,32 - c2: 001a c.slli x0,0x6 + ba: d0070493 addi x9,x14,-768 + be: 0015 c.addi x0,5 + c0: bc00 c.fsd f8,56(x8) + c2: 0016 c.slli x0,0x5 c4: 0600 c.addi4spn x8,x2,768 c6: 0aa503a3 sb x10,167(x10) ca: 9f26 c.add x30,x9 - cc: 001a1007 0x1a1007 - d0: 1800 c.addi4spn x8,x2,48 - d2: 001a c.slli x0,0x6 + cc: 0016bc07 fld f24,1(x13) + d0: c400 c.sw x8,8(x8) + d2: 0016 c.slli x0,0x5 d4: 0600 c.addi4spn x8,x2,768 d6: 935a c.add x6,x22 d8: 5b04 c.lw x9,48(x14) - da: 18070493 addi x9,x14,384 - de: 001a c.slli x0,0x6 - e0: 1c00 c.addi4spn x8,x2,560 - e2: 001a c.slli x0,0x6 + da: c4070493 addi x9,x14,-960 + de: 0016 c.slli x0,0x5 + e0: c800 c.sw x8,16(x8) + e2: 0016 c.slli x0,0x5 e4: 0600 c.addi4spn x8,x2,768 e6: 0aa503a3 sb x10,167(x10) ea: 9f26 c.add x30,x9 ec: 0700 c.addi4spn x8,x2,896 - ee: 15ec c.addi4spn x11,x2,748 + ee: 1298 c.addi4spn x14,x2,352 f0: 0000 c.unimp - f2: 1660 c.addi4spn x8,x2,812 + f2: 130c c.addi4spn x11,x2,416 f4: 0000 c.unimp f6: 5c06 c.lwsp x24,96(x2) - f8: 935d0493 addi x9,x26,-1739 # a935 <__global_pointer$+0x70ad> + f8: 935d0493 addi x9,x26,-1739 # a935 <__global_pointer$+0x80fd> fc: 0704 c.addi4spn x9,x2,896 - fe: 1660 c.addi4spn x8,x2,812 + fe: 130c c.addi4spn x11,x2,416 100: 0000 c.unimp - 102: 16e4 c.addi4spn x9,x2,876 + 102: 1390 c.addi4spn x12,x2,480 104: 0000 c.unimp 106: a306 c.fsdsp f1,384(x2) 108: 260ca503 lw x10,608(x25) - 10c: 079f 16e4 0000 0x16e4079f - 112: 1738 c.addi4spn x14,x2,936 + 10c: 079f 1390 0000 0x1390079f + 112: 13e4 c.addi4spn x9,x2,492 114: 0000 c.unimp 116: 5c06 c.lwsp x24,96(x2) 118: 935d0493 addi x9,x26,-1739 11c: 0704 c.addi4spn x9,x2,896 - 11e: 1738 c.addi4spn x14,x2,936 + 11e: 13e4 c.addi4spn x9,x2,492 120: 0000 c.unimp - 122: 17c4 c.addi4spn x9,x2,996 + 122: 1470 c.addi4spn x12,x2,556 124: 0000 c.unimp 126: a306 c.fsdsp f1,384(x2) 128: 260ca503 lw x10,608(x25) - 12c: 079f 17c4 0000 0x17c4079f - 132: 17f4 c.addi4spn x13,x2,1004 + 12c: 079f 1470 0000 0x1470079f + 132: 14a0 c.addi4spn x8,x2,616 134: 0000 c.unimp 136: 5c06 c.lwsp x24,96(x2) 138: 935d0493 addi x9,x26,-1739 13c: 0704 c.addi4spn x9,x2,896 - 13e: 17f4 c.addi4spn x13,x2,1004 + 13e: 14a0 c.addi4spn x8,x2,616 140: 0000 c.unimp - 142: 1884 c.addi4spn x9,x2,112 + 142: 1530 c.addi4spn x12,x2,680 144: 0000 c.unimp 146: a306 c.fsdsp f1,384(x2) 148: 260ca503 lw x10,608(x25) - 14c: 079f 1884 0000 0x1884079f - 152: 1918 c.addi4spn x14,x2,176 + 14c: 079f 1530 0000 0x1530079f + 152: 15c4 c.addi4spn x9,x2,740 154: 0000 c.unimp 156: 5c06 c.lwsp x24,96(x2) 158: 935d0493 addi x9,x26,-1739 15c: 0704 c.addi4spn x9,x2,896 - 15e: 1918 c.addi4spn x14,x2,176 + 15e: 15c4 c.addi4spn x9,x2,740 160: 0000 c.unimp - 162: 1a10 c.addi4spn x12,x2,304 + 162: 16bc c.addi4spn x15,x2,872 164: 0000 c.unimp 166: a306 c.fsdsp f1,384(x2) 168: 260ca503 lw x10,608(x25) - 16c: 079f 1a10 0000 0x1a10079f - 172: 1a1c c.addi4spn x15,x2,304 + 16c: 079f 16bc 0000 0x16bc079f + 172: 16c8 c.addi4spn x10,x2,868 174: 0000 c.unimp 176: 5c06 c.lwsp x24,96(x2) 178: 935d0493 addi x9,x26,-1739 17c: 0004 0x4 - 17e: 0015ec07 0x15ec07 - 182: e000 c.fsw f8,0(x8) - 184: 0016 c.slli x0,0x5 - 186: 0200 c.addi4spn x8,x2,256 + 17e: 00129807 0x129807 + 182: 8c00 0x8c00 + 184: 02000013 addi x0,x0,32 188: 9f30 0x9f30 - 18a: 0016e407 0x16e407 - 18e: 1c00 c.addi4spn x8,x2,560 - 190: 001a c.slli x0,0x6 + 18a: 00139007 0x139007 + 18e: c800 c.sw x8,16(x8) + 190: 0016 c.slli x0,0x5 192: 0200 c.addi4spn x8,x2,256 194: 9f30 0x9f30 196: 0700 c.addi4spn x8,x2,896 - 198: 15ec c.addi4spn x11,x2,748 + 198: 1298 c.addi4spn x14,x2,352 19a: 0000 c.unimp - 19c: 1614 c.addi4spn x13,x2,800 + 19c: 12c0 c.addi4spn x8,x2,356 19e: 0000 c.unimp 1a0: 5c06 c.lwsp x24,96(x2) 1a2: 935d0493 addi x9,x26,-1739 1a6: 0704 c.addi4spn x9,x2,896 - 1a8: 16f8 c.addi4spn x14,x2,876 + 1a8: 13a4 c.addi4spn x9,x2,488 1aa: 0000 c.unimp - 1ac: 170c c.addi4spn x11,x2,928 + 1ac: 13b8 c.addi4spn x14,x2,488 1ae: 0000 c.unimp 1b0: 5c06 c.lwsp x24,96(x2) 1b2: 935d0493 addi x9,x26,-1739 1b6: 0704 c.addi4spn x9,x2,896 - 1b8: 17c4 c.addi4spn x9,x2,996 + 1b8: 1470 c.addi4spn x12,x2,556 1ba: 0000 c.unimp - 1bc: 17cc c.addi4spn x11,x2,996 + 1bc: 1478 c.addi4spn x14,x2,556 1be: 0000 c.unimp 1c0: 5c06 c.lwsp x24,96(x2) 1c2: 935d0493 addi x9,x26,-1739 1c6: 0704 c.addi4spn x9,x2,896 - 1c8: 1884 c.addi4spn x9,x2,112 + 1c8: 1530 c.addi4spn x12,x2,680 1ca: 0000 c.unimp - 1cc: 18f0 c.addi4spn x12,x2,124 + 1cc: 159c c.addi4spn x15,x2,736 1ce: 0000 c.unimp 1d0: 5c06 c.lwsp x24,96(x2) 1d2: 935d0493 addi x9,x26,-1739 1d6: 0704 c.addi4spn x9,x2,896 - 1d8: 1a10 c.addi4spn x12,x2,304 + 1d8: 16bc c.addi4spn x15,x2,872 1da: 0000 c.unimp - 1dc: 1a1c c.addi4spn x15,x2,304 + 1dc: 16c8 c.addi4spn x10,x2,868 1de: 0000 c.unimp 1e0: 5c06 c.lwsp x24,96(x2) 1e2: 935d0493 addi x9,x26,-1739 1e6: 0004 0x4 - 1e8: 0015ec07 0x15ec07 - 1ec: 0800 c.addi4spn x8,x2,16 - 1ee: 0016 c.slli x0,0x5 + 1e8: 00129807 0x129807 + 1ec: b400 c.fsd f8,40(x8) + 1ee: 0012 c.slli x0,0x4 1f0: 0600 c.addi4spn x8,x2,768 1f2: 935a c.add x6,x22 1f4: 5b04 c.lw x9,48(x14) - 1f6: 08070493 addi x9,x14,128 - 1fa: 0016 c.slli x0,0x5 - 1fc: 2400 c.fld f8,8(x8) - 1fe: 0016 c.slli x0,0x5 + 1f6: b4070493 addi x9,x14,-1216 + 1fa: 0012 c.slli x0,0x4 + 1fc: d000 c.sw x8,32(x8) + 1fe: 0012 c.slli x0,0x4 200: 0600 c.addi4spn x8,x2,768 202: 935a c.add x6,x22 204: 5f04 c.lw x9,56(x14) - 206: 24070493 addi x9,x14,576 - 20a: 0016 c.slli x0,0x5 - 20c: 4400 c.lw x8,8(x8) - 20e: 0016 c.slli x0,0x5 + 206: d0070493 addi x9,x14,-768 + 20a: 0012 c.slli x0,0x4 + 20c: f000 c.fsw f8,32(x8) + 20e: 0012 c.slli x0,0x4 210: 0600 c.addi4spn x8,x2,768 212: 9356 c.add x6,x21 214: 5f04 c.lw x9,56(x14) - 216: 44070493 addi x9,x14,1088 - 21a: 0016 c.slli x0,0x5 - 21c: 5c00 c.lw x8,56(x8) - 21e: 0016 c.slli x0,0x5 - 220: 0600 c.addi4spn x8,x2,768 + 216: f0070493 addi x9,x14,-256 + 21a: 0012 c.slli x0,0x4 + 21c: 0800 c.addi4spn x8,x2,16 + 21e: 06000013 addi x0,x0,96 222: 9356 c.add x6,x21 224: 6104 c.flw f9,0(x10) - 226: e4070493 addi x9,x14,-448 - 22a: 0016 c.slli x0,0x5 - 22c: 0400 c.addi4spn x8,x2,512 - 22e: 06000017 auipc x0,0x6000 + 226: 90070493 addi x9,x14,-1792 + 22a: b0000013 addi x0,x0,-1280 + 22e: 06000013 addi x0,x0,96 232: 9356 c.add x6,x21 234: 5f04 c.lw x9,56(x14) - 236: 04070493 addi x9,x14,64 - 23a: 38000017 auipc x0,0x38000 - 23e: 06000017 auipc x0,0x6000 + 236: b0070493 addi x9,x14,-1280 + 23a: e4000013 addi x0,x0,-448 + 23e: 06000013 addi x0,x0,96 242: 9356 c.add x6,x21 244: 6104 c.flw f9,0(x10) - 246: c4070493 addi x9,x14,-960 - 24a: f0000017 auipc x0,0xf0000 - 24e: 06000017 auipc x0,0x6000 + 246: 70070493 addi x9,x14,1792 + 24a: 0014 0x14 + 24c: 9c00 0x9c00 + 24e: 0014 0x14 + 250: 0600 c.addi4spn x8,x2,768 252: 9356 c.add x6,x21 254: 6104 c.flw f9,0(x10) - 256: 84070493 addi x9,x14,-1984 - 25a: 0018 0x18 - 25c: a400 c.fsd f8,8(x8) - 25e: 0018 0x18 + 256: 30070493 addi x9,x14,768 + 25a: 0015 c.addi x0,5 + 25c: 5000 c.lw x8,32(x8) + 25e: 0015 c.addi x0,5 260: 0600 c.addi4spn x8,x2,768 262: 9356 c.add x6,x21 264: 5b04 c.lw x9,48(x14) - 266: a4070493 addi x9,x14,-1472 - 26a: 0018 0x18 - 26c: d400 c.sw x8,40(x8) - 26e: 0018 0x18 + 266: 50070493 addi x9,x14,1280 + 26a: 0015 c.addi x0,5 + 26c: 8000 0x8000 + 26e: 0015 c.addi x0,5 270: 0600 c.addi4spn x8,x2,768 272: 9356 c.add x6,x21 274: 6104 c.flw f9,0(x10) - 276: d4070493 addi x9,x14,-704 - 27a: 0018 0x18 - 27c: e800 c.fsw f8,16(x8) - 27e: 0018 0x18 + 276: 80070493 addi x9,x14,-2048 + 27a: 0015 c.addi x0,5 + 27c: 9400 0x9400 + 27e: 0015 c.addi x0,5 280: 0600 c.addi4spn x8,x2,768 282: 9356 c.add x6,x21 284: 5b04 c.lw x9,48(x14) - 286: e8070493 addi x9,x14,-384 - 28a: 0018 0x18 - 28c: fc00 c.fsw f8,56(x8) - 28e: 0018 0x18 + 286: 94070493 addi x9,x14,-1728 + 28a: 0015 c.addi x0,5 + 28c: a800 c.fsd f8,16(x8) + 28e: 0015 c.addi x0,5 290: 0600 c.addi4spn x8,x2,768 292: 9356 c.add x6,x21 294: 6104 c.flw f9,0(x10) - 296: 10070493 addi x9,x14,256 - 29a: 001a c.slli x0,0x6 - 29c: 1400 c.addi4spn x8,x2,544 - 29e: 001a c.slli x0,0x6 + 296: bc070493 addi x9,x14,-1088 + 29a: 0016 c.slli x0,0x5 + 29c: c000 c.sw x8,0(x8) + 29e: 0016 c.slli x0,0x5 2a0: 0600 c.addi4spn x8,x2,768 2a2: 9356 c.add x6,x21 2a4: 5b04 c.lw x9,48(x14) - 2a6: 14070493 addi x9,x14,320 - 2aa: 001a c.slli x0,0x6 - 2ac: 1c00 c.addi4spn x8,x2,560 - 2ae: 001a c.slli x0,0x6 + 2a6: c0070493 addi x9,x14,-1024 + 2aa: 0016 c.slli x0,0x5 + 2ac: c800 c.sw x8,16(x8) + 2ae: 0016 c.slli x0,0x5 2b0: 0600 c.addi4spn x8,x2,768 2b2: 9356 c.add x6,x21 2b4: 5f04 c.lw x9,56(x14) 2b6: 07000493 addi x9,x0,112 - 2ba: 15f8 c.addi4spn x14,x2,748 + 2ba: 12a4 c.addi4spn x9,x2,360 2bc: 0000 c.unimp - 2be: 1640 c.addi4spn x8,x2,804 + 2be: 12ec c.addi4spn x11,x2,364 2c0: 0000 c.unimp 2c2: 5c01 c.li x24,-32 - 2c4: 00164007 flq f0,1(x12) # b001 <__global_pointer$+0x7779> - 2c8: 4c00 c.lw x8,24(x8) - 2ca: 0016 c.slli x0,0x5 + 2c4: 0012ec07 0x12ec07 + 2c8: f800 c.fsw f8,48(x8) + 2ca: 0012 c.slli x0,0x4 2cc: 0900 c.addi4spn x8,x2,144 2ce: 007c c.addi4spn x15,x2,12 2d0: ff08007b 0xff08007b 2d4: 241a c.fldsp f8,384(x2) - 2d6: 079f 164c 0000 0x164c079f - 2dc: 16dc c.addi4spn x15,x2,868 + 2d6: 079f 12f8 0000 0x12f8079f + 2dc: 1388 c.addi4spn x10,x2,480 2de: 0000 c.unimp 2e0: 5e01 c.li x28,-32 - 2e2: 0016e407 0x16e407 - 2e6: 3800 c.fld f8,48(x8) - 2e8: 01000017 auipc x0,0x1000 + 2e2: 00139007 0x139007 + 2e6: e400 c.fsw f8,8(x8) + 2e8: 01000013 addi x0,x0,16 2ec: 075c c.addi4spn x15,x2,900 - 2ee: 1738 c.addi4spn x14,x2,936 + 2ee: 13e4 c.addi4spn x9,x2,492 2f0: 0000 c.unimp - 2f2: 17c4 c.addi4spn x9,x2,996 + 2f2: 1470 c.addi4spn x12,x2,556 2f4: 0000 c.unimp 2f6: 5e01 c.li x28,-32 - 2f8: 0017c407 flq f8,1(x15) - 2fc: d800 c.sw x8,48(x8) - 2fe: 01000017 auipc x0,0x1000 + 2f8: 00147007 0x147007 + 2fc: 8400 0x8400 + 2fe: 0014 0x14 + 300: 0100 c.addi4spn x8,x2,128 302: 075c c.addi4spn x15,x2,900 - 304: 17d8 c.addi4spn x14,x2,996 + 304: 1484 c.addi4spn x9,x2,608 306: 0000 c.unimp - 308: 17dc c.addi4spn x15,x2,996 + 308: 1488 c.addi4spn x10,x2,608 30a: 0000 c.unimp 30c: 7c09 c.lui x24,0xfffe2 30e: 7b00 c.flw f8,48(x14) 310: 0800 c.addi4spn x8,x2,16 312: 1aff 0x1aff 314: 9f24 0x9f24 - 316: 0017dc07 0x17dc07 - 31a: 8400 0x8400 - 31c: 0018 0x18 + 316: 00148807 0x148807 + 31a: 3000 c.fld f8,32(x8) + 31c: 0015 c.addi x0,5 31e: 0100 c.addi4spn x8,x2,128 320: 075e c.slli x14,0x17 - 322: 1884 c.addi4spn x9,x2,112 + 322: 1530 c.addi4spn x12,x2,680 324: 0000 c.unimp - 326: 19b0 c.addi4spn x12,x2,248 + 326: 165c c.addi4spn x15,x2,804 328: 0000 c.unimp 32a: 5c01 c.li x24,-32 - 32c: 001a1007 0x1a1007 - 330: 1c00 c.addi4spn x8,x2,560 - 332: 001a c.slli x0,0x6 + 32c: 0016bc07 fld f24,1(x13) + 330: c800 c.sw x8,16(x8) + 332: 0016 c.slli x0,0x5 334: 0100 c.addi4spn x8,x2,128 336: 005c c.addi4spn x15,x2,4 - 338: 0015f807 0x15f807 - 33c: 1400 c.addi4spn x8,x2,544 - 33e: 0016 c.slli x0,0x5 + 338: 0012a407 flw f8,1(x5) + 33c: c000 c.sw x8,0(x8) + 33e: 0012 c.slli x0,0x4 340: 0100 c.addi4spn x8,x2,128 342: 075d c.addi x14,23 - 344: 16f8 c.addi4spn x14,x2,876 + 344: 13a4 c.addi4spn x9,x2,488 346: 0000 c.unimp - 348: 170c c.addi4spn x11,x2,928 + 348: 13b8 c.addi4spn x14,x2,488 34a: 0000 c.unimp 34c: 5d01 c.li x26,-32 - 34e: 0017c407 flq f8,1(x15) - 352: cc00 c.sw x8,24(x8) - 354: 01000017 auipc x0,0x1000 + 34e: 00147007 0x147007 + 352: 7800 c.flw f8,48(x8) + 354: 0014 0x14 + 356: 0100 c.addi4spn x8,x2,128 358: 075d c.addi x14,23 - 35a: 1884 c.addi4spn x9,x2,112 + 35a: 1530 c.addi4spn x12,x2,680 35c: 0000 c.unimp - 35e: 18f0 c.addi4spn x12,x2,124 + 35e: 159c c.addi4spn x15,x2,736 360: 0000 c.unimp 362: 5d01 c.li x26,-32 - 364: 0018f407 0x18f407 - 368: 9c00 0x9c00 - 36a: 0019 c.addi x0,6 + 364: 0015a007 flw f0,1(x11) + 368: 4800 c.lw x8,16(x8) + 36a: 0016 c.slli x0,0x5 36c: 0100 c.addi4spn x8,x2,128 36e: 075d c.addi x14,23 - 370: 1a10 c.addi4spn x12,x2,304 + 370: 16bc c.addi4spn x15,x2,872 372: 0000 c.unimp - 374: 1a1c c.addi4spn x15,x2,304 + 374: 16c8 c.addi4spn x10,x2,868 376: 0000 c.unimp 378: 5d01 c.li x26,-32 37a: 0700 c.addi4spn x8,x2,896 - 37c: 15fc c.addi4spn x15,x2,748 + 37c: 12a8 c.addi4spn x10,x2,360 37e: 0000 c.unimp - 380: 1624 c.addi4spn x9,x2,808 + 380: 12d0 c.addi4spn x12,x2,356 382: 0000 c.unimp 384: 5a01 c.li x20,-32 - 386: 00162407 flw f8,1(x12) - 38a: 5400 c.lw x8,40(x8) - 38c: 0016 c.slli x0,0x5 - 38e: 0100 c.addi4spn x8,x2,128 + 386: 0012d007 0x12d007 + 38a: 0000 c.unimp + 38c: 01000013 addi x0,x0,16 390: 0756 c.slli x14,0x15 - 392: 1654 c.addi4spn x13,x2,804 + 392: 1300 c.addi4spn x8,x2,416 394: 0000 c.unimp - 396: 16a4 c.addi4spn x9,x2,872 + 396: 1350 c.addi4spn x12,x2,420 398: 0000 c.unimp 39a: 6001 0x6001 - 39c: 0016e407 0x16e407 - 3a0: 3800 c.fld f8,48(x8) - 3a2: 01000017 auipc x0,0x1000 + 39c: 00139007 0x139007 + 3a0: e400 c.fsw f8,8(x8) + 3a2: 01000013 addi x0,x0,16 3a6: 0756 c.slli x14,0x15 - 3a8: 1738 c.addi4spn x14,x2,936 + 3a8: 13e4 c.addi4spn x9,x2,492 3aa: 0000 c.unimp - 3ac: 1788 c.addi4spn x10,x2,992 + 3ac: 1434 c.addi4spn x13,x2,552 3ae: 0000 c.unimp 3b0: 6001 0x6001 - 3b2: 0017c407 flq f8,1(x15) - 3b6: f000 c.fsw f8,32(x8) - 3b8: 01000017 auipc x0,0x1000 + 3b2: 00147007 0x147007 + 3b6: 9c00 0x9c00 + 3b8: 0014 0x14 + 3ba: 0100 c.addi4spn x8,x2,128 3bc: 0756 c.slli x14,0x15 - 3be: 1800 c.addi4spn x8,x2,48 + 3be: 14ac c.addi4spn x11,x2,616 3c0: 0000 c.unimp - 3c2: 1884 c.addi4spn x9,x2,112 + 3c2: 1530 c.addi4spn x12,x2,680 3c4: 0000 c.unimp 3c6: 6001 0x6001 - 3c8: 00188407 0x188407 - 3cc: 1800 c.addi4spn x8,x2,48 - 3ce: 0019 c.addi x0,6 + 3c8: 00153007 fld f0,1(x10) + 3cc: c400 c.sw x8,8(x8) + 3ce: 0015 c.addi x0,5 3d0: 0100 c.addi4spn x8,x2,128 3d2: 0756 c.slli x14,0x15 - 3d4: 1918 c.addi4spn x14,x2,176 + 3d4: 15c4 c.addi4spn x9,x2,740 3d6: 0000 c.unimp - 3d8: 19fc c.addi4spn x15,x2,252 + 3d8: 16a8 c.addi4spn x10,x2,872 3da: 0000 c.unimp 3dc: 7606 c.flwsp f12,96(x2) 3de: 7b00 c.flw f8,48(x14) 3e0: 2400 c.fld f8,8(x8) - 3e2: 079f 1a10 0000 0x1a10079f - 3e8: 1a1c c.addi4spn x15,x2,304 + 3e2: 079f 16bc 0000 0x16bc079f + 3e8: 16c8 c.addi4spn x10,x2,868 3ea: 0000 c.unimp 3ec: 5601 c.li x12,-32 3ee: 0700 c.addi4spn x8,x2,896 - 3f0: 1600 c.addi4spn x8,x2,800 + 3f0: 12ac c.addi4spn x11,x2,360 3f2: 0000 c.unimp - 3f4: 1608 c.addi4spn x10,x2,800 + 3f4: 12b4 c.addi4spn x13,x2,360 3f6: 0000 c.unimp 3f8: 5b01 c.li x22,-32 - 3fa: 00160807 0x160807 - 3fe: 4400 c.lw x8,8(x8) - 400: 0016 c.slli x0,0x5 + 3fa: 0012b407 fld f8,1(x5) + 3fe: f000 c.fsw f8,32(x8) + 400: 0012 c.slli x0,0x4 402: 0100 c.addi4spn x8,x2,128 - 404: 075f 1644 0000 0x1644075f - 40a: 1650 c.addi4spn x12,x2,804 + 404: 075f 12f0 0000 0x12f0075f + 40a: 12fc c.addi4spn x15,x2,364 40c: 0000 c.unimp 40e: 6101 c.addi16sp x2,0 - 410: 00165007 0x165007 - 414: 6800 c.flw f8,16(x8) - 416: 0016 c.slli x0,0x5 - 418: 0100 c.addi4spn x8,x2,128 - 41a: 075f 16e4 0000 0x16e4075f - 420: 1704 c.addi4spn x9,x2,928 + 410: 0012fc07 0x12fc07 + 414: 1400 c.addi4spn x8,x2,544 + 416: 01000013 addi x0,x0,16 + 41a: 075f 1390 0000 0x1390075f + 420: 13b0 c.addi4spn x12,x2,488 422: 0000 c.unimp 424: 5f01 c.li x30,-32 - 426: 00170407 0x170407 - 42a: 3400 c.fld f8,40(x8) - 42c: 01000017 auipc x0,0x1000 + 426: 0013b007 fld f0,1(x7) + 42a: e000 c.fsw f8,0(x8) + 42c: 01000013 addi x0,x0,16 430: 0761 c.addi x14,24 - 432: 1734 c.addi4spn x13,x2,936 + 432: 13e0 c.addi4spn x8,x2,492 434: 0000 c.unimp - 436: 1750 c.addi4spn x12,x2,932 + 436: 13fc c.addi4spn x15,x2,492 438: 0000 c.unimp 43a: 5f01 c.li x30,-32 - 43c: 0017c407 flq f8,1(x15) - 440: f800 c.fsw f8,48(x8) - 442: 01000017 auipc x0,0x1000 + 43c: 00147007 0x147007 + 440: a400 c.fsd f8,8(x8) + 442: 0014 0x14 + 444: 0100 c.addi4spn x8,x2,128 446: 0761 c.addi x14,24 - 448: 1800 c.addi4spn x8,x2,48 + 448: 14ac c.addi4spn x11,x2,616 44a: 0000 c.unimp - 44c: 1840 c.addi4spn x8,x2,52 + 44c: 14ec c.addi4spn x11,x2,620 44e: 0000 c.unimp 450: 5f01 c.li x30,-32 - 452: 00188007 0x188007 - 456: 8400 0x8400 - 458: 0018 0x18 + 452: 00152c07 flw f24,1(x10) + 456: 3000 c.fld f8,32(x8) + 458: 0015 c.addi x0,5 45a: 0100 c.addi4spn x8,x2,128 - 45c: 075f 1884 0000 0x1884075f - 462: 18a4 c.addi4spn x9,x2,120 + 45c: 075f 1530 0000 0x1530075f + 462: 1550 c.addi4spn x12,x2,676 464: 0000 c.unimp 466: 5b01 c.li x22,-32 - 468: 0018a407 flw f8,1(x17) - 46c: d400 c.sw x8,40(x8) - 46e: 0018 0x18 + 468: 00155007 0x155007 + 46c: 8000 0x8000 + 46e: 0015 c.addi x0,5 470: 0100 c.addi4spn x8,x2,128 472: 0761 c.addi x14,24 - 474: 18d4 c.addi4spn x13,x2,116 + 474: 1580 c.addi4spn x8,x2,736 476: 0000 c.unimp - 478: 18e8 c.addi4spn x10,x2,124 + 478: 1594 c.addi4spn x13,x2,736 47a: 0000 c.unimp 47c: 5b01 c.li x22,-32 - 47e: 0018e807 0x18e807 - 482: fc00 c.fsw f8,56(x8) - 484: 0018 0x18 + 47e: 00159407 0x159407 + 482: a800 c.fsd f8,16(x8) + 484: 0015 c.addi x0,5 486: 0100 c.addi4spn x8,x2,128 488: 0761 c.addi x14,24 - 48a: 1918 c.addi4spn x14,x2,176 + 48a: 15c4 c.addi4spn x9,x2,740 48c: 0000 c.unimp - 48e: 1958 c.addi4spn x14,x2,180 + 48e: 1604 c.addi4spn x9,x2,800 490: 0000 c.unimp 492: 5f01 c.li x30,-32 - 494: 0019a407 flw f8,1(x19) - 498: e800 c.fsw f8,16(x8) - 49a: 0019 c.addi x0,6 + 494: 00165007 0x165007 + 498: 9400 0x9400 + 49a: 0016 c.slli x0,0x5 49c: 0100 c.addi4spn x8,x2,128 - 49e: 075f 1a10 0000 0x1a10075f - 4a4: 1a14 c.addi4spn x13,x2,304 + 49e: 075f 16bc 0000 0x16bc075f + 4a4: 16c0 c.addi4spn x8,x2,868 4a6: 0000 c.unimp 4a8: 5b01 c.li x22,-32 - 4aa: 001a1407 0x1a1407 - 4ae: 1c00 c.addi4spn x8,x2,560 - 4b0: 001a c.slli x0,0x6 + 4aa: 0016c007 flq f0,1(x13) + 4ae: c800 c.sw x8,16(x8) + 4b0: 0016 c.slli x0,0x5 4b2: 0100 c.addi4spn x8,x2,128 - 4b4: 005f e007 0017 0x17e007005f - 4ba: 0400 c.addi4spn x8,x2,512 - 4bc: 0018 0x18 + 4b4: 005f 8c07 0014 0x148c07005f + 4ba: b000 c.fsd f8,32(x8) + 4bc: 0014 0x14 4be: 0100 c.addi4spn x8,x2,128 4c0: 075d c.addi x14,23 - 4c2: 1918 c.addi4spn x14,x2,176 + 4c2: 15c4 c.addi4spn x9,x2,740 4c4: 0000 c.unimp - 4c6: 191c c.addi4spn x15,x2,176 + 4c6: 15c8 c.addi4spn x10,x2,740 4c8: 0000 c.unimp 4ca: 5e01 c.li x28,-32 4cc: 0700 c.addi4spn x8,x2,896 - 4ce: 16dc c.addi4spn x15,x2,868 + 4ce: 1388 c.addi4spn x10,x2,480 4d0: 0000 c.unimp - 4d2: 16e0 c.addi4spn x8,x2,876 + 4d2: 138c c.addi4spn x11,x2,480 4d4: 0000 c.unimp 4d6: 5a01 c.li x20,-32 - 4d8: 0017c007 flq f0,1(x15) - 4dc: c400 c.sw x8,8(x8) - 4de: 01000017 auipc x0,0x1000 + 4d8: 00146c07 0x146c07 + 4dc: 7000 c.flw f8,32(x8) + 4de: 0014 0x14 + 4e0: 0100 c.addi4spn x8,x2,128 4e2: 075a c.slli x14,0x16 - 4e4: 19a4 c.addi4spn x9,x2,248 + 4e4: 1650 c.addi4spn x12,x2,804 4e6: 0000 c.unimp - 4e8: 1a10 c.addi4spn x12,x2,304 + 4e8: 16bc c.addi4spn x15,x2,872 4ea: 0000 c.unimp 4ec: 5a01 c.li x20,-32 4ee: 0700 c.addi4spn x8,x2,896 - 4f0: 1734 c.addi4spn x13,x2,936 + 4f0: 13e0 c.addi4spn x8,x2,492 4f2: 0000 c.unimp - 4f4: 1738 c.addi4spn x14,x2,936 + 4f4: 13e4 c.addi4spn x9,x2,492 4f6: 0000 c.unimp 4f8: 3102 c.fldsp f2,32(x2) - 4fa: 079f 1738 0000 0x1738079f - 500: 17c4 c.addi4spn x9,x2,996 + 4fa: 079f 13e4 0000 0x13e4079f + 500: 1470 c.addi4spn x12,x2,556 502: 0000 c.unimp 504: 5b01 c.li x22,-32 - 506: 00188007 0x188007 - 50a: 8400 0x8400 - 50c: 0018 0x18 + 506: 00152c07 flw f24,1(x10) + 50a: 3000 c.fld f8,32(x8) + 50c: 0015 c.addi x0,5 50e: 0100 c.addi4spn x8,x2,128 - 510: d807005b 0xd807005b - 514: e8000017 auipc x0,0xe8000 - 518: 01000017 auipc x0,0x1000 - 51c: 075f 18e8 0000 0x18e8075f - 522: 1900 c.addi4spn x8,x2,176 + 510: 8407005b 0x8407005b + 514: 0014 0x14 + 516: 9400 0x9400 + 518: 0014 0x14 + 51a: 0100 c.addi4spn x8,x2,128 + 51c: 075f 1594 0000 0x1594075f + 522: 15ac c.addi4spn x11,x2,744 524: 0000 c.unimp 526: 5f01 c.li x30,-32 528: 0700 c.addi4spn x8,x2,896 - 52a: 163c c.addi4spn x15,x2,808 + 52a: 12e8 c.addi4spn x10,x2,364 52c: 0000 c.unimp - 52e: 1658 c.addi4spn x14,x2,804 + 52e: 1304 c.addi4spn x9,x2,416 530: 0000 c.unimp 532: 5b01 c.li x22,-32 - 534: 00172c07 flw f24,1(x14) - 538: 3800 c.fld f8,48(x8) - 53a: 01000017 auipc x0,0x1000 - 53e: 17d8075b 0x17d8075b + 534: 0013d807 0x13d807 + 538: e400 c.fsw f8,8(x8) + 53a: 01000013 addi x0,x0,16 + 53e: 1484075b 0x1484075b 542: 0000 c.unimp - 544: 180c c.addi4spn x11,x2,48 + 544: 14b8 c.addi4spn x14,x2,616 546: 0000 c.unimp 548: 5b01 c.li x22,-32 - 54a: 0018bc07 fld f24,1(x17) - 54e: d400 c.sw x8,40(x8) - 550: 0018 0x18 + 54a: 00156807 0x156807 + 54e: 8000 0x8000 + 550: 0015 c.addi x0,5 552: 0100 c.addi4spn x8,x2,128 - 554: 18e8075b 0x18e8075b + 554: 1594075b 0x1594075b 558: 0000 c.unimp - 55a: 1a04 c.addi4spn x9,x2,304 + 55a: 16b0 c.addi4spn x12,x2,872 55c: 0000 c.unimp 55e: 5b01 c.li x22,-32 560: 0700 c.addi4spn x8,x2,896 - 562: 1610 c.addi4spn x12,x2,800 + 562: 12bc c.addi4spn x15,x2,360 564: 0000 c.unimp - 566: 1640 c.addi4spn x8,x2,804 + 566: 12ec c.addi4spn x11,x2,364 568: 0000 c.unimp 56a: 5c01 c.li x24,-32 - 56c: 00164007 flq f0,1(x12) - 570: 4c00 c.lw x8,24(x8) - 572: 0016 c.slli x0,0x5 + 56c: 0012ec07 0x12ec07 + 570: f800 c.fsw f8,48(x8) + 572: 0012 c.slli x0,0x4 574: 0900 c.addi4spn x8,x2,144 576: 007c c.addi4spn x15,x2,12 578: ff08007b 0xff08007b 57c: 241a c.fldsp f8,384(x2) - 57e: 079f 164c 0000 0x164c079f - 584: 16dc c.addi4spn x15,x2,868 + 57e: 079f 12f8 0000 0x12f8079f + 584: 1388 c.addi4spn x10,x2,480 586: 0000 c.unimp 588: 5e01 c.li x28,-32 - 58a: 0016e407 0x16e407 - 58e: f800 c.fsw f8,48(x8) - 590: 0016 c.slli x0,0x5 - 592: 0100 c.addi4spn x8,x2,128 + 58a: 00139007 0x139007 + 58e: a400 c.fsd f8,8(x8) + 590: 01000013 addi x0,x0,16 594: 005c c.addi4spn x15,x2,4 - 596: 00162407 flw f8,1(x12) - 59a: 3800 c.fld f8,48(x8) - 59c: 0016 c.slli x0,0x5 + 596: 0012d007 0x12d007 + 59a: e400 c.fsw f8,8(x8) + 59c: 0012 c.slli x0,0x4 59e: 0100 c.addi4spn x8,x2,128 5a0: 005d c.addi x0,23 - 5a2: 00165807 0x165807 - 5a6: dc00 c.sw x8,56(x8) - 5a8: 0016 c.slli x0,0x5 - 5aa: 0100 c.addi4spn x8,x2,128 - 5ac: 6407005b 0x6407005b - 5b0: 0016 c.slli x0,0x5 - 5b2: dc00 c.sw x8,56(x8) - 5b4: 0016 c.slli x0,0x5 - 5b6: 0100 c.addi4spn x8,x2,128 + 5a2: 00130407 0x130407 + 5a6: 8800 0x8800 + 5a8: 01000013 addi x0,x0,16 + 5ac: 1007005b 0x1007005b + 5b0: 88000013 addi x0,x0,-1920 + 5b4: 01000013 addi x0,x0,16 5b8: 005c c.addi4spn x15,x2,4 - 5ba: 00166407 0x166407 - 5be: 8800 0x8800 - 5c0: 0016 c.slli x0,0x5 - 5c2: 0100 c.addi4spn x8,x2,128 + 5ba: 00131007 0x131007 + 5be: 3400 c.fld f8,40(x8) + 5c0: 01000013 addi x0,x0,16 5c4: 0756 c.slli x14,0x15 - 5c6: 1688 c.addi4spn x10,x2,864 + 5c6: 1334 c.addi4spn x13,x2,424 5c8: 0000 c.unimp - 5ca: 16d8 c.addi4spn x14,x2,868 + 5ca: 1384 c.addi4spn x9,x2,480 5cc: 0000 c.unimp 5ce: 5a01 c.li x20,-32 5d0: 0700 c.addi4spn x8,x2,896 - 5d2: 16a0 c.addi4spn x8,x2,872 + 5d2: 134c c.addi4spn x11,x2,420 5d4: 0000 c.unimp - 5d6: 16c8 c.addi4spn x10,x2,868 + 5d6: 1374 c.addi4spn x13,x2,428 5d8: 0000 c.unimp 5da: 6101 c.addi16sp x2,0 - 5dc: 0016c807 flq f16,1(x13) - 5e0: dc00 c.sw x8,56(x8) - 5e2: 0016 c.slli x0,0x5 - 5e4: 0100 c.addi4spn x8,x2,128 - 5e6: 005f 6407 0016 0x166407005f - 5ec: 6800 c.flw f8,16(x8) - 5ee: 0016 c.slli x0,0x5 - 5f0: 0600 c.addi4spn x8,x2,768 + 5dc: 00137407 0x137407 + 5e0: 8800 0x8800 + 5e2: 01000013 addi x0,x0,16 + 5e6: 005f 1007 0013 0x131007005f + 5ec: 1400 c.addi4spn x8,x2,544 + 5ee: 06000013 addi x0,x0,96 5f2: 007f 0x7f 5f4: 9f1d007b 0x9f1d007b - 5f8: 00166807 0x166807 - 5fc: 7800 c.flw f8,48(x8) - 5fe: 0016 c.slli x0,0x5 - 600: 0100 c.addi4spn x8,x2,128 - 602: 075f 167c 0000 0x167c075f - 608: 1684 c.addi4spn x9,x2,864 + 5f8: 00131407 0x131407 + 5fc: 2400 c.fld f8,8(x8) + 5fe: 01000013 addi x0,x0,16 + 602: 075f 1328 0000 0x1328075f + 608: 1330 c.addi4spn x12,x2,424 60a: 0000 c.unimp 60c: 5f01 c.li x30,-32 - 60e: 00168407 0x168407 - 612: 8800 0x8800 - 614: 0016 c.slli x0,0x5 - 616: 0800 c.addi4spn x8,x2,16 + 60e: 00133007 fld f0,1(x6) # ffffa001 <__global_pointer$+0xffff77c9> + 612: 3400 c.fld f8,40(x8) + 614: 08000013 addi x0,x0,128 618: 0080 c.addi4spn x8,x2,64 61a: 2540 c.fld f8,136(x10) 61c: 007d c.addi x0,31 61e: 9f21 0x9f21 - 620: 00168807 0x168807 - 624: ac00 c.fsd f8,24(x8) - 626: 0016 c.slli x0,0x5 - 628: 0100 c.addi4spn x8,x2,128 - 62a: 005f 9c07 0016 0x169c07005f - 630: ac00 c.fsd f8,24(x8) - 632: 0016 c.slli x0,0x5 - 634: 0600 c.addi4spn x8,x2,768 + 620: 00133407 fld f8,1(x6) + 624: 5800 c.lw x8,48(x8) + 626: 01000013 addi x0,x0,16 + 62a: 005f 4807 0013 0x134807005f + 630: 5800 c.lw x8,48(x8) + 632: 06000013 addi x0,x0,96 636: 007f 0x7f 638: 9f1d007b 0x9f1d007b - 63c: 0016ac07 flw f24,1(x13) - 640: b400 c.fsd f8,40(x8) - 642: 0016 c.slli x0,0x5 - 644: 0100 c.addi4spn x8,x2,128 - 646: 075f 16b8 0000 0x16b8075f - 64c: 16c4 c.addi4spn x9,x2,868 + 63c: 00135807 0x135807 + 640: 6000 c.flw f8,0(x8) + 642: 01000013 addi x0,x0,16 + 646: 075f 1364 0000 0x1364075f + 64c: 1370 c.addi4spn x12,x2,428 64e: 0000 c.unimp 650: 6001 0x6001 652: 0700 c.addi4spn x8,x2,896 - 654: 1670 c.addi4spn x12,x2,812 + 654: 131c c.addi4spn x15,x2,416 656: 0000 c.unimp - 658: 16a0 c.addi4spn x8,x2,872 + 658: 134c c.addi4spn x11,x2,420 65a: 0000 c.unimp 65c: 6101 c.addi16sp x2,0 - 65e: 0016a007 flw f0,1(x13) - 662: b000 c.fsd f8,32(x8) - 664: 0016 c.slli x0,0x5 - 666: 0600 c.addi4spn x8,x2,768 + 65e: 00134c07 flq f24,1(x6) + 662: 5c00 c.lw x8,56(x8) + 664: 06000013 addi x0,x0,96 668: 007c c.addi4spn x15,x2,12 66a: 0076 c.slli x0,0x1d 66c: 9f1e c.add x30,x7 - 66e: 0016b007 fld f0,1(x13) - 672: dc00 c.sw x8,56(x8) - 674: 0016 c.slli x0,0x5 - 676: 0100 c.addi4spn x8,x2,128 + 66e: 00135c07 0x135c07 + 672: 8800 0x8800 + 674: 01000013 addi x0,x0,16 678: 005d c.addi x0,23 - 67a: 0019dc07 0x19dc07 - 67e: 0c00 c.addi4spn x8,x2,528 - 680: 001a c.slli x0,0x6 + 67a: 00168807 0x168807 + 67e: b800 c.fsd f8,48(x8) + 680: 0016 c.slli x0,0x5 682: 0100 c.addi4spn x8,x2,128 684: 005d c.addi x0,23 - 686: 0019dc07 0x19dc07 - 68a: f000 c.fsw f8,32(x8) - 68c: 0019 c.addi x0,6 + 686: 00168807 0x168807 + 68a: 9c00 0x9c00 + 68c: 0016 c.slli x0,0x5 68e: 1000 c.addi4spn x8,x2,32 690: 0081 c.addi x1,0 692: ff0a c.fswsp f2,188(x2) @@ -5312,9 +5078,9 @@ Disassembly of section .debug_loclists: 69a: 1aff 0x1aff 69c: 2440 c.fld f8,136(x8) 69e: 9f22 c.add x30,x8 - 6a0: 0019f007 0x19f007 - 6a4: f800 c.fsw f8,48(x8) - 6a6: 0019 c.addi x0,6 + 6a0: 00169c07 0x169c07 + 6a4: a400 c.fsd f8,8(x8) + 6a6: 0016 c.slli x0,0x5 6a8: 1500 c.addi4spn x8,x2,672 6aa: 0081 c.addi x1,0 6ac: ff0a c.fswsp f2,188(x2) @@ -5326,431 +5092,438 @@ Disassembly of section .debug_loclists: 6b8: ffff 0xffff 6ba: 401a 0x401a 6bc: 2224 c.fld f9,64(x12) - 6be: 009f 1807 0019 0x191807009f - 6c4: b400 c.fsd f8,40(x8) - 6c6: 0019 c.addi x0,6 + 6be: 009f c407 0015 0x15c407009f + 6c4: 6000 c.flw f8,0(x8) + 6c6: 0016 c.slli x0,0x5 6c8: 0100 c.addi4spn x8,x2,128 6ca: 0061 c.addi x0,24 - 6cc: 00191807 0x191807 - 6d0: 6400 c.flw f8,8(x8) - 6d2: 0019 c.addi x0,6 + 6cc: 0015c407 flq f8,1(x11) + 6d0: 1000 c.addi4spn x8,x2,32 + 6d2: 0016 c.slli x0,0x5 6d4: 0100 c.addi4spn x8,x2,128 6d6: 0760 c.addi4spn x8,x2,908 - 6d8: 1964 c.addi4spn x9,x2,188 + 6d8: 1610 c.addi4spn x12,x2,800 6da: 0000 c.unimp - 6dc: 199c c.addi4spn x15,x2,240 + 6dc: 1648 c.addi4spn x10,x2,804 6de: 0000 c.unimp 6e0: 40007d07 0x40007d07 6e4: 4024 c.lw x9,64(x8) 6e6: 9f25 0x9f25 6e8: 0700 c.addi4spn x8,x2,896 - 6ea: 1918 c.addi4spn x14,x2,176 + 6ea: 15c4 c.addi4spn x9,x2,740 6ec: 0000 c.unimp - 6ee: 193c c.addi4spn x15,x2,184 + 6ee: 15e8 c.addi4spn x10,x2,748 6f0: 0000 c.unimp 6f2: 6d01 0x6d01 - 6f4: 00193c07 fld f24,1(x18) # 1d001 <__global_pointer$+0x19779> - 6f8: 9000 0x9000 - 6fa: 0019 c.addi x0,6 + 6f4: 0015e807 0x15e807 + 6f8: 3c00 c.fld f8,56(x8) + 6fa: 0016 c.slli x0,0x5 6fc: 0100 c.addi4spn x8,x2,128 6fe: 005a c.slli x0,0x16 - 700: 00195407 0x195407 - 704: 7c00 c.flw f8,56(x8) - 706: 0019 c.addi x0,6 + 700: 00160007 0x160007 + 704: 2800 c.fld f8,16(x8) + 706: 0016 c.slli x0,0x5 708: 0100 c.addi4spn x8,x2,128 70a: 076c c.addi4spn x11,x2,908 - 70c: 197c c.addi4spn x15,x2,188 + 70c: 1628 c.addi4spn x10,x2,808 70e: 0000 c.unimp - 710: 19a0 c.addi4spn x8,x2,248 + 710: 164c c.addi4spn x11,x2,804 712: 0000 c.unimp 714: 5e01 c.li x28,-32 716: 0700 c.addi4spn x8,x2,896 - 718: 1918 c.addi4spn x14,x2,176 + 718: 15c4 c.addi4spn x9,x2,740 71a: 0000 c.unimp - 71c: 191c c.addi4spn x15,x2,176 + 71c: 15c8 c.addi4spn x10,x2,740 71e: 0000 c.unimp 720: 7e06 c.flwsp f28,96(x2) 722: 8100 0x8100 724: 1d00 c.addi4spn x8,x2,688 - 726: 079f 191c 0000 0x191c079f - 72c: 1928 c.addi4spn x10,x2,184 + 726: 079f 15c8 0000 0x15c8079f + 72c: 15d4 c.addi4spn x13,x2,740 72e: 0000 c.unimp 730: 5e01 c.li x28,-32 - 732: 00192c07 flw f24,1(x18) - 736: 3800 c.fld f8,48(x8) - 738: 0019 c.addi x0,6 + 732: 0015d807 0x15d807 + 736: e400 c.fsw f8,8(x8) + 738: 0015 c.addi x0,5 73a: 0100 c.addi4spn x8,x2,128 73c: 075e c.slli x14,0x17 - 73e: 193c c.addi4spn x15,x2,184 + 73e: 15e8 c.addi4spn x10,x2,748 740: 0000 c.unimp - 742: 1960 c.addi4spn x8,x2,188 + 742: 160c c.addi4spn x11,x2,800 744: 0000 c.unimp 746: 5e01 c.li x28,-32 748: 0700 c.addi4spn x8,x2,896 - 74a: 1950 c.addi4spn x12,x2,180 + 74a: 15fc c.addi4spn x15,x2,748 74c: 0000 c.unimp - 74e: 1960 c.addi4spn x8,x2,188 + 74e: 160c c.addi4spn x11,x2,800 750: 0000 c.unimp 752: 7e06 c.flwsp f28,96(x2) 754: 8100 0x8100 756: 1d00 c.addi4spn x8,x2,688 - 758: 079f 1960 0000 0x1960079f - 75e: 1968 c.addi4spn x10,x2,188 + 758: 079f 160c 0000 0x160c079f + 75e: 1614 c.addi4spn x13,x2,800 760: 0000 c.unimp 762: 5e01 c.li x28,-32 - 764: 00196c07 0x196c07 - 768: 7800 c.flw f8,48(x8) - 76a: 0019 c.addi x0,6 + 764: 00161807 0x161807 + 768: 2400 c.fld f8,8(x8) + 76a: 0016 c.slli x0,0x5 76c: 0100 c.addi4spn x8,x2,128 - 76e: 075f 197c 0000 0x197c075f - 774: 19e8 c.addi4spn x10,x2,252 + 76e: 075f 1628 0000 0x1628075f + 774: 1694 c.addi4spn x13,x2,864 776: 0000 c.unimp 778: 5f01 c.li x30,-32 77a: 0700 c.addi4spn x8,x2,896 - 77c: 1920 c.addi4spn x8,x2,184 + 77c: 15cc c.addi4spn x11,x2,740 77e: 0000 c.unimp - 780: 1954 c.addi4spn x13,x2,180 + 780: 1600 c.addi4spn x8,x2,800 782: 0000 c.unimp 784: 6c01 0x6c01 - 786: 00195407 0x195407 - 78a: 6400 c.flw f8,8(x8) - 78c: 0019 c.addi x0,6 + 786: 00160007 0x160007 + 78a: 1000 c.addi4spn x8,x2,32 + 78c: 0016 c.slli x0,0x5 78e: 0600 c.addi4spn x8,x2,768 790: 0080 c.addi4spn x8,x2,64 792: 008d c.addi x1,3 794: 9f1e c.add x30,x7 - 796: 00196407 0x196407 - 79a: ac00 c.fsd f8,24(x8) - 79c: 0019 c.addi x0,6 + 796: 00161007 0x161007 + 79a: 5800 c.lw x8,48(x8) + 79c: 0016 c.slli x0,0x5 79e: 0100 c.addi4spn x8,x2,128 7a0: 0060 c.addi4spn x8,x2,12 - 7a2: 0019b407 fld f8,1(x19) - 7a6: f800 c.fsw f8,48(x8) - 7a8: 0019 c.addi x0,6 + 7a2: 00166007 0x166007 + 7a6: a400 c.fsd f8,8(x8) + 7a8: 0016 c.slli x0,0x5 7aa: 0100 c.addi4spn x8,x2,128 7ac: 0061 c.addi x0,24 - 7ae: 0019b407 fld f8,1(x19) - 7b2: bc00 c.fsd f8,56(x8) - 7b4: 0019 c.addi x0,6 + 7ae: 00166007 0x166007 + 7b2: 6800 c.flw f8,16(x8) + 7b4: 0016 c.slli x0,0x5 7b6: 0600 c.addi4spn x8,x2,768 7b8: 007e c.slli x0,0x1f 7ba: 007c c.addi4spn x15,x2,12 7bc: 9f1e c.add x30,x7 - 7be: 0019bc07 fld f24,1(x19) - 7c2: c400 c.sw x8,8(x8) - 7c4: 0019 c.addi x0,6 + 7be: 00166807 0x166807 + 7c2: 7000 c.flw f8,32(x8) + 7c4: 0016 c.slli x0,0x5 7c6: 0100 c.addi4spn x8,x2,128 7c8: 075e c.slli x14,0x17 - 7ca: 19cc c.addi4spn x11,x2,244 + 7ca: 1678 c.addi4spn x14,x2,812 7cc: 0000 c.unimp - 7ce: 19f0 c.addi4spn x12,x2,252 + 7ce: 169c c.addi4spn x15,x2,864 7d0: 0000 c.unimp 7d2: 5e01 c.li x28,-32 - 7d4: 0019f007 0x19f007 - 7d8: f800 c.fsw f8,48(x8) - 7da: 0019 c.addi x0,6 + 7d4: 00169c07 0x169c07 + 7d8: a400 c.fsd f8,8(x8) + 7da: 0016 c.slli x0,0x5 7dc: 0800 c.addi4spn x8,x2,16 7de: 0081 c.addi x1,0 7e0: 2540 c.fld f8,136(x10) 7e2: 007c c.addi4spn x15,x2,12 7e4: 9f22 c.add x30,x8 7e6: 0700 c.addi4spn x8,x2,896 - 7e8: 19b8 c.addi4spn x14,x2,248 + 7e8: 1664 c.addi4spn x9,x2,812 7ea: 0000 c.unimp - 7ec: 19d8 c.addi4spn x14,x2,244 + 7ec: 1684 c.addi4spn x9,x2,864 7ee: 0000 c.unimp 7f0: 5d01 c.li x26,-32 7f2: 0700 c.addi4spn x8,x2,896 - 7f4: 19c0 c.addi4spn x8,x2,244 + 7f4: 166c c.addi4spn x11,x2,812 7f6: 0000 c.unimp - 7f8: 1a10 c.addi4spn x12,x2,304 + 7f8: 16bc c.addi4spn x15,x2,872 7fa: 0000 c.unimp 7fc: 6001 0x6001 7fe: 0700 c.addi4spn x8,x2,896 - 800: 19a4 c.addi4spn x9,x2,248 + 800: 1650 c.addi4spn x12,x2,804 802: 0000 c.unimp - 804: 19bc c.addi4spn x15,x2,248 + 804: 1668 c.addi4spn x10,x2,812 806: 0000 c.unimp 808: 5e01 c.li x28,-32 - 80a: 0019bc07 fld f24,1(x19) - 80e: ec00 c.fsw f8,24(x8) - 810: 0019 c.addi x0,6 + 80a: 00166807 0x166807 + 80e: 9800 0x9800 + 810: 0016 c.slli x0,0x5 812: 0600 c.addi4spn x8,x2,768 814: 7f8c c.flw f11,56(x15) 816: 007a c.slli x0,0x1e 818: 9f1a c.add x30,x6 - 81a: 0019ec07 0x19ec07 - 81e: 0800 c.addi4spn x8,x2,16 - 820: 001a c.slli x0,0x6 + 81a: 00169807 0x169807 + 81e: b400 c.fsd f8,40(x8) + 820: 0016 c.slli x0,0x5 822: 0600 c.addi4spn x8,x2,768 824: 007a c.slli x0,0x1e 826: 007f 0x7f 828: 9f1a c.add x30,x6 - 82a: 001a0807 0x1a0807 - 82e: 0c00 c.addi4spn x8,x2,528 - 830: 001a c.slli x0,0x6 + 82a: 0016b407 fld f8,1(x13) + 82e: b800 c.fsd f8,48(x8) + 830: 0016 c.slli x0,0x5 832: 0600 c.addi4spn x8,x2,768 834: 7f8c c.flw f11,56(x15) 836: 007a c.slli x0,0x1e 838: 9f1a c.add x30,x6 - 83a: 001a0c07 0x1a0c07 - 83e: 1000 c.addi4spn x8,x2,32 - 840: 001a c.slli x0,0x6 + 83a: 0016b807 fld f16,1(x13) + 83e: bc00 c.fsd f8,56(x8) + 840: 0016 c.slli x0,0x5 842: 0600 c.addi4spn x8,x2,768 844: 017a c.slli x2,0x1e 846: 7f8c c.flw f11,56(x15) 848: 9f1a c.add x30,x6 84a: 0700 c.addi4spn x8,x2,896 - 84c: 19ac c.addi4spn x11,x2,248 + 84c: 1658 c.addi4spn x14,x2,804 84e: 0000 c.unimp - 850: 19b8 c.addi4spn x14,x2,248 + 850: 1664 c.addi4spn x9,x2,812 852: 0000 c.unimp 854: 5d01 c.li x26,-32 856: 0700 c.addi4spn x8,x2,896 - 858: 19ac c.addi4spn x11,x2,248 + 858: 1658 c.addi4spn x14,x2,804 85a: 0000 c.unimp - 85c: 19c0 c.addi4spn x8,x2,244 + 85c: 166c c.addi4spn x11,x2,812 85e: 0000 c.unimp 860: 6001 0x6001 - 862: 0019c007 flq f0,1(x19) - 866: 0c00 c.addi4spn x8,x2,528 - 868: 001a c.slli x0,0x6 + 862: 00166c07 0x166c07 + 866: b800 c.fsd f8,48(x8) + 868: 0016 c.slli x0,0x5 86a: 0500 c.addi4spn x8,x2,640 86c: 007a c.slli x0,0x1e 86e: 2540 c.fld f8,136(x10) - 870: 079f 1a0c 0000 0x1a0c079f - 876: 1a10 c.addi4spn x12,x2,304 + 870: 079f 16b8 0000 0x16b8079f + 876: 16bc c.addi4spn x15,x2,872 878: 0000 c.unimp 87a: 7a05 c.lui x20,0xfffe1 87c: 4001 c.li x0,0 87e: 9f25 0x9f25 880: 0700 c.addi4spn x8,x2,896 - 882: 19b0 c.addi4spn x12,x2,248 + 882: 165c c.addi4spn x15,x2,804 884: 0000 c.unimp - 886: 19c4 c.addi4spn x9,x2,244 + 886: 1670 c.addi4spn x12,x2,812 888: 0000 c.unimp 88a: 5c01 c.li x24,-32 88c: 0700 c.addi4spn x8,x2,896 - 88e: 1700 c.addi4spn x8,x2,928 + 88e: 13ac c.addi4spn x11,x2,488 890: 0000 c.unimp - 892: 1738 c.addi4spn x14,x2,936 + 892: 13e4 c.addi4spn x9,x2,492 894: 0000 c.unimp 896: 5c01 c.li x24,-32 - 898: 00173807 fld f16,1(x14) - 89c: c400 c.sw x8,8(x8) - 89e: 01000017 auipc x0,0x1000 + 898: 0013e407 0x13e407 + 89c: 7000 c.flw f8,32(x8) + 89e: 0014 0x14 + 8a0: 0100 c.addi4spn x8,x2,128 8a2: 075e c.slli x14,0x17 - 8a4: 17c4 c.addi4spn x9,x2,996 + 8a4: 1470 c.addi4spn x12,x2,556 8a6: 0000 c.unimp - 8a8: 17d8 c.addi4spn x14,x2,996 + 8a8: 1484 c.addi4spn x9,x2,608 8aa: 0000 c.unimp 8ac: 5c01 c.li x24,-32 - 8ae: 0017d807 0x17d807 - 8b2: dc00 c.sw x8,56(x8) - 8b4: 09000017 auipc x0,0x9000 + 8ae: 00148407 0x148407 + 8b2: 8800 0x8800 + 8b4: 0014 0x14 + 8b6: 0900 c.addi4spn x8,x2,144 8b8: 007c c.addi4spn x15,x2,12 8ba: ff08007b 0xff08007b 8be: 241a c.fldsp f8,384(x2) - 8c0: 079f 17dc 0000 0x17dc079f - 8c6: 1884 c.addi4spn x9,x2,112 + 8c0: 079f 1488 0000 0x1488079f + 8c6: 1530 c.addi4spn x12,x2,680 8c8: 0000 c.unimp 8ca: 5e01 c.li x28,-32 8cc: 0700 c.addi4spn x8,x2,896 - 8ce: 1714 c.addi4spn x13,x2,928 + 8ce: 13c0 c.addi4spn x8,x2,484 8d0: 0000 c.unimp - 8d2: 1728 c.addi4spn x10,x2,936 + 8d2: 13d4 c.addi4spn x13,x2,484 8d4: 0000 c.unimp 8d6: 5d01 c.li x26,-32 8d8: 0700 c.addi4spn x8,x2,896 - 8da: 173c c.addi4spn x15,x2,936 + 8da: 13e8 c.addi4spn x10,x2,492 8dc: 0000 c.unimp - 8de: 17c4 c.addi4spn x9,x2,996 + 8de: 1470 c.addi4spn x12,x2,556 8e0: 0000 c.unimp 8e2: 6101 c.addi16sp x2,0 8e4: 0700 c.addi4spn x8,x2,896 - 8e6: 1748 c.addi4spn x10,x2,932 + 8e6: 13f4 c.addi4spn x13,x2,492 8e8: 0000 c.unimp - 8ea: 17c4 c.addi4spn x9,x2,996 + 8ea: 1470 c.addi4spn x12,x2,556 8ec: 0000 c.unimp 8ee: 5c01 c.li x24,-32 8f0: 0700 c.addi4spn x8,x2,896 - 8f2: 1748 c.addi4spn x10,x2,932 + 8f2: 13f4 c.addi4spn x13,x2,492 8f4: 0000 c.unimp - 8f6: 176c c.addi4spn x11,x2,940 + 8f6: 1418 c.addi4spn x14,x2,544 8f8: 0000 c.unimp 8fa: 6c01 0x6c01 - 8fc: 00176c07 0x176c07 - 900: bc00 c.fsd f8,56(x8) - 902: 01000017 auipc x0,0x1000 + 8fc: 00141807 0x141807 + 900: 6800 c.flw f8,16(x8) + 902: 0014 0x14 + 904: 0100 c.addi4spn x8,x2,128 906: 005a c.slli x0,0x16 - 908: 00178407 0x178407 - 90c: ac00 c.fsd f8,24(x8) - 90e: 01000017 auipc x0,0x1000 + 908: 00143007 fld f0,1(x8) # fffeb001 <__global_pointer$+0xfffe87c9> + 90c: 5800 c.lw x8,48(x8) + 90e: 0014 0x14 + 910: 0100 c.addi4spn x8,x2,128 912: 0756 c.slli x14,0x15 - 914: 17ac c.addi4spn x11,x2,1000 + 914: 1458 c.addi4spn x14,x2,548 916: 0000 c.unimp - 918: 17c4 c.addi4spn x9,x2,996 + 918: 1470 c.addi4spn x12,x2,556 91a: 0000 c.unimp 91c: 5f01 c.li x30,-32 91e: 0700 c.addi4spn x8,x2,896 - 920: 1748 c.addi4spn x10,x2,932 + 920: 13f4 c.addi4spn x13,x2,492 922: 0000 c.unimp - 924: 1750 c.addi4spn x12,x2,932 + 924: 13fc c.addi4spn x15,x2,492 926: 0000 c.unimp 928: 7f06 c.flwsp f30,96(x2) 92a: 8100 0x8100 92c: 1d00 c.addi4spn x8,x2,688 - 92e: 079f 1750 0000 0x1750079f - 934: 175c c.addi4spn x15,x2,932 + 92e: 079f 13fc 0000 0x13fc079f + 934: 1408 c.addi4spn x10,x2,544 936: 0000 c.unimp 938: 5f01 c.li x30,-32 - 93a: 00176007 0x176007 - 93e: 6800 c.flw f8,16(x8) - 940: 01000017 auipc x0,0x1000 - 944: 075f 176c 0000 0x176c075f - 94a: 1790 c.addi4spn x12,x2,992 + 93a: 00140c07 0x140c07 + 93e: 1400 c.addi4spn x8,x2,544 + 940: 0014 0x14 + 942: 0100 c.addi4spn x8,x2,128 + 944: 075f 1418 0000 0x1418075f + 94a: 143c c.addi4spn x15,x2,552 94c: 0000 c.unimp 94e: 5f01 c.li x30,-32 950: 0700 c.addi4spn x8,x2,896 - 952: 1780 c.addi4spn x8,x2,992 + 952: 142c c.addi4spn x11,x2,552 954: 0000 c.unimp - 956: 1790 c.addi4spn x12,x2,992 + 956: 143c c.addi4spn x15,x2,552 958: 0000 c.unimp 95a: 7f06 c.flwsp f30,96(x2) 95c: 8100 0x8100 95e: 1d00 c.addi4spn x8,x2,688 - 960: 079f 1790 0000 0x1790079f - 966: 1798 c.addi4spn x14,x2,992 + 960: 079f 143c 0000 0x143c079f + 966: 1444 c.addi4spn x9,x2,548 968: 0000 c.unimp 96a: 5f01 c.li x30,-32 - 96c: 00179c07 0x179c07 - 970: a800 c.fsd f8,16(x8) - 972: 01000017 auipc x0,0x1000 + 96c: 00144807 flq f16,1(x8) + 970: 5400 c.lw x8,40(x8) + 972: 0014 0x14 + 974: 0100 c.addi4spn x8,x2,128 976: 0060 c.addi4spn x8,x2,12 - 978: 00175807 0x175807 - 97c: 8400 0x8400 - 97e: 01000017 auipc x0,0x1000 + 978: 00140407 0x140407 + 97c: 3000 c.fld f8,32(x8) + 97e: 0014 0x14 + 980: 0100 c.addi4spn x8,x2,128 982: 0756 c.slli x14,0x15 - 984: 1784 c.addi4spn x9,x2,992 + 984: 1430 c.addi4spn x12,x2,552 986: 0000 c.unimp - 988: 1794 c.addi4spn x13,x2,992 + 988: 1440 c.addi4spn x8,x2,548 98a: 0000 c.unimp 98c: 7c06 c.flwsp f24,96(x2) 98e: 8c00 0x8c00 990: 1e00 c.addi4spn x8,x2,816 - 992: 079f 1794 0000 0x1794079f - 998: 17c4 c.addi4spn x9,x2,996 + 992: 079f 1440 0000 0x1440079f + 998: 1470 c.addi4spn x12,x2,556 99a: 0000 c.unimp 99c: 5d01 c.li x26,-32 99e: 0700 c.addi4spn x8,x2,896 - 9a0: 1800 c.addi4spn x8,x2,48 + 9a0: 14ac c.addi4spn x11,x2,616 9a2: 0000 c.unimp - 9a4: 1884 c.addi4spn x9,x2,112 + 9a4: 1530 c.addi4spn x12,x2,680 9a6: 0000 c.unimp 9a8: 5a01 c.li x20,-32 9aa: 0700 c.addi4spn x8,x2,896 - 9ac: 1800 c.addi4spn x8,x2,48 + 9ac: 14ac c.addi4spn x11,x2,616 9ae: 0000 c.unimp - 9b0: 184c c.addi4spn x11,x2,52 + 9b0: 14f8 c.addi4spn x14,x2,620 9b2: 0000 c.unimp 9b4: 5c01 c.li x24,-32 - 9b6: 00184c07 flq f24,1(x16) # f001 <__global_pointer$+0xb779> - 9ba: 8400 0x8400 - 9bc: 0018 0x18 + 9b6: 0014f807 0x14f807 + 9ba: 3000 c.fld f8,32(x8) + 9bc: 0015 c.addi x0,5 9be: 0700 c.addi4spn x8,x2,896 9c0: 007e c.slli x0,0x1f 9c2: 2440 c.fld f8,136(x8) 9c4: 2540 c.fld f8,136(x10) - 9c6: 009f 0007 0018 0x180007009f - 9cc: 2400 c.fld f8,8(x8) - 9ce: 0018 0x18 + 9c6: 009f ac07 0014 0x14ac07009f + 9cc: d000 c.sw x8,32(x8) + 9ce: 0014 0x14 9d0: 0100 c.addi4spn x8,x2,128 9d2: 0756 c.slli x14,0x15 - 9d4: 1824 c.addi4spn x9,x2,56 + 9d4: 14d0 c.addi4spn x12,x2,612 9d6: 0000 c.unimp - 9d8: 1878 c.addi4spn x14,x2,60 + 9d8: 1524 c.addi4spn x9,x2,680 9da: 0000 c.unimp 9dc: 5b01 c.li x22,-32 9de: 0700 c.addi4spn x8,x2,896 - 9e0: 183c c.addi4spn x15,x2,56 + 9e0: 14e8 c.addi4spn x10,x2,620 9e2: 0000 c.unimp - 9e4: 1864 c.addi4spn x9,x2,60 + 9e4: 1510 c.addi4spn x12,x2,672 9e6: 0000 c.unimp 9e8: 6101 c.addi16sp x2,0 - 9ea: 00186407 0x186407 - 9ee: 8400 0x8400 - 9f0: 0018 0x18 + 9ea: 00151007 0x151007 + 9ee: 3000 c.fld f8,32(x8) + 9f0: 0015 c.addi x0,5 9f2: 0100 c.addi4spn x8,x2,128 9f4: 005d c.addi x0,23 - 9f6: 00180007 0x180007 - 9fa: 0400 c.addi4spn x8,x2,512 - 9fc: 0018 0x18 + 9f6: 0014ac07 flw f24,1(x9) + 9fa: b000 c.fsd f8,32(x8) + 9fc: 0014 0x14 9fe: 0600 c.addi4spn x8,x2,768 a00: 007d c.addi x0,31 a02: 007a c.slli x0,0x1e a04: 9f1d 0x9f1d - a06: 00180407 0x180407 - a0a: 1000 c.addi4spn x8,x2,32 - a0c: 0018 0x18 + a06: 0014b007 fld f0,1(x9) + a0a: bc00 c.fsd f8,56(x8) + a0c: 0014 0x14 a0e: 0100 c.addi4spn x8,x2,128 a10: 075d c.addi x14,23 - a12: 1814 c.addi4spn x13,x2,48 + a12: 14c0 c.addi4spn x8,x2,612 a14: 0000 c.unimp - a16: 1820 c.addi4spn x8,x2,56 + a16: 14cc c.addi4spn x11,x2,612 a18: 0000 c.unimp a1a: 5d01 c.li x26,-32 - a1c: 00182407 flw f8,1(x16) - a20: 4800 c.lw x8,16(x8) - a22: 0018 0x18 + a1c: 0014d007 0x14d007 + a20: f400 c.fsw f8,40(x8) + a22: 0014 0x14 a24: 0100 c.addi4spn x8,x2,128 a26: 005d c.addi x0,23 - a28: 00183807 fld f16,1(x16) - a2c: 4800 c.lw x8,16(x8) - a2e: 0018 0x18 + a28: 0014e407 0x14e407 + a2c: f400 c.fsw f8,40(x8) + a2e: 0014 0x14 a30: 0600 c.addi4spn x8,x2,768 a32: 007d c.addi x0,31 a34: 007a c.slli x0,0x1e a36: 9f1d 0x9f1d - a38: 00184807 flq f16,1(x16) - a3c: 5000 c.lw x8,32(x8) - a3e: 0018 0x18 + a38: 0014f407 0x14f407 + a3c: fc00 c.fsw f8,56(x8) + a3e: 0014 0x14 a40: 0100 c.addi4spn x8,x2,128 a42: 075d c.addi x14,23 - a44: 1854 c.addi4spn x13,x2,52 + a44: 1500 c.addi4spn x8,x2,672 a46: 0000 c.unimp - a48: 1860 c.addi4spn x8,x2,60 + a48: 150c c.addi4spn x11,x2,672 a4a: 0000 c.unimp a4c: 5f01 c.li x30,-32 - a4e: 00186407 0x186407 - a52: 8400 0x8400 - a54: 0018 0x18 + a4e: 00151007 0x151007 + a52: 3000 c.fld f8,32(x8) + a54: 0015 c.addi x0,5 a56: 0100 c.addi4spn x8,x2,128 - a58: 005f 0807 0018 0x180807005f - a5e: 3c00 c.fld f8,56(x8) - a60: 0018 0x18 + a58: 005f b407 0014 0x14b407005f + a5e: e800 c.fsw f8,16(x8) + a60: 0014 0x14 a62: 0100 c.addi4spn x8,x2,128 a64: 0761 c.addi x14,24 - a66: 183c c.addi4spn x15,x2,56 + a66: 14e8 c.addi4spn x10,x2,620 a68: 0000 c.unimp - a6a: 184c c.addi4spn x11,x2,52 + a6a: 14f8 c.addi4spn x14,x2,620 a6c: 0000 c.unimp a6e: 7c06 c.flwsp f24,96(x2) a70: 7600 c.flw f8,40(x12) a72: 1e00 c.addi4spn x8,x2,816 - a74: 079f 184c 0000 0x184c079f - a7a: 1884 c.addi4spn x9,x2,112 + a74: 079f 14f8 0000 0x14f8079f + a7a: 1530 c.addi4spn x12,x2,680 a7c: 0000 c.unimp a7e: 5c01 c.li x24,-32 a80: 0700 c.addi4spn x8,x2,896 - a82: 1888 c.addi4spn x10,x2,112 + a82: 1534 c.addi4spn x13,x2,680 a84: 0000 c.unimp - a86: 18f0 c.addi4spn x12,x2,124 + a86: 159c c.addi4spn x15,x2,736 a88: 0000 c.unimp a8a: 5d01 c.li x26,-32 a8c: 0700 c.addi4spn x8,x2,896 - a8e: 189c c.addi4spn x15,x2,112 + a8e: 1548 c.addi4spn x10,x2,676 a90: 0000 c.unimp - a92: 18b8 c.addi4spn x14,x2,120 + a92: 1564 c.addi4spn x9,x2,684 a94: 0000 c.unimp a96: 5e01 c.li x28,-32 ... @@ -5764,130 +5537,126 @@ Disassembly of section .debug_rnglists: 6: 0004 0x4 8: 0000 c.unimp a: 0000 c.unimp - c: ec06 c.fswsp f1,24(x2) - e: 0015 c.addi x0,5 - 10: ec00 c.fsw f8,24(x8) - 12: 0015 c.addi x0,5 + c: 9806 c.add x16,x1 + e: 0012 c.slli x0,0x4 + 10: 9800 0x9800 + 12: 0012 c.slli x0,0x4 14: 0600 c.addi4spn x8,x2,768 - 16: 15f4 c.addi4spn x13,x2,748 + 16: 12a0 c.addi4spn x8,x2,360 18: 0000 c.unimp - 1a: 16e0 c.addi4spn x8,x2,876 + 1a: 138c c.addi4spn x11,x2,480 1c: 0000 c.unimp - 1e: e406 c.fswsp f1,8(x2) - 20: 0016 c.slli x0,0x5 - 22: 1c00 c.addi4spn x8,x2,560 - 24: 001a c.slli x0,0x6 + 1e: 9006 c.add x0,x1 + 20: c8000013 addi x0,x0,-896 + 24: 0016 c.slli x0,0x5 26: 0000 c.unimp - 28: ec06 c.fswsp f1,24(x2) - 2a: 0015 c.addi x0,5 - 2c: ec00 c.fsw f8,24(x8) - 2e: 0015 c.addi x0,5 + 28: 9806 c.add x16,x1 + 2a: 0012 c.slli x0,0x4 + 2c: 9800 0x9800 + 2e: 0012 c.slli x0,0x4 30: 0600 c.addi4spn x8,x2,768 - 32: 15f4 c.addi4spn x13,x2,748 + 32: 12a0 c.addi4spn x8,x2,360 34: 0000 c.unimp - 36: 16e0 c.addi4spn x8,x2,876 + 36: 138c c.addi4spn x11,x2,480 38: 0000 c.unimp - 3a: e406 c.fswsp f1,8(x2) - 3c: 0016 c.slli x0,0x5 - 3e: 0c00 c.addi4spn x8,x2,528 - 40: 001a c.slli x0,0x6 + 3a: 9006 c.add x0,x1 + 3c: b8000013 addi x0,x0,-1152 + 40: 0016 c.slli x0,0x5 42: 0600 c.addi4spn x8,x2,768 - 44: 1a10 c.addi4spn x12,x2,304 + 44: 16bc c.addi4spn x15,x2,872 46: 0000 c.unimp - 48: 1a1c c.addi4spn x15,x2,304 + 48: 16c8 c.addi4spn x10,x2,868 4a: 0000 c.unimp 4c: 0600 c.addi4spn x8,x2,768 - 4e: 1610 c.addi4spn x12,x2,800 + 4e: 12bc c.addi4spn x15,x2,360 50: 0000 c.unimp - 52: 163c c.addi4spn x15,x2,808 + 52: 12e8 c.addi4spn x10,x2,364 54: 0000 c.unimp - 56: e406 c.fswsp f1,8(x2) - 58: 0016 c.slli x0,0x5 - 5a: f800 c.fsw f8,48(x8) - 5c: 0016 c.slli x0,0x5 - 5e: 0000 c.unimp - 60: dc06 c.swsp x1,56(x2) - 62: 0016 c.slli x0,0x5 - 64: e000 c.fsw f8,0(x8) - 66: 0016 c.slli x0,0x5 - 68: 0600 c.addi4spn x8,x2,768 - 6a: 18e8 c.addi4spn x10,x2,124 + 56: 9006 c.add x0,x1 + 58: a4000013 addi x0,x0,-1472 + 5c: 00000013 addi x0,x0,0 + 60: 8806 c.mv x16,x1 + 62: 8c000013 addi x0,x0,-1856 + 66: 06000013 addi x0,x0,96 + 6a: 1594 c.addi4spn x13,x2,736 6c: 0000 c.unimp - 6e: 1a0c c.addi4spn x11,x2,304 + 6e: 16b8 c.addi4spn x14,x2,872 70: 0000 c.unimp 72: 0600 c.addi4spn x8,x2,768 - 74: 1904 c.addi4spn x9,x2,176 + 74: 15b0 c.addi4spn x12,x2,744 76: 0000 c.unimp - 78: 1914 c.addi4spn x13,x2,176 + 78: 15c0 c.addi4spn x8,x2,740 7a: 0000 c.unimp - 7c: 1806 c.slli x16,0x21 - 7e: 0019 c.addi x0,6 - 80: 9000 0x9000 - 82: 0019 c.addi x0,6 + 7c: c406 c.swsp x1,8(x2) + 7e: 0015 c.addi x0,5 + 80: 3c00 c.fld f8,56(x8) + 82: 0016 c.slli x0,0x5 84: 0600 c.addi4spn x8,x2,768 - 86: 1994 c.addi4spn x13,x2,240 + 86: 1640 c.addi4spn x8,x2,804 88: 0000 c.unimp - 8a: 1998 c.addi4spn x14,x2,240 + 8a: 1644 c.addi4spn x9,x2,804 8c: 0000 c.unimp - 8e: a006 c.fsdsp f1,0(x2) - 90: 0019 c.addi x0,6 - 92: a400 c.fsd f8,8(x8) - 94: 0019 c.addi x0,6 + 8e: 4c06 c.lwsp x24,64(x2) + 90: 0016 c.slli x0,0x5 + 92: 5000 c.lw x8,32(x8) + 94: 0016 c.slli x0,0x5 96: 0000 c.unimp - 98: 9006 c.add x0,x1 - 9a: 0019 c.addi x0,6 - 9c: 9400 0x9400 - 9e: 0019 c.addi x0,6 + 98: 3c06 c.fldsp f24,96(x2) + 9a: 0016 c.slli x0,0x5 + 9c: 4000 c.lw x8,0(x8) + 9e: 0016 c.slli x0,0x5 a0: 0600 c.addi4spn x8,x2,768 - a2: 1998 c.addi4spn x14,x2,240 + a2: 1644 c.addi4spn x9,x2,804 a4: 0000 c.unimp - a6: 19a0 c.addi4spn x8,x2,248 + a6: 164c c.addi4spn x11,x2,804 a8: 0000 c.unimp - aa: a406 c.fsdsp f1,8(x2) - ac: 0019 c.addi x0,6 - ae: dc00 c.sw x8,56(x8) - b0: 0019 c.addi x0,6 + aa: 5006 0x5006 + ac: 0016 c.slli x0,0x5 + ae: 8800 0x8800 + b0: 0016 c.slli x0,0x5 b2: 0600 c.addi4spn x8,x2,768 - b4: 19e4 c.addi4spn x9,x2,252 + b4: 1690 c.addi4spn x12,x2,864 b6: 0000 c.unimp - b8: 19f8 c.addi4spn x14,x2,252 + b8: 16a4 c.addi4spn x9,x2,872 ba: 0000 c.unimp - bc: fc06 c.fswsp f1,56(x2) - be: 0019 c.addi x0,6 - c0: 0000 c.unimp - c2: 001a c.slli x0,0x6 + bc: a806 c.fsdsp f1,16(x2) + be: 0016 c.slli x0,0x5 + c0: ac00 c.fsd f8,24(x8) + c2: 0016 c.slli x0,0x5 c4: 0000 c.unimp - c6: 0006 c.slli x0,0x1 - c8: 2c000017 auipc x0,0x2c000 - cc: 06000017 auipc x0,0x6000 - d0: 17c4 c.addi4spn x9,x2,996 + c6: ac06 c.fsdsp f1,24(x2) + c8: d8000013 addi x0,x0,-640 + cc: 06000013 addi x0,x0,96 + d0: 1470 c.addi4spn x12,x2,556 d2: 0000 c.unimp - d4: 17d8 c.addi4spn x14,x2,996 + d4: 1484 c.addi4spn x9,x2,608 d6: 0000 c.unimp d8: 0600 c.addi4spn x8,x2,768 - da: 17e0 c.addi4spn x8,x2,1004 + da: 148c c.addi4spn x11,x2,608 dc: 0000 c.unimp - de: 17e4 c.addi4spn x9,x2,1004 + de: 1490 c.addi4spn x12,x2,608 e0: 0000 c.unimp - e2: ec06 c.fswsp f1,24(x2) - e4: f4000017 auipc x0,0xf4000 - e8: 06000017 auipc x0,0x6000 - ec: 17f8 c.addi4spn x14,x2,1004 + e2: 9806 c.add x16,x1 + e4: 0014 0x14 + e6: a000 c.fsd f8,0(x8) + e8: 0014 0x14 + ea: 0600 c.addi4spn x8,x2,768 + ec: 14a4 c.addi4spn x9,x2,616 ee: 0000 c.unimp - f0: 17fc c.addi4spn x15,x2,1004 + f0: 14a8 c.addi4spn x10,x2,616 f2: 0000 c.unimp - f4: 0006 c.slli x0,0x1 - f6: 0018 0x18 - f8: 8400 0x8400 - fa: 0018 0x18 + f4: ac06 c.fsdsp f1,24(x2) + f6: 0014 0x14 + f8: 3000 c.fld f8,32(x8) + fa: 0015 c.addi x0,5 fc: 0000 c.unimp - fe: 8806 c.mv x16,x1 - 100: 0018 0x18 - 102: bc00 c.fsd f8,56(x8) - 104: 0018 0x18 + fe: 3406 c.fldsp f8,96(x2) + 100: 0015 c.addi x0,5 + 102: 6800 c.flw f8,16(x8) + 104: 0015 c.addi x0,5 106: 0600 c.addi4spn x8,x2,768 - 108: 18d4 c.addi4spn x13,x2,116 + 108: 1580 c.addi4spn x8,x2,736 10a: 0000 c.unimp - 10c: 18e8 c.addi4spn x10,x2,124 + 10c: 1594 c.addi4spn x13,x2,736 10e: 0000 c.unimp ... diff --git a/examples/hdl4se_riscv/verilog/altera/alu/div.v b/examples/hdl4se_riscv/verilog/altera/alu/div.v index ce4893e1a454448470b5c444ce9c36069e9b9f65..e2886bec4bbb967b6bcabed09090a33cf1569dc9 100644 --- a/examples/hdl4se_riscv/verilog/altera/alu/div.v +++ b/examples/hdl4se_riscv/verilog/altera/alu/div.v @@ -64,9 +64,9 @@ module div ( .clken (1'b1)); defparam LPM_DIVIDE_component.lpm_drepresentation = "UNSIGNED", - LPM_DIVIDE_component.lpm_hint = "LPM_REMAINDERPOSITIVE=TRUE", + LPM_DIVIDE_component.lpm_hint = "MAXIMIZE_SPEED=6,LPM_REMAINDERPOSITIVE=TRUE", LPM_DIVIDE_component.lpm_nrepresentation = "UNSIGNED", - LPM_DIVIDE_component.lpm_pipeline = 12, + LPM_DIVIDE_component.lpm_pipeline = 32, LPM_DIVIDE_component.lpm_type = "LPM_DIVIDE", LPM_DIVIDE_component.lpm_widthd = 32, LPM_DIVIDE_component.lpm_widthn = 32; @@ -79,16 +79,16 @@ endmodule // ============================================================ // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" // Retrieval info: PRIVATE: PRIVATE_LPM_REMAINDERPOSITIVE STRING "TRUE" -// Retrieval info: PRIVATE: PRIVATE_MAXIMIZE_SPEED NUMERIC "-1" +// Retrieval info: PRIVATE: PRIVATE_MAXIMIZE_SPEED NUMERIC "6" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: PRIVATE: USING_PIPELINE NUMERIC "1" // Retrieval info: PRIVATE: VERSION_NUMBER NUMERIC "2" // Retrieval info: PRIVATE: new_diagram STRING "1" // Retrieval info: LIBRARY: lpm lpm.lpm_components.all // Retrieval info: CONSTANT: LPM_DREPRESENTATION STRING "UNSIGNED" -// Retrieval info: CONSTANT: LPM_HINT STRING "LPM_REMAINDERPOSITIVE=TRUE" +// Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMIZE_SPEED=6,LPM_REMAINDERPOSITIVE=TRUE" // Retrieval info: CONSTANT: LPM_NREPRESENTATION STRING "UNSIGNED" -// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "12" +// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "32" // Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_DIVIDE" // Retrieval info: CONSTANT: LPM_WIDTHD NUMERIC "32" // Retrieval info: CONSTANT: LPM_WIDTHN NUMERIC "32" diff --git a/examples/hdl4se_riscv/verilog/altera/alu/div_bb.v b/examples/hdl4se_riscv/verilog/altera/alu/div_bb.v index b6b038cc5bd6434eb8aed6aa6d3e597ab5b3aa39..da926956c2660f86c204d26a95b197968746c4c6 100644 --- a/examples/hdl4se_riscv/verilog/altera/alu/div_bb.v +++ b/examples/hdl4se_riscv/verilog/altera/alu/div_bb.v @@ -51,16 +51,16 @@ endmodule // ============================================================ // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" // Retrieval info: PRIVATE: PRIVATE_LPM_REMAINDERPOSITIVE STRING "TRUE" -// Retrieval info: PRIVATE: PRIVATE_MAXIMIZE_SPEED NUMERIC "-1" +// Retrieval info: PRIVATE: PRIVATE_MAXIMIZE_SPEED NUMERIC "6" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: PRIVATE: USING_PIPELINE NUMERIC "1" // Retrieval info: PRIVATE: VERSION_NUMBER NUMERIC "2" // Retrieval info: PRIVATE: new_diagram STRING "1" // Retrieval info: LIBRARY: lpm lpm.lpm_components.all // Retrieval info: CONSTANT: LPM_DREPRESENTATION STRING "UNSIGNED" -// Retrieval info: CONSTANT: LPM_HINT STRING "LPM_REMAINDERPOSITIVE=TRUE" +// Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMIZE_SPEED=6,LPM_REMAINDERPOSITIVE=TRUE" // Retrieval info: CONSTANT: LPM_NREPRESENTATION STRING "UNSIGNED" -// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "12" +// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "32" // Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_DIVIDE" // Retrieval info: CONSTANT: LPM_WIDTHD NUMERIC "32" // Retrieval info: CONSTANT: LPM_WIDTHN NUMERIC "32" diff --git a/examples/hdl4se_riscv/verilog/altera/alu/div_s.v b/examples/hdl4se_riscv/verilog/altera/alu/div_s.v index dd368d64e222f6efcd520cbfa028b60071e4e756..e6a6a7915c94a16d91313ac82a04b25007edef9d 100644 --- a/examples/hdl4se_riscv/verilog/altera/alu/div_s.v +++ b/examples/hdl4se_riscv/verilog/altera/alu/div_s.v @@ -64,9 +64,9 @@ module div_s ( .clken (1'b1)); defparam LPM_DIVIDE_component.lpm_drepresentation = "SIGNED", - LPM_DIVIDE_component.lpm_hint = "LPM_REMAINDERPOSITIVE=TRUE", + LPM_DIVIDE_component.lpm_hint = "MAXIMIZE_SPEED=6,LPM_REMAINDERPOSITIVE=TRUE", LPM_DIVIDE_component.lpm_nrepresentation = "SIGNED", - LPM_DIVIDE_component.lpm_pipeline = 12, + LPM_DIVIDE_component.lpm_pipeline = 32, LPM_DIVIDE_component.lpm_type = "LPM_DIVIDE", LPM_DIVIDE_component.lpm_widthd = 32, LPM_DIVIDE_component.lpm_widthn = 32; @@ -79,16 +79,16 @@ endmodule // ============================================================ // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" // Retrieval info: PRIVATE: PRIVATE_LPM_REMAINDERPOSITIVE STRING "TRUE" -// Retrieval info: PRIVATE: PRIVATE_MAXIMIZE_SPEED NUMERIC "-1" +// Retrieval info: PRIVATE: PRIVATE_MAXIMIZE_SPEED NUMERIC "6" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: PRIVATE: USING_PIPELINE NUMERIC "1" // Retrieval info: PRIVATE: VERSION_NUMBER NUMERIC "2" // Retrieval info: PRIVATE: new_diagram STRING "1" // Retrieval info: LIBRARY: lpm lpm.lpm_components.all // Retrieval info: CONSTANT: LPM_DREPRESENTATION STRING "SIGNED" -// Retrieval info: CONSTANT: LPM_HINT STRING "LPM_REMAINDERPOSITIVE=TRUE" +// Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMIZE_SPEED=6,LPM_REMAINDERPOSITIVE=TRUE" // Retrieval info: CONSTANT: LPM_NREPRESENTATION STRING "SIGNED" -// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "12" +// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "32" // Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_DIVIDE" // Retrieval info: CONSTANT: LPM_WIDTHD NUMERIC "32" // Retrieval info: CONSTANT: LPM_WIDTHN NUMERIC "32" diff --git a/examples/hdl4se_riscv/verilog/altera/alu/div_s_bb.v b/examples/hdl4se_riscv/verilog/altera/alu/div_s_bb.v index 6805c529195593f5b8ab7d78cb648ed19d79dccd..98d5809460965ca4cd09a80f425587a99dfcb50f 100644 --- a/examples/hdl4se_riscv/verilog/altera/alu/div_s_bb.v +++ b/examples/hdl4se_riscv/verilog/altera/alu/div_s_bb.v @@ -51,16 +51,16 @@ endmodule // ============================================================ // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" // Retrieval info: PRIVATE: PRIVATE_LPM_REMAINDERPOSITIVE STRING "TRUE" -// Retrieval info: PRIVATE: PRIVATE_MAXIMIZE_SPEED NUMERIC "-1" +// Retrieval info: PRIVATE: PRIVATE_MAXIMIZE_SPEED NUMERIC "6" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: PRIVATE: USING_PIPELINE NUMERIC "1" // Retrieval info: PRIVATE: VERSION_NUMBER NUMERIC "2" // Retrieval info: PRIVATE: new_diagram STRING "1" // Retrieval info: LIBRARY: lpm lpm.lpm_components.all // Retrieval info: CONSTANT: LPM_DREPRESENTATION STRING "SIGNED" -// Retrieval info: CONSTANT: LPM_HINT STRING "LPM_REMAINDERPOSITIVE=TRUE" +// Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMIZE_SPEED=6,LPM_REMAINDERPOSITIVE=TRUE" // Retrieval info: CONSTANT: LPM_NREPRESENTATION STRING "SIGNED" -// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "12" +// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "32" // Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_DIVIDE" // Retrieval info: CONSTANT: LPM_WIDTHD NUMERIC "32" // Retrieval info: CONSTANT: LPM_WIDTHN NUMERIC "32" diff --git a/examples/hdl4se_riscv/verilog/altera/alu/mulsu.v b/examples/hdl4se_riscv/verilog/altera/alu/mulsu.v index dee95fbe82e065502ec01c4ca680428a1ecb5ef5..50d7650c9a9f6b1355ced5818c138e9e51667578 100644 --- a/examples/hdl4se_riscv/verilog/altera/alu/mulsu.v +++ b/examples/hdl4se_riscv/verilog/altera/alu/mulsu.v @@ -37,10 +37,12 @@ `timescale 1 ps / 1 ps // synopsys translate_on module mulsu ( + clock, dataa, datab, result); + input clock; input [31:0] dataa; input [39:0] datab; output [71:0] result; @@ -49,15 +51,16 @@ module mulsu ( wire [71:0] result = sub_wire0[71:0]; lpm_mult lpm_mult_component ( + .clock (clock), .dataa (dataa), .datab (datab), .result (sub_wire0), .aclr (1'b0), .clken (1'b1), - .clock (1'b0), .sum (1'b0)); defparam lpm_mult_component.lpm_hint = "MAXIMIZE_SPEED=5", + lpm_mult_component.lpm_pipeline = 4, lpm_mult_component.lpm_representation = "SIGNED", lpm_mult_component.lpm_type = "LPM_MULT", lpm_mult_component.lpm_widtha = 32, @@ -74,8 +77,8 @@ endmodule // Retrieval info: PRIVATE: B_isConstant NUMERIC "0" // Retrieval info: PRIVATE: ConstantB NUMERIC "0" // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" -// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0" -// Retrieval info: PRIVATE: Latency NUMERIC "0" +// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "4" +// Retrieval info: PRIVATE: Latency NUMERIC "1" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: PRIVATE: SignedMult NUMERIC "1" // Retrieval info: PRIVATE: USE_MULT NUMERIC "1" @@ -89,14 +92,17 @@ endmodule // Retrieval info: PRIVATE: optimize NUMERIC "0" // Retrieval info: LIBRARY: lpm lpm.lpm_components.all // Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMIZE_SPEED=5" +// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "4" // Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "SIGNED" // Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_MULT" // Retrieval info: CONSTANT: LPM_WIDTHA NUMERIC "32" // Retrieval info: CONSTANT: LPM_WIDTHB NUMERIC "40" // Retrieval info: CONSTANT: LPM_WIDTHP NUMERIC "72" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock" // Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL "dataa[31..0]" // Retrieval info: USED_PORT: datab 0 0 40 0 INPUT NODEFVAL "datab[39..0]" // Retrieval info: USED_PORT: result 0 0 72 0 OUTPUT NODEFVAL "result[71..0]" +// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 // Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0 // Retrieval info: CONNECT: @datab 0 0 40 0 datab 0 0 40 0 // Retrieval info: CONNECT: result 0 0 72 0 @result 0 0 72 0 diff --git a/examples/hdl4se_riscv/verilog/altera/alu/mulsu_bb.v b/examples/hdl4se_riscv/verilog/altera/alu/mulsu_bb.v index fa4f25caf52271834a01ea50d37e8b3fc68a99bb..e64dc20d3b8b683386290032d3f68aef2e4d5d5d 100644 --- a/examples/hdl4se_riscv/verilog/altera/alu/mulsu_bb.v +++ b/examples/hdl4se_riscv/verilog/altera/alu/mulsu_bb.v @@ -32,10 +32,12 @@ //applicable agreement for further details. module mulsu ( + clock, dataa, datab, result); + input clock; input [31:0] dataa; input [39:0] datab; output [71:0] result; @@ -49,8 +51,8 @@ endmodule // Retrieval info: PRIVATE: B_isConstant NUMERIC "0" // Retrieval info: PRIVATE: ConstantB NUMERIC "0" // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" -// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0" -// Retrieval info: PRIVATE: Latency NUMERIC "0" +// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "4" +// Retrieval info: PRIVATE: Latency NUMERIC "1" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: PRIVATE: SignedMult NUMERIC "1" // Retrieval info: PRIVATE: USE_MULT NUMERIC "1" @@ -64,14 +66,17 @@ endmodule // Retrieval info: PRIVATE: optimize NUMERIC "0" // Retrieval info: LIBRARY: lpm lpm.lpm_components.all // Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMIZE_SPEED=5" +// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "4" // Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "SIGNED" // Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_MULT" // Retrieval info: CONSTANT: LPM_WIDTHA NUMERIC "32" // Retrieval info: CONSTANT: LPM_WIDTHB NUMERIC "40" // Retrieval info: CONSTANT: LPM_WIDTHP NUMERIC "72" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock" // Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL "dataa[31..0]" // Retrieval info: USED_PORT: datab 0 0 40 0 INPUT NODEFVAL "datab[39..0]" // Retrieval info: USED_PORT: result 0 0 72 0 OUTPUT NODEFVAL "result[71..0]" +// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 // Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0 // Retrieval info: CONNECT: @datab 0 0 40 0 datab 0 0 40 0 // Retrieval info: CONNECT: result 0 0 72 0 @result 0 0 72 0 diff --git a/examples/hdl4se_riscv/verilog/altera/alu/mult.v b/examples/hdl4se_riscv/verilog/altera/alu/mult.v index cd140baee9e5aa2a283c1632cb310c6176dfe8fb..7889e9b9eee8bb962860cfd140857681910109f6 100644 --- a/examples/hdl4se_riscv/verilog/altera/alu/mult.v +++ b/examples/hdl4se_riscv/verilog/altera/alu/mult.v @@ -37,10 +37,12 @@ `timescale 1 ps / 1 ps // synopsys translate_on module mult ( + clock, dataa, datab, result); + input clock; input [31:0] dataa; input [31:0] datab; output [63:0] result; @@ -49,15 +51,16 @@ module mult ( wire [63:0] result = sub_wire0[63:0]; lpm_mult lpm_mult_component ( + .clock (clock), .dataa (dataa), .datab (datab), .result (sub_wire0), .aclr (1'b0), .clken (1'b1), - .clock (1'b0), .sum (1'b0)); defparam lpm_mult_component.lpm_hint = "MAXIMIZE_SPEED=5", + lpm_mult_component.lpm_pipeline = 4, lpm_mult_component.lpm_representation = "UNSIGNED", lpm_mult_component.lpm_type = "LPM_MULT", lpm_mult_component.lpm_widtha = 32, @@ -74,8 +77,8 @@ endmodule // Retrieval info: PRIVATE: B_isConstant NUMERIC "0" // Retrieval info: PRIVATE: ConstantB NUMERIC "0" // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" -// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0" -// Retrieval info: PRIVATE: Latency NUMERIC "0" +// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "4" +// Retrieval info: PRIVATE: Latency NUMERIC "1" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: PRIVATE: SignedMult NUMERIC "0" // Retrieval info: PRIVATE: USE_MULT NUMERIC "1" @@ -89,14 +92,17 @@ endmodule // Retrieval info: PRIVATE: optimize NUMERIC "0" // Retrieval info: LIBRARY: lpm lpm.lpm_components.all // Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMIZE_SPEED=5" +// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "4" // Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "UNSIGNED" // Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_MULT" // Retrieval info: CONSTANT: LPM_WIDTHA NUMERIC "32" // Retrieval info: CONSTANT: LPM_WIDTHB NUMERIC "32" // Retrieval info: CONSTANT: LPM_WIDTHP NUMERIC "64" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock" // Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL "dataa[31..0]" // Retrieval info: USED_PORT: datab 0 0 32 0 INPUT NODEFVAL "datab[31..0]" // Retrieval info: USED_PORT: result 0 0 64 0 OUTPUT NODEFVAL "result[63..0]" +// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 // Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0 // Retrieval info: CONNECT: @datab 0 0 32 0 datab 0 0 32 0 // Retrieval info: CONNECT: result 0 0 64 0 @result 0 0 64 0 diff --git a/examples/hdl4se_riscv/verilog/altera/alu/mult_bb.v b/examples/hdl4se_riscv/verilog/altera/alu/mult_bb.v index adf4f1457dcae5306cb84f9760b73632c4293ad7..f109b27c4be75df86d08556e28816fd954a07122 100644 --- a/examples/hdl4se_riscv/verilog/altera/alu/mult_bb.v +++ b/examples/hdl4se_riscv/verilog/altera/alu/mult_bb.v @@ -32,10 +32,12 @@ //applicable agreement for further details. module mult ( + clock, dataa, datab, result); + input clock; input [31:0] dataa; input [31:0] datab; output [63:0] result; @@ -49,8 +51,8 @@ endmodule // Retrieval info: PRIVATE: B_isConstant NUMERIC "0" // Retrieval info: PRIVATE: ConstantB NUMERIC "0" // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" -// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0" -// Retrieval info: PRIVATE: Latency NUMERIC "0" +// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "4" +// Retrieval info: PRIVATE: Latency NUMERIC "1" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: PRIVATE: SignedMult NUMERIC "0" // Retrieval info: PRIVATE: USE_MULT NUMERIC "1" @@ -64,14 +66,17 @@ endmodule // Retrieval info: PRIVATE: optimize NUMERIC "0" // Retrieval info: LIBRARY: lpm lpm.lpm_components.all // Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMIZE_SPEED=5" +// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "4" // Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "UNSIGNED" // Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_MULT" // Retrieval info: CONSTANT: LPM_WIDTHA NUMERIC "32" // Retrieval info: CONSTANT: LPM_WIDTHB NUMERIC "32" // Retrieval info: CONSTANT: LPM_WIDTHP NUMERIC "64" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock" // Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL "dataa[31..0]" // Retrieval info: USED_PORT: datab 0 0 32 0 INPUT NODEFVAL "datab[31..0]" // Retrieval info: USED_PORT: result 0 0 64 0 OUTPUT NODEFVAL "result[63..0]" +// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 // Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0 // Retrieval info: CONNECT: @datab 0 0 32 0 datab 0 0 32 0 // Retrieval info: CONNECT: result 0 0 64 0 @result 0 0 64 0 diff --git a/examples/hdl4se_riscv/verilog/altera/alu/mult_s.v b/examples/hdl4se_riscv/verilog/altera/alu/mult_s.v index 829144bd1722397d2ad7d169d072ed3bc70b3008..682a2e6f14c6bb7da27b0815e446d164b38de84d 100644 --- a/examples/hdl4se_riscv/verilog/altera/alu/mult_s.v +++ b/examples/hdl4se_riscv/verilog/altera/alu/mult_s.v @@ -37,10 +37,12 @@ `timescale 1 ps / 1 ps // synopsys translate_on module mult_s ( + clock, dataa, datab, result); + input clock; input [31:0] dataa; input [31:0] datab; output [63:0] result; @@ -49,15 +51,16 @@ module mult_s ( wire [63:0] result = sub_wire0[63:0]; lpm_mult lpm_mult_component ( + .clock (clock), .dataa (dataa), .datab (datab), .result (sub_wire0), .aclr (1'b0), .clken (1'b1), - .clock (1'b0), .sum (1'b0)); defparam lpm_mult_component.lpm_hint = "MAXIMIZE_SPEED=5", + lpm_mult_component.lpm_pipeline = 4, lpm_mult_component.lpm_representation = "SIGNED", lpm_mult_component.lpm_type = "LPM_MULT", lpm_mult_component.lpm_widtha = 32, @@ -74,8 +77,8 @@ endmodule // Retrieval info: PRIVATE: B_isConstant NUMERIC "0" // Retrieval info: PRIVATE: ConstantB NUMERIC "0" // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" -// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0" -// Retrieval info: PRIVATE: Latency NUMERIC "0" +// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "4" +// Retrieval info: PRIVATE: Latency NUMERIC "1" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: PRIVATE: SignedMult NUMERIC "1" // Retrieval info: PRIVATE: USE_MULT NUMERIC "1" @@ -89,14 +92,17 @@ endmodule // Retrieval info: PRIVATE: optimize NUMERIC "0" // Retrieval info: LIBRARY: lpm lpm.lpm_components.all // Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMIZE_SPEED=5" +// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "4" // Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "SIGNED" // Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_MULT" // Retrieval info: CONSTANT: LPM_WIDTHA NUMERIC "32" // Retrieval info: CONSTANT: LPM_WIDTHB NUMERIC "32" // Retrieval info: CONSTANT: LPM_WIDTHP NUMERIC "64" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock" // Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL "dataa[31..0]" // Retrieval info: USED_PORT: datab 0 0 32 0 INPUT NODEFVAL "datab[31..0]" // Retrieval info: USED_PORT: result 0 0 64 0 OUTPUT NODEFVAL "result[63..0]" +// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 // Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0 // Retrieval info: CONNECT: @datab 0 0 32 0 datab 0 0 32 0 // Retrieval info: CONNECT: result 0 0 64 0 @result 0 0 64 0 diff --git a/examples/hdl4se_riscv/verilog/altera/alu/mult_s_bb.v b/examples/hdl4se_riscv/verilog/altera/alu/mult_s_bb.v index ae195f2c669f52fcd65f316607366f5c5c92ce76..c37912725250b99ca992c972faf4efec9774e7b0 100644 --- a/examples/hdl4se_riscv/verilog/altera/alu/mult_s_bb.v +++ b/examples/hdl4se_riscv/verilog/altera/alu/mult_s_bb.v @@ -32,10 +32,12 @@ //applicable agreement for further details. module mult_s ( + clock, dataa, datab, result); + input clock; input [31:0] dataa; input [31:0] datab; output [63:0] result; @@ -49,8 +51,8 @@ endmodule // Retrieval info: PRIVATE: B_isConstant NUMERIC "0" // Retrieval info: PRIVATE: ConstantB NUMERIC "0" // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" -// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0" -// Retrieval info: PRIVATE: Latency NUMERIC "0" +// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "4" +// Retrieval info: PRIVATE: Latency NUMERIC "1" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: PRIVATE: SignedMult NUMERIC "1" // Retrieval info: PRIVATE: USE_MULT NUMERIC "1" @@ -64,14 +66,17 @@ endmodule // Retrieval info: PRIVATE: optimize NUMERIC "0" // Retrieval info: LIBRARY: lpm lpm.lpm_components.all // Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMIZE_SPEED=5" +// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "4" // Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "SIGNED" // Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_MULT" // Retrieval info: CONSTANT: LPM_WIDTHA NUMERIC "32" // Retrieval info: CONSTANT: LPM_WIDTHB NUMERIC "32" // Retrieval info: CONSTANT: LPM_WIDTHP NUMERIC "64" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock" // Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL "dataa[31..0]" // Retrieval info: USED_PORT: datab 0 0 32 0 INPUT NODEFVAL "datab[31..0]" // Retrieval info: USED_PORT: result 0 0 64 0 OUTPUT NODEFVAL "result[63..0]" +// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 // Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0 // Retrieval info: CONNECT: @datab 0 0 32 0 datab 0 0 32 0 // Retrieval info: CONNECT: result 0 0 64 0 @result 0 0 64 0 diff --git a/examples/hdl4se_riscv/verilog/div.qip b/examples/hdl4se_riscv/verilog/div.qip new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/examples/hdl4se_riscv/verilog/div_s.qip b/examples/hdl4se_riscv/verilog/div_s.qip new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/examples/hdl4se_riscv/verilog/greybox_tmp/cbx_args.txt b/examples/hdl4se_riscv/verilog/greybox_tmp/cbx_args.txt new file mode 100644 index 0000000000000000000000000000000000000000..e583755d1c5eba27b9f3e7a07c18c5444a1eb6df --- /dev/null +++ b/examples/hdl4se_riscv/verilog/greybox_tmp/cbx_args.txt @@ -0,0 +1,13 @@ +LPM_DREPRESENTATION=SIGNED +LPM_HINT=MAXIMIZE_SPEED=6,LPM_REMAINDERPOSITIVE=TRUE +LPM_NREPRESENTATION=SIGNED +LPM_PIPELINE=32 +LPM_TYPE=LPM_DIVIDE +LPM_WIDTHD=32 +LPM_WIDTHN=32 +DEVICE_FAMILY="Cyclone V" +clock +denom +numer +quotient +remain diff --git a/examples/hdl4se_riscv/verilog/riscv_core_v4.v b/examples/hdl4se_riscv/verilog/riscv_core_v4.v index 7a17d391ff7290d121417121a1841ecdf061121c..73b49d848a28c157e1dd3ef182f016b14576fa98 100644 --- a/examples/hdl4se_riscv/verilog/riscv_core_v4.v +++ b/examples/hdl4se_riscv/verilog/riscv_core_v4.v @@ -29,7 +29,7 @@ ** ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF ** THE POSSIBILITY OF SUCH DAMAGE. */ -/* riscv_core_v2.v */ +/* riscv_core_v4.v */ `define RISCVSTATE_INIT_REGX1 0 `define RISCVSTATE_INIT_REGX2 1 @@ -41,6 +41,7 @@ `define RISCVSTATE_WAIT_ST 7 `define RISCVSTATE_WAIT_ST2 8 `define RISCVSTATE_WAIT_DIV 9 +`define RISCVSTATE_WAIT_MUL 10 `define RAMSIZE 4096 @@ -141,9 +142,122 @@ module riscv_core( adder add(rs1, rs2, add_result); suber sub(rs1, rs2, sub_result); + +`define USE3MUL + +`ifdef USE3MUL mult mul(rs1, rs2, mul_result); mult_s mul_s(rs1, rs2, muls_result); mulsu mul_su(rs1, {8'b0, rs2}, mulsu_result); +`else + wire signed [63:0] mul_result_sign = mul_result; + reg [31:0] mul_rs1, mul_rs2; + wire [31:0] rs1_abs = rs1[31] ? (~rs1 + 1) : rs1; + wire [31:0] rs2_abs = rs2[31] ? (~rs2 + 1) : rs2; + + mult mul(mul_rs1, mul_rs2, mul_result); + + wire [1:0] mul_unsign_op = func3[1:0]; + reg [1:0] mul_unsign; + reg mul_s; + + assign muls_result = mul_s?(-mul_result_sign):mul_result_sign; + assign mulsu_result = mul_s?(-mul_result_sign):mul_result_sign; + + always @(mul_unsign_op or rs1 or rs2 or rs1_abs or rs2_abs) + case (mul_unsign_op) + 0: begin /* mul */ + mul_rs1 = rs1_abs; + mul_rs2 = rs2_abs; + end + 1: begin /* mulh */ + mul_rs1 = rs1_abs; + mul_rs2 = rs2_abs; + end + 2: begin /* mulhsu */ + mul_rs1 = rs1_abs; + mul_rs2 = rs2; + end + 3: begin /* mul */ + mul_rs1 = rs1; + mul_rs2 = rs2; + end + endcase + + always @(posedge wClk) + if (state == `RISCVSTATE_EXEC_INST) begin + mul_unsign <= mul_unsign_op; + case (mul_unsign_op) + 0: /* mul */ + mul_s <= rs1[31] ^ rs2[31]; + 1: /* mulh */ + mul_s <= rs1[31] ^ rs2[31]; + 2: /* mulhsu */ + mul_s <= rs1[31]; + 3: /* mulhu */ + mul_s <= 1'b0; + endcase + end + +`endif + + /* + 两个除法器: + Total logic elements 8,079 / 114,480 ( 7 % ) + Total combinational functions 7,107 / 114,480 ( 6 % ) + Dedicated logic registers 4,309 / 114,480 ( 4 % ) + Total registers 4309 + Embedded Multiplier 9-bit elements 0 / 532 ( 0 % ) + + 一个除法器: + Total logic elements 6,264 / 114,480 ( 5 % ) + Total combinational functions 5,607 / 114,480 ( 5 % ) + Dedicated logic registers 2,916 / 114,480 ( 3 % ) + Total registers 2916 + Embedded Multiplier 9-bit elements 0 / 532 ( 0 % ) + + 去掉两个乘法器:(比较怪异的是,逻辑门数反而增加了,这个很奇怪,难度综合的时候它能合并乘法器?) + Total logic elements 6,331 / 114,480 ( 6 % ) + Total combinational functions 5,609 / 114,480 ( 5 % ) + Dedicated logic registers 2,917 / 114,480 ( 3 % ) + Total registers 2917 + Total memory bits 150,192 / 3,981,312 ( 4 % ) + Embedded Multiplier 9-bit elements 0 / 532 ( 0 % ) + + Total logic elements 6,238 / 114,480 ( 5 % ) + Total combinational functions 5,527 / 114,480 ( 5 % ) + Dedicated logic registers 2,916 / 114,480 ( 3 % ) + Total registers 2916 + Total pins 436 / 529 ( 82 % ) + Total virtual pins 0 + Total memory bits 150,192 / 3,981,312 ( 4 % ) + Embedded Multiplier 9-bit elements 0 / 532 ( 0 % ) + Total PLLs 1 / 4 ( 25 % ) + */ + +`define USE1DIV_ + +`ifdef USE1DIV + wire div_unsign_op = func3[0]; + reg div_unsign; + reg div_s; + + always @(posedge wClk) + if (state == `RISCVSTATE_EXEC_INST) begin + div_unsign <= div_unsign_op; + div_s <= rs1[31] ^ rs2[31]; + end + + div div(wClk, div_unsign_op?rs2:rs2_abs, div_unsign_op?rs1:rs1_abs, div_result_r, mod_result_r); + + assign div_result = div_result_r; + assign mod_result = mod_result_r; + + wire signed [31:0] div_result_r_sign = div_result_r; + + assign divs_result = div_s ? (-div_result_r_sign) : div_result_r; + assign mods_result = mod_result_r; +`else div div(wClk, rs2, rs1, div_result_r, mod_result_r); div_s divs(wClk, rs2, rs1, divs_result_r, mods_result_r); @@ -152,6 +266,7 @@ module riscv_core( assign mod_result = mod_result_r; assign mods_result = mods_result_r; +`endif /* cond */ always @(rs1 or rs2 or rs1_s or rs2_s or func3) case(func3) @@ -344,13 +459,16 @@ module riscv_core( `RISCVSTATE_READ_INST: state <= `RISCVSTATE_READ_REGS; `RISCVSTATE_READ_REGS: state <= `RISCVSTATE_EXEC_INST; `RISCVSTATE_EXEC_INST: begin - if (opcode == 5'h00) + if (opcode == 5'h00) begin state <= `RISCVSTATE_WAIT_LD; - else if (opcode == 5'h08) + end else if (opcode == 5'h08) begin state <= `RISCVSTATE_WAIT_ST; - else if (opcode == 5'h0c && instr[25] && func3[2] && (rs2 != 0)) begin + end else if (opcode == 5'h0c && instr[25] && func3[2] && (rs2 != 0)) begin state <= `RISCVSTATE_WAIT_DIV; - divclk <= 11; + divclk <= 31; + end else if (opcode == 5'h0c && instr[25] && (func3[2]==0) ) begin + state <= `RISCVSTATE_WAIT_MUL; + divclk <= 3; end else state <= `RISCVSTATE_READ_REGS; end @@ -381,13 +499,14 @@ module riscv_core( end end `RISCVSTATE_WAIT_ST2: state <= `RISCVSTATE_READ_INST; + `RISCVSTATE_WAIT_MUL, `RISCVSTATE_WAIT_DIV: begin if (divclk == 0) state <= `RISCVSTATE_READ_INST; else divclk <= divclk - 1; - end - endcase + end + endcase end //DEFINE_FUNC(riscv_core_gen_imm, "bReadData, state") { @@ -509,7 +628,8 @@ module riscv_core( `RISCVSTATE_EXEC_INST, `RISCVSTATE_WAIT_LD, `RISCVSTATE_WAIT_LD2, - `RISCVSTATE_WAIT_DIV + `RISCVSTATE_WAIT_DIV, + `RISCVSTATE_WAIT_MUL : begin regwren = (dstreg != 0) ? 1 : 0; regno = dstreg; @@ -648,6 +768,27 @@ module riscv_core( end endcase end + `RISCVSTATE_WAIT_MUL: if (divclk == 0) begin + dstreg = 0; + case (func3[1:0]) + 0: begin //mul + dstvalue = muls_result[31:0]; + dstreg = rd; + end + 1: begin //mulh + dstvalue = muls_result[63:32]; + dstreg = rd; + end + 2: begin //mulhsu + dstvalue = mulsu_result[63:32]; + dstreg = rd; + end + 3: begin //mulhu + dstvalue = mul_result[63:32]; + dstreg = rd; + end + endcase + end `RISCVSTATE_EXEC_INST: begin dstreg = rd; case (opcode) @@ -688,6 +829,7 @@ module riscv_core( 5'h0c: begin /*alu*/ if (instr[25]) begin /* is MUL/DIV instr*/ case (func3) + /* 0: begin //mul dstvalue = muls_result[31:0]; end @@ -700,7 +842,23 @@ module riscv_core( 3: begin //mulhu dstvalue = mul_result[63:32]; end - + */ + 0: begin //mul + dstreg = 0; + dstvalue = 0; + end + 1: begin //mulh + dstreg = 0; + dstvalue = 0; + end + 2: begin //mulhsu + dstreg = 0; + dstvalue = 0; + end + 3: begin //mulhu + dstreg = 0; + dstvalue = 0; + end 4: begin //div if (rs2 == 0) begin dstvalue = 32'hffffffff; @@ -739,8 +897,10 @@ module riscv_core( 0: begin if (instr[30]) dstvalue = sub_result; - else - dstvalue = add_result; + //rs1 - rs2;//sub_result; + else + dstvalue = add_result; + //rs1 + rs2;//add_result; end 1: begin //sll dstvalue = rs1 << rs2[4:0];