From 5c62cdcb3a8f774f93828d8761195cbf3de9085b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E9=B8=A1=E8=85=BF?= Date: Thu, 10 Feb 2022 20:01:40 +0800 Subject: [PATCH] =?UTF-8?q?=E5=A2=9E=E5=8A=A04.3=E5=B0=8F=E8=8A=82?= =?UTF-8?q?=E7=9A=84=E4=B9=A0=E9=A2=98?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .../01.md" | 8 ++++++ .../02.md" | 25 +++++++++++++++++++ 2 files changed, 33 insertions(+) create mode 100644 "data/1.\347\275\221\347\273\234\345\210\235\351\230\266/4.\350\256\244\350\257\206\344\270\255\345\260\217\345\236\213\345\261\200\345\237\237\347\275\221/3.\344\272\244\346\215\242\346\234\272\347\232\204\344\275\234\347\224\250/01.md" create mode 100644 "data/1.\347\275\221\347\273\234\345\210\235\351\230\266/4.\350\256\244\350\257\206\344\270\255\345\260\217\345\236\213\345\261\200\345\237\237\347\275\221/3.\344\272\244\346\215\242\346\234\272\347\232\204\344\275\234\347\224\250/02.md" diff --git "a/data/1.\347\275\221\347\273\234\345\210\235\351\230\266/4.\350\256\244\350\257\206\344\270\255\345\260\217\345\236\213\345\261\200\345\237\237\347\275\221/3.\344\272\244\346\215\242\346\234\272\347\232\204\344\275\234\347\224\250/01.md" "b/data/1.\347\275\221\347\273\234\345\210\235\351\230\266/4.\350\256\244\350\257\206\344\270\255\345\260\217\345\236\213\345\261\200\345\237\237\347\275\221/3.\344\272\244\346\215\242\346\234\272\347\232\204\344\275\234\347\224\250/01.md" new file mode 100644 index 0000000..dbfa206 --- /dev/null +++ "b/data/1.\347\275\221\347\273\234\345\210\235\351\230\266/4.\350\256\244\350\257\206\344\270\255\345\260\217\345\236\213\345\261\200\345\237\237\347\275\221/3.\344\272\244\346\215\242\346\234\272\347\232\204\344\275\234\347\224\250/01.md" @@ -0,0 +1,8 @@ +# 交换机的作用 + +交换式以太网核心设备是()。 + +## 答案 + +交换机 + diff --git "a/data/1.\347\275\221\347\273\234\345\210\235\351\230\266/4.\350\256\244\350\257\206\344\270\255\345\260\217\345\236\213\345\261\200\345\237\237\347\275\221/3.\344\272\244\346\215\242\346\234\272\347\232\204\344\275\234\347\224\250/02.md" "b/data/1.\347\275\221\347\273\234\345\210\235\351\230\266/4.\350\256\244\350\257\206\344\270\255\345\260\217\345\236\213\345\261\200\345\237\237\347\275\221/3.\344\272\244\346\215\242\346\234\272\347\232\204\344\275\234\347\224\250/02.md" new file mode 100644 index 0000000..3ef991b --- /dev/null +++ "b/data/1.\347\275\221\347\273\234\345\210\235\351\230\266/4.\350\256\244\350\257\206\344\270\255\345\260\217\345\236\213\345\261\200\345\237\237\347\275\221/3.\344\272\244\346\215\242\346\234\272\347\232\204\344\275\234\347\224\250/02.md" @@ -0,0 +1,25 @@ +# 交换机作用 + +在交换机中,维护着一张()表,表中存放着网络设备的()的映射关系: + +## 答案 + +B + +## 选项 + +### A + +ARP、IP地址与MAC地址 + +### B + +MAC地址、MAC地址与交换机端口 + +### C + +路由、IP地址与下一跳 + +### D + +VLAN映射关系、VLAN与交换机端口 \ No newline at end of file -- GitLab