From acc4120be5e0631e9ac70a93127d2a453d9f4071 Mon Sep 17 00:00:00 2001 From: BaiXuePrincess <974270781@qq.com> Date: Mon, 10 May 2021 14:41:29 +0800 Subject: [PATCH] 9501-9600 --- projects/13030509/imports.yml | 10 ++++++++++ projects/1345414527/imports.yml | 4 ++++ projects/960519152/imports.yml | 4 ++++ projects/AgoraIO/imports.yml | 4 ++++ projects/AliyunContainerService/imports.yml | 4 ++++ projects/AlloyTeam/imports.yml | 4 ++++ projects/AnkerLeng/imports.yml | 10 ++++++++++ projects/Apache-Ra/imports.yml | 10 ++++++++++ projects/ArcherLCY/imports.yml | 10 ++++++++++ projects/Awenc/imports.yml | 10 ++++++++++ projects/BlackCarDriver/imports.yml | 10 ++++++++++ projects/Bungehurst/imports.yml | 10 ++++++++++ projects/CJY0208/imports.yml | 4 ++++ projects/Cheneng/imports.yml | 10 ++++++++++ projects/DISOGitHub/imports.yml | 10 ++++++++++ projects/DLonng/imports.yml | 10 ++++++++++ projects/Daizymis/imports.yml | 10 ++++++++++ projects/DevilsHuan/imports.yml | 10 ++++++++++ projects/GHpeter/imports.yml | 10 ++++++++++ projects/Graylog2/imports.yml | 10 ++++++++++ projects/HectorAnadon/imports.yml | 10 ++++++++++ projects/Hguimaraes/imports.yml | 10 ++++++++++ projects/HuStanding/imports.yml | 10 ++++++++++ projects/YYConfuse/imports.yml | 10 ++++++++++ projects/YanStar/imports.yml | 10 ++++++++++ projects/Yannnnnnnnnnnn/imports.yml | 4 ++++ projects/a935368322/imports.yml | 10 ++++++++++ projects/abcnull/imports.yml | 4 ++++ projects/ai/imports.yml | 10 ++++++++++ projects/airbnb/imports.yml | 4 ++++ projects/alexjc/imports.yml | 4 ++++ projects/aliyun/imports.yml | 4 ++++ projects/asika32764/imports.yml | 10 ++++++++++ projects/aspnet/imports.yml | 4 ++++ projects/auspicious3000/imports.yml | 10 ++++++++++ projects/avgaydashenko/imports.yml | 10 ++++++++++ projects/carson-ho/imports.yml | 4 ++++ projects/cartzhang/imports.yml | 10 ++++++++++ projects/chakki-works/imports.yml | 4 ++++ projects/chamuco/imports.yml | 10 ++++++++++ projects/chaojunma/imports.yml | 10 ++++++++++ projects/chatopera/imports.yml | 4 ++++ projects/chenqian56131/imports.yml | 5 +++++ projects/cloudsoft/imports.yml | 10 ++++++++++ projects/cnatom/imports.yml | 10 ++++++++++ projects/createMoreByMe/imports.yml | 10 ++++++++++ projects/cvhciKIT/imports.yml | 10 ++++++++++ projects/cyken8/imports.yml | 10 ++++++++++ projects/czhyf/imports.yml | 10 ++++++++++ projects/dading105/imports.yml | 10 ++++++++++ projects/dfqin/imports.yml | 10 ++++++++++ projects/diaozxin007/imports.yml | 10 ++++++++++ projects/dotbalo/imports.yml | 10 ++++++++++ projects/doubiiot/imports.yml | 4 ++++ projects/doug2k1/imports.yml | 10 ++++++++++ projects/dropbox/imports.yml | 4 ++++ projects/eProsima/imports.yml | 10 ++++++++++ projects/editorconfig/imports.yml | 10 ++++++++++ projects/egorkhmelev/imports.yml | 10 ++++++++++ projects/esl-client/imports.yml | 10 ++++++++++ projects/ethan-funny/imports.yml | 10 ++++++++++ projects/evansun922/imports.yml | 10 ++++++++++ projects/eyebluecn/imports.yml | 4 ++++ projects/facebook/imports.yml | 4 ++++ projects/fanchenxinok/imports.yml | 10 ++++++++++ projects/fengshilin/imports.yml | 10 ++++++++++ projects/fingerart/imports.yml | 10 ++++++++++ projects/fukaimei/imports.yml | 4 ++++ projects/funsonli/imports.yml | 4 ++++ projects/fushaoyu/imports.yml | 10 ++++++++++ projects/generallizhong2015/imports.yml | 10 ++++++++++ projects/gjbian/imports.yml | 10 ++++++++++ projects/gongjianbo/imports.yml | 4 ++++ projects/google/imports.yml | 4 ++++ projects/gramuah/imports.yml | 10 ++++++++++ projects/hardman/imports.yml | 10 ++++++++++ projects/hicjcn/imports.yml | 10 ++++++++++ projects/hilen/imports.yml | 10 ++++++++++ projects/hoffstadt/imports.yml | 10 ++++++++++ projects/hqwei/imports.yml | 4 ++++ projects/hss01248/imports.yml | 4 ++++ projects/huanglinqing123/imports.yml | 4 ++++ projects/huobiapi/imports.yml | 10 ++++++++++ projects/ihpdep/imports.yml | 10 ++++++++++ projects/ineo6/imports.yml | 10 ++++++++++ projects/inyeoplee77/imports.yml | 10 ++++++++++ projects/wuzelong/imports.yml | 10 ++++++++++ projects/xiamo12/imports.yml | 10 ++++++++++ projects/xiaofsu/imports.yml | 10 ++++++++++ projects/xuqiang918/imports.yml | 10 ++++++++++ projects/yhjaicly/imports.yml | 10 ++++++++++ projects/yifanw90/imports.yml | 10 ++++++++++ projects/yuelinayln/imports.yml | 10 ++++++++++ projects/zhaotoday/imports.yml | 10 ++++++++++ projects/zhongyuanzhao/imports.yml | 10 ++++++++++ projects/ziweipolaris/imports.yml | 4 ++++ 96 files changed, 793 insertions(+) create mode 100644 projects/13030509/imports.yml create mode 100644 projects/AnkerLeng/imports.yml create mode 100644 projects/Apache-Ra/imports.yml create mode 100644 projects/ArcherLCY/imports.yml create mode 100644 projects/Awenc/imports.yml create mode 100644 projects/BlackCarDriver/imports.yml create mode 100644 projects/Bungehurst/imports.yml create mode 100644 projects/Cheneng/imports.yml create mode 100644 projects/DISOGitHub/imports.yml create mode 100644 projects/DLonng/imports.yml create mode 100644 projects/Daizymis/imports.yml create mode 100644 projects/DevilsHuan/imports.yml create mode 100644 projects/GHpeter/imports.yml create mode 100644 projects/Graylog2/imports.yml create mode 100644 projects/HectorAnadon/imports.yml create mode 100644 projects/Hguimaraes/imports.yml create mode 100644 projects/HuStanding/imports.yml create mode 100644 projects/YYConfuse/imports.yml create mode 100644 projects/YanStar/imports.yml create mode 100644 projects/a935368322/imports.yml create mode 100644 projects/ai/imports.yml create mode 100644 projects/asika32764/imports.yml create mode 100644 projects/auspicious3000/imports.yml create mode 100644 projects/avgaydashenko/imports.yml create mode 100644 projects/cartzhang/imports.yml create mode 100644 projects/chamuco/imports.yml create mode 100644 projects/chaojunma/imports.yml create mode 100644 projects/cloudsoft/imports.yml create mode 100644 projects/cnatom/imports.yml create mode 100644 projects/createMoreByMe/imports.yml create mode 100644 projects/cvhciKIT/imports.yml create mode 100644 projects/cyken8/imports.yml create mode 100644 projects/czhyf/imports.yml create mode 100644 projects/dading105/imports.yml create mode 100644 projects/dfqin/imports.yml create mode 100644 projects/diaozxin007/imports.yml create mode 100644 projects/dotbalo/imports.yml create mode 100644 projects/doug2k1/imports.yml create mode 100644 projects/eProsima/imports.yml create mode 100644 projects/editorconfig/imports.yml create mode 100644 projects/egorkhmelev/imports.yml create mode 100644 projects/esl-client/imports.yml create mode 100644 projects/ethan-funny/imports.yml create mode 100644 projects/evansun922/imports.yml create mode 100644 projects/fanchenxinok/imports.yml create mode 100644 projects/fengshilin/imports.yml create mode 100644 projects/fingerart/imports.yml create mode 100644 projects/fushaoyu/imports.yml create mode 100644 projects/generallizhong2015/imports.yml create mode 100644 projects/gjbian/imports.yml create mode 100644 projects/gramuah/imports.yml create mode 100644 projects/hardman/imports.yml create mode 100644 projects/hicjcn/imports.yml create mode 100644 projects/hilen/imports.yml create mode 100644 projects/hoffstadt/imports.yml create mode 100644 projects/huobiapi/imports.yml create mode 100644 projects/ihpdep/imports.yml create mode 100644 projects/ineo6/imports.yml create mode 100644 projects/inyeoplee77/imports.yml create mode 100644 projects/wuzelong/imports.yml create mode 100644 projects/xiamo12/imports.yml create mode 100644 projects/xiaofsu/imports.yml create mode 100644 projects/xuqiang918/imports.yml create mode 100644 projects/yhjaicly/imports.yml create mode 100644 projects/yifanw90/imports.yml create mode 100644 projects/yuelinayln/imports.yml create mode 100644 projects/zhaotoday/imports.yml create mode 100644 projects/zhongyuanzhao/imports.yml diff --git a/projects/13030509/imports.yml b/projects/13030509/imports.yml new file mode 100644 index 000000000..6706d98a7 --- /dev/null +++ b/projects/13030509/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: '13030509' + description: '' + projects: + - name: 'test' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/1345414527/imports.yml b/projects/1345414527/imports.yml index fb835f86b..5c5c61582 100644 --- a/projects/1345414527/imports.yml +++ b/projects/1345414527/imports.yml @@ -7,4 +7,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'manager_protal' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/960519152/imports.yml b/projects/960519152/imports.yml index 4309cdddf..e351b8233 100644 --- a/projects/960519152/imports.yml +++ b/projects/960519152/imports.yml @@ -7,4 +7,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'vue' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/AgoraIO/imports.yml b/projects/AgoraIO/imports.yml index 7e4363e41..3095ae079 100644 --- a/projects/AgoraIO/imports.yml +++ b/projects/AgoraIO/imports.yml @@ -7,4 +7,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'Agora-Unity-Quickstart' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/AliyunContainerService/imports.yml b/projects/AliyunContainerService/imports.yml index 11d38ca68..6281fbad9 100644 --- a/projects/AliyunContainerService/imports.yml +++ b/projects/AliyunContainerService/imports.yml @@ -23,4 +23,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'kube-eventer' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/AlloyTeam/imports.yml b/projects/AlloyTeam/imports.yml index 47adc65cf..86df3dce0 100644 --- a/projects/AlloyTeam/imports.yml +++ b/projects/AlloyTeam/imports.yml @@ -15,4 +15,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'Rythem' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/AnkerLeng/imports.yml b/projects/AnkerLeng/imports.yml new file mode 100644 index 000000000..08a3573a4 --- /dev/null +++ b/projects/AnkerLeng/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'AnkerLeng' + description: '' + projects: + - name: 'Cpp-0-1-Resource' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/Apache-Ra/imports.yml b/projects/Apache-Ra/imports.yml new file mode 100644 index 000000000..38b92903b --- /dev/null +++ b/projects/Apache-Ra/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'Apache-Ra' + description: '' + projects: + - name: 'vue-video-project-demo' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/ArcherLCY/imports.yml b/projects/ArcherLCY/imports.yml new file mode 100644 index 000000000..a21bb3e88 --- /dev/null +++ b/projects/ArcherLCY/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'ArcherLCY' + description: '' + projects: + - name: 'CardDetection' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/Awenc/imports.yml b/projects/Awenc/imports.yml new file mode 100644 index 000000000..48e535d60 --- /dev/null +++ b/projects/Awenc/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'Awenc' + description: '' + projects: + - name: 'WordsClock' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/BlackCarDriver/imports.yml b/projects/BlackCarDriver/imports.yml new file mode 100644 index 000000000..e9f423823 --- /dev/null +++ b/projects/BlackCarDriver/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'BlackCarDriver' + description: '' + projects: + - name: 'postgre-struct-maker' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/Bungehurst/imports.yml b/projects/Bungehurst/imports.yml new file mode 100644 index 000000000..1d2bf52c7 --- /dev/null +++ b/projects/Bungehurst/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'Bungehurst' + description: '' + projects: + - name: 'Car_Mecanum' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/CJY0208/imports.yml b/projects/CJY0208/imports.yml index b5494861c..0e6c637f2 100644 --- a/projects/CJY0208/imports.yml +++ b/projects/CJY0208/imports.yml @@ -7,4 +7,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'react-activation' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/Cheneng/imports.yml b/projects/Cheneng/imports.yml new file mode 100644 index 000000000..7aca15b16 --- /dev/null +++ b/projects/Cheneng/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'Cheneng' + description: '' + projects: + - name: 'DPCNN' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/DISOGitHub/imports.yml b/projects/DISOGitHub/imports.yml new file mode 100644 index 000000000..153364ed1 --- /dev/null +++ b/projects/DISOGitHub/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'DISOGitHub' + description: '' + projects: + - name: 'FastCAE' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/DLonng/imports.yml b/projects/DLonng/imports.yml new file mode 100644 index 000000000..efc128765 --- /dev/null +++ b/projects/DLonng/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'DLonng' + description: '' + projects: + - name: 'AI-Notes' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/Daizymis/imports.yml b/projects/Daizymis/imports.yml new file mode 100644 index 000000000..8867c5551 --- /dev/null +++ b/projects/Daizymis/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'Daizymis' + description: '' + projects: + - name: 'Demo' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/DevilsHuan/imports.yml b/projects/DevilsHuan/imports.yml new file mode 100644 index 000000000..210ee9a13 --- /dev/null +++ b/projects/DevilsHuan/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'DevilsHuan' + description: '' + projects: + - name: 'vue-m-stock' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/GHpeter/imports.yml b/projects/GHpeter/imports.yml new file mode 100644 index 000000000..1bd694cc8 --- /dev/null +++ b/projects/GHpeter/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'GHpeter' + description: '' + projects: + - name: 'DragRecycleView' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/Graylog2/imports.yml b/projects/Graylog2/imports.yml new file mode 100644 index 000000000..92b815990 --- /dev/null +++ b/projects/Graylog2/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'Graylog2' + description: '' + projects: + - name: 'collector-sidecar' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/HectorAnadon/imports.yml b/projects/HectorAnadon/imports.yml new file mode 100644 index 000000000..f48db19bc --- /dev/null +++ b/projects/HectorAnadon/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'HectorAnadon' + description: '' + projects: + - name: 'Face-expression-and-ethnic-recognition' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/Hguimaraes/imports.yml b/projects/Hguimaraes/imports.yml new file mode 100644 index 000000000..8eb89a19b --- /dev/null +++ b/projects/Hguimaraes/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'Hguimaraes' + description: '' + projects: + - name: 'gtzan.keras' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/HuStanding/imports.yml b/projects/HuStanding/imports.yml new file mode 100644 index 000000000..369e3ae35 --- /dev/null +++ b/projects/HuStanding/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'HuStanding' + description: '' + projects: + - name: 'show_geo' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/YYConfuse/imports.yml b/projects/YYConfuse/imports.yml new file mode 100644 index 000000000..80da6ecec --- /dev/null +++ b/projects/YYConfuse/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'YYConfuse' + description: '' + projects: + - name: 'YYConfuse' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/YanStar/imports.yml b/projects/YanStar/imports.yml new file mode 100644 index 000000000..527b94854 --- /dev/null +++ b/projects/YanStar/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'YanStar' + description: '' + projects: + - name: 'QtDllInject' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/Yannnnnnnnnnnn/imports.yml b/projects/Yannnnnnnnnnnn/imports.yml index 765cde071..801dc574c 100644 --- a/projects/Yannnnnnnnnnnn/imports.yml +++ b/projects/Yannnnnnnnnnnn/imports.yml @@ -19,4 +19,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'cuda_random' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/a935368322/imports.yml b/projects/a935368322/imports.yml new file mode 100644 index 000000000..9805fb259 --- /dev/null +++ b/projects/a935368322/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'a935368322' + description: '' + projects: + - name: 'Kogel.Dapper.Test' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/abcnull/imports.yml b/projects/abcnull/imports.yml index 9c00dc0f5..512eac0f3 100644 --- a/projects/abcnull/imports.yml +++ b/projects/abcnull/imports.yml @@ -15,4 +15,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'apitest4j' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/ai/imports.yml b/projects/ai/imports.yml new file mode 100644 index 000000000..8391bd5c0 --- /dev/null +++ b/projects/ai/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'ai' + description: '' + projects: + - name: 'browserslist' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/airbnb/imports.yml b/projects/airbnb/imports.yml index f4ad6e148..a61ff9f1d 100644 --- a/projects/airbnb/imports.yml +++ b/projects/airbnb/imports.yml @@ -27,4 +27,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'lottie-react-native' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/alexjc/imports.yml b/projects/alexjc/imports.yml index f415b1332..63711cd23 100644 --- a/projects/alexjc/imports.yml +++ b/projects/alexjc/imports.yml @@ -7,4 +7,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'neural-doodle' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/aliyun/imports.yml b/projects/aliyun/imports.yml index 1b5e63cb3..60cf9c258 100644 --- a/projects/aliyun/imports.yml +++ b/projects/aliyun/imports.yml @@ -63,4 +63,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'aliyun-openapi-java-sdk' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/asika32764/imports.yml b/projects/asika32764/imports.yml new file mode 100644 index 000000000..341061e13 --- /dev/null +++ b/projects/asika32764/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'asika32764' + description: '' + projects: + - name: 'vue2-animate' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/aspnet/imports.yml b/projects/aspnet/imports.yml index dc55f42fc..22079bfde 100644 --- a/projects/aspnet/imports.yml +++ b/projects/aspnet/imports.yml @@ -19,4 +19,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'AspNetCore' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/auspicious3000/imports.yml b/projects/auspicious3000/imports.yml new file mode 100644 index 000000000..a8f52b79e --- /dev/null +++ b/projects/auspicious3000/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'auspicious3000' + description: '' + projects: + - name: 'autovc' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/avgaydashenko/imports.yml b/projects/avgaydashenko/imports.yml new file mode 100644 index 000000000..41e442c4d --- /dev/null +++ b/projects/avgaydashenko/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'avgaydashenko' + description: '' + projects: + - name: 'd_star' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/carson-ho/imports.yml b/projects/carson-ho/imports.yml index 6b99f5211..59b92e5ac 100644 --- a/projects/carson-ho/imports.yml +++ b/projects/carson-ho/imports.yml @@ -39,4 +39,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'Service_Server' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/cartzhang/imports.yml b/projects/cartzhang/imports.yml new file mode 100644 index 000000000..f40162a47 --- /dev/null +++ b/projects/cartzhang/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'cartzhang' + description: '' + projects: + - name: 'UnityJsonTest' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/chakki-works/imports.yml b/projects/chakki-works/imports.yml index 83f3ff62a..7b73ab91c 100644 --- a/projects/chakki-works/imports.yml +++ b/projects/chakki-works/imports.yml @@ -7,4 +7,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'seqeval' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/chamuco/imports.yml b/projects/chamuco/imports.yml new file mode 100644 index 000000000..304a809cb --- /dev/null +++ b/projects/chamuco/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'chamuco' + description: '' + projects: + - name: 'respin' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/chaojunma/imports.yml b/projects/chaojunma/imports.yml new file mode 100644 index 000000000..005ef467b --- /dev/null +++ b/projects/chaojunma/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'chaojunma' + description: '' + projects: + - name: 'springcloud-auth' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/chatopera/imports.yml b/projects/chatopera/imports.yml index 9bc00d309..734a0f771 100644 --- a/projects/chatopera/imports.yml +++ b/projects/chatopera/imports.yml @@ -19,4 +19,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'chatopera.feishu' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/chenqian56131/imports.yml b/projects/chenqian56131/imports.yml index 4e7f55d20..d9ac70aa6 100644 --- a/projects/chenqian56131/imports.yml +++ b/projects/chenqian56131/imports.yml @@ -7,3 +7,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'oauth2.0-demo' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/cloudsoft/imports.yml b/projects/cloudsoft/imports.yml new file mode 100644 index 000000000..8e41eb6db --- /dev/null +++ b/projects/cloudsoft/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'cloudsoft' + description: '' + projects: + - name: 'winrm4j' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/cnatom/imports.yml b/projects/cnatom/imports.yml new file mode 100644 index 000000000..1be24e775 --- /dev/null +++ b/projects/cnatom/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'cnatom' + description: '' + projects: + - name: 'ChatBot' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/createMoreByMe/imports.yml b/projects/createMoreByMe/imports.yml new file mode 100644 index 000000000..b116596ce --- /dev/null +++ b/projects/createMoreByMe/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'createMoreByMe' + description: '' + projects: + - name: 'rpms' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/cvhciKIT/imports.yml b/projects/cvhciKIT/imports.yml new file mode 100644 index 000000000..ccc259691 --- /dev/null +++ b/projects/cvhciKIT/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'cvhciKIT' + description: '' + projects: + - name: 'sloth' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/cyken8/imports.yml b/projects/cyken8/imports.yml new file mode 100644 index 000000000..cf7cfe399 --- /dev/null +++ b/projects/cyken8/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'cyken8' + description: '' + projects: + - name: 'wx_dictation' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/czhyf/imports.yml b/projects/czhyf/imports.yml new file mode 100644 index 000000000..c44cbb4ea --- /dev/null +++ b/projects/czhyf/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'czhyf' + description: '' + projects: + - name: 'BossSpider' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/dading105/imports.yml b/projects/dading105/imports.yml new file mode 100644 index 000000000..c5c56a4bf --- /dev/null +++ b/projects/dading105/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'dading105' + description: '' + projects: + - name: 'mqtt_gateway' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/dfqin/imports.yml b/projects/dfqin/imports.yml new file mode 100644 index 000000000..b49f74ba5 --- /dev/null +++ b/projects/dfqin/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'dfqin' + description: '' + projects: + - name: 'PermissionGrantor' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/diaozxin007/imports.yml b/projects/diaozxin007/imports.yml new file mode 100644 index 000000000..81879d5f1 --- /dev/null +++ b/projects/diaozxin007/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'diaozxin007' + description: '' + projects: + - name: 'youdao' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/dotbalo/imports.yml b/projects/dotbalo/imports.yml new file mode 100644 index 000000000..08b872876 --- /dev/null +++ b/projects/dotbalo/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'dotbalo' + description: '' + projects: + - name: 'ratel-doc' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/doubiiot/imports.yml b/projects/doubiiot/imports.yml index 4bbfda492..63bf62181 100644 --- a/projects/doubiiot/imports.yml +++ b/projects/doubiiot/imports.yml @@ -7,4 +7,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'Mirror' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/doug2k1/imports.yml b/projects/doug2k1/imports.yml new file mode 100644 index 000000000..f425e69e2 --- /dev/null +++ b/projects/doug2k1/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'doug2k1' + description: '' + projects: + - name: 'javascript-camera' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/dropbox/imports.yml b/projects/dropbox/imports.yml index b97b76aa8..4d8d27cd8 100644 --- a/projects/dropbox/imports.yml +++ b/projects/dropbox/imports.yml @@ -7,4 +7,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'json11' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/eProsima/imports.yml b/projects/eProsima/imports.yml new file mode 100644 index 000000000..ddd405bbf --- /dev/null +++ b/projects/eProsima/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'eProsima' + description: '' + projects: + - name: 'Fast-RTPS' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/editorconfig/imports.yml b/projects/editorconfig/imports.yml new file mode 100644 index 000000000..ce5acdca0 --- /dev/null +++ b/projects/editorconfig/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'editorconfig' + description: '' + projects: + - name: 'editorconfig-vscode' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/egorkhmelev/imports.yml b/projects/egorkhmelev/imports.yml new file mode 100644 index 000000000..c094ef8e7 --- /dev/null +++ b/projects/egorkhmelev/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'egorkhmelev' + description: '' + projects: + - name: 'jslider' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/esl-client/imports.yml b/projects/esl-client/imports.yml new file mode 100644 index 000000000..590e9d411 --- /dev/null +++ b/projects/esl-client/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'esl-client' + description: '' + projects: + - name: 'esl-client' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/ethan-funny/imports.yml b/projects/ethan-funny/imports.yml new file mode 100644 index 000000000..03716ee09 --- /dev/null +++ b/projects/ethan-funny/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'ethan-funny' + description: '' + projects: + - name: 'explore-python' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/evansun922/imports.yml b/projects/evansun922/imports.yml new file mode 100644 index 000000000..5db3d394f --- /dev/null +++ b/projects/evansun922/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'evansun922' + description: '' + projects: + - name: 'nginx-quic' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/eyebluecn/imports.yml b/projects/eyebluecn/imports.yml index 5965add61..aa7bc5b3e 100644 --- a/projects/eyebluecn/imports.yml +++ b/projects/eyebluecn/imports.yml @@ -7,4 +7,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'tank-front' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/facebook/imports.yml b/projects/facebook/imports.yml index 84dec8368..a99e01da0 100644 --- a/projects/facebook/imports.yml +++ b/projects/facebook/imports.yml @@ -127,4 +127,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'facebook-android-sdk' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/fanchenxinok/imports.yml b/projects/fanchenxinok/imports.yml new file mode 100644 index 000000000..64e06348e --- /dev/null +++ b/projects/fanchenxinok/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'fanchenxinok' + description: '' + projects: + - name: 'glmark2_arm' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/fengshilin/imports.yml b/projects/fengshilin/imports.yml new file mode 100644 index 000000000..1d6d2f9fc --- /dev/null +++ b/projects/fengshilin/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'fengshilin' + description: '' + projects: + - name: 'tf2.0-FCN' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/fingerart/imports.yml b/projects/fingerart/imports.yml new file mode 100644 index 000000000..f16a5fddd --- /dev/null +++ b/projects/fingerart/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'fingerart' + description: '' + projects: + - name: 'ApiDebugger' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/fukaimei/imports.yml b/projects/fukaimei/imports.yml index f6c006d64..9b390f904 100644 --- a/projects/fukaimei/imports.yml +++ b/projects/fukaimei/imports.yml @@ -35,4 +35,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'GaodeMapTest1' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/funsonli/imports.yml b/projects/funsonli/imports.yml index 00ae5d8d8..351fe281b 100644 --- a/projects/funsonli/imports.yml +++ b/projects/funsonli/imports.yml @@ -8,4 +8,8 @@ group: mirrorRelease: false topic: '' + - name: 'spring-boot-demo' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/fushaoyu/imports.yml b/projects/fushaoyu/imports.yml new file mode 100644 index 000000000..a2be7f1a8 --- /dev/null +++ b/projects/fushaoyu/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'fushaoyu' + description: '' + projects: + - name: 'Calendar' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/generallizhong2015/imports.yml b/projects/generallizhong2015/imports.yml new file mode 100644 index 000000000..f5bfcc37f --- /dev/null +++ b/projects/generallizhong2015/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'generallizhong2015' + description: '' + projects: + - name: 'permission' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/gjbian/imports.yml b/projects/gjbian/imports.yml new file mode 100644 index 000000000..a59fee334 --- /dev/null +++ b/projects/gjbian/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'gjbian' + description: '' + projects: + - name: 'Foe_Ticket' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/gongjianbo/imports.yml b/projects/gongjianbo/imports.yml index c004995f5..34f463cf3 100644 --- a/projects/gongjianbo/imports.yml +++ b/projects/gongjianbo/imports.yml @@ -31,4 +31,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'EasyQPainter' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/google/imports.yml b/projects/google/imports.yml index 47833a64f..8eed7346b 100644 --- a/projects/google/imports.yml +++ b/projects/google/imports.yml @@ -291,4 +291,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'android-emulator-hypervisor-driver-for-amd-processors' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/gramuah/imports.yml b/projects/gramuah/imports.yml new file mode 100644 index 000000000..70119076f --- /dev/null +++ b/projects/gramuah/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'gramuah' + description: '' + projects: + - name: 'ccnn' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/hardman/imports.yml b/projects/hardman/imports.yml new file mode 100644 index 000000000..a06bb2e9f --- /dev/null +++ b/projects/hardman/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'hardman' + description: '' + projects: + - name: 'AWLive' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/hicjcn/imports.yml b/projects/hicjcn/imports.yml new file mode 100644 index 000000000..e232ae451 --- /dev/null +++ b/projects/hicjcn/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'hicjcn' + description: '' + projects: + - name: 'redeploy-rancher2-workload-plugin' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/hilen/imports.yml b/projects/hilen/imports.yml new file mode 100644 index 000000000..4df5d0604 --- /dev/null +++ b/projects/hilen/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'hilen' + description: '' + projects: + - name: 'TSWeChat' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/hoffstadt/imports.yml b/projects/hoffstadt/imports.yml new file mode 100644 index 000000000..998f190bc --- /dev/null +++ b/projects/hoffstadt/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'hoffstadt' + description: '' + projects: + - name: 'DearPyGui' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/hqwei/imports.yml b/projects/hqwei/imports.yml index bbbd0f529..b1077e3f6 100644 --- a/projects/hqwei/imports.yml +++ b/projects/hqwei/imports.yml @@ -15,4 +15,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'Distillation-of-Faster-rcnn' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/hss01248/imports.yml b/projects/hss01248/imports.yml index cfa2b8090..5398ded30 100644 --- a/projects/hss01248/imports.yml +++ b/projects/hss01248/imports.yml @@ -7,4 +7,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'DialogUtil' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/huanglinqing123/imports.yml b/projects/huanglinqing123/imports.yml index bcbae63b1..6a741df94 100644 --- a/projects/huanglinqing123/imports.yml +++ b/projects/huanglinqing123/imports.yml @@ -19,4 +19,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'ChangeVoice' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file diff --git a/projects/huobiapi/imports.yml b/projects/huobiapi/imports.yml new file mode 100644 index 000000000..fb4bd8aff --- /dev/null +++ b/projects/huobiapi/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'huobiapi' + description: '' + projects: + - name: 'Futures-Python-demo' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/ihpdep/imports.yml b/projects/ihpdep/imports.yml new file mode 100644 index 000000000..20fb1afc0 --- /dev/null +++ b/projects/ihpdep/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'ihpdep' + description: '' + projects: + - name: 'samf' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/ineo6/imports.yml b/projects/ineo6/imports.yml new file mode 100644 index 000000000..17b552873 --- /dev/null +++ b/projects/ineo6/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'ineo6' + description: '' + projects: + - name: 'hosts' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/inyeoplee77/imports.yml b/projects/inyeoplee77/imports.yml new file mode 100644 index 000000000..cb629012a --- /dev/null +++ b/projects/inyeoplee77/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'inyeoplee77' + description: '' + projects: + - name: 'SAGPool' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/wuzelong/imports.yml b/projects/wuzelong/imports.yml new file mode 100644 index 000000000..0bc62f05e --- /dev/null +++ b/projects/wuzelong/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'wuzelong' + description: '' + projects: + - name: 'CSDNBLOG' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/xiamo12/imports.yml b/projects/xiamo12/imports.yml new file mode 100644 index 000000000..8c9bb9886 --- /dev/null +++ b/projects/xiamo12/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'xiamo12' + description: '' + projects: + - name: 'react_files' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/xiaofsu/imports.yml b/projects/xiaofsu/imports.yml new file mode 100644 index 000000000..d97efad14 --- /dev/null +++ b/projects/xiaofsu/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'xiaofsu' + description: '' + projects: + - name: 'MapBox' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/xuqiang918/imports.yml b/projects/xuqiang918/imports.yml new file mode 100644 index 000000000..0b6bbdccb --- /dev/null +++ b/projects/xuqiang918/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'xuqiang918' + description: '' + projects: + - name: 'DuDuPlayer' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/yhjaicly/imports.yml b/projects/yhjaicly/imports.yml new file mode 100644 index 000000000..5324f3ba9 --- /dev/null +++ b/projects/yhjaicly/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'yhjaicly' + description: '' + projects: + - name: 'springbootDemo' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/yifanw90/imports.yml b/projects/yifanw90/imports.yml new file mode 100644 index 000000000..af65a97a3 --- /dev/null +++ b/projects/yifanw90/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'yifanw90' + description: '' + projects: + - name: 'FSRCNN-TensorFlow' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/yuelinayln/imports.yml b/projects/yuelinayln/imports.yml new file mode 100644 index 000000000..f9ee0b38b --- /dev/null +++ b/projects/yuelinayln/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'yuelinayln' + description: '' + projects: + - name: 'YLNreact' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/zhaotoday/imports.yml b/projects/zhaotoday/imports.yml new file mode 100644 index 000000000..db54bfa74 --- /dev/null +++ b/projects/zhaotoday/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'zhaotoday' + description: '' + projects: + - name: 'iview' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/zhongyuanzhao/imports.yml b/projects/zhongyuanzhao/imports.yml new file mode 100644 index 000000000..5b74b63b0 --- /dev/null +++ b/projects/zhongyuanzhao/imports.yml @@ -0,0 +1,10 @@ +--- +group: + name: 'zhongyuanzhao' + description: '' + projects: + - name: 'dl_ofdm' + description: '' + mirrorRelease: false + topic: '' + \ No newline at end of file diff --git a/projects/ziweipolaris/imports.yml b/projects/ziweipolaris/imports.yml index ebe432b27..ed2e51f60 100644 --- a/projects/ziweipolaris/imports.yml +++ b/projects/ziweipolaris/imports.yml @@ -7,4 +7,8 @@ group: description: '' mirrorRelease: false topic: '' + - name: 'watermark-removal' + description: '' + mirrorRelease: false + topic: '' \ No newline at end of file -- GitLab