From 7af7b2651c4014959187d0fdafb73325a1d09f39 Mon Sep 17 00:00:00 2001 From: clevercong Date: Thu, 3 Jun 2021 16:34:06 +0800 Subject: [PATCH] update telephony readme. Signed-off-by: clevercong --- ...us_architecture-of-telephony-subsystem.png | Bin 49754 -> 30154 bytes en/readme/telephony.md | 24 +++++------------ ...cn_architecture-of-telephony-subsystem.png | Bin 44412 -> 20510 bytes ...41\345\255\220\347\263\273\347\273\237.md" | 25 +++++------------- 4 files changed, 14 insertions(+), 35 deletions(-) diff --git a/en/readme/figures/en-us_architecture-of-telephony-subsystem.png b/en/readme/figures/en-us_architecture-of-telephony-subsystem.png index 900a46a303cad432bb48582de2500c17a55d5839..a86a2dfe63a87487f3874fe7389a366fcef3c2cb 100755 GIT binary patch literal 30154 zcmb5W2Ut^E(>4s^!3F}N61t)krG`j{pwd)2cm$*y2uhXSi=q?>L3-#a(iB0uKqw+4 z^e7;mpoCrxp@mSs9q^pGq?zN26)6rl&$#If~hKBL> zEmZ>=nj?4`8d}P6df*C$8>IpKL+fs!ag(N~n`;jESB{8RVtp5Q1KJoaL zi8~F==`Yk@TC7XH4GoQ_Q+{y$R^`6$`{TpeqlCgbWZHK&&O|3(5kDa z+PQs0?`&$Y}jiOFPuloJ0_mg`dFdwbXnqK)6JHb54m3oIag=Dk{0WK%5edC zFaO+${?*ITw~5dnx8Lu@)sGE$Zw^yxiYcjbkeSYOhfXq^Xqn&b|h>6BVonW4zJ z<2~~d4DMXXNw{y$;gWOkvHL=F)xjkLyO$VK;FiIi0>~}Ghl87a>~D$psN)Uh891cy z#SMGgV}`m)o}0gZexd9pTLzJrt7kGfCtKJo(YW7__A@I(Yzh~ndpMS@ki;NDvm;Qcib#VyWp&&^Tq0RJ=rKH#U+{{@_V#VDARHCngI6jZP6zcs$QT9>li zBX6;zpqx)U&%(kIyO8rRi5N7;sx1F735;Ly8%X;u^@!VLXGFQif1_^PZF4v|=)E=@ zFqCCTN-Z8CQ#NW{ljXb3xl$#}l?5T}uHtr@>-2e>h93VtX7kiI<#Rumoy1t@1MevX z-OdwU5*fITU9X_xca4XSv`k?O$CuPV77kKUU!GorSQf<+s z7`#;z_(v>;D2GYQx$G-P2=`lN31Yily z)nY@8`=^wp%(8k;A#7=!iRPUeT4w4*zOLQ(_OMm!&!vbU^{H=59p4;zf{g7 zblrFD^cOK@f6GY&*rl4Mh7zn{bkD}A1~&mxD?@k}wsn``X*;x64e#VW9m06P>c2dL zaN<+9ev6#Hxp%Rql+U3z@I01kD{#Ncv|l7|-3eAC?Xh9M&Jb_93xLZFsI2~x{f`VC zK9KiHYk#yG2Cs#!f}G%ePnL{dtm>qV(NJe^U2o^}g@3g}r#e%YJuG1csw0u+#2`Io z(jAvvDiObjBrnrn2P{_GCQ0xeTlz7SnA$+5Rvu?>&g^jf)Es6H zR8wOs4_v-I0M{_uz>r6SNidBx{dWC&%@ID{ZPVbT=!3_x!d1Z8o>%GX@Dl zr&cI`-ZPf!7%wRrtaQUr6S+;PkB-0*Y z<&*zrZNu^j=sJ<9iY#myxNGenaAkJ9ul}x(23gF>L1LuqQ}A3=!`Iy6JDVn4*$*p; zr%}(5>?>k{SF1C%6#Vgwjj`yx;bLHMla&27dt&xxyv6sMna1iiOtYLwV_cq{wmkof zre3xZxt*nw3hAGZdyyeC5|vdC&~ppN@cZ@BPM!z8aV%N}^NGjwND{JH+`_ATp{15q z_6URbz%*ohYi1e0l^r~qlyVBgV>q7Ulqx?h8S}p?55pu44an1V1Qn`bKEloqb(2wl z1aApvp_Mc3ny;{tZ(Y*0`*kTAZN86bHxL+x+Mu&}EJ(zntnlva?wxqS`Q>dB-|?!w z@MP2T2kr>OP?R&nBausOG206$($t-Ko5+)vxi*0^Q*y|Qc2_#?)?G3Ef z#uv-I;uVXX&--g7=)91*dgVL;r`|qrvabiM=B&B@U(=VA$4P%t_+6VUI}^J?T;1n>|pIgAzeIr@eg;+ z6d~RF((fk;#(mr)v6(+(=;vd+T6rIR!`+Gr>L-Ejs7>U=7(Af6W<^g5K5*+Q2iDhI8mTbZR`{ zB_!8M@L=bwcnr8x5o@{i!_q%5<38KEMz#DG9gF!RNsW_=WMi>1q5sM;nz$YageuZ? zLNR9u5pvC!r?0hRpf8gNB{83;G(#QTxyn+tg(z9%0ybVv9R0O{@M_%B^Utflxk=L2;agza^_ zy(72*^k|-@QcgNSIjs+^lwdKCZ75T0J~mduAfS{KY7;u17*(13wpRlarOZ$&x6+tk zD7OFkvDbkDY!JMNl=bb~i)D+?Oq{i3aVN2;nVn4!y2nSY3&B+&>!g%E#kW&Ag4Tm& z)!kDPxXH*}=YreiX?BfYLa@*|Uv{l>HOmRmQorB%Y-Dw3_F*)l`WxVerHW&NyedXj z)F8z_H@rC8Uc^8z$uPrgok?<(xl8w=?J}=G5+OxQ`6KVrz|g8#M#^+Pq_NzqY{cCE zhKSV9#8S}I!#rUbtd9oI{EV^4m$?hPGv9ME{_-$n%4|WW3872!JRH4}h1;EmcHEU1 z?+d-?($F^qgchqf@EB;3B0YYsVW>4Oi4m-+*cwG{u`vu&R4JRzAY$|eQsyPTSq~58 zI(9?W2l@W1CG`1v*w4krz6W={q))Stc87S;E6uLXY}D5GtzBdt`98)?*Bu!&&3~af zqll|)05o@Hj-A1`Gic!2t4_V)p;>CejOK8u|6x4f8UwbA-ek6JHm#vGrs)(913@@D zpiO3gWkE|qp)7(*@UDeLcRMucOx%Ux=v7AtH1?-{yn9gLT;ZXK6+)G#lOtF)4*kZQ z-Bo$Er(0cS1N-&6Xzv`x<93a-LQSb~=##<@nG0HvGM@{$SU5kmE`+Do2(e=G!?y>Q z8H6eN!F_B_q!-{1b0s37(oK``GHF3Qvkp$;Gtqu;@DIa{hPmgluh$fV)vsLf&m z`AW1R>Tm2^otxz~d@kmqgpqvfb*1P0EXLzX&4#+w;>-@)JeOIy?m@)IAI-IZxEEbS zr3IfP@_rRta{RxO5XeyL;UBCgeA$oEvt)xH<%{t;L7lc-Uw-x1+#*zPSg%f-I3oNL zvYlJ!`eGNl*S{6Z>IC2F*u_oypvLOTM3cd@(vV(Xm-|oX2 zQZ4uK?lYr}+JKbf!-ggc9f@B>ndT7QsCi>}9LY$c54142f{+n_CIPWAWjI_8d;T?& ztcqgnSXg_K(j_Mlg$~GEEs5f&fc@5RaAMH>FYRan?aUV5PQhS>Qkb=$&|IlUcG>?K zuDO}ZY7EFU-TJb0S&8eW-Vq($0g6zhUwwY(=|2%s4>`dI*I&1d3-o}jHS zzw*nNnpW(Y^T=3aNsL>mC_(_agvZ2h%Dn?*t;FIB-9~| z&99{dU3ZpAJ~kgwUbHB35VY!8J|Qs-#@zAgm=Z;Y_D`yrib0dv$oo94tn1j4SwkMu z=xK%=wc1!HrEdOU;!ih{qs<}dE|WhyW_=Y`2dBXg8fxJ_T`T%QOc!IwUq8j2-?dHK zsdjpW&ljxHfFet{=VGO!{xdXAE!x1wT!jKpf|i}hZE6SW3;?FYY#FJCr8rmTcjT=J z4>}3fPB8)br0}DQu%`}tK8{v}>+}pBg2$@0@7oRYSL7+Js?kl;m)lzauwJ5c}f4&I*7xF!L`LWnDPoOmd(lKk} zGl)Z%L-J|R3i{bww|qqb?i#}Pe3z%rqk>IcscFJ81XMNhS|{ic8I;8al_|l^yiYA~ zWUhg^s^HdpyhaBvDRt6XslN&MkQH=u5tn35N~oacRrsYJRJb zx#_*kj(@*ZrMzOogY_wXkmZ*jvs8pn&#V$Q9~wPBvi5v;xcKj75XoQ;xe+KHg0n|^ zuglA??e!@d7Sj&D*nBWM_|@*6u>aZroR}Ad+SHgj+jYyh@0=eeJT@03Yvy^Q@c_&e?nJ`gqgYV@2F> z*FWaJATAye;L9}xcZ4agmW+K*>s=`H%oXNz0xvrnW-6w98db>L1+#N(zVaLGH~7d0}!sV3W^r>|1aDBF79M5S?e3kIvwvm+P{n$Pho6JKI`+32>+vLLg7h%*|Nn}pK>Y|VI6sekONyZp$SwUE*mM_ymuZMxD! zx3Qj8r0q?@l_4*Ua76{qzlK)U`T+w~_SqNOrz-~qx+mAH76SwhQy=$jGf0W$_^Ag@ z$Pb=oHLeeo2js3L!KgB~@X`Y1Q*KQukR^A)9-bXkbQM?Bg)Cb9!&;Q|)lk7_{`g#Y zCe+|(Y%tNxW$5_9+W1SA(xVFnT3~!<9CL#3QdbqV{*s?&{aH7i+uy92@6YZ(_Yno0=Or<_i z+$iB)VI+f!6~)G+;*|%m7Ifum<9y=lll9Tm^*J{$M|!> zy0%*UPfvEN3=RE*5n{6-=AZR6GB3t=a@h6E6nRc-M(XcZr%*JSy1xD{7?Yp{Q1%5!1;hY_(+w#C<0c2h!oG2HioLc1h9Hp>G)0xDD7qn-0j zs;yMIm$XfAZ=#qhYb3B=RfsyM&Q@p)i`ZLN{unWX5L0XwKiymXF!ENr zj(%4B5wkDJAG(n;U(}mDdr%$YuA`G#HbHqlD?YrpV2kXUm9PL3MNNKWC?bnm@E%sS zXF&5bbQ!^QlJIIQVzb1o-KTf@Po+qk+Xsl*#iC8k{PmG18Q1Up`jNORHV!&^_Gw@r z3nDesna0MX#x?7N4C8E12(H7}FIhS%SS;g7_8tt1)%|*)?1$FZn~zRr50Geeu8bUJ zgsu=*=(T?L@hGwVK~!Auyp)9C%A3RWWyjYbj5N(k@TTk0&^Wf6H@5{;{aR ztsj=<9V72nOY9|*L0tARHQB|nJoMXo^2PcxAGQ6zZTyy665pu*z~ zktdgYm|SiEGrU^ZXatUtOS1cjxZGo3|4Kne&MK`^F6&~iLY~s9-avu}0y;7oWwLe% zd4Y}?`6>46Dl-G4rcO;ca*MBx*^_ZuX^aMa0*=bkwe$KU)@G&7fda!#j+5s_q->k? zG|W9u+?K-kVZ8=;rY!d&dHvpGOHusIbvG0i^$Q<5I4)=8SsaqXn$uZY$9VJId z8gJRx#OkFkFh1n9UEu?UB0p;LQ>APev?`~m@qpefV!Lb4Kb6)hYzv>?K;G_@*{h~3 zIo_2+rtphew8bZTSXr59aC^RtQ4|mO^)37)Bt6=D>{!yX$07{ZM*6gejg{NJ1ce32 zi4KR1>h!hT|8*;-{@Z?j6zS6b4U+kPQMco0!k9t_xs_Xdbd~PStxgU-(t2!hCXSL= z1yR9*zk$YmKFmo}DXkieC#*3*@StC^xUz4*gRaT>rb6kCJMZ-2L&q@+yrw(j+g&tP zl4U6jFw;#^p#-E6)FXR-S~~3^JVEX}eI3VA4ezuP&do5m{6>9A5C+_eeMem0zQbEv zZ;j6G@`HwY5XAmkv;Tuji4wRWEhW#M8Zp=n+zYEgnIluiQ5TVw`Iq|r-5JU_cIOdd zs+RMPlH@D8_o10`eLQn2Gd@BY{9?XJ@-s@;Nc9|lHGCn_zG)}PwSJO{u6$|h-=xOJ zXwIV4a+d~IIz>h|SF~9>yrimbh;hOzQ%44|sx$1XDt$pW2L^_#UVEXskXcp5fv058 z&nVvdFItoS^tl`>@`nDcK6GVOlXMKi9}BamJM@fD*6rWf?cljUTQ0ocj4muq{z^QK z_EKj_iOICel0NUt)8w7gIk4Gm=L?q76LznEuT*hH$PDW9$%WdHJe_NFAy1-hxkJEH zQauU~PAtq9^O86%>se;rN-`U4AF9<*dm8Hqj3YX7%L)d(n80mjoyGAs*-rY5} zT281f)D?>t_bovyur6omZF5VeoMUgh*nbyzqHuIdbtXynM$&7mqYI=2f@bQHqpzHA zlB?b^NTW!Om5G|jrAWn$ls^zIsIT_Ubo1PjWu93}7Y!A1vc9fYY&hj-m{o3wg050P z|IH$#Z^QUIJzPl0;T|&f=DIU}^k6RIqh;V}6=SHHjoX*$emzTgEe?Bt84-6)ieE`` zcs7UF?d}X?L9TbJU=+j`^5K=skTmm=6S-@wHTC`Vj1?-DF#3iK(H|&`zwKd*>mXTt zs}Sty{ZDMh=q5(c<`f};(juYHFqB&a?uAnFO@27iXk%svu{0=dgmK(reKa*mI2GE- z1Cs>zj2W;Zt%Fh?GMw zJ^OT}oci$Vpd;$?#dLxnI9wV(&3H{PMcrE2rZ4A&Yys3m+FLv&e760sLf{p#sBA=p z_9y#D0NK^Cf19~4$~EsagHqXO$XsrQSDlXx&9O$uBO{<8M~_fPXV^XTbPC;6tyk_% z-|cTXwmME4s;V~beg6B*e=`)^*YF?*tt<4^V*GL~K6L5|41po+F2Rb&YG`GzOXF2M z%Gv=r+-U!eTLJp#xbMg*eoxWO0*u0|XcTd|huXcRdx%y8XeCwe{grqd2F1m{W&$fH@ZxqjvkKJmrhzUni&Ehx9bh8)#U-S~UEjm>G z6m-pO87ScnNtES1@lvA~I+A#H_-9w+NzuAbh3tY8);H6q}S#4|VP%A_{NBVoVR7#rPug8vcObyi?GU^EcPo z<0E{)j(XBRLMI-Om-3;1jmx<4|C>7f=W#%%q8Po}uJrqrzJ5(U`&s+r``}i~ea_(B zW$|FFrE@P;G}TF#KLH{&m@>XUKh}#=9Lqf*B3m!L1DMirGTZ**vUvTbI35?UU#GiM zC%Cn!yz{&={lEwx^rZmoQ8d0@57PQAO>K#G5z)wsu}10K0Ayq?QfU0A<0q=6J_J>U6NC) z#g_MsHSme7RAGkb{?y=f>Gy*;aO=wbbbY$$?faJsLl{o?u3^Vc>4v*)j+-S5ex-(LD1?bFwfj@?>!=rd}CyiE1AI-1+<*0gyAo z74;bY-XvaW=7eCU2MiB`D{!u${`^fM*Xet%CJTRghVArB&I?5cL`rUy5p%oI;(Vol zq7zi-Z1yWK0Demb5%~a%Ty3Nv6aB@V_vTksMu^g!X>B#xkkT^1_;cIciGW0 zHxe%Jbf|``JN+zl;-aOoGbkUHSj~`>=&j_R4ZhZrk%{I!dobfH{8?Y$E-abp zN8kPt1E{XO)*Jz(55+DpIDM~q0Ull5BJw`ui|A*cXK{el2$=2a(j zB(IQ{jm3mhi@)SWj@MA*ncCIMsz?`C1p7}PzO#_8FyF{X)Y=sRb|GGjzdbMZ!ZfU> zAa6khv=V^W>z$EU81s#c7(D_wqm0b)BUd073|)@E^mGeZnQBZfu*7}w2G6W-IjXfo3&dMd>Lhz6$s@7x+HQF8gN;#se!fzp2kNOP*|WHJbA?vd zBeNVeEaoHS9rjf8pa>bjh|CFddqSLf=&Y8@uc>h>?> z(k&SL^3%n$LcBPlz;(l*0(K9USohB&qF}JV% z1ULbfFr_Np>TT?G)T}I1M7@SqF)Sp-uEDeh5LNpw5UP%ja(SFcFX4tb6T`37!%7a?e05Xi?sZ$K(flpp;%s2nEov+vX>f&s%7cnSX$7AaKI(fHh+@!Ro`e7NC2WGSb}hBEkpZOYfMI$(z?bGGRV`$eVpVs z-35V#swra8^6o=0giLWlRFJw~=502XYI-21yEL9<r}P+Ax;@eM1`w&?7=(C<*|4#3AUGC&YoYxhB`rRo zMpUW5!VXSTip;tG=dg(se(YCRB|vHCMVCm8Q{xw(X&yBnsHgE$qjA1){n6&0IK?kr z#AQv@gZuuksrS87UHZKrxdZqEj;3{AF*uB@kUz^B%p!^Klv{fSwh}WPiG82r;s#@| zhSp7wVBLPM2f5%N>6KObw}~4`61s#bsEvI80?)~VhbO<8&lfi;BDY{ACJx`0JO9A4 z=pZvz!>RfO^-WWuCYw;+M6xh7ZrkAQa#vu|Du&jh5+ydK?j+@T*oL7Hy4 zG}mZie{kjhnP16qmvv>VO>VdF)=>wt_(oAZG*hdo3=ON3DGnHl6E_!Ie|`(tD?}B| zpK2$J6{M_7T0n7sQUN;ya^caIwfRVX%`@9W%@wK}*MapDT@4RQTe3&X@#F@aoSaGd zF%(eN<#rC<6PW($cdi)4ikZ)=&v*DjVP<}}GPmK>m zq=`L3T0LdJc*VSf>nT5f-wj`_-LI<$#*bB^CT10Lj#WctQv7DwSe^*u=YW|Z_XDl# zsPP;GEv5ekPK5v} zaPN{|ylBk#=5R-wpFtVo_eQpPSpfeQ*Kfbmymfd_ULU}=9h&oJ&UDIR5AmEquSWtA zS@9S4d-M-P`v>u5KE~~D?{7Eo5?Xlox5ldK_IGu;zWB_*4qRw8;@xcwXS~vL`>%(2 zKJi^BhGNw4>$yKk>3P;(i>2QiG}R8Rq6VG^MF;RP;N3O+!MBC=a(+YCCs%(%*OPaD zL)Uw+?)-+XNB%)-e?iyliNB%iAOGW{#t_84U*XC-p#9l_;N6UCmD-T5YrVN|Tk0LN zb}UZUW#y*8hn?QGY*ZK2Tp^5cv$3SSaJ8{CTZIerjr@!&sile_$wB~R-uvofNn;09 zoxF*8u zw5h4um?OZ}NF&0R_ke4NZ7=+$>W}~F6|gD{WwT*5apTis^7z)+crE3-cz`u?Ppw-b zTksCS8`%0+J6vw~N@sq#$2oR|GOMvtKV6Ww97JK-vrQA@i}}TrWBW7cSEO$6HdKHl zzrIH^sv9wb_M-4Pl#WQ@$H_Y+@9~Yj&8kPGx<1M$f>g8u8>H|vKl6f#$;-tm`^V4A zAv8mip7=mKicx+sUwvFo;SKkE+|JkqQiBD2WWyevL6+^j@8$r!(|yadE`DhKeev!*{R3f4at>HX}2W8#Z>XZN5s`X z1<{8gWS*p4vmm+7zkm@}H0P&%x-~Kqa869S&v~3Y*T1T-$*oSgTh%JK40D{)daIUZ z-jJKA?3;heetal~P_Do5G(VfNDLxg3m-zvjswrKS<&{P*o*Xakz39ap?z_|jY0is~ zl5#H1S`qhb=xJ%?qD&YYWy0Qiy2@eKittu<%n+;PtgbbcMx@E|R;KcJ(j=@}OCrYt z8Ly*MZTZ$6L7Yk4y^pOZ8OaeKsxy{M4gF%`3}I?&!BScsv31$Q5s|ml+Cp>?q!zI24MnOX)Mj+3$U*tuxiC6cahE5kem0rPsv%irYq`eOvWgp zOD*X{c0&V1^3>A473aImlS!7BEuQ?q2@jY!hX=NonPb`C@VW*$#>bSateBehRy*n> zW5LM9Q~N5e^37}$8p%nKs3aI8I44(Qv51m*D{nU{(u|bp3o9UZbySD@-9={I%Hkr74+*v=< zO+QSroYgl(@hEc3L1~rwE}!nvtR1#Mr!2+A8Ta=xXM5Zq=hO!Pn_Gf2o*?<1tFjoDYN-efxiE$s3?0M@K$RFp&xSK| zWF8ixICfH^phUMiB9D19d@x3S^{9r{b=A?%62;_5SVWx zkSpp$O7*(eX4j{f>*a|H)o?Ed^d0iyY-J< zCF$XQ6YAHkF6y3czW(Z`&rzdQjg(iFE!C4h&Oy55B94IttkWH~OJ;a)NR87BGC|BJ zW}%PZ?G$xo_mCu4#3eTe=1caA=_gD0C%mJ5RlpLnJ$XDG&s?K-IaGe?al0xFx+ug#$CO#Qr z7Ro+yG%r1Cx79uWgG8C*08LX+xx!th$D@6K|C&ETstp}q-?>Wq7;L922Vp$PZ)N14czP8!azr)LO$}++T`=J z+B$rFGHiFD@qw3|c+b3}EB_vIbR!pe2s*v<#Ldjk(a;6DKWta&?k6w|@*h8fQ7W2~ zHw1bmVsagxqRcT7r@-uzN68Y;ny;^(S%`qKWbyG3hnI|M)>N>3&b@5IFZ1b z_r+b4>#;%FcD0zX)NmgT&%1B5jBu}E*nZQMCzH6{#P$5t-Kjg!m#)3j+8vLq&sRqL z_7RJ*=jj(kgL$?@CZo`jedlT8amI_@OQpP?OzR&wW;i@vZLm*3x`kz8)4d`By0Mwc zUuR|&iia-a*k`V+nEuh5v2eJ2qc`VN3;CqI=V(jvF&qyJx!!|aMCbutUj957(&Og0 z=lIn1{SI>Iz+}kJYj03E7KR zo2b zch&uL&#SiY853VK)aAa;dkmbarb^=vrRYZe$RC`{U-`t@Jogl7K1b59kcW^zn4Q$F zzn`PU*3#U>2NZ5Z`<=KG+a0tUpw4UG7)g`bVI=fLTcD~fNDMNy!xSy$IY}6kHJs5? zkl3hisisfhkI*pjk59KXH?=@HMI%|yd zF4t`sLduS}=>0Hm9^tB$6MXPMC;StW8c%op(r}eL{JToa)*oOm7#3NO9N0byOV>5p z;~@X~1Lh6DS#Gu?E_#k64&G0q;~&N4W(Vk3vntdHg*3tD&(VCcL zz%@8mEuYd-$l{+xCpxi}pi>6PHt%=ioUFK5Vo$>ps=d?YiI)~WIuN+6w4REY+aN?a z%#H{It4b2aa-skOF!7tv!Bb5tpA1d&obNF8Sz7Iw8d~CT>AzD_*evzR?dpz0)D)NL zHk;8}8~dr)g~ps4rSu>dEt$7LNtMQwkA^mG){zI)*R&##EG>0HR7h z=!TK7Dr8zBlO$vHX1Fn9WVIU8#TMgoOI%ovADQrxp@j1Q!P`w`dp zssZaicoYDOobKFZql#Bw<_L%=Tkk7m1qby~L9+9yeLd>SIb1UDKP4h(+-I_09P9TR zkOUBTGB7_>lnOLcPX`Gd`%*WhapLK}E96;9#Gm>%HM$H~;bkUOO6QU?Cs$3I{!@W} zfy{%^#Cm~rGV^Z*n4&kkw>F`~;>kO+`0*H9 zRk-%4fVx-tO*Zjfp4nCq{YFm3Q#8ikGMxfg#~nNt1xLP%f-RlbKdaEGNJ&ZArHm$ixhr7 z;nX;;vA+D?OYi6^g!NzVs0F;szF~{N8*Hb{xq{mpp5Yy75Man1cx@;apiD>U$q7X} z(j}(~RWO>%3#@oW%V^$Sb&GQ>mqUVMb{(3m{J7<(nl>RH#m(hgJEUbdMUq`_7Fbkq z#Z%#0{q@Kp%s9Pd*RiGQVy4+#8_l2?~9z>K`fo@hCEmtu;(!p1# zHp~SJWpxUO4E*)u^N4>;6zQVU5w*qMVx6^& zwXd&<#&>{;a~RbFr?2>rSK;d@W0u~yW6)oF6qx1id=@$bW;}^iz0|Jg^J`~!<6>7^ zZPKjFF4L5|$(?_^W5!{5*XCUka>nrN<{PP&x)=T%ac}BBVY3Ka-LC=Hx(g(m%U`s- zzvj3^e*n4c`L)P4{AxP2$##~xZ}oYHG}~~Lc>UH!ti~IzN3QzNfURFaSyt@pvHgjR z)~}vizZH12U*!$stGai`d&;AIY_mv{0cC?RH4pZ``iSHhitXgMl)A@5Fm{4Z&zzpW1CLfxmXD<0AE5Nt7l1S(QP^1DsRLSF1ch@zsf{?K-OdA zFupb=yyR~QhgQ@KqHpri0jEv+Zv7g_GJXNJL8pioub1+c&3^YW6km@QtcqQTT)P-J zr)g^Xycs#*Ii0$X!W=zSjPhP>0r!AcU1LH-HmH3v(QVjPDHM!UK;wYduMfWY2>E_#Ct87}$N4ky4dClAmbd3?fRWHXn*ndDNCXR8e z_@rAUW8_zMLgh_i!Vc)_#!nF#IP=7tOH2ZsuCZZ1yV-1yUK7I?ykVf1*YfU@$~~S6 zH1G2C(>0IMTj(#c+PvXDyVPUU^Di1gfo){fmiHtD5aPZx*$BBVhq7>lw^YSLA#wGz zYa7{&2+0?Is1!T7&T#13X9~e&E!M4blys+Fwphq0CVLn=+K}^m^_JMAe|mO$O!w2V zSi$DNE34SuXB5_ErI10Mc+uGNwsNOqm6y-CGDYr`YmSZj4Z86NaT^6^0%9_ zYHw+M-rRCtQ>1j_4WUVZX@vR41TTDT> zXS0`*`gk@|MNDD~^%BjhqbY=fEfZoJdPPL353 zr(K`GFlpi@UXT3kqOIE1uzw7({|NZTa{N~K@tbl=XCbGK9$h^n5vFQ-^k}~?DK&KD zWj^Ex)6z#>Qx-)xKgP4b?y9Lyv6qNwtN{=NEmt8S)h)&`k%}mHhDR?M=U=8E158wW zS#o8QLO)^@+r8HB>NBk~UJ<`xjZs+buW{c`ItZ_!?l`v(uEn38g)Iwm*(qyDft5NR zj~X=h3$zIfkxS{}8vxHusAt`eoKifU*sH|3sTfaCG#09VgPdY1{GZ8nH^j+V(C%@M#}5i30IOn#$sv*=|;!#Y=T z_flwznhLxI(y8KGAe+w_e0YBDAE z+>|`nD_vRDbTX$QVtc}@wq!6Hh(7+W4pp=I1jaXZ%2?(f-5^`-U{>}lOr1}qp9#US zXjRh?Zg#Ml=c&xGFcbK?=iA-Bu|A&t@-l4x)YO{FlLvP$v=K@YfNY(-!ys`AF~q<+ zc7jz=S~#<7z66uzIpW);&3Dy zOyQ!SY8QDNkOEE$corKiHD=v?NhzVr zUb@%XFWU^YBPO1!P=77*He7*$2v945h??veVoukr%4DxkN40D8rlNLr)-MiLspffR z+ll&{Jj+*NyNMjVk-L4Ykm-a1xXgPz+t4zD%e@cbP*>>dQM+bxe0Y#;bRy@1MnY6c zlv}#OBd%lft%P`7MdlZaihEj_?fgJ#!MXxBn&kj=o926P`xu)+qZ$u{E}tItE?r0N zh*eR{DZhtp$Jykj-0{bep0_p6`(6_AXrBu|qNbB2$)h=!a4L9?^QyqP_~q9%Cd>I5!fuKUJz!yPA z(TPzM2`olnyynp742Kel_-(C?yOp0XX+PrW1_~zla8I1ICf_^hiqpS1-8~84F56FYP zyV{|Liuq~*lE8VdXF@Y^v8*U<_u|%5Td`nKGee0wTL3sw*awrvxF>BnKlR!8wlFMK zca;uTiE+n!mtoS@M}2pt8?@Lh^A~@_KCRxVcr{mZ`d_~TVA_RY!cj<-NHl2M;H`m5 z%@oSa^KrrLTz|w5i@^y4R!@uH2FWadmFqv z9n!daa{M$7HAO0akRfVfLIjeOpjOAu=l}##YcAUk8(@`!~Y?pwvlwJv_u&Eg9KX zYf0dSrx|S&Pic6CN&JK8lTWXfGIlFq=sjpuz}s)(qt1)*%H6`(5|T#vqZPSSCJCShn>Hrq67EM;uS}6`RCKR- z$GEp^*ty@w+qbg4KvR2C{+|K`Yv@|gR{zzfSP*fE^>OEqBg&#UNIf{w5v%(K*b*)P znZZ5MXKM(H1ZNnYZ_&lYpI83Y?G=⋘^^g?J{}d3zw~1ZMsSqZ{E%>WW%1Zzp-RMoflVx1FtkzdaF$*7~KQl}X zS!>z9RWnD2&EtA_uclFAM{>+Qa1to19pd9I@#0lqTvs*xD-_}K;^*3S8Gz&OrS7H? z8*XINZ#mJM&dI62rf&DUc|S76a^@bE&7Uy-O3#0Gy~~y4w0Rb3o10o{{aBxBJ}KUI z>>Fnbx=y#Zq*MwQx$I4VV{cHOXTEsFUQMuYO*ye1z@C0Pz3aI(H$MwPcOL=t&8P^5 zgsnjlOVi+l~%Bv$QKzrX&`84Ih)%?x<>l{H*0Jl&oxn#e&1f$`*4e}dn+cm27lK`=*J0xfZ4FYRd-<9 z&t&D}f;PW-279T*p@`{jlNNn~4s^C{YzH zi5sgz1z2{nSWq2qSez1V48q$>PeTN9N=}Zu=`FbR3$9zpAJp4 z34@1s-e2z7(&uLI?QY;lTfb;60BLMIcR;(SfSi&-B|5lAR4jAvCR6=~nnr*_`^my2 zHlZ@&G}cpMvZMi^01m!m!w|h``>YM_B(1465rwoj?eS6W0qEH|c&@?*u=!9^w=&ED zqDCdpB*TDUW5Kbaao-V>MliLo2l^0I&o#9ZhQq|1(8c!AGR2GTqu3KKB3kcJD_QDj zK;&fVPO31UcPDZctb!MEM}k?R4uz$Lu0u0yT2xPN^RQH(2I#h%gb#hv9*+ohK) z+a(mgJ)7~I!Jeca*zQOiZB8_xe7lbsVebq4-RkP_A+=s-kXFtNr7iB$U~rB!Jn|8k zjlf5ugb%SJZ1t9WM{3ROOV@eZfT-}HZwc6n_#;?I_2_RJDM-=+_zzZwYp7iCnZ;O2oi!ZTUF`IL-5FQ_L4O>bq!Mhv4!EYI1~cE2zyP3W zgQx=dufrzSif+Jm?0QZR!fO^^<%Jf8=-14q{p4Q4ncRl?{9alK;Eq*e-6Q zbDa_Rsn_A8*S{pQMe^>VPcSxO{wlqy2VQbh*b6NQy$N+)KYIqhR z3kdxH`wjGz`HoPVOu!BVo(CP4MSVWHz0?kdzeLWjUq6e-m4Ma-P$31v5qU5CBx+21 zsu7!JPOgO;LR7~5D9}4<*nTcv4jj|pvh64uJ^vVxD4N{>{^0f(6y#L57s$;5JVyq- zusx&i($_^yk8wiU#>&idoe6xQSiSRlJ6oA6JD{7B!$G-ys{A4An{H4UGjIk?v0B&B z8}{eIbu!vv+<~)VQS_lGVTs}0;VP*A)49#3?CleFCxq!a%*$)5=#{{6zlPmvT%DWC zFNvr{x)Bg`tt4i*wN4*57crpd(Czj1n^z~=9Z@N<{pXtC_9@3+-fQ=i-zfKSI(_7| z#SROK4!^jzdU3-fSA_#BfxbTW8WavvUR57c0i<}9^^M-wZJdR&cB$&dSk>EqopKke zKp9P)dJ=hNC6dq?Mxs-YTd8=g3G9DzL{&M?-b7W`^j+p$w|9H*s#<|G%k8kV^VD|= zhpH-L<~6<-V&v`HV=8o&K*Is`)!LnpbrujZPR~IuNaBl{N)mWJH>(=vnKCxAt` zcE0Kj0`=&ufwkaL)3EhR?ca(EWu^Oryq8~#eaQ45a26Yg{uA1tb7>EB9AYs7y6WC_ zzr#l%wC49N0(fwBTwZE(r2pI#K*F1T;K*fW6_q zM#3q5J5ca2ujCKZE?V&t4jS`ach6BrA1d7kb(-=kvro(a`;{1SzemDCzLSTiMQy(% zo}>EjCOdH4iobmjhe@;i&`B{>Aq1k0dDFEWq)?zf$IkcP77&&nLYGF>k6+RrLyZGR zcaG%BZoB61+3UcPYB_d>p`HvMl8c<)_$^nMtB#c{=5W3b5UC^uUtkX2Qsu6QF6wpw zB75V$Uc~X=!B>R8t>bp-x9LwYtfN&DStt$opF`@7u=�%@J_c4(K$ez8L(4inVZ6qF!M`QPX9+?DPe$_q^Y-j8kv;%LNwxpWePa9_s!7w^GW9B&V{ggdFSG z$9hCmB+@CeH#M?nUx!MeFo-M($<|^y_H7Vq#x6_7HlrFt2#v9gG2HiO)cKxse!qJk z_uj{STz`(4&*$@cFR%CO^?E*E&kw^~R&eSu^-{S)R6I-5Xw*n_Y9v_hW52zh@ z(zpjAn4E-M`Tj%zHTb$v+qFhJ1O3RAcbqYt`}-rZTHe_Y+*50b8jjJJ$QMAyp=@CH zTpZ$MXGB7a!tiGec;3I1?L#Q5NV0oOWE=5NO4hkXdB~?ae0lJ?b2u8g^ww*@x1ZFC zm@)qdI=(ubYt>ZsQx7@{L{aPZ06&thbC_#b80_4)WwnhEWh2J-?PgNuXVh;%&ZSJw z@#DcO_PY8i*6Yy5Mm@w!JZ ze>i#yMwEh2_f@`?*lA8GOgfZD|8VG#Pwn5dZT{5$@3f9Y!A@UJY2D#nOn(m1W!QWjFcza-1uH|*eplTLq5NZ% zdAM8#Csm0$Nie#Q(tre6%$y-`|9~t5fiPge5F49U8$7j1T?PKhAsqko?tp_;7EXtb z2ciouWD}MyEerSfFW%m1Z8@Qg6DWKu@Q-v$>~0Xb^PXmROP) zP!`3I0tY@OWZXb|-Iy=@8CeXzm2~?bpwnk4&;ZqNZ=8#Y9R@ZDF9xwjF2LHj?m`7J z2{HV}(C?54loj7e0Fi+>2x+ZiXyjkY=3GmSULEwX95mHvk9?s@m128gQjo}*| zJpYOkQX%j z;eoIhbvDty65+^(bJ9ZAt(LBU5Tq!KZF@n<(!BKD$)sd3VPBvbb!DbhdRV}cvV@u&2ycoTz=1g8Tui9nSnOCG zbwWXp3szqWc~VJMx+$)Vs6GW`+G>6US$?BUFy(z+K5>cP9Yev68ldrBJ(_;2eTK3G zcSP;0tLX;YsRu`jE3($2KfTeYO$)0rbr|~jR0g@vUskpgCTEFyT}rtEL}Ng-{t7fT ztUlU0aRdV2pk%V)!EnfAs>6KOVp)$CeWkbR9lO62KU;~d0~WplDcR+U4*UC&;rcdc zAaFP9F8qff6jrMsFpNuy+v(yh%xa-rdy3s=KRV!mJsO_$K18`c(V_C$Rd$VOdyI2{ zkyZd~Z9?4jp@r{q5{Tyg>IZHV|3EOm?Z^8sTm|z#$*Zu@ykBTjlO|o< zDUp~}5kU3Rx;Yuf8EwTGy4kp%IZE=h_Qo&10uuovbbvu1k zzSi4_ILEiJ=C~#^_cW7KUtzcYv3RqsJ|MU!s9}1Zu&`Mh1bCvG#2wZNs@k2akJlHI zx4ws9{9_oLsIOrQ(IT$$mGNjD(08~Jv3cwh>W*QguPkNn``i)11gzyJW+HlX=~Gh} zZqO@Y%~O;$)dyc9Xj>g^F)K#|tct-~RKAO6bv{-n=%?8?wBb`jeV)0&#h}!OXLjx3 zTD#JgCpqb!L>`?**ndltQ+RWoVI&g#4WaEB%Xd^iqh$YkbF8X-9#0z>Mm*OSPT@X9 zVlw`k4l!2@1k?4}_HkudMa{>k*=qZ;jcn|c>ttlxrgL->3$bY{XJa?Y6$bVy*#gf2 zavclfMZ!3>-jz=~gSvcCn~>*v*KF5!aC6oO3k&wOl(U=&Au;)PF4DL=8gR`{2Whi2 zf~KK!hB+TCKDVZI3~J9q-%f z-%tFo<~?Kl+0!P4J0nM`Uc*EdtqzAtK?#JzA+s4Cbk60yv9MCuJ~|Bxxcs)|kb zgxPoqCC0AQd3(FmO(lY0N(n0ZL8X;X2Q27iHKT0ZyMhRXuC_O_PBr-dv-B4v)ofHqcH(`6@|1%~PYQz0Msj!)`zC z<>DnXyw=OAUtu;El;Vpv%R@^qKR$9@8~gC;bFGxyGSqW2vXUw+d6}*X6ZizEQW!g}lLGr6&p>wVK+OLvvMtiSaiy$|Q;&xmo%xyn%?_+^9FY;nS4wi`85a=dr- zRum;x%a75soG~(A^8?Y#`tew3d14h7+6I0uuh@{k@xT9YoO-`E+pSL?W|{s#;(dX= z+HvH=>7(=t^x1`IaYioti{O;odc$8GlwVjZJqSEkJa^>`5k`$VepBFuaCs^&fnlW* zuD*Z=h;^l->`079G&Q#&aGv1rO;e+Um}rOIiqj_gy$hKOEm}?V?RjOmH(;0*L}yO? zkjwbUx1f>MH8O9@XgF`1AnJzL`5gDRet{W5y@Yw6xeQGheoXZNxb?PEIaK1$FX<&ib zrquJXDU4wIat3pfj{GdWKqLZv& zw2rp#!aoeASY)Bre?`p$Kd+rj@NxodkV^@mE^)<)A3B~3c-=E>E;-aFSY}YPM0dDL zr(E}y(@m*3mL|+Dfc!20!7JVLcwK=GpDQfka#b~MtqQ&NRw6N#EjcCQykF!NeDv#9 zyk3SbNenvdCD4v9o>5=gukeh%zeczh8Od<7I*ph{Frt0$tniY~z9Aiav0xg$VS@Y9 zEF|`2THuQw>n&DV7$dH)p`- zUbCAhnYSNQ=O!J7sl^u-S(F7tr1{;^ROf^Z#o%<&DgTY{9MhCCN>)i}VDcDaOC7my<{nK{Rsm!m8=U@q@3!*B>0UsaByyl7=JS z*l&|+{D3A%;L?li)A9!Pp9H!Cp7OLk4{qM8q@)x|hjR7x1y%AX}^T5pf|&a$q( z@k#`cPklpldJ&~Pw%Jbpmx(qFm)oeN5gFz!@U=a?9)*V|WNVB~CbKETq64Xe&(IPM z>AqJ|rfOqK1B4{`Y2isPj#a|GrYjQreYQ)aLHeY6&GewbBV}qUYwx8UzYY_!)?Hko z!QWK`t}@BvKInqTfVKX9EyW?R%2c^0qWv*e%KrjqJT_Xz@s#%R#0U6GgCDrSwE=&+ zs*chh5z%D6S}{^rw5qmoPM{-(e8|5zrARlgBCjmwI9gU0NqttuW$Qa(oK? zpl18*hPs}hhtzZTpJuya5GcRRgRDiA20;po9LUKw4xI?E?6&iB#FX~L4t+P*2D+6q z{AR9UsF`AIeeUHm^mv;XzjDGudEKeQjuiyeDjDgShouqSBGD?0sE`{TV`Q-wh}Hxm z!|ygFq4up5h4kRSHp+I*TJWO$XBt7{{e9Pv-?TDrHTzz5Ti`Lu{1tmJ#U}>85fztm zHYDkpBD$;#_KAmXnlx-_BsO}yQw*!22aiU&m?^iLUF|lsF6VvM>7h)N>OWTa)Jf0U zR3%{O0J(fb2G=I+7$C9FH9@7D-)D;Jrst?NDpHMCd^zU_rl==)Nkd!X-8Wwi858OJ zZIT4U2uyKlFiGwIl1jvfH!YpBXSHw;z$zi_U42@ib3+f7B`QkGfDZS); zVs>!3;0sP3Gj@#^HY?e($7iwy7vr@QEd^+&<2e%yYqGqG%7$pcL)~J6AF02a8eGVp zvl4!dC=mK<#nZW+t1X4k_p!ci;)yYu%a=kAlbb(WHOn}xfcH^O4TK9#?f$so8SROg z4Dm(HqaVzy>7gtLJg=*ubC`*>y^**cz~~w$zX{p<9x+HMUO8 zi`**#ou86oRwZ^0*{$b*HCR^Zf;iun%;rOebqlmqUrI`Kv@z|pGa!8Y^ODR=&!|OW zwotTB%9?TcT^(`RcSdT4nnST(Y-jV?QF|62Gy!o}EzWd<$Uy2^T4nV^zv|fQuzqi*Gt|28s%gY}Q>#utY6tEW70iALI5<_)a8Y=LM42es=DLTL(y6?)V3Oss*@aBrruPp}&4g6#}2AF9;=8S>E& zf1xb|3KVy)h6i=T9@-tVTB>#f18d^Z?Nn&4Mv~42D&Zy`T1?8l=W$|pO>z3w2RAY+ zmutEO1bhN+iO;k)vl}!{?Sh%X@6r2|Tb z3w_Kk8t12jOs>}rb!nduA{^*X2Bn?AD^&#>!Mmk2bN?@-^{CV1GOPFFI zC}RM`fbi8{a)DP6c6>}h3i@f(rTL7dxODp3ARi|`x8i5*?^mqMAzdb^URgbEAB^*U zIUdp8(>q3uUhSJ-;`Zo-NTdFjx zgVyV8T>2-ZYn?6Jq5#o{Qey^64iNYYTH=s=X6X)PjhoZ?3z^p+Qz-%q&Y*j+HEE3z zN_C&#WUL1FGn|uUX6*r#mMlj+(bsRSQ1$yq9TGt^GYy5FLRdFQd$cWUp70cez_W$q z$hSpFPa(MoV)K6w#ef1=dZvv$HYuiRn{3Oh7fF%?duB3DZv=yWxz=0?RQ|CpgxceV zMSj8;*wIwZpY@L@fEfYGtLu&*8UDB|*UsdpRy+PpiT;^IGn>{>JO4q)-SZjS#(!{v z|CJ-u2$!We%$d2-mMIV{9E;ilt#ajzT(14s>0_4(&$iq2;CuhCTk-x6yZSQZel{hN z|33&){H@zjju^VW1vM-Jr0oA?E8<`F&zvGL3_>@s1Z`TT4eH+b`c!en51k37%tH%f zZk#eks3R^H9qFs}WcEo`=>zDMku4lLJ@1?uI5RIEvQGBJ(knuj11%vrd%cN4NZ2B^ zceAOHdVTwC0w-dbA5Cu-O$nDfGA{#~HHV!sz@;aj0@d4)noG=|O20<(FSrLRl+7KL zY?8go6!FKLfw10nHzX!>QyA0N?GMEInXRr>FBdzWFfS%)Lf-BX*1Pf?91E;TPd65) zgewg%KrN5Z@L57ke*)^2{Lo0UWGHF;5fI0>er9>ENSZ6&IX5cI8EUDelH1(!)Q3i* z{ZxiP+iz}^m*IyB(G#A9-X6EXgF(gUj0~$jj!MvjKK*6vkhkl@NGnNe0V}9~80cPU z;OcyFf_Rv-Dp097MD=(TqvTEk_IcN|>4;rK00dUA$yf3?T=;fV@E+x_dhjf*Aj z^f#QW^crOZ;v_wfIoduP-gq&qS*3eA9piP)8eCC%JSs-3nY5?*BjvemsLp4jb~g9m zQx+WGO@=q%nd`jALc5Q?^J#MUjNAO&f@`k5|MpZkYgC-B3KYu%gB?Zr{;4#(!r;KJ|T9lm*N(U$+mz3Fusp>ns1VC3<& zB?Z@!+^?*bD_vRqVs}OEsqDNTI$hFZI}ex6$7zjndAC|_37U88g@5fmpsdmV-Eoe0jD#aCd8P)4ARw#NCye>QzucR*X;^{-nd_Lrj zrlDoyYh4%l0v16e$ofVocKDlW)h*6jvLk4A1X9_La_f+z1 zERcpGhv1bF+B2=*jxKm=(L37vkO8wEhp!qlHF#=UTykkZVy-J#TRp8~{_iA1KO5Mf$!VTNX~)iN?)x)` zT$=ha;_V0{2(5N%|3q#qjCxS#aq5G$WV-=2T~xk?E4h5^D%!vXyk0`JYPYo|eg|Hs z0UH(^W2aZmI=r{?&G6^-i9pgd5)W-gNiblj7%y3J7xB9qZPDcf?uC`KFg|c%4^qdA z(G)kmYvx!{$p!v*d}vcnvZuxRk&;u#Y&#qDF*Dt{{KdZnoG`w6VvzM)Y`sD6!rAPu zuVzcIsfesi{Ls17U^h(czus`TN<9tZf-WG(%KXx=kkO5nz0?=Wflq{*MHcOM3iX`HyN_Z^)Y zAyDvquuEAdd3~Qbdf;j)ldGrJpHj`VHqYJ> z2*a)0;sCxZj3S}XtMOXjwI)KyFd;S0ne6mxPCdetk)w8vXv?KAe3qxqoNeO%MTbY! zM-A8#=NCVGD5BcJ_#O>8NjK2m?9=pc>Gr}%Xe$Oa{L1tKs(L%-TJPQqp2&P^Gb?uN z5YKTV*S$AeTO^mK_;2KJf4ij;8S>7zTli-9x=jbmvcWiKO#HXxAN%vI&q4epF|>h} z5i4FAe<|hb*(hh}mE$wfsJ>aBXB|F1x4Xh0zFF3h(Iv_m@xc2^Kku&%*=v|2BRA5L=w=P3G$xEv8T+~_* z{qSf7$3BHFUpEC^+W=wz!aR-s^s9-v^PMGF^?6I3O~js;;@B@EUw=63J2Ygvihi2P zo|NefItf0|Bo&Zcxox+(cas!kH&=9Cj-LD!&O%}cXq zJ1ab+t|DCNjN($PqsGlX|KSpE3l7ZgTU2WH;bA?Ao^qBisvC;9=I$qG0RM9Os=>T& zA*Uy=P0I(5^a%T6Z^phz9YkJ9QrFzUmgf6j7(V65C15QgV6l2PV!_m}J6?9hD?MVp z2cD3r%0Ja5>6o~`tg~c{b)sQHSs};lVu-fdiS8-HH$RfTdT+9u#=WsglP!7OIcpZC zdov})q}t4$6CLO>qCM@|nrHepyLgr}2lUPQoC&+Fb{)R!U(j`plfIiQH~TF@qKJJ@ z+cj~$@$AkI!Ys=g;0-p+qtlv&;Io^?_jw&{@%u~s4K%M#VO1U43tkDk1lO!m_pQfi zl|-t2QJUhY4cUQ=BEgP-sJPnkI2jc!R?Ovo>ZpEs7^Y@i5ZD#O9bIogfa9qhK0-6v zh%+`L2=&d|K%+Z&;+04GMtr_HXJqY*ar+5LLO{uQjHT9ik-$LDTa&GOS=v0s518lT zOUk6fCu+iZ^DCtTQcAYi9JlA)?T0!{Ag9KylVBJ3@Y55>L>*)C6qeE5B9$TsOL_`+ z2eAF_!d?9$!^kyaV+l5ceLIzUsE>p_$FPpm81TCnRL^yR<7KJs50Cb{pP){Iel^-j zpcQ|kVg4R8hf|*AI!2Msii-y8S+jy?^AB7<*PYz9%$i6t@BDfiZZMRDT9n#RqC9rQ^rCw&t1BXhJK$Y zw^B~%QNf1c@016E1-}19hRhrvbJYqe64`@0&&xVuW`S^)BC(HaXU?IW_g#0fj>38N z?5>fk->tkN(ITnyWbRa&gTl)M4X#K9YxN0{eFnBpjoFR5O|Iw1Yb5kh@EA|iMysRD zXra*gc^#0m7O_vMMmMXHogdFtqr)Iuy---;*^LrGBv-Xf8Jn7SJqshpMLG1zSA+17 ziNNR1#p)3$qJ`w){Lo%j(h=5phMLWj5)E2C8YaHvYje*tXQ43XY^HB=!obD~$Y={k zo8e+HxH-M!vDY-KWlmq}wCE?KWvn#ei0cG@xYdt{$07oO_?>5=;>$W9XfLi9AKi<8G4m-|lE&-Lu7nNb0Pjk27c$%D!QRsNZe+pj zPe2trza6Gk?kP(s89VL9f_x>bG~4My{}3u#beFmKbV%tIp0C)xzwg>uh*RzE>FK}H z9mM|kIMQWr(jL3}8w-PTcPT|3dV}FB*rY70?F|V+Po?pKuKB(@!<2ddy~}bzLd!Qv z^@&XjtblJS3zXO_O1@8M011|W=`SJczMkwHz zViQZuw=r6K+yTOE@F$}K!gay20hn%=a>vCXtYR7AN!qS5^6-7?neN=A3MkWve3k}v zHn#{~6ll6J<>2b|Z20?7=KivA9@mToK`g&Q&#Xka4KvpP-N*7oDN#1B7+x$7pkv2c zI6dz2l~Q8M?vnD)fz!9ehh9K)WrdSc$%FG>XI~T`U4XZUKvLBQn9T)0f(3wRI3e?fvBfE#NL*5eXhh*U@CQZZLCbpe+!|{)Pevx zzxuU<1S&ryoHL<3z47JR9#FUQdqqL(kt@ zxY*Xy7`WOZ+t>%BA;)$xZ2^lxBt2&NIP`m}|B5VNQaChzPOY=0g{fqmB@IEj&Air{ zpKag?*WVIzV`MJqO&s|OjXzi&K{@=lfmYr&mkwz=v5j`9mT(Zx~)r(%ax~)F&X@F4e)eV8(7!ytpuUT zR9?qU&x|(gse}kuWTB%-yFx-bwTuC!lYZ?XqqeB;#@crlCmy1>;x#`&s|(Ura*1>) zXcvu^wBBtJo8^WGNd;me5@km$4IVY6;H-xR;4Nm5o05!vna&_&a zwxOp(482)ofXL$ORAdr-VQR_cahOXu;6lW8dD$pGeu(36!kn4lV=i=X3WhG>+{d&t z>z>Z~=c(u%ZPg_{bJ)Lq0lCVLMh>28qdqZ8hPu~X{gv96c}4@K&z=F+;?F{M&pfA0 zT8!!^O36hRWZN(F0y2_S zv(qbQN_e1cGzS!ACPtVO51wa(U4vC!nEo&`gr)_Cz*s9pQG9B27qq}#p1`sELt;XE zeOLuV1RW_$kJtB{>kR4+J1!2Cd`U6!;ulfuS&^+tKW(E(CenEHF;6{^b!=~GLr1=X zZ7?ySdLyL8h*KvpL=BMJE0|ka?G~nSmbNz*$SKu;cYy^?1@kPp2d}5%(5`pg-u}%q zfCnroxL+|=Fo(Tg)=(D`|Cp4r6zsw@7HrU}b3aA}o&VIXfu+GHmHJM~U$bG)KXw;T z;9{BNH|z3zJfBKvT<)>0+w#1bzGs|i*)=?%=P(LeUR7{7Z`g4~;~qo)vUfbIpK z+%6Nf3Ngp-f;^FS^*7osQA6V${erlv>IVLQ-&LVsu<_Dx;pa&nRsm}L`_zSh+t%sg z7t2}j=kE6q@gF?NUn5D7z3RKh!c<2s^MN-`Z>)Ma4C+n(mK z#N7$$pHmbF(5EM2&6I#>uX)I>Z9&yYjB8N>T7Fx+Oy2l^PlW8R%HRJ=&+H@jkJpY$ mRABy3HFjre>*!lM=>mm!lz6Y1g7>fPICsWaujsVhz5f9NmL%Z- literal 49754 zcmd42WmH^E&@c#r5G;6bm*DR1?jAhYAcMQRySuv+7;Ml4cb5zn+})k!dEedd*Y5xA zbNbww+g;LK)m7b9eIr$rq`xBKBSJwzeU+7wP=kVkF^7WsBn=M(1qH3rDdhLj0PUswmhw^rG}1<*4$Xd!Om)BVfubCFg?*98E8G-U)Wp~vo>Ar5>2dV2cg7ARW zw=1vf>{?rcl9q-lZfNN1gPPgd)z*f}$bgEBgtD@N^74WrwkU%qWI*~ZKoX=Xt$X{$)4QpK)ih)c4IRC$r?0A^SzJnbegDMS!;6fZA}}m6x1_v(d|FLY zo0Xj-J~ab;@Sff^UA=TtFtVm&?`0R2Vd@*nAu9cynM2{HRcu2a9w~)qM!B%EHZm%D zaB1_0K>rx8JAFLLT-21*|C9dz?+cU^u$Jb7V+02o9cL&gl)nEe^pJgp85C5Hu&jir zhKJ$lYpgxyQulj&oU!Tf(Kqr^MpRqGlOJnmGHw*6aKb+;*VZw}9?<@LvvRmA6N&fx zM_8w<`hd9hPx=03^7Px4sD=z_36!l(UN4E)zx=7E@$sz72igY3q)DVLohjw~TOHs; z)@387F$U&N@eY@uSo`-uFeGP1dkdBubxy%5A`<>@5O!nLA?Do8`@u}H8PD72RWvxT zqH4ln_FTD8_?IDd!c$Cc?(EZLdQ~|>mHb}$mAle=UyRh18;){eLEo2$8%NuuRgK;) zsHj|;JbH!PjdGhsS=}A!ZoZ%7j(I};72yZd`4SzR}r(0bEbyIjt2 zB&&w_leS?&F$r~%j&{0on4%g!@ofB}VN(;0^EF~MO@G6o!JPd(q?t`wuikaNcTtwD5{1Lh9&u z^W(0Ts2&`X{AL}~K7H`8HhZi6#gxpbSB!9JYzQneECTtPpcpRL{I;2ZFb2(=dQ13+ zo!>zNg{N{1^}>j;j!6c*qh0YQumOf?v#xz>6)<&gw=Ani!`2X6+c-~%E_%XRsv)J@veTQDG%CTJ1|h%^Ip;}3 zJGwEPvXk+d&xSG`@^-5b7mW!W$~=1dm1T)MXc7_%Im5cN=AWOPfX4~~Jlu8olCBzQ z7CjMZW{4Ta*DZC?5|OZmGS4TojJc6t_T6$#;&4lR-6W6hM2tRlmGJlEP*rJm=eRNp zT9KbJF;+I`XNfG5uO>YPNgBY7B*h|9X|GM%rK|?+GW;y}$)1sK`b{f{ zfer}*tJaH>$nhK6ss099S*1E7X~a9vk!nC1=&+Vgr~r0WHDzM1^6B|Pio)hNW1`Ge z>cJY-%4z9#j&`n-0EDA2Jlulajt}GC4QRSLZ5O^(^@6D`QJ45UwfqZ}xw)k@M2s#F zn0NZXwHx-S$gulbzEY|kri?oYh;_V5M>Wj}v*24OMvpYC0H^C|@GZ3N*k`FU93F15 zmv%>>)gFVUT5mOPhYkQ`*@l?%t$n;eK!>+aYvO_pG(sEc^(ni3o)d;uUr%xt3JNsp*c3AUKx#V zCOX+(A#RwrbyE2Zq~3Z|L`O;@3phW38=)=y$8IvHlZt(t{D+7SpQJX;CL_CI8To)CVizsbU7F7$vD!88+YbN z%2Tq&*m}R_EYCYBdX}A=;Yer-{tb3DR&YHI+WGG5j_cJg`sIma&HPh3qo%bU2lcS@ zh~m-V?9e*1$H#=MOfW+HisF8a#2VTkRJWL3>}q=6ug=mHkN)5(sd*~X{9Ph1gAX6( zFhP%5o4wVN13!FPh)WISxw=WeT7=(Jv%zf|#=g&Nh78uvva4Fj5ajn$6 zxa6;WjCFWR|724utU(yytcPpAW;mkhRBbQZDw>#Dk-2Yy4aV_|?4 znF8M9vu&YF$|z30|K;w75fhu0R!)%J=So$9cbN6v$dyq(l~Hkvqa08%Ga+_1P2DT5_;En(K!~B#rOj-O}ilBP@)_cR+kWGw6hE@ohx?zQEYZ z(r$O-VlYADsw;f5lnCDk|H)8PZ)tV@rZSoO)w&HF*++Abl`(1SMB3UFBY>X`+qYkK z;v5aK<|=NvaLIKdU|I&BzXK-n#On@u9q|Z96%|h&`F6R$7LO?e3vtLZGQWw*;NY*K z_l5bhS@P;|G|qIGc3-}`TcgYJrun%TA`0`}2mif#5!@B>=XL@C-uJ$oh=jwK#EX2o zfj8ONiy*^C`4Gn^1Qr!LMcXV`zGH-5Z&A!Zx8wmH1UFqf;bB+Yx)IKC52xchiFhYH z%Zv~0YJ4xi zziR~V`toDA#%6-mlLhdtx1X@U64h(P>ZELjW!{J@ZsXM|86CHsHSXvG#qf#hL}T+$ z0i*pP8osD_V}89?QDU&M2zmi8^5_2D$gXi`yj&BbmNDi;hEf#C_) z+$rmb$kYpSI!5I9-IA2Fg0Ds`nZ z8((X6k{)G-;^Qb-aEVb?8PcbUOdz$Z!aQ@Z>M>aO)A?qa&1!ca@vyy*S^qb80rW3# z?J9P~ug=!jyhn(w;Ly+QoFaBfZ;<@XK-hy-Tsg8RV(=li$D93|OE|vYZzkv8v};Mk zQg#NAQMw3@fP=IZ?xl7CTK_Ok!&8s!&r^(oJ~%N?O8A$4=PTbcQ|Z=SrdE zo7M!q5$|9+8Pe96NBHjQnh{j?Ni8mr_k69kU4ZxbaE0ME)7)c#0S+K&I5<%D!qI74 z*DHiyk>nY2M)X~TrPZl)9S~g7@^j7v%hLPoC`EwwJWsEq8$2y1O2lGtTC81RWBPRz zJToU`>sn4TMMoxH8GhP>tll~zXi&aN9PRm{V#lbzd-!h=mxF8nt{Z5__~a2Q?A3(w zBE1An=>~k2>?nK?eWEA2EmVuWT_m7V-lc7&){wS6RcbANP#|sbvmrrPnt-^;qaf}| zou@0njm9RZ#iegLd$)FXH>^)~`heb~vrk_91_OLb+7-hh_qj&CIADfYw@6nHx?)l! zgje#%ZpurgZdN(&mX)x?ZpPFn4&+gB2}L-Q@-CeIjGkok+DNg~p} z^Lu2R&X#hDm9TG4c43hcqiW$8&zR~hxia&Q2hiN#*dRv^X@>fuHNJ9>Mz7Su{iWRe z^JE@L6BqEkbtn8hSjr`Cq?bDQq1kytT-TvbYL)d=@Zy_%2U`j^5et3w;a~hi9%4$g z9(|trFF&@I+%UVJv8Jl=VTSO|UDI-dnIJ4G<}M3#W~;!6`8#rrX2BQ%gMX&K=KDLB zrZs`|4RJ8^rc-pTo^Dz?ct76D@DG#j@R-$_lR==Cwi7&;g~oe;g}wbQO?qxjoo<#P zE29saT9r##7UE+X(F_WR#bJz z_}0De7!r*9r5sa4AWZb5?+}ZG1|w18)meCT3wL4Fsqw?(`Z`73vYi=@rtRNg6PJa$ zhzZkL-iwaBH@y_BKqGE~82qjz)xuUg;6gMP6L3Ku2Zz~KgS!eiRh5nn1kx;(4pg75 z{L%rVMAhlmVte(t)-Jcz&3A=HFC4v?z?j?px#;FI@|FJ#*5*_exi%C~NmpXhs>Z1X zRy`e{7uC=%Yz4^B7Uk|$FN~hTHNy+wR46WL0Ka}y9rjZ6jYo?GHZNn|r*0b?<<4kU z8%ig(j%uwhLJ);R41*E6^D#ntkE;x(4z5#M`b(#V=A zvF|_;zIF$9NTv6gk+V?Dj0)I)OSP@mSeE0}DNUw@Jms4I{__f-E8%^(WVu_~wOLg* z6-&-jic(?Cg$ShItq3Y|7gKu~bp2)hy14-eNlCpq(|})!#-}L#mkF!UZ1F^8Q8yQ# zIJEfC=D86RD7TUFkeizGE+?;CX?%eLk_}uRQ~H5Z)3ftVt|Y;d4qwz&VJ)nYe!J38 zG3Vrqq?X*;A?D)m95N2)nyGgz#!IF-$fV!PnD*E1*kd2 z46B(6#pGS9)QPO6HIvhSbYcF|r|FcL*iQ#p+`dCyJz2x*bIM7Qf+G?G7f!}=q*)}; z=IFKEdcdNUhWAG0W%b{t%{8ma1pSh(`vbD2o1YB!S(4~yEW~am7>I4<+U!x(^Kf4V z#9XTJiPXz#VYAH>WW4*RjXg>k^$e!9xs-TUm-P?@TInDO>RBQbzJgIe@ z@?Ya=7jwlw6(4FCGS*UAdYY_0DOe9g1E{%KHd77>Fb4RcR%48eS)wv6HUF?9{w% z`ABbK{OTv1=_VGEMtK{_bhMf^QZm-`s1?=~a7^@o58a!6nthifu_M;x9dwGm*vMxp z%6L+ARZ8*BB;({GjbCS89~|k0Sm%Z%=AX+emsEwukGK?GqyU?}z!QnBaB%*VN&a>^ zE;Sh%u{#s$tTjF=xpIf~SvI*ORqls+7`oO_>E^1;Rc!LJp}q2FLOW2Os!oe?RL(cE z4$!Y?kIY?U>dI)+SIF|yx;lp?4+E(}?o5iIOP|D30ib8E7bwGp7&`EW!VuF7i0#!V zL_R48UX{-{U`FT7drB`chHDmS%vS44t#nnPm*oC(T8JnQtSU`S*8A~W+80x&t$8L9 z>6a0W_yS5ps=7h-TS+s5t5-odx~f6(b^B<0%3)Ixs zJn?p5f!_Sh<_)fNrGwsW&_?S8+UUSBslM}!nEy>iSeKk3==E|gzP_}zu0VvVQwD2~$MykE=8eY?O-=yv( zR-O{e4_1?4D6wDlh2^nIF^VM1Y57{K>TZnLK>JUz8PdPH$InRZwYJa0c@#Z${C=Od zM+1Hm>a3_OEMhIiV&Wk`q11+&?`a+Aa&|ezNHF(>2Ni7=ar^QnUVRTd5+9?IK9 z*|GbH%;i@CeU0XNT>foZLe{PGcB*=Xam!kPIUjI-N|f9I%~nC zfonwXtTNv{4nJ9r6HmU0%GXYN4Ldq>r56=`bP*Mz=WAv{hQNX`6rS@PuBk zspno4{&jhJ&P-r~n)$V}eP4H%W%Nc~-9Db*W zyKFcOtF&N(MS-tt;Oh;U-*c$pHfx6a85P;R2BtTvFj#1& z{qfdeDZeiOx1D<{ev;&;^ee$vxQmE_><(@ChR}nvr9K0HjC{rXthcBB^tpUx65+Zs zWd)L4tWx&*3YKqf#D!D15LCDSyuUjzV>J z&M(u09rWwr7uiuva>nSU^%NCA$%;gH+2R@VP+3TPr!O)%vOJI46Jj}IMr%Cdh!b*5 zEXAK(|MLxgMD^vFe4|Jdxhk`^f}R*rg1cY`GhC<;DzcyOjbY7s#4BU+;&1tUcmFu@ zYu+f{onvv~Oq?PW3LPJ-NmOw(H34e1IJ*X|wblkWe0p++A2vdiQ(Xg;{A8i&GcGii zvu}7z-q1`HKw?YRaPG6!DS5f`fPP7dSp;X&ac11a{)hr?;$Sb3BzKZ6C-1`74zrcZ z%j$dt*o{H?Cd%zzk7j~2vsNG8@y4M_UABNsVsK66Dnkc=>fgwMxKzorJnbJH#PE$& z4H^=;INz(G>qVUT39jCjM`rQzva`QFRvu3El!=yXt6+UVVq;f zQ8G_yS`0z;ZHC^+bvka$>jjPzca#-63geTa-6B0p5x|z`43UW}&;)qT#+r*2NOkZR z?>Ia%=UWM|>}Z(_o90yFvp>jor-?`JS??+54v)Sa@Yn#jZKdVp*UNl``+my8o5`v7 zFUl3Q(EM)A{eU6)`D<@v0njZdEu8hexTl*NJ#)RR9NZQw zGRB+*eY`1EmDGytfDNC#L;ZpR|BR>0D{#@10Z$r*lvpUbC-M(PPE7v|UJ2mrKFxsg zqc0gq3n|%3Vq%YBY{a5ACv}3v=ZDJYcPuy@r6I~66}7x| z>p>E?2;a9}_L>;^6J*rBY1B(JtvMevvA@Yl7*rMl%NU-X3e}s?7e*?FZmt-TSSOW8 zDXWw#06G3V==TGniHeb$@^UNjsmT2tc?3F@Xd>#m+#A1ViRl3tBvc5k zC`qgk@jWE|b>>_FN+)3Gg8&xEr+&R`tNbeWF%Z{b_qjWK#|bx*|E=w8FUCeo9dFov zs9{rp70zoVVxo-ZT0%WmGp;8z{05su`yD%&|>%abwXP}uk{^D&$ zmkb#-j{UtxtIG|nWsu$DVb!2jtBC__K7!*r9*}g=enN0}D)H}6=$c7}_&kJ6G<#o9 z?7i$(S=Y|+MmEdeSDhZcJReUnEv;*aqTye<#FlFCgtF2nAG$p1y&4v>j5;Dd z%{uBV)f?s2cT4wiof%xkPqE=UpRNvQNhNmb?Wb4^b;Lwu(lc^A38p|Szs7;IY^U+s zDrTEQKl5280o{DpTb8nRf_1rQ8ktd5WY%E%+Ngt&9cIGg zRZ9?w3|H&D5|JSp8xZU*2P}i5Kx;Uc32WakQFX||leV7oOQpmW0898p$Ra|#;OmH8 zDF7=}S@=Yq3Jg`JbE=vK8^ zMe<80=SjA?Z;3c#Pb0p)ohhPu&Go+wjq8rB%cN#M?=-0ge6G^?BY0Z&-$Y@y$Sttm z?P$oFAMQLk1y1$ch#aWs!5(U{2Pvm7YWAvN7*K&l`uP@J>#dzAjUw#ME()EZcmtw@ zY!lcEdBnw3T`DVYs;hkpWl1%tz$t>6q4tBVjx`QOEh@~hIgZglK~fNZMWOw6bPwF- zqm3Bw^;j@#0rB!2&|VFNYnKOQ=d8gmxkqYOtnEmi3kL>37zR28x+?p;;%L5nDZbnS(&@O^ zj38Lshyh5}tivqqx=$o{B;UZ#RAdp>?#Wi1zd19k5UUfZ<-=ty{ZP81ppC_dx7zoQ zCn+N{VXanYkdeGPM$b>zUq_2=zoMwAP@CPnJmxS+Ep+_h!CwFHr4hf1EDJ7O>gHBQ57l>1yUgL-`71YGQdh>uxl^38WnK4n`@Oz?up)UnJvn;W}(Zw9~7LtiyTVIwUUYJ zAH*2xYi%4ajuYJOKQ2|iGz&dN_!DzGAXRJktFXI6z9nSpuqWYsDBALPwgUZ7Xz)O9&<=I8K;6qSKUJGN)om%;bi$gpc~%X@unrywfmx zNDe9>I?i_0tSjcA<&RWwHTDSqqK$8TW4yau*JkK9!Bv|0GnVjn?fKg!I(mB&$KsRg zEc+7`wEo#aDSU16$V?mbG@sg(#sTGu31HRV+r}x_6YK6fv>#F6P^Tv z_|{$&;4TADW7jd8nT1U^FXr}Q>v+gRY%@=QU-RKU7*sr&Uv&w$jw9uW>1*GuNjt(t z(wVZn88WB(<-=jSUZLEh*D5Iikex62c_ZZ_M6A-eE<+N~*{a4J)!2mis%yY{WHLS> z&prP5Asn36Zac~Z_`?k1fu>xRAt^q;X5=qFTA4t4O;ts+^6$x^tglS4W%jzxV9vf= z4z^T}Kvm)G+Q0Bgq2>#8@hU%T2L)=F>&ElM!XI#0XvnVGOfG{)YIU-tuvUkAqg3;? z`PMH2{;oR(!w9DxhE6{^a#dGPg9tRd+F?qg)9@yo>{54%oJ*tq_eT)WAA^F)gwh+; zJ!9Ts*+#a-^>$9p)*Yx}z-ouI=*09jpW7+!&GvquEyfH<)w5kV5tfI1CffKdIW$Rk z?0HAZ*DxP5IH=cpq(PmsPIP-aK!~-wHF^T+yzirIv|~sw{O%fa{AGGtX8~LL0CQrq zMAUA=Y<}MeK<+LVA^;V;V>f|o0J3q7T~Xe3t!!{xT|M6!&oUMnrP~RB5=d>k#`7Xc z_tQi=hQ9A135G#1a;bAU1lgH&N^xy&{urM+48}0dPUV#Lr30Xo>@aq*VsO`@dCe~g zHw0DRNa<*y7e=?XdrjeC1ZIucR704xf7(2G8uUg7lxiI57j4KqYKM zXRk~p02qu3lGvjuW<#aHBeh)r} za;e6vSKYl0MXB%*--v(mqAJA1!|paE3}QTnpm`K`pBx`c3{Fs5V;cq!!}Hs<@EyI! z8^$BMAS~D@|0#-t*bc|TpKxwvoeI5Cat4=v@qKxgI`3j!WPWnUxwTG-dKPUzGuO9=2^Ez$!bb!D({UuPVkA61`JXGiyp8{|(%DWL@~sZMaXuhq^Ix@|vvn z3s7KMNKm5_Wyie}h&-ptq1#S?Y{Y(l37sCY(|;<#JZ*N6gF8Roph-tU#aR!all_LY zG`{AAO@767xZTyYexQ{UjkN|17M>v;F+{Mg>lADW%bH@s$E>N!5vwehPs>Ae>4 zb$9UTX)OJ0im_#dpr@zoADwNdcXQo(HFi>XB=D|m!Rz|ZL#5QGRP@Z(V4<)YNOsGz zB~8;}m{;rx7e2G0>co1*Vm*3~=t{iG8NvC1w$?utbK>3$6Vq*&_aw^E_m1U)@nwIN zHg3zm*lb^4(J1IZx$8V??R!EO%%=D~Wng~m7Ah6II!Lju^_O{@{)q8q8;H8hMBOiQ zqiHgU| z%7&5fzaRe3dy3CQo)xje>(epMx|#Bz#K2L938$}Jof!M*v%QVd#*#%U>uAS*w%HXzQGh9iFjb$Sqa zkP=^UfAbhpI2RswdEP*RZJX@C8q69gHRqXeomnG#SJ`jXF4q=VZ~?<+=J3^Gi`))| zOcmGhC>oZ8Eyx%v<*oF3+XnsNer>aqnT(E5S~a7XPm3mJvM*x_g&*Y@@~2Ndst&`> z%M0$ZCr4{2j#z%uCr!ZXssGS)DDJa*@&5qf;wKJF2Auam*?5)zu7n178BgSwV^Jv zxR?r|P$Ai*Ux}F7vEKUp1ztJuo+K#AKS^ao!ennwVrvf1O*0b4IxP5ewwOu2^u?rW zU4E-n5z7{=3$N>q12RS2-)9q8Kyd(^@Y}BTbRKheo{-X2R;CbQCQ<%dl)2+cTb2-? zZ_-=bwir1%DSO38tU_*?o2!1}=dq$(l>F79Q(xRl zy)T%fdadnd-~}@95isYF=By z2?BC|RMU^d&v6OFVfyY`$uz+%|1U~?g0e_4C+jmgUE-Scoh73H&eG~-My;NjFJ4A) zPK9(hS1WdOp?tfuZF3zB*NK?k1?IQlZ zGasXWW-w07^OR}J_>&<~d?@ex+QHj+<=BksD485_e9cP-5jL)CTlFM!4FH zPxhtgjGu{&F?|0hWtfkO4;coc44}24yab&s702yfniB!o&x=>F3t6pBM zH?$EzD~`yGOfeLdz@M%VQM(zbBhZByj&o(aWbW`J$8F{Gfh}9H1k-0NlycT;m;pL- zf@^pZLtcCvgW8sVPNM?X=JVak>jRs7LDV<571tj$?dGcS;))e)55-4q*iQ<{92!!OqKAAPQD9H|FbmUzgXNofr2LG!XkokOY|9p_tygaz~8!a8DC9u1j|b z!|&^zRL_sZyX7`60}w1^hfoLXU*A))*vXk_f zziT8xo4ZvEUjJ1fueFzUPZ}>N*p^~D9*9fQF`%tAXkuEtNz|d!+;SFtrMR3(rVDI5 z(t=!^1??J!mAPH>%yJ_daD!P3ep>6;KT^vJ2TX$yhYbZq{gp`OOx@=@V6} zXwVeV@x{eXmdelVrXz5CaO;&0YfhRVyOg8?Q%Gsa5&3Q8CmX+pdRQ+Zh>FEG!8V#H3iJZAIB? zcylP|xlF3qJ$Z#0Y516_1S&tG&k*pJ2Vrc!jl1{VgCsD0mwZe%`COZ z{%8HM69?jPf8fu;a2c1e>&j4(g-gox?O0n5yq{$y@?eXGaQ3sU_*!NT^!LY*JTf-@ zuZl^3sM-Ii+L`A56?NwMvCmg9ObbU_P-Ly{g^0JP&1=zh6S$zQdH1lqLy{q43Wg@Z z-|TNM4{YMzM`!GS?-bmV<~BN+Juj1k-8Il$G;d}P;g{ZQ{;J60j-_SwtrO!d3ct{o z5=tn0Dwv5G!6y01g8`jhikdzCEA5Llt(vzc+ph~%UMp=8 zEtaHzS5^ncYFif`b)yNbcl;yCE0#5Lm7r3Ji;Wg5i1z;Vbgj;_W%u0q7SH-=)#{7y z#kK+$?iT#34ZEK1bTth+mOIz9wCye06sl&r?-X2{1Y&9Zz5{{wWFXZ0tXM#`Zl#bo z2X38V)08M6#XwziX?=U!Z#AGWYPdC<}x_P3h^DW z>mp}rt~8G=Pk$Y@vF(OPjOc+Ts=kJb8|?3^DrO()R%_8=)j-IpJv6dU5%ouUCw@fF zjvhO4>U4(0FAnV4mMAwY?KuX%bni>qwk#&lbXGO%HbX+7b&IQ7XWZZwz7m98U0jP= z0~65kR141QIf3cK3svd${-{X(&{*H{FxuPTU^LIRUj9|K&r?;dUkD?Zg2HMf%{c|O z53DSZT@D}v%L~W^UhMyBiX53ZRfXL(E|61I5 zxFhsVp3Pvx13NN3{AllKY$Uf`BP(SbQ`jD3$O84`e0_bt2fZ_My^x4nyp(T{m3P0t zewGQ>UV4AG`}?-WA%z`TfPndUFp4q&F(wB$|Izig(!|fgOC5LVnagZIcgN4IHhZs~ zXYl1{^z?c+?1AXJvuRCw915WMh_t2YV>w>Pq$cL3j_se=_|~4#0GaL=?Goa}2LjTW zV$FSl)an=UPbN@Q$TYzSqsRtkheJ=ZL{`Trw6SVaJ3?dRh%4|Aa2h!i2BA zKfx2HK-gcY(2w!`@k=&KH}7_$qJkq$Q|HoBOPHyDOW|EFIpknP8K*K&hhBx3+m;gF zC*TEwMF_)uA#C#%-=*&e`a&}>5G>@ z7v0_MOV+M-iFUGpTsm1J6f)LL)MexBk0tN4j}<;6hJZ9IO$cMgrd2ik&(f2pV!T34 z3jwg`1v4DuJ;XU|gC~~U;#Rs-N72f}PMm<883^n&z$Ik>IYIvXH8UsC3$L7qCJ(xo z+!u=wkMOAsh-5s+V(BTZ#q!mu2mvA#F{_HF*Z(;585vcQeLzcca~C`w`ej-?HY-fR zz$~xlt=8_J&%C^7r~@A0db&7Z1YPL%c)cPRah%O#V|?Pn^`}6wRx4*7{Ct4CPGYBQ zr{)M>NZml0hFkJ08^xchVTZ@uEY8Yq(oxL}5oaJ218!cp<3NWbEH$&-0`=&Yq_oz6 zR`mSGZPV%G=%_)if3+&a#8bD{&{8rgSe z`sX3Obl@nBQdPaeJ&3gb5ey}`eS)z9YRmnQ#`ki2-tQ3bh|+&+9{=M#reHc;^EA*>dZP5P@r(4K9^*Jah=zcn$z_K7=vnVd?CUZh2HnVNNQa95Ad!~SC#*$&q%`uuyr z6-i!tTwnLLv+UE$hE#tmjBTlWmeWp2 z)COd22tz-&yRNu1|IgCz`dbQhPynB^tA*d?$B@S))@LwQCb<6nPSih}546y;epkpR zWnfN_EFPIufv;c&t*39tg|>(0eq=ukJ9c{jCoqI?)stW?nXv*}&>FVY$d2Dz-(8 z5ZWa|)q14G^{W*N5#{P22IXAdPIp%f!F>?#5?w{!U67i|nB07iTk{8C_I8c&>*<)M zKI+(bR&lcAK>-Rfp_L2Ga*eD`n>Keul1{rJQ`ESPx!gqUSD~A6$<HrW}T>RFH;S9KHnd5uGPv zU|(%>q%S%>Eb;}{k!5}zPCK8{N|Urpb(5SgM=rBZ_MEyVo$9Jx#AHqhuh0U7%UjLV8l zWRlK$Z@?eUKhyR>y&gc{crFbod4yS(KtpS(NR@&G;i7JmgZ;P24?>m^O;T%Msc%xt~nQjvw3w zm~NhVjuoormXJHM{m@foklotQufuwR29KFz9Co}UogrQSw`HGwab@0b08+0n?>)Qt z8#uX0h4NPsN_?g>0*!e|;wpfI2Vng;Z5I>@ghIvq|+jF4?v2)qJ-$k7tbyS3RI297-a#V_br2oE85iI5dMK#{ee> zRTo)47IQ5bVJ2+ZE<`N@DZu4jnZd*C#DFI-nN#ZdDg+{FM-k@yCmh)>0qztNNV_(j ztz&ZS)_5_rb))@8Pk&`7_Mur-8Pyg|j+~F~YM#(rYwD)M0zvYs%Gab778hlnJ(PL5 zUf&7c&M1q0c~M6!#vPb$P~wM*Bus|UFF%{uML$NXS&wh0vCF; zg?s%>Eo+UYYYl0V+5-Z{M_X*?FeuKaPn1K^Hxs`rRkXr)jtHG?b~+{v6};*lx&MY?NJQtW(m=-Z^BP_DyRS+Z!{V_aKm~W(h(0b8y3s&d!1<3KBW&A(k4Z{ro?KP z95Vk-$t<;@6GWEPq?udQ{f8>;zUK<;BWa3M9#4Ra9PgJk16X6%@^N&TV;dgNF}m(s zvy!qi)u$wIA9Z0b{vI`5PVOWa9RP)y;0mhi*9h#W8bU4O)3P5&JhZQ${XHnzN%*&~ zTeYm+YSzXCgFe|tEoCb+b(14uNh?^9{Y=^hbFF<(++|*NT*z)}x{+B<-&H&B4DT|v zQxp-6gpH}tG%nD;!uUNGY#W!ioK8JH>3t;npELjFGwrMMK$OQ{z2~#Xgc6>ZNqpoA zu<8H%7d(4zsN7l}JRNNv79YrO2`9q1hJ(}}O3R2vu@svdVumgC-1uP(cr^biOM=yc zMopm@jp>+55f|q^^`vQUIbr9B71j-1s0`A2xndgQuoRTYdllS>8hh|c0$)@8t)W?U z<=)#X_gNYn1a9M4eLZf{bcXQFx|iG58{iSRrRY+N1&K9cD1#e>OO<2%4M%k|W@>pe zj$Pbc$mDb1D!sb}!gp8JpeoEQYe@hsnXW6Ni{1K5oCY}KVpa;-&Xej`fK4%`XWUXI zy79gfE9x&M4b zCR4Sv_96YcXfNAJlWkIF=@B_QA8U63qSbOBLxtionk?o-0!Us<{M+s0)nb`gxfb?0 zb!I^t5%85Xc%&vi5B-m?ue)I>P>5OCE@MN1Fb(h2{mGe)(H+^vwgR=TnG7`T1FYjI}n|8aWxXdCA$Xns?6b$3RLkpuX!sWX5a1FS5pJPFy3JIlZK zeyJU>fksaFpJPqgkh?hGzRqB!!n67n;q_(O?02ksD}UR}*1BSxEFcm1nszS~a`%@) zK>_gen$~crGsG(pkAFS5@^9I+-%S1MSlYja@oNI2CYX{LX~LLr#?csV%vTJQfO3l~ zG!1y&R-3sF24lhNe-M(fzcT02?L8Hlpd@VDs~Kj4BMYt3!)su+9^gl2Awy&Qk-wfl zY18iE|KIpx^Ep9=Djd|K2osltqgD+9thZ~VMW#T5J z3t(ks-7d!iMMs#oJpVtH#Vk!-u0fO{TVHRpSo+a2Qwa|>& zPuwwH0i_nPfo&CL*x@SoD4mu&szzT~)EHIuaPjUQTma z>gIgUVM^gDRR?~k6!0eZ);A}F+&{SC=0HWMqI51UsJ1UFbo6W;0XLfgrO<@Qt8&(H z=4s;6ih7<;i1S7XP~QTJuAVP`*;H*ou^msdn{&$BOk3tNUd|NTi%-ENL!`+~Y;1(m z=nH*Y`laK$waphWVxwF?%_H|K!~DI5zrB(;~C$$rWZ- zBv1yIW<4yZ|Lq6Pr3$a=EULy|ZGC1QH3!&W{x=oSElyAMz1<7k((c!#81p;&(ETXs z{~@`m(PITe@#j0jt=0rmOTwox5ge+i%bEHkKRoEvFc11A=nF*q?^to~gNi(`NP`KP zwLw!Rlz;PMi40t^6@CfixN(Gy6LX!XLeM~k+>7H{eBq$>l#Uc8! z$_I0<(qx958Jh5uKMUJ#MDOE9Z2i9)Z7$v8_gMa0x8m2QzK@h#J@@*o-KR5k;ZA++ zHfdk7u)9Hjg8R1EfB(oX>_p`U(?0?=;ER~G5BW;ZeJ5+OEZ#(gSGFhZESw0(Y5Ma? zx2g5wi8F`b;%DD^!aPA5&g+cr^N;fx>8P|AW>9!MaKisrwp7rm9AB%S7qY*>U8Ebi zE?f6_9&D@V7UwXE#0LqyHRbH{61iU)L?QnH0mLh*Z6Y8L+>dA_)&GfB_V}!B^#E1V#h^YHh zzWhcX|7}A|z=x`Aw!gL(U(+ZwASw10c8DOxxDUvaMym9`xwQBY5}qKujESsbx!i(d z#}=y;eMm7(NC;YYi3kP@meT%aB=S|S3tYG_-Q~AB3`8H!S8&EEVV_DTG#*!Hm?^>* z2O35wdik5oLm)*)Af$#jst0q9TIl%-iH%s;i_qWtzl*_hMt({~4_DWRnAF{SJYm&8o_qZEIrnrKJ$Oh+ z$jeq|Tz;MZbB%q~cFdwjnDxN_3*_wV2~bTh_)i_s#@V?VLy-LAEcD}hUvGW!+t`#paC#GKpts0TnnL`GfD-DcH3yCGRYMv;^f%>n8PvmVbcqyH@? z=N%D6pr}igNCXhFc%4ji{JgIA7LK@{@9V+TWZ#RfZU^vZ-jR%InSC4!fZTD1h6GmF z9QrDYJ?ohZ@Hq8Fr0xhbWrLEyFwPsE@G*UlPM@&n#E-QJHZbAlG>IfBQOfauvxI)7 z_d5~#UQV+w9t0ty3NcPHVZ@z$Z7?Lx2Cv+mse}=J6FDvQixA!06xO04OlNQVO2OWT zfDqdPZ>EviqBLIAj2%2g1_Za}4*t03Q+LfyqObv#A5$p|5Q*rQmxcz7G3S$3}l6_!u_?$olMR zMOW{&H$_dy3R9C)Na)h--!z#HSQPIqi(5uapYXld4}zw1+A}f{61O1!hR!Po&G-`{ zm$FBX2oHLnixXfX$10Np%PAs3CZXM~0{bw%}+x z;vbA(^&!%Yg_^&w4&b~VexLhYF;V!8dr0$E0*2i;%GXh;ARqVODM)-r^$@M`13*p< zg#yJdGcXGxm`mpC-F}NorPZcH0$Cu%V>z=w{7t8gIUt2L)5u%xBhELPX@+bXEnP+}+L+I4y^rKL!mC z7#~j`&b>J|6she|W$);r<0vCAHwMCk9`U6l(e>T}rynp%|FC&u&^jR9kVnq-D*f(9 z8rv1w69Bu4{N0E`(jRAR8u0rb{Dd8iv@%0HWCDHbuH_=^NL`vt&BE#*mnH0J&7*@R z0P;TaI9~mA``;Qz8pY)1D&Y*;(hSzZMYCJl|N8oBUlnYqu2_!G`TRMP!|Uqms-lQ` z|CDF7{#yJ^%TSDOM~ZuYJz!^mUm2^%k_f+}x2GfHIKel|8;l*i(ro1eBZ7@}oPM7X zKqdnIub3+N23(vGWC)LPWTosoq8hlM4Ol{gpyd_(=TlFiNExbJkd?G0?w0_B!ngyU z58D&~z9?Ox_CHFWOuw+lvEcQEEjTiWWW$O=V*0bB@IsCs*jchVLb!@GOcNFI1YvP! z0`b=lq-Us99b8GeK}YyLrTz9uF!xW07>hDUL1jw5B7i=DMI=h!t4qwGo*0QzR_KN{ zzSgSe&23haqVH?@ye_b}?bJP`J(HI9&iN;AI_};jBP@6-I7EY(`b_H9?T);Rsl^kT z)z%XkA{o~IM6{n1&!DAP-nt4}MZ&=sX|cRoz=dcnU`*~PKLr-Oiq$$rX|i%vEZmza z3t$3EmqQ}R(pCHXzKQ7Q9@MPRtce<6h`2&u%!f;g4j>JR>p=23B9DH|DDjxO^VWi9 zyYrI2w9l_?+OIik|xQ+96zRultzAUFj4_|h0 z0tWW%*=gfLAgG)1XyzgGOu}Mv!ha-eHM|LRCh_YSl~I{Db|ZFQ_=~NMH+bb;CEFa%w4xc85=@?2suJ+|}49Q^)WXWsdPSp)^T)2;^iyb^t=juO_ z_D%F#*4nP(ocn50fc^F;7hgvy+@NYo^6hW52J&+W_r3Hn<7h3ap(8!t#A8)!0XC`$ zPERQ}sq;~@gcWZmcQ$Ez^gBR@-8s|ya!!;(K8|BPci68q@O%c|9N&k`WH%Kx(C^mP zE$R*g=3K@XzkQ7N8=9CvU!0&F76ycTF`QKYew3%Ux1esC2-(gk@y91GOSc&LY_XqP zar5*DBbp(Km;z|?1fR(0pPb5g`d%V6t;&3E`|E{x?JE8kv631~lLL{{nIpDDzfGY{ z*S=d!?JwAft;LPVG;IhKuAgi^HWDt|m4~U9Mw>3C<}_7TkJl3K&G^zaOE>Fcs0-tN z{9d9YgAkeviBFrY+w`qFtmkIj=juP$;ESuR=gDb@wuPsT(8(<#(;rT$Ye%QbViVH% zt)7rK*>Pbl!IHXo;b^Y{ZL@66`5t*f3yXm_TWqz>HFC-OGcjZC5*7}HNZQn@BRs2e zNe!y;+>ADrmNKvyi|cVYeBp9X+%qR#S7ZQPN3{_kF?A^0H0en8U;2?$6`GbUdSl$? zMPs^nS({8idLSAqOx6Gd|ySQE#_iZbqiM;UN-wzM6pEa)by|5L*`lYo z63@#033o!es_#wK%U&tXxYbvJjX1V6fjPBlU@!ID5%NIYOsL(gT3bUiP+2wIyalli zj&_nlM71=f%q;_i{8=)^I~$`%w-=JyhKU)<}*c5h8x0KVDJ) zlNv|+1D}-H*VL1dV2+)|@pSz10;*pS#v_{?#p;40Za_Sfm{(!<*~+=@ilb%|9FNK| zap%efnYbg?gWQSVP6i;PdKTnZRczAn!)TxnEZ0jMI3h4fJm&pBo$ZkfS$-PhFUtCa zklecw+x!IG?x(XDn_22vX(qKSR*QiO56nf*HpSplJyY1iEYJ;>PnD7@=Av8M;1N%I z(fz7mdoMX0xzRY2&Eh0sI*7n^~hyzgnHSB63(B zRVoYwCBWd!BqqSzvZg{zsF|lu&!}!^uG3OgQ(ZY<+dNxB5Z$F-rAF8!ug=-s7X0Jm zl~@R%THmiO86NF3o%6cYECEFOFORrwYjo(e@x;ee*4WG*+_gGm8|&1NE?K%}to1d_ z9DwE2t{*EiC7fHi>NE&(t2A}yEQ%^K$LFyzv}@+oaPyQW5a{l|i9?AswVfXsGz0}M z{4w;4{#D^K9NNLuoz^WA-=vKZf>HXZCAJ5YGJMc%-scwt0@$2p~&IhIUpGQ8h zio5HpPa}zL?}<`om$d&@M)|sssSqlKtfM#TVpgpIwgOosiyGQ7Z9)y5W;uG!{857q z)D4C`4#F`O>pF zBD}B%6;i7Q!!#@SanPNBjfTKa9!tz@!3xb~pNplt$Dm8E!}Ij(wJc{yK>KQ?CaDu+ zD?SUMvdqJv*ntwYg zeA1IJCYgHStO>4MD!rnL_yioBJEUoxa*MDnglE>wx>Zj0@}O!zQj}%@Qms^7?h;Ah zj4`j4rkC#{eaTP5dk0<5ugwn-%9LOtNL)?uD+Mw-SG0S|#E{~Onc=p@!Vaa?Io7fb zFK0&M6a1hWl4v`{i)fIevaB*O5^WZq%H@(-Mq9iNVThe_v zd=$<4V#$`Fv}2{r2*k_|IwCb}mDoQe>bJWSdQgdWCigH>Q2=i*PZ$}}fA_X>UwexJ zI=oT2MVabT?GWt`30G!}Yym~bQ|Z&fcF%R{tFy$S1Sz20oGQE7r^mbUoTv1l&mE1O zPr{k)V$!-e+Wmm_bWN%@P#(yYE8{bGeCKGUCIwr`!gL0h{>6D-la&QOv28TI!7>2; zpG1*?uvY}$EurA>oQIc%w!j3Rcu1be(m#13!fYQ>uVSPjO%8^*-MUJTiR+cIRG z9}8!$O|2JV5AOkj^HbYwwHX_gYVF6(pUCH07}#>(0mLQQs<^XD+wC}kUL6LLJA1gY zwpbx3Fb?3;4+&zXuRHC@%faK zKAcVkgaz9i#_55v+q^Y3dAIi-`3enR1l}(Eg?_4w4BlSZsnvU@rx-;TL)hPPi%pljok^I*?4-xS&Ad?Uw>rf6ym4bqb0Nc8PpEb$&X8A8rj zmMk~NzZ{RP)hT^6nLTZTfHuo|JY6?yl~@7H8Pm?Px09j-GW9UC_ttPA6iV#k&`R^D z$kOiN&woZp5f4BjyAK4d#(Q^lA1!~gi>&rKwfj3-aOCi3*195n2;#V-5+6wU4ZJM z0h5PPbx4#2fFP5K4!EP3Si|sqG->`SLTZGUxL<2&cAe90)jnqar24fJr;&Jt9VY(< zeXI8h6QB2^#?AfbzqATbxX#)uY+GRj+_Y>`Oe-74;*=8I7W?PXrl0NoRU^jYrL5x3Xidqa4U`j1HI1jzsvV+$CyAHm z6kWUab`x!j6e1O~!a_}Y5Br(Y2xei?=$-kHxsLAfk~&aj z^xy)<=7L-EfOd?x&;`lXDq)L13VfvPah}E)_SVRZ(0!_rbYfQ>ouS2vUbAI-OzC~} zQ$S5b(rVY~fqIt#HSO1BrJ%N>!I{*?qm{XdQ_=z3*w;34-Wh1*TAcGlsWZ*|{NdS2Vlc1vo#w%dpLW+O zmu(gIYJyng*c{s>GW*LqG>RY*FQy3;0COn!DPB*%-8{QeyDe_~!!Vq9*P}>CVUMw! zr8Pb{gC3_^d<=p__t`NjYeMbumKbLGwjVwD1RBvKn)5YL-XDCZ?plMBu!@wGQzjIW z-a&fJ1|&-a@qFDbkW2reOSYv}+3z6v&fB~Wtu|{SEHKr&N&8`cVnlzsYPrh$#$>ss zgioj;cHjbhk;Gj5$ZcG@V`i9mPc0o7k>k76*HTg1Z2OBhw3j?yG4adup>@?&PH1K6 z8EPrnKSN>mf9X4gvfUkVfyPwLqCn5XnU*t6@5hW6-x-e> zL}PVn3Qb+n1K32CSM2;X>l$T&$Y3IkgxYa(lRmm1dNIF;nadl^)4td)7cP7(F#q|t zH3#4`3u^d{K>INxSE9pjR?Jc~M%S#omgno8eqeP`RO)r-_a>A=G5bQe$|(yEnk~SK zb||g4do&%k7%%O^n9E}9>y(354TDhYuxRC$L8c+xGyoAL^wxo(kD_rBEnn(eD(CKB zzW6w!fV3;GMn29ibsBAr`AS^*0=Fpno@x!x6Ml(j95mD%o`{KWc(EWNGRea5)boya zd!lHnD%c}x6}*vS@Nw=1G1fo+$hx6tATB-B8i-H9Ax1i6!!xoWg}wmtEVv8)py57p1;7^-n|V)x%kmxc z^i!^LV7G)>pSBgWU=P)W80aKuQ-Nsh-7%+f#Uhn9L-zd~;wvclL!$zG^n@X4*KpY- zy5_q-b2@IDKnK^&_kf_!SWK&}UPHR9Yfjvfyl)atm#;u(V#Gn>x|fUReTH6OZUz0V z(DCmgN&Vo=0G^5&O-1KN+O;LolXi5c0+H76RAlJ|XNgbuXT4fI4aMug_5kXyOg66! zhsgIek&9rzF?jzSsgzkD?tQhD z8%;8fO5K9nNiEZ*cc@)$@D28&?VpWk#7ES@REfb4q)rc8v7T_cD3}MTb{GzJ^(r!1 z^Nm+jxXfn;VSfz%U;p#?))?pB8UJfd=Bxrtiu#3aO&$IZ8 z!I`#SXmT*HM85U?!f%(&WuIytyHEC89SJYy8O3at>PaW{%clMp9W_eLD+n^a$JvVo z_J#7v_2^dwmDYz(f_A2`d&r8XDv?y zU|z=S;m?bUN(0tfhBiWti)(AUM_QJ&wo#epRYQZ_0W+<@q-HaT6|Nr1jbAS$*8W~O z7M={HVhCH&)hBvd`E<>Pp)n!q7C8=I4%=*L_kU|F4{KdlyDI0vqhn<_uW&m;ttH^f zebc~icN)kVf0yXVRP+u_hnX=eBz0$BqC&vKSEa1WFG^g&iiu6ia<85ndpmIU;#0rr z)Io(xrJ{3}5*mf2J~3DPRNcgHn83u(xWOJHPcdzedNBiH<_S;Y*^%NW=itE{gr{XVVb_ zso5G~?d8kRpkDv}0uvWMlzX4`lV!Bcze((ln`bpXZbyyHZGUXU z6&#*Y8!@cADj0rk-)P}Pc4DAlkWTIJ)82ns-7ybf>To)kK+=AQW;i2`TB|!m(yRYz zoKaj|0YLS0Z_A#0F!Khxlgafv6`qc=0Ol8qq@ne-MyWuHgdQ52+75fz@0Fz849$e* z#$m#JZmaEXuW?MnL9Vz34{g`og)1A5K&6s4ilFzPK(Ppqb{dRtB1lm2Tak?55a#*HlbFpSl&C_ofq~SnnbkxuJ&`D^LR(9 zYQ_Zdr>fU~v^QaR8titMie(Zsd679(q@&H&JY#D& z+9_~+iE{J98+`6GM9ipQz^0260vVtqM`3*r`7QiCSd2rV}Hq`ObZzDq)mL)0ltq5ItJFX8qs5#|i{-c)N!YU68$Ki&c9ov}CW0h`qL z=UT3)mgc+fw*JqwJS-z!MyM}odVUX*Y(>(7y|#y>J2qIOsHp*fFIKunOnoY5p~Sz# zguQ>_<7f}*;gC7^(bdqI9BiLEn7nh*(4Iz=FQ(r({+6F}`6np?MD*-ufMlEKlHnG# zWjU(hC{n>VRjU?-d%r^tuQP}j8zB!00a=cB>7lMnDMU^~128e|who`ujgX5ES-J&Z zUw;%X+?toQ)(>w3xT+5q2Sqp2uJyB|w9E*Gqd-^7!+$N+?g@}MM+=6&Sck{pm68~b z(P>4SSB-6{$1S`Y0e+I4N4)zJP?^9q3lJalROovYPSE}ix{&$8?!{UlW+p7Ed@rgq zcoG;TeA-J~u^bA_QNZ10n@GvR_#l;k##4HT&WdGdqmn8mC75S}rjS$#xsnDTva- z+pdYEFy6j6XB)?>#>)WoEuq)l@B;NYaQ_dYdh8frxk`s$94ftRB6U zz=HeE?ezp8mdN#m;|1X+cuRQ$7xd9mykEjJYOInk)wvw@Grex1CH_@^Vfvh3Oaf$0 zn5U_EDk>-hv}#PadfHwRuklU1Z)! z1cjpM$|o6Ziy46S1yT$(+`sdqggphD^9dagOGsvOY3ecVO4{Uo%C!x}{kxW$)4a;9 zIJrjoHJqvD-kje`c8Px-0UfPeLZ@|jMU63}oMtr$Q4f0D@B;DDKV>;{f5jNiY^f96 zcDe$>?WQBMtRh8?e~wIV>1t9iB_U2JBrCPQ)G9-*30^bNOQwm>Xt5$J!I@c=s?UF# zW=lsjm-wrikm#+~2&$&YAry~K#u6YZl){)|6EI{+Lgndjm30@g>cmWBJTx!(tRF-^l^FA!MVdJLwuCls0rbVxG6XvA-ocxz(upo6rDjP+&_jayb!^ctMMXx z0AN}5D6zC)Ab0hXrgT$+S+N!Mw`20+?s7OpW<}O^R*Z*ucy;4o)L8_5SV>-Ujq_ui zSfOFFB$Q%CdlPgC?zFD~INWKE*3Z&)^yW@=89Ae6*?Kpmleh;F#wa>5+fWZ6vt@vo z+oDV!i4AA%C9#>rO0!y@yAbN%+vHIQ8 zlCEz=LhmylM^}9;m&6kzUHlpVjHlfd;M&!bioM_c878M7iz5cbnl~ZUAgeHI6hL77 z(|g22jm~_!Q97*2gp}Adp z4jS@~;S&4gVD{4r8JQaG6;;hP^nu(3C$6M87dcuMAXda8x{6$8AeQuQ~Pi zvqeoOj&`>#Hpo?r*}G52VrO*6w_y86D)z5BNO7Kz_J@CZJ;Px|lM1U`GLIvw6Rq_w z*MIK=L1n5I6=}Ozc5Df|+tbI6ucO>)F0Hfxhn=;K9l{lY0EYi7#@snXe`Ne>US)Ez?fcFDM`$Zd=o4yizYL3F0Q+8NMI1iV zgE{5m{>~r{G&@t`fKD?bV3#f4DRRtQ)WJU{ZFsT*GiwydyC=EF=1xl>?l17Hrjdmy zQNfuhD*{7a63m0^yVo}66a5tcsH%NFtIizPSOEIo+wrGSYp-$+ejmluqp{8zM)m7+ zxD;K4pPjGTkjnn`TO6l>cw((pjIc)(5&PT?A6n_#fgE0yP#+1A8auC zwIt^6=?7SKKTXY(V`dm!hl%fYnNa$GeLhr9F+Vws?F|y9fjGiIUz=S1c4}6)3mpB< z$rm*tU~fOCqGDTt=ISDx&@$5%|=d>9Bx|v8l%l z)q~F|%0zGwcv>U$wtZrx%ql+wStpJcJpS6&8o=lZ)d1Ff-)a@gWcjN6i52<72>Gd{ zGkmx(_nIdwTOoLHu;WSjX#k56=uMYW0vl8w)vTT`R)%0oNb=>M=9H(FCyt3Q-E;y} ziP|z8&^efiVZLlIoPUcCcMA3EruMV()t6WSTes}&QL;2~&;^7JogdiP#OE)$L!@Ho zJ6MAusCdIFv!rB;LzPD7W4(auR&1J)8n zB^7ArM_5Eq^I$#UFLzvp8?@u!D*i5H@5*WqQ`v#%L88W^YUWa@4WNe9!8CR9fU8W=NJUY~R;Oq7ce1 zK0j7b%*B!zYtac#+h4Qqn%!o7nQ=`p^?*d$^MX*nIwP~dGpbHby|X{rjgE`o(^pvb zwo0k-t_%_$Q1NC>p%Y;Wz13i{G5>PwofyYKwZ}HLz)|j43L+7l(Nr)i;M z;!$r*;zGa{spB#P?EtS4N1B)5?prnhdpH7r`Nu8UHP&juN?T?*^0fHZI(y>cus?Ye ze>UfxEg(1}SLLc-Blw*|2_mMxL}Gj`QOoq-q*V|KFQOqJCX7argDER731xexbBT*H zcK3ES3q!Dss)l3;;$TMDx@66zulX?jG?OAOLh+7_@xKa1tuD!Pj4!PMy@-6dTlmue zBiPC#Ym$tvU74_x2UsfR?!9)n?fqU_RC3Sq+ZLt0xI9I6hbW#ATT9kl8l`Z>usBIo zjianktdD*5D<%Z>QAhZ*&jnn;ATGlnD(Sy$Pvozl%R)iy=RT0}(j((TNes-a$i#;e zL1<~+(pTr=7L>SJW^Oq69X~*zUTpG55nr5&U)w=m9}d1H4uIK|%#^!po8U!5*(D^? z)*I2qxd?iA94h1&+l6%`|CUQl$(a?K(`o*Kd)`x{SS?&Q90*=&yn}l}ECI^uWZ9jw z?UMr)=(P`;d<)v6>-nPm^H6dW3piX*p50NStM7>Va#Z5){!*qmPsvJ1tQUcWj!R1R zQDY*awDEOPo6EU$(K1vHqPWmkmx%Hm`7JjIWL_yr_Y8W23Oy`0=_R3GZ)xyN%|$X> z{N4ziS##HIn*|``R^t&fn|Ig={n=PeS`Qk8!Ja_FXJyv>VIy(F3ODE^7J4Z$xW3Uj zWY5Y+pk&6{5vpa@5eGR@49(_OwuXZA2h(#RKLLk)owQ$*fklTCN#_!hHj-N!I#AF1 zzo*?ShwlIlxn~0s|9xqrVY~eMIYBsp*WGnhn=K3!ES)bz%-4RxfG=hi{Q!{8cbXbp zx3P2ma(H+;K+|jP_ng9I+ev}wSw?Vp$avkMH6(J|UPPvLVc)3!2&2CaRIsA2mD22Q zk)W+|E7=RCS)~Idv5L<(kJ}l;bD-$l`CNbX3!%8!?0b;YQ(n2gUN@}iSt7f=782YW zd5RLkGpOx(c~^JM?ErcR@*R|X>-_i{*WlB65TNTDJdEg1NK%$2=~|~~?7U$Y1t|x= zCbscIDvT(tBeMh^?=2ybT~}Tw~9^L~a7R*Sc zfy&T>>GMWb+FoG0Morpb4Oi4^Fe-NU901Jw?OW}Q#j{7{q76u@%PZ3JbbgL+Mjk`G zV;mqROd;$X6HK`kJw^vJC<(zqBWS8&s)K7@*ZXR;x(ZpVwTFv~%b*@)C5)BW1t|w5 zVoLp7zn;El;IX}=Q)f%#>ujh>BbcID)53lxS!2-kOX_eZtL2b!HgqYca!Kd)?D3GB zpVx7mAfVIt93xJMRBXt67Aga9z9TKbw$t-V z5GHH{H?p*JbWA%*N;WnBHSE?WdA#pux4WE!m{F`u?F%`CH$6^H5@9L=W{F*vp*jIB zVLIJSFE`jL1_=?MILQ8hQvh4#Vh8MQhvw;g0A-?+KFdoqXsv4yj*f{JkJ(#RZWDg^AE@+>tJrmhX-)|8=JF=-?UnHEl`R6 zH)H!`yTv%2D=`9dVwr)vMFToIStjmm-JohquGP$eBUhwE0c|S+7ws{{0bKmCI6TOo zxge4!25kGcF}WUBUu7y*KOZE&zcOozDHmF=*fGP>uWczpZ< z;nQ!euE72A2gHSZM!@{}&0iB4bE9`%nFkY_;Bqs6QyWF60JrA2uVa_i4~X1V`;{a}B;E#?tBz5d#6tWLszMWCB8?E)v$S^YB|MdEvod+Vi}zd*2ybtt7- zeB05TLJ6JJz~)7$9-KrHDQnVQYZ7;23@`dCWGoM|wIZ>ZYxLX1Ps317QxUr9MF$_y zMj^S%_Feig0;2NQFE}^zvmb2o zcfB6vp|}ZUII5()NRg@;cutoGdRONeu50rh3$iXI>3?C*JA&*USdw~bV@TvHnjMC= zeM|rnH2vg+0$Rfk}249jPJ3T%Jntk_wFsLAs^{qtF%_I69 zesn{*+g*6De8AE2YhQtn*XrGHIp+C7`SarqvEXF1WBepeKU$#@CFMOz|8jp#T`&Gc z_{MKynFXm8sW1w}ei3qeR1Zz6C=uU~hI<^D-rO@b3FRo%~n=#mi~gd}^OTI?c@YDXPPif?uw| z+6N~ixx*DiSoy%$Mqbq0Y(M4Ck>Qjd)cFZ*D9#-(!U^{Fgo$@!EQtDZFgRt^vZ1kW za-9^Xb0mwLho}PGk6p zReBS={UUZ?1UOIA8%QmPds8P4m@VCKvjyO+Jb87x8%@xhKO{|MnCe#30(AMO0KtBg zFTvHX-_J}MC``n$$wi{2-+O%4`+9BI|AVm@f#3^k@4S+bf^SsDHn=bEA-bQ|TPlxd zMSt{T4DIS^`$M0$r(?Xq57Yue6O+rBBSDy^8O=kqb|DL#1r)nS3f6Fx{ZEk|?lBb% zw6v7n%uc*E=Ucr>&LeB#6Yh6NU%hQfIliuE^hH8b*WeTC;GlB^n$1SuAwJ&Z@!!+l z+NYP5-jGj+q|;%tH%dxz#;H5oFRPZ6J3k7_`TRtpfbam{#{-|S2l)bQyXDRAcOfIJ zMb}x;#iQ7w|H1Lgwc?fe5PM+z{6WmXlZ8+CvSFmPsHK?AnZhfGSIY#}`#Tk?HP6T!S|WB1XwV&5@p3*s6_suoCEP_# z40yBIZ18U~WGPsJYh4cIB1hz1vH%@-??45SYkH+K!e^YxTkq3U|{#$!B$y}mY>`Z^gKRx?6XKWj%rqnt0uR{aMUf9lBrDZwE0A zNlKQpF%5m4uH;az23Xtuoov&&B?|wQTnZJuW32fz6SoZKj+Ww!o$;cweZgwygIQJy z)a#_Oo+M=7hew?pLH7e5C}OdE@Y5f(maL&#V&0VNCll3yfll56Mr+#gbq)^U+%vMY}HLmWYeH?lHkqlSYt4BhjVNS6PEhsDMyH zhrqn2q`Dy`|9f*4A3NxOoea^s)*H*kVC>8USC1BolznE!Y@S%Q{Vp)G*XZT92hqkD z8}cA!leMc(Kef}pzS@z#?o|ILvt$>&N8+^^o$P@^qAul@2Y$X7`P=o4plco#;D65Au4!(sT&PtO6ty`UM5S2Ul$x%=2taEO-N;p8k6MG4o5A!@`*6FE-avsS#8Vs@LqcQH9oJ-w z^(H*Gd;h7Xnk86b_xC|Qw24OCVj+#xycCByKFEWM2>-=;;r(Tu!ZDr|G6`yWdion8 z04fU~X=-r9GS2=yxkF_#F>ilgx6`WNzpcN~O_li=&PNtwV6wv&CtLU1X#&)z{&6UY ztoT})v+8p~rn7dFWaY>35)@0(TlD`+fcr((6SO0H8}u<{D5)%v{u$w4O|$t<@N;v; z*l8N1#-J_SKS~tEV)o~y;$CPQB=g^nj1Km9`)O~*$bN-bed<7e49c5(YqTEJ{_wTe zK?dRqUx~fBpb$qk;?0po!^C7a5g#!5-yiNgk-N5#rg(22^S@04dEUHcyti&4jyCx} z4(YQF=pkcqgX4J+TXKdTH}Xf^481Y4NI&!V*7+Sa$G< zF$6S|5hbq{O{dA{s^hmHUp$vBaJ#zBP-FkOq;}9{aY6)wOz3msRf6p36x$hJMC7({ z9rw1N-SA%&nU69y97AhS(kh$HVP5pc+Upe#*HMXoq^A}$HHOo30^g>7Ca{XY0q$Ij z&p?X4y7aqtz-(Y7*w8V@fK!rdSm)a15ts0bS7Ii*%W`gJr+>ln4Hu1aZ^!Q@VvS8fv0o_&+jjH z@AY;cPkUO9v$af3Ov2u#5OUVylCu1Qu7jln)yQL@y$rALMXbN3RcvBaBZf8s-!y}CIV zO_n>#8_5^d53~91MFTx#v}Jv&W7Bf1%kM|mV3FqJ&q6J*ED|goWtjBLLA|+vin-j5 zBlZyEWxbDDO`)2P0z;~)ZtAg?hFm6DiH~G zqoBs4Q@dxz31#og6!bbgHy7;OxtT(9rQFXff-<^CW)+*Je-U70=48Fxl@@ZfLtTTi zFXGV!bS;bAwDm0(YA&68)N~5`#S4xYe(inD5GX6xfk_D!+u*hjlcKfGoh`g19Pk3} z6{~y<)A&W*i92fDV~0>;7A5Ks6klx~XE(VadTf-EW0{&VX#uXNX_TRR?U(U+rqril zuy0e4EHhvKU~q9aF+JP8j5}uZsH4#@g<_YY89Fq@#;SwBsJ!$o0Dd9nT8naO8-KE! zRN1jK3YPQ&D(SiXQojNf-GCMO0}TD z>NcXzX`1-h=7PrNL8%3{st?me2sRXJvO3&goTYy2-j2Oyc%qgysv_f<~Im{?-#pMr}Z?J`gxK?3Agph+i;igV#{l${JjW*cc)N?CmtFz zf=00MpZs)fDU2l2<%kXiect8e!tfVOI>uWzMO@=fQg=u(6z=?jMSK3p=!17dLvDv zQ=Z$BHFMYLT~7Sz9^pCc@;n>vUh3m8DS`*4>-F>}exOw++6G?VMWPQDq~iqdUX_oU z6}nZ$EF)9LzEU4P&3Z#$o-g-H9k}NTAwM+Bq>Xq`aL|y0fy?{5ZLXURkdH3^=@|4# zS>9*~l`1tiwwl^kl-b|8wpx@C_{uXP!*J44DJ>AWOc9)Dz1Xr&k;z`^q{YXW%jZ$s zoIX4|;Wy+SKg_*`x7a1{)wkac<0pjO-4&2BOF89U$A)I*A#&KSGcU99kEAB#j;=sH zQQ@E^fyr&~p+40O!viZ4u|FsuocEabj`%fu)N5Bx_O z?yo%cEiBugAX6vM3QK(^tC|q#=X_atX8+0Fc0!46 zy@=M)uJH!);DAIMY^3`$9^P%GnDEXzt=k@_qMlwy|AL&D`lY)Z602@6@%5W63l~Ic z{?T3&kfC$0(q7t+md}a6YM`Dr(8vjENdJ;gP}BTiU>n$O%m}n7+9?z&^%zpmFMp`6 zXgS>{K4@eqrt0?96tHh<0?T5XJSL0H(7)mxq&w+%iOt8W6QTjJh$eziVPA}I z+m8D>$0PYJG4`>LjVeA->;NXiDyLx`cklwxXMtu}PEER&S5{37zmdI7Pp?}4kwwmc zW~bqB+g0h2VWKFk9RKS;Nve)sM=`zcX=P;&c>MFbF903Y%LM`@aijnTy^hLU^*T;E z_rpZdDt(pW;L~+(X3aGN8~W|v-s|>;HfxeXtuw7dv})WFdR2`C$ES2PEy!3W?u3zg zCEx3~bg1V#PgS0|-L98(zg7o;@r_x!R_!ZnG}$BKEkK<$O$70k>et=IE&o?xZynWE z*TjuJEiDu)Zbgf`wossWk>XG^XraNSXwXpHp+IqWhvFL27AHV)mr~qIAOs71q0jrS z_1^p4wQl~%THzedKC{o9-^`x*&1|A0W%;IqorH$I~XyNq>iN`sHV+VlV1QJIyP*q@fvE zk+VhzHHe~=p5qthhCB?DH}skMhioI!_`?bTa_Kqw_4ZSz6+5P>Qx+t*$ck#5g*7Z) zd%fC1s4`E6t_P;AR`bi3(vC}XR4j~%eIAXRWaroCH#axp8$P}d%1wQ7Q+tPnyrkMy z4`Z|?Dx3GdyoYs-$^%EWsJ5nGOD?Z%5o?>QCx>)2GpAOPMMV#BYbr$RNZ={7KOH-_ zTj$KG)kd&WaVE@$&|X|4F+iQ!5%;qc_{`48hR5jLp&raBdtJE8#5N%mkS&{+FfYwh zyBVflNu5;{`waQdma9Aj689nqpjY`l|`E;V3L(2tP<&?eH76)=r93DNKyV^&Gw< zU+WT7>{90v*YoKBK{@ri$r*TJSnLha^6!WkkBw5Ex2s)@ZE9|*I*ICkFk_=+Q=hWC z_fmqQ29NDGa6?xEmSMccJ1vA+ArV@~#fg5Y16!vII-|%VDtzxklk=MJ3Y(g><<{V;e(&nM>RRM9>oGr<-G(GKeED+Y6tB=YnknYmn9-zNU{ z{8l{Kshk#GBZMy?*H}-VPnYk#p%>z?H<}`WsT#Xh&#C(kVAcL+w%@l;ACIu zWy}iz89SA~WT&v7S49MSriYsgsI>fX`8hIBOBZUY6HQT>I=-SU(azUloNN?K*cYW$ z(}86fi9{K)7e8uqG9gL)$EyXDdTUxZ?kcDE z2R0Vk@T!l$)KI;*NuQCNZgsuRAUzjm4JVKCNTnc8hN+22Wjh-Ug-d!Ty-I3K@MlDn520OkI?M0=$F`qm26pRPi-<;CP zwtwBN7tMJ_DSqj?oP2L3%z5Q1{89zzoKAL88<5+C#%*AN(!NUmk7qY`#*q zFATiiUy`1iCIP)@SN7e86hW97p}Y=zE4A0Q+I-MzJ8eFxF=yUN(=I*li*ATr!^R~EKjB1fhOf%26UNtQ8!gKfynjf(1TlJ&Z#iM6`$7VBEicVNj{g3y6CS5 za{hlWwrw|Z320iVGkzovWU>#jd3$sl)wbS)wt;38!XE!~Z8O6A#Yd04k9S1w+>LkI zhWf47Trh??<=MV4XgxUq-ut27HoZ=a7_DOFAH{#u7DL?ac@B~}+m3GzS%@w@-cQbF z9{ND=omM{I9yF{sR&7U}C|4p-hoQ$5fab>WQ5jX9vTz%>YV$ZA; zs!FE&k^Sd_-O}k)Jp)$VK@#U%#(n-DwcQ_E_MJtQz6lM#a|Nc7|$Fg=24(Gy%C~ z{TL<%{n2BmCF}9pRiU zm|QE$!KKS*qEPJ-s#Q5*@gPMI>(SJsg~?c8BmQZTj#2P>L9j+k@743_jo)3TrN`#X z!)f_PTzy1^T-zWKeOSA!Jdq_91l3&e7IG8x_qJw*rqNr0lC8r4%Mo@KE!(7Jg~OXm zl0wD5w&qOl(tD?ELrNaWC{sziiz6(28I}g40m=p7knIdDy1w0~(H*M%Sn`jM9naN3 zQqp;qOO@)p1NGC-)A}&w!t~}6VBegm5|6?|4>N(dw2z9wv0CR9fXVlmbLp!F0y6m+ z+OzY;3RVOhqi_F6n~mXV#(q;kr94=Q%iW%~9O!lh(0;B{3V3|5+9 zFN*A6(S4PxnT)A@=pUPiUNF&oVai>uq9_XjhnDMYd z_3hs{r^wQCZ}3o4=qi+|8t$r1)C&^8H{Ul^>1lDUSMy!2^wJ;O;_P4mSs@F(Hu$v` z{=RB^4{wD9GLsYE>JZ)~0lDO`JIJhfhqTFjUZYwzt}!Oa z7dBF(#1*=hfj5PuFL%BGxJ;ZiX_cB!H^L5|bs)pr(JbZs5Uz6}!HO8qZ}1D>SC}m0 zfi+pH%kI@FDNakhCiB_X6WRD>>=p-kE&oA+mL#)vXG7DNc0OuzUM9E?i-9!#RPKja zVaGUAj`khar>b1G8pRJmzV6YM5<$1x;eC$y9>nWT$KvfMUwCW-`W3WIcZ&Vqghw_m zKe$h=>G=GytCaX!MF(P5>Cvp35lj1`1tdYw)y1B_$5>DA3#v(!`^8z_uenZQ?3eFA zW!F&x864zBf$qwpA4;gPHe-{Q$CFPxsHL3q!H}ENpHiz=ZSO|@=bp_7%Si~a!k`=) zikN4Xsvvc3<#(TIeqn%o<*QA`=uP7v*875d)#c4(Of<5z0OS^9oG-u7j%5szaQd5D z+VS&r_e8NS7Im35;#7;;6>2|D+8bz8#Z)AY<|OA|PvX$kZvzJ_eX$vXG^Q^DQpFliANeuNJ#d?>X#DnQY4>5yz({~)8Q`lNBVJWFxzMT&emb0Z$|@sa;$ z^Tsg!I+IG^(W~z-sT#>2>*iBSgCuG)Yq~@y+PKYDima^t#g{&%BMB)u6Mv zI`={_2(gXEQU4Xx#r87rhd(XXFA56>fE9zs!{5@zou2jRMehSl!V&@hS8`AII6aU~ z@q9;+XkTkNt3&4>yxvj~Ao?E4>b2#{I9sCEPc(9)-t)`WztCgHz_udQM3Sv*zTWGY zdkWIQ1PD(a$}9X8Tb3I202)xe@*{S+Vy-#=t$*XNCd0i3q(=W-&|>Qib*}}HPzW|B z*}u};ZA6wxCb2q?3Awa`t8%a20`o3 zF~u%};R2Ce$uFGb`#b)SF}2sXH5T{J;l0k{VgujAvcicAk!7TBsSR0FZz87+edt|l z_8TvusH701zVX9{E&1+v#qldSzcUVqcuqSqYsfs-u2*FF|3PD;3c=Z89G4WFWut`= z^F8``#H`#yWKYwP6sF+Rw_`i1!zHmD#}9lHTLPdax*gV>&nfuOq~m;DOeA%Dz96N7 z4YYT<{&5Vme6j_Xq;{vR)0^i!h3p%wfM))pl(&(OL8a!-qd?$Q-gUiE>nflec?mYm zE~%rxOyWmuk58fcYbPk|58av;njSo@Iauy_Y*fD87+$kCNbF|#Hb6ZC6Iv~=eUoHo~K2JiBp5c9D3dBh60$E z;UN>JzzQp!uf71FoBE|5I`jaU7H4e6Bus z1x5;F{1}Gb+%(g#xixXpqgQoJ83(F2?H>kvP*=CEJ=eN2YQ&vDU}?%YSARyGZF=DS z8tI|E{OuO3Ov9C)#@|e;Irxg{k0+cheOKuOgmccwO@6j7&Lzc*x;Q-qBY`)&j%=7W zN2?IG$wdlmJ6Npv&CtM;7lf2Xl=q%YT4n6eV)Gq_3#P&penl zvb(N>ryNVJC`Gv>x|=yuNYmA61=@246CA;PKTF;Dak(PbN8?pmGq1O88V>?)Uj9uN z#QP?!`lX+J5)Dk3*B`8!J4zdEPj=-Su?3qfk*#YW#JRW-MibfCs%j!G802ZSc{VM2 z&daXT+&dIKQz_F%W3$uA9Tta$Xa_=i6$IaVT%wj+M!#kM`n?)p}P ztEy>5wT*{IsL%1QtN5oy56i;7A)Uzn;beYIqKMtowj}yz&CO)KzJ?um90jzoXy;Z7 z3YPvkbW<4WJUrkDsePM4T`A>D(E9_-8f-h^y3-~D^)jahG-F|@ny}Pbbm+mBT!QQv ze`fXlyr_ro6}+D`ta|JmqRw14IW<*hu@Q|tm_IYBu3c&Cus1K`c?hxIw&9h-Vk*cyH;5IN@VDBZ}#{RLO5 z(Mnq@$U{4B{L1f$D>c*I;NHn@6MG^qyRxl?f@fjV&^#c46ZJb7d^x#8lZ`W~m3!HF zGUyMcV-$bM>QHd85iIRt@3S%T>dspcd5nxkzZUT6cJjO1js*Y4s?}XFd%OI_mRE8u zGbR~c0!b~B97ZW>{YIZ0x|_8*9XEHqUKM~tpj0#Xw!d>AN0n{|5yE4|5VFDL$ED_-?%N)-G}vW7!t_hM8LL_ShoGqiz_W;AA3A zaVSBJSbsH^WkL8xK|oygS(fwEd! zjU*?N%Ba!hfzk=2!1L-x6b3EEf@`$XwUdvT=_$%-`SNY4f)K}~KJ2QRvet}DjxE2s zl~?V+Vei3&=iXUVj^$zzP$4>n>(b{BZt??n66BGGI|KeeMZ5oZyX`EW31v3w^Q_Ir zUrVl4#>=~>*=E_XHHrFlhaL#W-WAgsUY(>Pj&h6V#3XYs9<(Lhb5q^BozJ^|RW_cE@H76}(MIi*^-VS|Lpc7bVM)PP zmtArzF0n1&m#%C9$w=XpLeRdmX4drzll|(kHOe&Qg!Z88zc4?H^l7HZj3i3lS?DRR z8cIkRzrNUv0V@jUE`BK##6cT5Ww=pGtrkJE1^1$R;+Guack#A0A1+U@Tt^+x#f@cE z1RIm2HW&>`*XnrWcViAZTPXIL1&lI7>ODa?SHnQ1n4gc8%DJ--^Tfe+lNl;zWk#9a zDu-<1{<>JRjTH?Q?ilhrT9)MarEW43o863FPE&xC#BQASJT3}>)WB=5zWE=1Wn?LV zI;5U|l6$&OF|GoeYxzo=mmu=1DZ76CF1pT6&5M1Y$t%0`z$xqqj5@7TC$a0X%6Iu% z8tG-=o><$G1fHjh+K)guMS^;#%sw-VTAT6-^t%gOQG%wZRIquNq&q#OQlNSbw7 zZRu|G_*lIwu@n4I?MgYO{?v7LCh~;~!Gk^KkJngg+HHP<Up4_db3H)a6v^ zw+}xI8dcCAgy{QEa7{ibD&vJ%`~=dMPJYkKGCwfiP~0uIcpT8%@1#FGtD^Mj$RE?coTVtDlV#G_5V*h{ z^9orgXBf2Lx?XAoLQymrLv!_%_eZ+*YRQXFJ6qb8}aX!L! z9L|UQ(>vOKXZv9bI%$Ro09oo!+B2a^8nuGYjs;fQ4WTLxRAllU+^c548$43Pn-0GJ zRJmhB7=a00j^!(VX5I`NRfVn6cJT?JCl2%8aZxQfY1V^~B046X_bv2T9&=e)qPwNe zF-=a{3s8nUYt&C@z_Bl>s>33UTPC{PCH3E0@?aX7NI`teHE2QWGC=cI07z!1k2W$= z7bj>Qzf!cYpw-dR)%a{tF%~VB@g>+CA+>V}*tn)$3;ym8z2*Y#s^+}k612pXLwnYN zuVzu1dHdPy7{1W;Y z-|5C3d4AY|ENB1y8+FxKNm`OCfG_yKv90@^`NnICKIFCj7d?VM>bKJhEF`EA3b${M zAQ@?WCIxS>UO(m*UD8Ih7N1{f%uZe2isC4$&zhI@w}OHab{yQ3JHvHT$QT^;cJ#OMwiQk8^! zitiMO<{Kkb3l}{9_61)?{PO1okHW&f&^e{^V55Tkcu6r8;QV`*J@ z-eruFg^&m8A0hd~@5@ljZ54L|aQ?>Uor^>b!(u^{5}^Gv>#Uz}v|+gAmu%}NH%}e} zK~qW^un#wo6%%BkG6ghq4G9J9X?67Dfd%D0A1(Qn_&pV8inrN8lJmt_ z+KbXoRh9SZKwk;YldJgL_N;&8uateioozIJ=6EjZI;|lR4JS=bPHQejr=yqpt2*aJ ztt*sT1=7$=vBky%u0LLD#LC?X0ER4%(5-XeE-N4L9j3%UfPnhic6Z$RQZC9Ps&)6+jSw}IaBdq z(6%)euB$AasKRjGc)cN@{Zgo;V6(kN>DomeY!?~D==20Z58D!{P=jt7o!OI*ul_dP z)MqR_nm;<#c4cwp-4DTa=Dsdcm!^?EUD18QedR|RV4sXugr&XN?1vKDA9#egzujS{ zqC+*YQ@HB&E-SNwdo-fdHR&U#9NDBuoU1W(GsBBtG|T-}flVd%AV~cZW3hze%J6Bx z9*?sVYf|QRZ=aHu$wPeCwR~-Sp8?p8D4}xdX?U9X!RQS4X!%H$NV07)tc0g}`>(b` zHe|E(Ia)-QNRAp)WN3FdC|=J?xfYvZ` zpnSX$xmz%L0xKIH7jNAM1Ma$7SlhsN$9M6RuUr41T_>Mo z-=z!=gnAXL+`&fS<{8Jq)QB4F@eA9w?UNtvkr;r!Nlo&o&D)hnYHAC*Qp;z3rV}*| zm;ptaU%znmSLnMPQvBe&5l- z*LOw0+bMm&uJWz1M1UI6{1VW;AG_kM&Mfkxzoc4uC2u|FWB+NaKx+Q-84(B~O(a%- zr5K#pvh_uo=@7Gc9wRRvCFBtV;!t z#k8a!T6go8NByy5m`s0OzFncDvf>r`EQSt-k4{(UuZmPw!z_-~HEcagSM^f9OsJaB zWw&?CxvoH3F>{<2;_MNu*}U`Vu|z0!$t^RnC?kg%xvII6y<+#mMF-M|*g@QANC8F1 z$3Ra!R2c(hN8wA2(+$K@bRr*9V5yU%tRc1Kwo+Fd+zgt9+FT2WDyA+Re;|uWdhJG#d4ijme?;VYO z2tErW{tO+x>t5@{rs}-o9np)W=*YiY-i0LPBDWdwuHotD0m6(^)DJO&l^1&%A@+k` za*fo7GH`AD_&w1bpNm8H9ogUip$#7;7j7M7!+Qkn#im>zfu5*xj1+;U@MW1X6Y z%$)@fWqgxRv^jisk1=56I(=Y2!R?9)yFEHoz!y1Ci>rc-0?@~;Gp+hz+fBgLKw*<< zV1iDOqnA0&((xfrc;tMzV1Z^2579RNey|?MvF;|$2r#vv>xQ^sHUvt2(s4Cedaa8M zNOqs*%vF8uDSJE<{%lHz6y@d!M0Qn;Srwl;O-7g>d`{WS<)xXwbH96c+|1oH$kBTK zhgy%saMfRDtX7|Xj5Y71flu=(fZ-WBnuZ`lC6Oeo@^yGnw*V8+xdT5a7NSE4bo`8< z``SJoDzyOQ4GuG@^2d_vzYQnysLiX~h|RFl=Y!K(=8uqnZiFL#Joa?o%?Ij9q`Pgs zF8(t$l2@7%=!XsZbc8c5Mm#5qZGBju`etJ`boSX)t@Fr~^9nq9xO=LIza+P9k4e?h zup~C2qcm)3@Hm8J5^W%30SM(nAA!B1*0Kj~sXwiJswp8BsyyaLUoi*<2N8Zns(hT@Nrv7H>Kv^A$(!~8|9O8@rt($?y@&v4*$}&{ zpYq39M<~m4Rw?I}ukVb&cmdI;3e@S6HmfEQFNd66Ozj$}(?&53c#mj1vu{k}|B3$- zHR881V**p&K6NLdSZF6e`_cKp%`0zUa=Qco4|sS?dSJMd?Ke?ReLIRN@#Du`$9IsF z?AiG#+_Mni+|U=2rH!12ybtv z_su_|V__!cO#k5jeR0k@s7|~Qj})Iw#d${L&}SkIHVh2;PZVRxy4>u}eS2!CqRyhz z*V2}3^4*_u_jnNecxA(=BY&miuG}Oy-?#IQ(kj00*xwK&i-B&Q_{8PQO^31E4LsKf zb|7_^O3C<3Y)3cJiR5fyw5EnWi3m5d(PF=jf<7kuCri>T7b4E`y=e#k#Szv_)N7Cr z$P-r{*XIb5M>ez&`ew>7a>|$aL#M(7wHQtL=5m~8sPb5XE&!USC*)-2ORK=f_d1Md z{tw&t60^K78EQn}hjK9bPXKtpdAUNxZ&!Xc zGmR_Lg1y{0EZ-H|Mt^thx7adixrm2FPXoH$#t-y@H*L#TtXkr`77Bij~@Ih8&WD>M$g zT#KBYRtL;zOVM4DE9sFVNq^QgJcoEvx&cAJwh8AA_c=HoZu|yoJr92?Sc~H@T^j@# z4WjT-mJQ7(b|(iIZckgz2#UtUnWb-Z@9_6ghL4NB*!U*2$KP%<-)b*&2^0kM%0pIN z3c=w4QXF<{t6q*FyJIQSrs7PJ{!LY@Q70Dbdp9=-RW6H$p$KL77j@Csdb}cE<)Njj zL51Ce^?+Yj*H4>c+a5OHU&}rv#8#8^xqbe#^fQG(vE^>}RwFthxV%I8;rWMAPSWVJ zY2wk`>>ddmp0^Uw-pC4tWcZz?$EpAP*+WRO!IP!zP#?Kj%t0qQQ6&QC)5l*VDLYg) zP67H{gG>=|cQt!xUpEpU;A0l}%k38fX&E6G2@ET?>21(Qxa-W^!%$hms|>2gYnNe# zkI>SSX1r`aGX9$HaK$ecm!to^duK_OwD8|&O|7zfpi${)Iyo3}I!TMfdJvp(#<#xo z=Es>)m)rK!nXH6L*X^a7@n1|>IuJ*^-EtkHUpxC0^ZOHte~Dq%DU+5YXPiGG@m~E zYK)dSkp*Q!+SqyDV& zXV6Z3O-)s#S8p+vgjlj1ALp=P{N9zc$V}lw3Gf6i!6o>SKk`9=xmo3K#>0d$fsdKn zCK_Q~kM`(Hn{-5dx=DD3r%ZR1;8D>2)7pDBVS({%Sk~Dbao;9y;nV%Eu6<0 zy&8uaWwD>}jP}%P@fy zOEQWVAdF7gM2fDhzkR@XvD^h=lnN<2xuI(nPrRLfXgOIA_KiuMZmRUGp1wS+m)0rJ zAfXuY%QAoNSiHMk$xu|vBKm1inF6k{+bF^%pDJ4}iFl=vN$;H~C+196^Xe>#zua$a zm-G-wy5Z-=EQ4b>W8LFTHAa1W(DX~G{pc15YGg)mlcRg{Zs4eKHCj*~c+X2evUKLE z$BG=#C`Y{Z{+e?WE_uV__W7w(!w{7ibB&TU&#SYu_#7_YZ>DBK?86QCY$70EGZWVYm5hMfP-65YhbvkC+Iov>G|j zjueKP5I!F}yz|(%+Kw6*cQAhlm^q%Bv~T6B)U8a9s8tE~gk!y8%gs@RCvZ|hs*jzX z@C~1sf&?5Wmsr1z(M$AT&L6rO+?))3qmUCRY5m{CV4 zFY|BKP2uSyH>8t9*~Kz$buO`v`_cq!?kWb4Qjo-Ox^nOn zaLe87-bnj(2lyNEx?DZJ;;zkg$ln}-ueMkCZ&(Y@sxCuY3wlXhpaC@~6W2(x(IKLW z{%L|b8B!-xVFnDVES=vbsrcVe(->B1==x9kkCeZ{N=9>_t1K9TA7}GRX-!jMB00na zs0$a>!BVpL?bUOOumx(iPq`Bho46!|-sFM^oF?O;4##VZ-i3o_4Ql!VvG-}bEoBX~ zfj708si%QTd^*_UR<_AtM(58vh$^x$0W)N8{&e`;)ZkvBC@(n9?=xQ6H?Zlw;>s4O z;i%~kWy=IUUyMJnd>Y6D%6qJcnGx7fy@|0c`?MP!Fsv%oHhdGT`nc)?98h(kG$3+K zgfn_GCT_+cN=5ap+MI_*mVH8yO2{{lr453gt?4|ccW?+ioC&T0D+6F>?AvrpXrJjT z59*@;P@;mpD@TJfF}&lkuXbnNhulD;wb@ zSGzVMKkD-(eUG6R$-SGK0i$Wo)OLh2?Gg~MN3>qZ3QVWVj1B!lt#I`n*N)DjS)zK| zt5Ly`qUJ$hl!cj1W7N%ePM3o}Q8>6ghEh9tR_5U4#C9e6M&|Ij!FXwOMEvgzv$R^! zJQCZ=QvXWxj8g_#<;AzaTcKzvWuQd0w58a57#-*hAQKR5tpeA&!KL@IGl zKa8FK^F8n`(l7V}796^R%ZKXX>P~)gyg?B8hNQ>EoH+y2lk?0*}ly=NQ$Bk4GqFhOp-HG#tH%Def1&%=j;3>bB~vBXj=ppjfmD zcW2h!%qmM|@1CKBhFpT64tn1ph&D?L-96#ir1hr{5*X-)@j_f3b_GdV4VHLi9_9%L zN&M$%fmsI<*wVR<8G^nz1O)dbrejBbJ5sb^3z+>KN8;C_gpe6<*%%i zkNj2kR?;vXI!=EJN{`V7yvKafV#Le3RGTUn?)C&dP>hQanzbdTI;bo+ z)LKgI>ItB)qX1nBdtXW=PBc@0cf2=}*>VIEaCv@D9Q&6$K413{+7)1LwdJ%^?E7`S zaky2A{BJo{14%;m&C$Uto_!>bdc3`a88Bv5DJGrrrnA@=dQ^%|Z~i4oef#ESSj~b- zuFQ7CaXi#`Rc_O9P90*Lid_)PwP;*(Dik0*|V#+9ofSnO~dvjWx_Q0 zYoiN=|HB{5yF2QKKt%-g3)PwDB;;6VAE@?^jT;L1nZ`s5DMB3{D31z>0ePDS6vaz$ zcNfTDL-d0s`I+Uw|Om%zsW&A0H%k295Oa=Exqi68Zsu-Ijy}RBn@1Z z3MK>4$~NJsmzWn*k5y#p4n(GmyVx2YNnU*(Fo5|rrab=nb3^!pE;VjR%Aq9Y6?thC zjf7`>3ZfsiE9M_nc-R^)4`+dI4A73GH4XQ_mTFkvzEg-S2X;93z8Vw1^fiM8#W=4C zJ!dTel3=`qdrEmC(q**i(NU01Jy=PACoB!|!dD;WDdDRP^AuQoWx@vTw(GBNX&RoZ zTV%nzQ3tbGuY*EBoW4e|n2szH*&9@S+Hz#sf>T@Ty)y5p+*H@64c3YHWR|57=!HP; z`q2dz|NA*m&p_8|yV*Mk2~UW!zre@vg( z*_rK-@+Q56$;QyZPs7kg5GU$et2~{nl5Y9gyAMefsdU?ymOC-&`EJnP#xjn%#%m-O z)ojtD&NG&YAH|#vq0_(EV}%G4uWNE)Q^wi6P~ZW|y*#;1E-!Yc2&EYPbYV*i=lnJI z8Q0?_!zh429L+gMj5b6DDqoE_uErg@4d zRYLV`}hs0XbH|4kRSMg>ofjFqyLpHl~<#I ze)CY|$cI107;1wW9*_%mhZ^1y%Oc>Epj;N}1~%3vRHR-s6_$dZE+FK7wAs#CYH$!| zGW9!G+k^9)apGt?-?;&c2SeNOEtw_Gkj~ujyVHh8+RA2*Y_;`M+yMN>h%r6f9qxDS z7;oK**M?7tcPq~oUa>#P8VGqBo*xT-iJ^Y67-H08%-S||^^jh4u_9Gs{^NsYH7OqZ z@+`3V*3<>D`5zgc4rwJKS!v@o+ zgh6Z2kY^p9>Bv{>MjYS4D?uP1As`=cdI`B)zM z?vuJ{%|`XZqgQX>>lXi zJCVo73X@XFVE$$+)BRiPKU(}pne)4mQ|&Am~uq* zf2e1~3l%xJAT8hcEiHjUQv9>fFydo7U)Xf^8rpl|wHEQ}vFNo+gxj6G1Brt5ZCyoI zWpntMK}s4xf7pOD2jhx?RHS&=A5UM} z;ivB-vGp@VNrL=(OsSjb{?HRdRli9_5z*CF+wbNai9@>^K? zLZyhsoKeJ-RKc8CCvo@Ar36DmY!0#CNjN2@{Ij_GVsPo#HQRO!fLZ2P6NSp&R1k!v zM0jS_ti{*vHx^460wLA-1ER&9t$)nG)`Rp~^+LL$x);x{u4<3bjo~gBMmz8lt~4#~ zOj@*H4zbT|npQi#PXYN7=>*H-QsI8jQ+V=NO1Bd3Js=*&YZMT{2vN?v{N}0Dps3X{4F+UEOVecj`X$cBpHto&w+Q0u&oN z8>^50!|hkZ5Bxj$;dN{{v=HGjhCrlRT;%~D$4gBaefXpB9FAqfbzRf4S}5e;i-WR> zm*-pg>9gS7m#1n|45OmROT8sZ?|)9Qwo6Cbk7PjBfhJ<8B04F5N9>e|BFY zI*=BG-k-ydWC7NzyN~<0v}&KrH(C;wJG<~M+UU3Gwy^{Q6x3o$3i_CMx`WgJCJH@f z`EE36zq`PwXCr)!f0|VL)OnP>64_OG+?b4)ZJ>tENpHL!2WK_Dn!+`V_?+HDo&#I? zTq&M<;wAoS;$z4?@$pBwnfFa=$@#=PTglJ0Y8GxyTR@}o)qqv6c?IgO1QwB&?PF)N zt_p@TaUKbg*GIyif4~sUHVLyE^z62Xz#kA>hWOMk6i)M|+K=!C_W1%r*Q&WyJ*gQH zJa+SyLyLXKMUGR6B`MX=p&jA;(P6b4^#xtn-kPqqs0M6i_k4yVu#~ERl;*cw;j$MN z{X5vw6TY`}23gwtt&OI8+@jB`cG5ux)R>Ji4_y?Ts(Rm@sFc|;E0-K7ZbOoU#Pr2Y7+-~WXi4;FwTd!8_-%KBH2{;z|9UZi)w9aV>S{$ho3 zzKLpb4A|(^e;W}H4%szVZT)`tw>2BOiv`2eH=fnrK(~A%e>3#J76>Y?|(}-p6!a^;^GobrWX-4m@L2_$BA&B(Hh@A z)nTrM)CP5VS57Sw?9-E?c5CgcTFyXJY71qZ`oEH9RAFDN;%wox2Eb`WZ=2@14lQ~bo|=fdGcCvK!k3$Bg}cK_6FFy zYS!=+C1W;E(8_=r3P$u}`rqy0`;Q-XtDu&vDH6F#X@s=@BZ5DAqMKFhgQ2esF30R- z`0}u*o%1Ee0%KEV3i`r55L~vTq=8Vx^`GSJll+qu9Yy>3E2H5z#|t-z6@7xi>5psa zbsioNHR}i8kHE2sHSSjb%5;4yddZ4oG_#n7c*uL^h;Ddotpqb2BVutsA}^*v_ZIng z@<*&4{kSU@q}sEF*ll|pDE5vHajYoI$a9~WHZFMco|qXXo>3RXiw1B$t}&o$w7Ub|Oevo&%e?G>+3v?`iv3y}b^nICTO3&b zN;q*JUfh4AF@(L$)PMGMhP;O*qKHVy;={=Kgh_`?f6y4We1u|=aOaonCWgc!U*n`q z5-b))k2U3_BLQ03RMS#x63>25>mAi%DvMef{70sqq^ADU@o<5M zZ<$zGODGEX?qMX{>eL@@*mb#K$jWt2n5dwts>=7@IiP`<%HL?59do^XhF;`A2>qYm z!}<$~+~_qx6;MFHNa0_V{xn#%_S#OeJ+ZNujs)EhiQ4*^HyH{O^vL1(EkC9GoVHQ diff --git a/en/readme/telephony.md b/en/readme/telephony.md index 604be147dd..aadba8cbd1 100755 --- a/en/readme/telephony.md +++ b/en/readme/telephony.md @@ -15,11 +15,9 @@ The Telephony subsystem provides APIs for obtaining information about the wirele The Telephony subsystem consists of the following modules: -- Telephony core service: initializes the RIL Manager, SIM card module, and network search module, and provides access to the RIL Adapter service. -- RIL Adapter module: provides functions such as vendor library loading, service interface implementation, and event scheduling and management. +- Telephony core service: initializes the RIL Manager, SIM card module, and network search module. - Call Manager module: manages three types of calls – circuit switched \(CS\), IP multimedia subsystem \(IMS\), and over the top \(OTT\) calls. It is responsible for applying for the audio and video resources required for a call and resolving conflicts in a multi-channel call. - Cellular call module: implements basic calls over carrier networks. -- Cellular data module: provides functions such as cellular data activation, cellular data fault detection and rectification, and cellular data status management. - SMS & MMS module: provides the capabilities of sending and receiving short message service \(SMS\) messages and encoding and decoding multimedia messaging service \(MMS\) messages. - State registry module: provides APIs to register and deregister an observer that listens for various callback events of the telephony subsystem. @@ -32,19 +30,15 @@ The Telephony subsystem consists of the following modules: ``` base/telephony/ ├── core_service # Core service -├── ril_adapter # RIL Adapter module ├── call_manager # Call Manager module ├── cellular_call # Cellular call module -├── cellular_data # Cellular data module ├── sms_mms # SMS & MMS module └── state_registry # State registry module ``` ## Constraints -1. The API for registering an observer for the SIM card status takes effect only when SIM cards are in position. If SIM cards are removed, no callback events will be received. Your application can call the **getSimState** API to check whether SIM cards are in position. -2. Currently, the APIs for DCall and SMS services are available only for system applications. -3. The open-source version currently supports only the CS call and SMS services. Cellular data and dual-SIM card are not supported. +1. The open-source version currently supports only the CS call and SMS services. Cellular data and dual-SIM card are not supported. ## Usage Guidelines @@ -140,17 +134,13 @@ base/telephony/ **Telephony subsystem** -telephony\_core\_service +[telephony_core_service](https://gitee.com/openharmony/telephony_core_service/blob/master/README.md) -telephony\_ril\_adapter +[telephony_call_manager](https://gitee.com/openharmony/telephony_call_manager/blob/master/README.md) -telephony\_call\_manager +[telephony_cellular_call](https://gitee.com/openharmony/telephony_cellular_call/blob/master/README.md) -telephony\_cellular\_call +[telephony_sms_mms](https://gitee.com/openharmony/telephony_sms_mms/blob/master/README.md) -telephony\_cellular\_data - -telephony\_sms\_mms - -telephony\_state\_registry +[telephony_state_registry](https://gitee.com/openharmony/telephony_state_registry/blob/master/README.md) diff --git a/zh-cn/readme/figures/zh-cn_architecture-of-telephony-subsystem.png b/zh-cn/readme/figures/zh-cn_architecture-of-telephony-subsystem.png index 86a8b03d3560768bc958ab7d2a5d7ff8f7153563..a7de4844d182c5214de31a389fb084ea5552a99e 100755 GIT binary patch literal 20510 zcmchDpY1;sO}Fp4rt}Tue3ES(BDvybn!{!x@)yww>4HYZr`;1!jl)-W*MKahtxhB zR==I!_4d>wgL2PJIcao(eFP5$O*iuYh4iEUFRkLs%EFYX2xf}d%=XBUzM0QvKVMsQ zVDZoAaVJPi=Kk#3b{`2&fV06%S&wAbuH8;OAlfp2Th5=isV8xzLL?Xwq=0sfw*nk* zb^K4q^A|*s{8=tZI-?kMqE#L|lXm3Z6BeRToQX9Lld_~DI12;L_HWyD+MeX{oD1_= z27XqgY>A@~eGT%4gvQL*Ldb^yt zNDKErevd{>{hXf`p4MDm6wUDu(!dmt{0ds)~mXq>F zCxL6q`|~G74wYlRhHZgR^vxPw9me;Yc+@V%EM>ZEhkB-e)+#h#X69*^X z%(YnnCM98nUw5i-*`>lTeH*WU5Rt=h8b7@HW?#TBv}0gGI!{JO;P{}+g)na8HtR7x z28q=m3}d*{2r32t@H|`;{HbWjr^tB8x_o2q1A=i*roH$ThPGEVt6RMZ-zq*un>26> zva!HVp8m6AfmGqo_hJzFqRqYv{@=fl{6PrcLsnA$w$dcqWiygDc@s-$T(xv_B_vx$DOGpmX{yi8q=+#PDq+5fq3EP?72m+Qys412=a#Bm=WTFFR^Zsab$&fADdAT&qf8u3p#GTRtxAV0X<1KA53oDYNEN4Ew zJJVdxO6AJv^a?XvRBI zVvZN!y^Pm33p;0C+{s~N87~QWzbr#J@OY#_Z9+PyIIf~kM`T^Vj=X2#q+fSz=IZL4 zaHmMVJtw^k!!gU?vRUGpk%&)h+VkQcyiY^ZF5=FenHPr}fqh7&U8(dblxjN1Fg|M2 z`@p)jqTnYk_H#Ds>agIQh{E+uWqa$iIzJ8?6PVToKNP}SO#WT)B`T9_ju{I<5%E1^ zp-gwhcW@*n3+ih!xq!fC&q+XLV@DDz3V|MkZ*~Y)|W`EglFPIF&z4GmhLm9q*f*y(gw42HGGJeV7)8 z4)T16OY5C0KdWZXS$q14nS<2(Q`3!|B^x$74{c>oKKBeAC=k}RW?2Rep$a?O2{CMR z@6671gg~g39N}+1LA5^pg+5f<&9-^XaqSr4ng{{Ub<5-e+eZ}6 zNcfxUK`VT0@#t}JOna%c!c`)v67Yl{-f&jJWbRHhqNJGTpmX6}QFFo~?-gIsRf{Av zjeO%J+EdqWX~2-z#uTjDYYWua%GIkoJ5hC?c;>T)qWJYUHM%4!FJA5FLV!0)WJ(u&$(!VPL4{e@rf{O z$#0tI{kuzA`IveJ_rl1>=1IG;@SSlt4oYTvhUh${d4|D;`2LTGOfp)s!T82l&jr^p z-Q;Zdwuw-kKASY(@$hHfVq;sJF2i`q_`y!RqFmbI6t*WvqkP4vxFk;xHo6`l`((w$G!V!y2jYB zH9zcoA=d{RLOwWza=o&vwbVU2EmUiK@+>N^em(wIx`~mbMW5TrS zFZ!FE^wW06aS-N=S99osWW4NWT5Jr|J|DfIKpp5#;|G7nLH&x3miF0~LwoM3g+L$s zyY}439>7$AnUJG)QRN+H z5bYn)EX0i0usZi)&H5aoHpR2Mpo%odFAE6d?i*2SnWUBQRACl=bPgogkCvP&G&wqR zNyrXx-4d5h4pV_%HFTktrQ*^X3DwtgA2lrVb?TViS2cUFwjyQF=3HOScndpAtJ^7O#2CF7jpv!co-%J3z!i>K|_&f4z7qG5B*SZB3gxR8#&8KF~0^uL<8F#$EKxQXOM)^>j z8?-h}ZR5oB2@*M$eFDp*#(z#}N?)HERktXaovvUjF&+wEaui6@^ubC}3dpI4T| zH^G*#%&9FO%uQ`~QQPseY=JehsY11Vck2m~TWe>h`jj3dr4#yAmOTI z8EK@3)8ha{((Tn=yw7*#i*}Qj77PkQQpU(>a9#Cf<#C~g+@I~|u;0cu^er}QVrSNH zWKnwFj6$AwayfJS)UK6kyba$mWUt)BLp-XGI$5;*w{gDf#QGWDPWVqQNH<9iV@k}x z425^AIB5ne#vMkGM_JX}pVcVNQcB%aFOE>TygKKQ|HDu{W^pf%95n&OnOW>|5er1+ z-d$(sXF}EEXxTt!uFcETnJZ-V-yI*%Hnku>OuY_YU79iT3-*h@57%ec=f8-^?AFaL*=eRw=xE-IzrQbmLEdb;GMMu6x4ZNRN2$td6 zOJ*IrI7PeRjl0+KtvC&%_}|4^#G&xs%F-G8I|(ckuGpbV|38P;wkVc(tKK_W%}u-i zH%K2uObODjo<%gNR`q`uuQ-#qBIU8^6-)1P*W~`kD1Iwr$|0z4F8Tpj|9_a%mp+>R z&E1LrO&rpdg;6}2`U6?Q)F+IYmm2UM9PaX!5J7S?TG0mR%ATY48rn?WIkADk2*Crwp$1sY-=8C10vhbT# zN%D4b{w?tzV(JhaxOL`{&4elAMh0t_u70)SKfJb@LX|55fXV%+W9s!K-fSaTXsFc$Owo`!~d^G(KF1Nd8TCdvi~bn z@*ff^|KV-w_qkG@bQUTbgu}=Ik&Jj+#CcwUiJEys=1N8zWy zTBsf|(Pk|b;iSW~U~nlqe|SeJhV3Ey)AIwXh*zlHDe^9#nS@G4aRiz%IA32fyki0Y zj#gG@os>f;mk}?{Cvk^abdT_n@-;1X`>}JZi|0F!lOhQ_YKrRCT;5#fyLWyz86!Y; z^6ld+E9%11)I3SY!2&>>U2tIOj+Yh%=bz^k6T&QA6SQS(5qiW0&=Xo8w0iUy$xS|* zvey%>{vF{a5$(-t_|w>hh<#z?1yQMEIMS2El* za!qK3MUDO7JO1Cn-3wt82e z5&9a~8y@h_5ZJ=V-y!vzV>C*W)vXhe$|sOHT5rQh=ih!QSHe}ob%tHecA8i5R6FyU ziP$#$sC@nUAizWko~%|ls+b%0MKSjlZ$YhRVV3al#U;flsjqhg5ORdM^Mcnl2=P0DKH$3g}6$D9yQIiaWRmK-Wjm1pt+!_ z+R?oFJ?H&~I1eBZ?Kw^FjU=&2Sn?+yc%x%`^)d~KYxUhPt49UZ5$7v0?A7|ykyA4e zzlAPqamW=9RznXBJRZs4u{;A()Zp%R-xA+c>s{$>3I?b5q8Brc$y-`S9=J5p%u88N zAaXrIN1P%xF6{9gRfe=?fVD7LIY7f$Q`Hanr;cgKNLxP*mm58NAK09MO0&j2VQmCw zA#?wKv{s(e(Cv5r>EiuT(So^0sTs@Wj_V4R5mRLi zUJd&6tu36(+#g@9s{ZhLv*J2Q2%%hN=z;ZWWIduE1%F06f!82}eo~pi3s3`RzJ96F z(PC)zY4ukLo>nzJ&D0*EP0H@n9+nxhKz4WqtO@o^%GZ~+Q&17K(u(D>r&N6TOP^3#8N8|x}> zHfpw0D)Sy^vi>lm-_7a&>!a;SQj{U-yGdAk_!AG%Z{5}SLvQ&gQ__@EFhP_5 zfLz0Vz$S-tc)M8D$txi!gM<{y9rX%`8u^ZUh)izY)*CH=un&sN7sK~K3L58sEP|X- zm^r&7+K&ocfJy)EpCJ|;0LdWR<(aQ5ffrCITqf;b4f*Yi%3ftxeF(UuaCX(AXKTUL zuX~grgRhILWda7S%SH2_VAQW^Z-R83Q;{3vBkK5F;<~AEECr3iOte|BCXUiO1F|EU zM0Jz3+%kIG^C&SBT&!3r6GM}JMN6`H7CQAwp>a>rU;>428#&2GcEDeB@M{VNc?GPQ zDXesY+8lI9oR>|3ifS3!IpWal3+dybex_rhCcF31S^0{znxpbxQdm650|gVs_x`IA zFj-HNL*gdO$cd{*H@m%%+pbPrVzwxLnAo%f+eQ0`A17@@mG%14)!4J5dr(AaT2fo5 zuUJ&_YgF(cb+^w=UVJW4oHHmCg}BH_m;Iu!+WU?I-T65``XP)(=bSeWj-UlpVlJ-t zvBj2Ixw^HNVbXkQkGb4xlSq}T{)5h28Q2%@7gN?+;>j% zBUqmZwcN_6fGbO0XxtdD55yWlk{6X!%6mT*;3InO3bVY5r@X7pZAMMj{-(Q;LR1(q zIvPSwA!?|7Vdu80mcKFz(5rF99&>kAVe{5~Yzy$8d6ZFnl6ldPn-pqlZWE>UR&L-$Z4LX@^={XYCtI*I)L>8*Z&V~IvF13qn z59`H;D&|{qzsG>TH^Yuq?G)8&aItsf;IBx6c-70vbr#X$#&M+0k9`>!Tiu-k^c}XS z#C<7CJbr@Y?}b{F{WfbiaOq5|KBVrY&jIWU zX1W@6+e_fH_LP%7ti{g8NBX+mgk6gqm3>WKY3NzLxyvb4@O@}=lB9OWvvkXPuj*;;th)_D&S zJ|?<-duIP-A7EIP5jx$!PUVm*FEPUOHgHT`;mqHD!j*YHN(@aIngxJP*mZ+EZ!7w4 zF5{RT!6B9VjW!Lj2BMP{{H@!0u9}LIqR;@*9kZ9BPbMZ~)jYUUZlLStVu5wtCKskl4=Dl1o_|U$Z)j z;}W1zTN|!?;f*S)Tl0&j!$Y$yqsKD))S{*t%iI`L-sFH`VgAvtWi?EbY?L; zI-(50bBmi6zZ)mB@32|Jkd9CPYgS!m;^iUF=L2OyCm}MUk4@DBoj4@q9Jpy28ceP0|W$8ktPp01$ALx zqh?;Y_5XtJ3`y`tgkGX?erLZ_PlCMm7!!@<-iOzT4z;U)BBtp)Q49!>6l^%*E-<9)9hRtyx(6ZN6uVB9wa><&l3mO*@Yr za0noxnpOvQ-ugfg(?vi-YEpkqLiX|t^Lw#=jo~eu-bRPT7l=Nw&HRck8NO3TGd7J` zh_(zGJf}S{?ey?989rXt975_Iy&E~mozBMGGlb57-Xs<-3TqZtewn>YG1`ALwXkWh zGC`%fdJfgOzvw8`BudU^9j_c>4TnGZmuL25Z?{=>$}`^pU1WKmyK^87`F!YRy0E_T zIc*=+jPc;*_vW@TG#mcxnu^&wrhy-Q$FLeVgj@HR!WujeI^`(|K$?B3? zhCEC(7F#^P;y&rL8yEkwrEbqIC@H%;JuSR)#O%|k`klf>)0?>)8)gAUH9b`}Njqw;ePqM;0 z&`!!zr-Aijqb({=i5$F6Rld2dt(<-I@KFjmAJ=!|IIet~Q-_9?o6BQ+-Y(nm z$Q;vi9W!6KBDL4-9ckfalS=Fq^!}>gP6d?ZxQ(mkhU1 zgC6n<^ZO(&43Q9HyBDPUE4$4Yv6Q6D9cu}{85b|kJ0bSY%ka5ihNMuuOw!brbv=|V z6BR5I3S&0F$?BvMu1jw}4Xtw3uI+Qp9`NzrTicXYG!+yw5PqCfi3wM2!IF1rsBt@F zOO}STLVutxJ&+*N24~z>MpbvAVtXE%rZip>Rw`GfWukXV@3=uR#-y@>d_k;tI<`Dt zP+QZP%KQB^|5Y73d>>mMhfIgp?#}QUk62|_+t*qsJTP_#sc7? z$;8p4S=H48h3IMSXRKa7yk@ikzO8z}E+seX__kgC=Poz15LlDq$7ZL#FVIfXmRJIY z8q>F#nA8GTYG|?+BN<+}*(sO`OaO}h2H*y&a=a3Ddi{YUpsFGeuE9tx@JayP%RZgPdv+v=vx5Li7i&j?nNq3Ecamzi&3g_;hbP==tWR{ZIBxHT2 zfL}kgRkqH;@On0ay)!DB)%o#F`)pNHr}Z1cNsJFO{8*d2Pdhz^E_~jH6h6UwOiDHZ zxyr$$FB4)2mMRC0B`UAe6?#J-7w_Z1+9W*3J7lbB>$c={Xvn}0H70Kt78%=tW#H_Y zNu->b6c~M#YRPJ>Swi)3Q#XrS4-s=lQ3hbtXMVER{*6SX4{)bDn4!=Lim`WapB{!P+;?MO32eNBC?hxWLm+O~_4Q^H8aDa<2R%9N>{=`*o>J)vxX zRhsHZn%$o{*BJJ$G;39O-cDR%8?V)|zQ_=Yxz3D)^>rN0~`YzeHuD zFSV7eDA5u{?Keov<{1Ds9-jpme5B{a3__i5`CZHtghS1Fh+<4r-*~(8Vw{ zeooVsG1Qg>+ku84Je8!PyODUW*NHJqx`|04HlWs!KhHeeAPi~vE+U6S2^b}3>?~G~ zS>s4@H-O&DUztly`imD?Oyo_->5~qi?=%(OK&rdG;gH0l0P063;ef@f85FtS2dV1#?>90q7LN+s7B=<(_=WL z@vIY?lhq^ph9pD9vJ6orxt4aFU`WBz{-3~{v}TQot%qKHA2KWQ9_-n zhF%FyyEphilEx$m>;@jR;mdJ$WtW!$V*PQT5XJbQadaTCj_H$k# zZ(yZgQnVSzBh}V)=HJEsoLz0KhK<)|P%|lbx{Y%aQ^}9-vvn=u3fHG)lht_D5nxaF zYDVz#4WF?!$W_8j3?XjWxJvO?hj!TR{3|_5utqW5`DhuY2baMbC}&Da@_2wg|f)U`1nL z5*IPxzqK37W{n1kNylXtCj*ci;UwVI=T;TCQX$5@nbx#KCO(d#hSt=^ETgs7%2v2z z$_UN1=au_abh#M?#B+2@<`}sMiV0u_Iq<&oP8?2T&Jv|1l zV)T$S&Z9=(OHWDQ9Uk6)aE%PYvtOFY;0(Ay1~Do?76QQjGoVoRw()&#=V=!bUuo>i z7a>MI`Y)%^v4A&@W`pqYd)sUiV@e_tQjh8qdn?Nx1_f)IgJk#31R2bOcpm89HQuZ- zAj>fxVl@jTf60@d{=78!nHNz>x0$)95XCD=uS?DuPEOBJzdR4&Q-CE0pl)v!MtyH^ zh^%^aAst@AoWKOph1YC*lb?+8fadye~PYJI2^n50MWYj_tK;y#7L zv$?BVYm;T%*9#fD7_^l^C>bfgXSGoLqvWy%q`%UC9>!9H8e^i4*<`Ya^tKEI%zl|pbEX(iTMnL%yOrk782;Up< zWegCb(d*Y)K>9IT8490VzsD&c*y=zUed=7f0FqEIi#udJiAuQ_75`YGX*sL4KSB^t zjNw3;KT_o(XDoZR{Lp{FWv!dY;h{uE%adNnWW!qXu~` z-$N$Bf=x1Uu*V09kEI*|_j$~7Ns!wzFBb>G3NE7Y5y*u!&vjAnBng%aD{#q%Rk)Dc zf2JnrFr4M&0K>Q#l0wyJCN`H3@=|ejpC*9>Kqebx{T}9uCTsh~cdy1Ft+92pzb0`p zmdy|CrPf-}WQSQDX61ZT3Q}Lfu4Z8}RPgy~%Bq00OE6O9-7pz>Yrz4jd=Ld$fsn_! z3y-lInhOI{B;{-D#vLkmg9RKsc|dgI4~i2`LO#Z<2`G}~#eyI5TtG%0{;Fg%Wsgj9 zOhF4M4JAk``#meXxeZS0oVypi&R=gXVjW83dV-qpLFXr!gB8|`>)WbiI#j;qK$<2Z z5n1#!4N$1_CtY_gwj*KRXr^O$5{iD^%ybulH`MY7=QTY&IZ7wwOtuBMfncVS>dNk| z4$&9;n@eZ-Jcjlmrz35Wh~>nNcK_S6&tanMx2fNQZvg6Wzyjxf?b9lw?LG(U#8avR z>F=tFqeD)LaxmPD&3mrZ!h&lN}qB&HHj;w&)O?{KTUy zA=BgB08rf7gMfhl=+PXaw_|#?Z#sSpI_#;4Rz6v8#$d$X@>;Lt8WFUAvdU$N8-m2gaBZJpxF9WfjeZTHQb^eX2+7i0qPh&|QetX~wpM-^ zqu~^1b^h>Pt@`9NLhMD6QA?JQZ}=flIl1#XuQsY&i{X6C4AAI+?En#VCW4r%BJ+o$ zzCB^kyk|r2IlrjJaeu$Hm61$fI!qW!+->GZ{7tl+foNHCFFdSCtpy8m$1#w}bo6EF zsv77uWRUf~8t8Eiy329xOy@65e*hC zeYRLguUa+>MR(&Wju&JOY+P2jtddlPVGk$&=IR=J&fJP5Z?sG z_gT(X28ltZOshLji+-$Ni!uJgFn?HAOH8}qqlZxv_km+ZKCee<5XcL9N8(uM>)^kv znF%Oy?kjxJ60-k?Pp?;pXGav(0c>>O&x0j_1p}S93j9$CVe&fJ;1lWvu zTO+gVwjpp**)7wTx|$akodrtDZU%Sk2muT@WNG2y%2g#B=guzZyz>x|GIf+$*})sBPk&>v@66xH?xX8^R5RZZUB!o zO1Hk`y?$2Hls04L|0hYdl_83HHW5|6hTt3CeNvjY5_p?&nU2JSlY%r%r1F+Z$i&gD ztqPDcw)2ziFniUq4O;QGk*>xfKK{nHeV^wGe8^b z1E|7G=Dz`#s?kBKsdqojWwbyNOvW^U*8a$t{o(W3+nVk+PDUE0fC`w~bG- z3A;H{TB7=I4*ntCMplTh0xf-jYkajbeSitcJZzw<)JQ+Jo$i60valSg6c{Agf33*_xwI+r(h=Wn`Ipfr%0* zrC=W-=lf_I->ATT9;FlYDN{x@Hdcma>ck5Ow3EG$7{52niZo~@tC^}TelAP)x%se{ zgXu}pzK?t@3wDM}24s#Zoija>yO_+@sw}>AF)eAl6rWNx(-i84LW^B(Pl_fn(kJ`d z_@QrOhr+uGw8ta|qe;`@zl-6eMM72S_OHv)Lk5+U0bax)sJwCt2S{qr^bbA`xiOLK zn1p)Rn$^)3KvUxQhTR&1XBoQ2gan9MIm4CN>U)PX0o5f#Z0Ab@XwrqBgP#BZpP5}vc0p{^cEhAXLH zM^M7oOX*6}H6fx7<~AF>rv}3NeTFgDyEH1a7~@mgPEB-t>x)7k;K)%iyu$aXQSC8l zZ2F1Plx&s<7Wn9ErnVqc5FGPaGmza6Ylrc(bDyRU6EhEC zO&#X~XMcyHYUaEg6Cv{<+wjc!xLEBt&j+_!6V(LT+!R*HHq!xJ^@R6W%~ zpI4GMWD0owxl9T@p{=-4&Iy~dXn%|Fj8m40V&-bMjbbcdF`YbucHZebsB1! z8O+I=5!4BBWD|%ufb|h3@1N2E&Y$`CcCXg&PDkWVBsy+4+Zvp;Q|+F@E_Fm%L`!wh zB^Z7`qP?_so#Kr?VuFcYCiyNgD>NJ(9p5%tI(xjVrSc73;2-)(^y-&ZzCU^PVppid z(3Zj)K5jT_1vCoEBkk|O@@lP3Col9QxW7vYb-@~_UDZlvHwdyX^u)!GH!xXB*fMlY z$F4#~qkFD8*~g)+_#_GIKMlf>5pNa(+927FGkVq_cUB9%cg4QQqS407Tb1|g=6LlH z(%A0fkJ&FM)LKPC=4j7Gq_zb+tJ_s4BUxSHf>}rL;EU7ars}A~P_^>kCr90dd)HXU zxy^p03>PO@UaiF%L@|iCj|oS{y^eVHG0L#GRL`>Ym024p2qW^BY{w_@ zcNm+ehL5|a%eP<|#ODHGDG2|JUX}pYGqwU4`Lqm-+c?Nt{i>viv(QksZ4sJUP;xO| z)Ao5E0=jRmrab86OSJW(&|!LkXwu*>jv8xQR8&KH(&`i}s7&;GcOT1k=5Ci$W%}tk zHKTiZ5^j7Qd=ymrMLfWQTsnahy3t(Etd(t=#NYWF$N+_t3_$tIQPpN42Lu|f%##ZC ziLVeI?-ss2ydz1g1(jE@+7hoOqila&Yemh^m<>0%xSGPUAE@+uaf^Y=Aa^GXg@<7Z zB6^K&HLUHs|idvgn83wlN)51U;1el%T9r?JoOV25M{xxMeOnVJ@W@LpKh{)-y?} z5pJ+M_JMC*`^dwei-)w6bGX)&Y184#z!-7{D{#5!W5*%-#P~LIDWGRg)FdP{vJsTe z6*Wa^A?yO&1q2R%xN)$;1i+4?_XS-JXbU)NkA9De$DA? zZYNcZZga9FBMx)=)^PPL$abR#DOJ}-E;=8BbBs%*~9|iJt0f8Wpym!^P7Gn~YLdjGkvu$7-Qk`Fyrcm;a zKWskj>_(~nI(+fgvU5azRa6j$xEi~yiTqS+*96m!^)9?!U{#^!GOZN$_Vl{B0Y z0m@TGMdA4gg-$tf<##9{z%Iz5*123!8%sRTt=-spAF13^)PTPQV^J6C#Wl9jC*dbW zaSOL6AqqJjrcev=0NQo3Zz?n)Az{{`xTDznVYuY>k5pnS!Sx^%d)*|BUGc?Ul)#3n z-|L8@L*0SCEciwX&8;S2*a!myc^P3hazB^{6bsZ-TGK%C5fu9T1Tsl^2St&oAegzh z{qgF6qJPN}o*xsL|Gdcl!1v54#^KN8puD`6EM)knrCWbOhVlQPH0S9ckQ3Q2%g5P* z5Nr&%JK1c@9P|MZ>9Pz?fx4H?Ur+C!Q#4?VRxHm2z~>uhEqm`xKuF1wglG0?{NXC+ zup;(N4?p5SSUbcBH{!XT>M@t${qcb7w=Cv@x$HURN7lxDh0_nwi)5u5^A%ZN!{k=Y z74m$`UH=)l%dP{)M3E)l^ap*S2r9FpRb}Ba;Nc*UFVSJj|}$txV|IcT81#R|}wnXL10zH@zf3Wjj|S?*!^a5mQ{2tZ=-u3Xsa6QHU!ipbJz^&M;(~ z_>J})DAR{j;JsCPj>`%XQ}!9Q#-A8n1}VaCP$0g)4j2l}6Y;3c_TmN6`S_+6g)(`m zUt-VfSxh5A`tf*wKW#u!LHri{Pff`RJ){Ba&E8ABPpA4pjPkuxHa_WAhB(6vSNdjA zGI+DYo=CUm;ItyIWL%E=6XQ=1KZk#5bZ&x{iAJKHPe?=8ODUpEdrcKcD}Dp}Nbcl9 z2pkrF6)_A}DE|VSuYNe_rCfgj-rx6{w_B**w3ReIYGRT#&X_hyuaRa(iCOs`U$~O1 zd$`i!I!Q)~XS$?>TGy3!A-vny`ADhmD1E@7VfapYD)HX%ROzU9+69v+o;st}G_4!g zfO_IHgvw+B_qVQ0(X1|r^o$^zKipwrDu&H;t36#Op54Qmu{e?Hm-46&)qC^GBBHk+ z!zsv0%}>LILHU4wiH6P1;P$kp9Q?ImPs0Qym-TO{in7$m{;xPAO zcjBTqwJdkuzKsgZTC)N4%dq+Byp?lz4-vy=)mWwU`Eb>o>a_DJ{k*N(%>^{^XzWG3 zr%p#GO&)I<)gAs`g2;0*G$tqq4`RS)A`elf!e8@s2;BEYL1nHISH6EpyI;!O*c(~& zKyC;o)4ZAXb>teeXF`Hs6xMcz^P*QiZ;?rzK69V*iUFr1^i|MF(-kSnYjJz5g$CA2 z$WdGVuaS!zgJx)C<<7iCDC#{UTWU4`8Xwr>W& zTCTPfZ&h1DM`wV)i%Pysyghhk?P|Mxf!Z#T9@5%9U^RFuBx(gKcrxCo&(=f}OcB|DTwPT>2%rVnXHS-d;&KAm- zJWQD3kFt9MR!5cRBt3fNPW>=3unA;PJn+&&y_3zSEhkmVDfh@`N zI7Gi!Q&T?dv7?0|Uu~tDj?EvCbHlD5B;?HL{Ppo&yBiFec%d|19NSuV&&`e&rq$5+ zF>Fu@8k65(fOm3bKEop1lW>MD?O|%KYOD;igBVvQe_U2f)a-NBY4@kQpo<2Ba(YU1 z%IB;H_y)-&&1bgho~bP{6%ah-?^o0h$RV(0x02%dhWn5(60>~5bmjDTg_b2HBn9VX zesxALZw!GdUp8uTlsp_ux;Ir~R@S59MARxO_G9x3$q&naWH+q-89=pt&#`WG; z8S52hvTCIjsWq6gLX2;XnLfcM%#6whiGoRCFm|SUaJJuwW>k@=2TcFTH?7Fsl5q5; zW87ljue|6&vP?xq8v?o87-3^_P{oFm=3BUQI*&j0YD?6r*B{2wqA}Cgg(9Pz?~Chr zciF?xTVMIUd#(BFG z)UD>bx5@0QcbCzsuzmpq&*GI=?JqIjf~0anZ%<;7c5Y=>eUUSp40P9EZK-Bd`d18+ z&i7yO-9#DGLo@G?<)H)+$@zy7Yr`*WL>Ii9Vj658Yo~73=P=hG$y7sIP8dCT$Y&@B zV>-Qod}-W#;Q2J(2W{fCg`k(@-0N_san%p^a`Uzd3GL&ECh((DX{O7LwA5Z{LWlj`f0(z+8rbIr?I~FINwnTOzQb1v=YU zjXRaPEEH_7>rKvPF$2PL|KU|MA5F%rgV*=Xn&0$e`(z{zF+7Jr>+N!<2V`;x;Mv!o@Fbw? zubPD+b<&|(tYjuGpzkF99)NVwYp-^Es-K%%qk#V zTf{li={Ou32)kp^-1`wC^8=v&{U`G<`JwQIh;~>Czh;Vvb%M6qofVoQ_aq_B5;)Q~ zQ5a#1ss2WGcvZTzt!|e0h$Yv*d~U>Xln|BM$WQ9d3+jD<*wkvZrWKtkc+bgaq!o=D zCJj&ZG}5nkAMOTw&wVWq8|!iJj*243UZ!>`MDLti5`dIZ#|1sC@)L8z18vk#j|^*7 zt)_(N4Wtdd{IXh|eK9S^s~5vEF3J@7N2otauPzYpg{17KDbJ&3#b4GsCo)2noUrbn z91IdX97}ICIfH#EPUfv4m6HKVjHGY@^L1`o z*mJHc8+0<`dnduC%l@zO3sw<``*qpvGDM#Vl}@UUzZLXy>$VZgvi>TtAj!hT%pBWG z;9XUZw2=j9^-ty&?=MZvZ;$KWW-n7GS)~djI%iItz$S9_EMwWX=|XK_ZUA|M&4lpM ze7e>1x@ko>Qg>^|Uo{8hhOrg#;G<;_qpKhqBaVHNOq3AkcNllc_JhK}ht`5P$AaRH zS;(>lvTzLkUKUynw+j98Z{?qnPAGF-(>VFrjq@9h-?7I2&f?2Ltb7i@4;|3b2~Z3F z1#|Hj8Q$>iG+g9x+2KynkoC!9Y+@#8zyEX~D20$&lxWxQ7UaJ@;uJyhVg93b)qj4} i?mydut-)nTrPreObhNF$GzfYDId<6QP|<-iSN;!GJG~PC literal 44412 zcmb@tWmFtpw=UWN0tp0olR$zKg1fs1ch|;(TVstoAwY05Evt-IUKlvXj zXDYlB+NGQA8vSBjp2L9^!I5e&YvM=gi1&}K0%T~0#4rNrHu%oX$GcUq!j+FmgGBHS z_)`wPMgU;*B=f`V^Bv&kZQ~30olpR&9U}bdiz>1DBnJRHq7(h;N<-j>|8!O5Lg0M5 z7TF=er3C;K*Iq3?U9)}-E39%5#qAf~hYSynJU?n^{Bz>kx~eXC2PJR;>!wG7W>=S8 zu#Z=mdS`1+hUvHU-^1H=dl+6EWJvI1T$m=9bgvOX_V+xki*>Xhhj?*$XiyLluw=yE zEE3^qNX!1u+xiaSUm%s#tIiMcPbMik_zlLiq!%Z@v z$mpJriin0uWKYt?{rX7+@xb#sX1(!=-jf0oHx^v`otOXm_fliHJ~oDDswh%oFF7jk z3wmk)R5$~*>Q9|r{8N82u;`X(XE&v={9v6s^4zrAx2A)k=dBvD!g|StetC8Zz2L(E~B>Td7-(` zs|_}JSojnQ@TLMaE`u{J-ORi>tG(-uz4T|NZ!}BY4RN6+%RzZ|v&v2pW-GG}M;RyS z*i`RhZusXteVn0Mqpw@GyGQCx2{9+0E}o26Q)iREOiB!J>y|^VmtSDT6cV}EO47^d zLnwLfQ?3nJG zf7w_%elfeb@F2V_*GlP=d)-9|79bLs&F!nGP3e;kyU9Zcf(+ERiMhLcLCi1XZvWbL z2gMwCe;55Hdy5ZWz6880jGpj!Li@eqxweEuTiZglaF9M9{is6z4yEoyle!y*cS3tV z+|V8~x>wLzD%Q}HC*!B24)=YETz&is!%;RDPSsBpj^`P%9+w%64xF4ScuERrF(*g6 zPL$9qKyf}-%1taRlj7?1YGdxb3$Y>I6Kek3)nLziOl)Gzfs4t9)=V|Hot#!!Qr%oE zp;S=2SEyAmP%n1~<6#bftCWmZMh_dU(qWzKV$&(D>bNU|cmX#0DxG&RhTGbkP3iZG z#viueChIJdD7YH~6zAC9)#sb)q`=+$n|OBR?b}?}D$?s*Uqs)y+@8^}Z|!%R8pgA; zdzaeS@*wq)k22lVB*f2BYvXe7)Fl7Nb>9xx7q{Ee^X(;k<-yVX!C9USG&w4w0Uy3@ zH)TI7GxoM~;^|$6@(1AM6>#&_B1db%b=NGNi)VSpjE}0K7&7Oqz6KNsWWTJ^y7^Z0 z^N`-+%0uBn{JpNimRAJXZn?ihTf7%Uko2FSJLn}+!g$P@TgO1uw8mlH8Ett%N_?*^?oJ36N#p-0vNHOp)|x+uvJc0jcYW;Mtv{Pba|D+-=$8 zr+HRiwnf0rye%qq#&sGi!oH)zpc4~J?=e1z$8&b!)oGm$ii&U`d==f~S{;HjyL5D* z^vO9jbgzXXBeA+Kq8J4}3K_i-1rJqm8s+@EW6=l~)CAT_z&{R6ZDRhG$~#Nj>~(FF zx!#7wg1Q!rGD>}EiGbak;DN=GPU586U4O>aZJBSwNx1L+xO>Ule55&}UdE|Pu zzuu+kU4Bp}CxM2xy5&r1OZJY3W*z7;^?CRAKe*{g!3b$YpS;R5CW-qVkG^XuSK1reXN0qaxfKZ?*qfDP%6*Z5%p4Ex&)#rnzn zbX(Lb!47;xaaP8)f9~-&_65ts=x~t&TeO|7vfcu|w=`HO zwyb2|`5;QYWV<#U+6~5R&N`YY%bJdtXmSLSFK47okHhqp zVU*kglXBuwU)j8q_yyL5o7Cs0q=zz;X13{O_%99wO8S;v&@x7y+m@@rTz{!UYZ|?kp;abeVMP0-YO6Wfw z>I(ZEk{vYJ^1P$RkcD`Yh}6dck?s!2V#NUqjq^(33Ne360YOV0xj!)UTz> zZ>=92C@WRg>Ta5fQ@2;m=4b2q&g5SV$#ZeRnv|;_M(S?#*0Qt`p?_2U1bj;ZPBbSj9m8Tvpw~Tc7kk{v4h3+#JIh@<(c9O0=mN;` z7ngI^hAZRe46Z3J>I(MsqH`aUW^kj*AoEjbx%cVCutbmP>KH%JujRH5?`HrAoM2a@yS49sW_ie1{qweq!boBRX8fmfrh^vhFa`@dN0yu0aI3sx&5{6; z+s!y*dV>$at1PyIBH}{Y^DG|D%ke9h0$hx5kqR|XDKQ%32^AUR2YHCc7bcrF>31h{ zPc?y$!@gy5$9TfvGJSg8+0A_ga+9byJ&XKa`a-+J@z^wq50g_1x~KbI;XO4F7ybrS z-V54V!}@8|i5FQ7iCPR^U*NdkgYi;pFyqfP`R_57?cuOH{mV{2{@JYNJiiLK?_!dX~1+ zPf>g#F(1BpcI|B?tc3viNslWikc`bWh$){hnRj>9`q+wlpeaAFNtoiQVOfh?fV2Pj z5xp%cg>DyZ9!dV#CO(GpRO@EtRs))Vy0TS10VGZpY*es3Lz4?>C1wUvQn248OrYVQ z))b765YSl2Sz&mLL&fvQiuks0+mm*hWG@}@x-KRHC9iB1ugjw2e($_)tQb){E?v)B zs$6q&irM4C%ti1qoc@RhO?{BOK2X~2M&!B6!QxBx{>kF*Q4_@6jHxr&$cWvlK%iMM z*OW=ycw2>0Dcw5KG9k${>LR+T$mWtraZvDHXP(?5aRKxW!9-3XOBl^ghwHj}VYufe zFS#-V70IyLqxh{>fcxS>Lb7u0f{UERPKkYo?U}m8twKoutA(yp01&5W2#ZsKDI2UG9x!3TK^k~&4|SrE^KB%Dvb140%@OZY z*bUH8kME^av@5U&$=UBT^_C`e&)64_HYd#VscCSR=D7otpV#j(sftBk-hW};@u@U7pqA@_fcGd+E)+rMKWW5k-tpp{*2 z3-@;H=Q(nxk(wRyI&=9(C<%jJt5tU&<)Lrf*n+AkX2xrQl#DZ#A7uVwx6uboARM6M z?O<*u2T4Yn+aDEg7YYY(2Cy~U7V!m>6v2a0gDkBh^Z`6%Ns!*{^j65bUGZ|PPxBzd zt5PX&CsD-|GxhED%?i8!kGjs!7n(UIf*)Eaj}?X@e20N5;aBK_30JlFcx?iC?`=&m z@#_RC&95HvinU&9HOcOsk5k`ZLPzIAy}9F^!p?5Jt&l{>!A@vCZ=+@_TCPHUTTLUA znYV{{WSOy}uz`(}k=>;c?8P5YtUi4ty6^N(dQ#;98$SE#QF~g`qC6$x^ErAVYjx>k zhM}Lc-{+bp@pNF{IEcBjq9XRr8^f3uKhksKR$h+1#FI_h)Y_(Ad5)i*Kk@_4`|UUjC8%nAja z4y-RNKU+wNtM_{b@PgE?lPl?#26`Ho`w~q_^d3mrEu<$|-2$aXJoA6(`f3e>7Z-I? zsIt2SKqsr3vMTN}pweZH-h77gC^?FLRQv*9w4o_-pNy_UD()K;i6Nv98hFD7tIa$R zNuvZL#l5$-+_RScHN+N(_f^zDu~K4D;)k!Zl_9DP%J8<`WUEMljNV-?{k^gy45tw z_a)2W_wA#YF!tLd9UqCGqb%Z*;doA>v%AE!x7}wGW--p`)1tGn4vao57p--(qd3{| zr8aK1l9bX!r`PZ>oM`k~FpRvm!II7_P&St+^@H{BKCGN@q+^h zzR6Www$v>?x_G-0d~_of>v(PyAToTK9IWC9PaupR-Pgu3^VnaYv^3LBBiA1C|Dvl#UtvHK_Ns|&D~B9-$4RKTlc+Fwp=pU9dGolDvty+|bK5Th z3#g?JwdoC&f1hbo&H-Lx)(pep&m32%VSLyA5QMj{O{0(qJnN6qFGxTGqY31_5=nhr@lQ8d0#(e=9DGVFG>a^JLE4OE6+vswiIYLvG})rT!@$UoLhNu(Sx_GGlK@=v^CvJdpO{h>`Z+wwsz6;D|hC? zEByT0KYTydNmMGub?z(2H8I=K9JZqQE#eKPLLX>G3Otfu%OKmfUzQ`-M3R1I>o(Ao zUtuZGTS&RtlJH=qYexd&Z5gqYMVaOxR6NhVsO_ICYy$Ct2{+t4I_kTyBYO{h63e}> zA%FBheC8M@eb?DI@QiUV)A;^}nf`|9ZYr?WyTm?+#^c4obJ9jj=wXh#Ze8)$#yCp# zHYbcCcbddV_%Li16$R+{hq6hZRE29Uhhv^@!#v|a&UrqfqQj={z_`x)H$3sUIn4~w z#Nz9EUn&D7y4

*y_Gof76~hpk8q-@rTy|0nVAwZePVRL60p2BG8M-YUyYrE*k?R z!V|#mv}PP*YjV%gE2$ss+ISKla(R~C<27=8bsO_Ms;CVS9C{U! z0Ea^b5+E5trsKJi>L3Mac*>~wLX2~+PS%*4DlxydAC4fK%kV=EcuIp2&|Q+tsUBSV zWnaT757qbE?u$d&igM1cQBE%!xtWc#Xn>aO3?-0q!?A_kH|~x!`0$nHN)*1}zZSwnlANW9YbTjsd zA*_Qn$_YfA@Z2)QM}O#0_h!)kX%>K@2oBAYYyj+$>X(h>$PhaEuZH-yaY>FXpeE zeQIk^k%qo#$ShZ^bO_dp1#y_R*t~*2{_x>~D~oCb&Te@X_bp^fZfl3)Q6#tC*Xc7$ zU_P;I0L!3UKj6VpUEKoxil0^SP&7ngfVSV`GIYbs$V`F8a+u*pDsihN7UQXLNr1l} zls~-vD)|noto7Ll&QWMz(sD2&a z+|Es6Hrs+(@cOUME?Int@`gH{f~U{(^4E73%o)z#?~z$_st1SY8qP{#nASu;ZkS* z?B3Z6iyoWAwfi1$3(HlEg?QWkK?Chk(wS6iW{04e$9K0C+l743^+;u zNyPv1-Vk!W|1pGkD`~r4olPnwWGiEmV>@ejZZs{Dn_!|elVd;JzdQzS1G=oEW1FpZ z9zii(7M~FYGxEBH#aEV$^MsuZ*yt{N`HKvodLA_OxV63QEHK6A{#RM7CmCCrWr9Fk zEMa3Zc!7Ym*Qg!7GZu%V8?1&G#t>L40=xArLgFTeBTPEK9Bc)u+%~vA~vuU?QVh0l?aKnr^Vqzp? zEAMo*nPwiWq@1Ost!t&db5{t>`@HR?luO+w5u~=@IxDTRMZ^hCPuJOBq8sQ?#{d|- zNRnc6c!^yObdgD!S;}!=8pXU;=b~H_!E5I zu1EGGZ8@w?u{%JYCRZ)ubXTWaOTHfUrd{CxFGg)gK1)fx)rPIi9_ir1JNK<>t~!?w z?(oB)8Wbun6)}n7{2*2U_17s+^8UC5WBF;g8GsM3# zEL)o?i}enF)>beck3TrDW1bjnsvJ|cZVA)6Z8sxr74*o^y$)fRH_1W*bOsvv0j)a5 zJuZ7WQ{nT#ILV0>keuf^DJ&6%x=+$M17Dj`tTBD;AHk#knpoB)>!W{H6oPyRo8_Fc zPW;`aGsMe{GVFP{Tt*j8PEZ>sD_sNoxHRAvcVt7@AD@?}*?x9=DV?jQo9w0o)xa!o8?~@wSz4p7*9MSu#DgpP}tX?z0~P&%edp_@h1fud2-h z0#5Kw$oQ$W0^ZXDuAk$bAkzcLgtk%920o*{atoYui+($#xxE#WCj%VH{!B;s)DGar zjnk+*>En~HBnY*++uZljZz(+5iMTQE^24_%j*m^Iu-!`5cy4OPV3*2$)m*UhhK3yk zw{lK@?cJ&82$Lnx{jqV$W-2-@xvRoLpQTHqN3ov|t>8K6<%!9+DOx?cNewXT!+QyO z=IRwXKNsJee$SW1JP+MFEVF7#_`$+s-*D~uL0@-ucFZMS-SHOZg2rv~=tM7)x4A1S0L(J`b&CCbyO+vz(wN`C%-F^2jh3f2*DbZ79oJWge0+5rqWbo*| ztu3!JlH1aazR!3%Deab-nGm{`+uqR^;xFCnw+KTRvx#?&4S-se(%BE&LDJ&K<_?F_ z>|77Oo`hA*Oxw3-)rGCbq(B~@f&u)G;E(m{hvmvk{o|Rxn88&bahJlpp7P-Am{@nG z&G+upw`qxl{LkN^h!RO0aL=;(V#LJ1kfpcfW>zkrFwa=Ajq4;w`uGU`Kk$jgDed z^usg$xx*|R6KKOalx|{gpK;v1J>8Suv_4$M_wxZ;vwD5f*R$QU2cMT;5)HR4xT@V= z3T?Hq?&)sNrc>-r#7&iD?#^h zcw0z$&h>(z1nDEMP|UAA$EJ;%MQwL!YW%NG&DzF%jhe)g>AMu;M=7itwQ_7A_qjan~DvbqG47~^t(ay`C z>ZH?;#~2%U|IBB3adwPD`T<9;`InuBi@SsZH5FvE#c0nG)c%6B7y;n;^>3_uuG=Evl`RB$C}qR8n5pqF=D87%g6mhF(BU z@xZLm_=-mPA48Olk1C@hSy&;aI*gupIA=2cl*-r@qNI}j;UdZsLHho<^<{HAQ7Jq; zEEtq40z-j`RZgj4;)UOO%@4J#l@tsMWchN4x-^Miosy1ffHQL49fo7&|cWnoqGWc%2>=tLoIw>)#m9q-86*@5% z3Ce%_+ZqQCE&@mlLuz4>;c?YY+r-qdNa`@P93Q(j^2ayOBu|1TU(V{tZ}z;gvv8|l zs#KO7&Q9i3T;}&oQe8?uUs2l@pUebMX3 z4@n7^5+a=Q)XXk^&+Wc+8sEZa5@;0y|MnSfuNM_}Lz?X3YCyMQ40tKZK=HpEI73cv z*$@i~s;9)NsFSWP%15v2VfkffPNEN&L!sCod9$d-EySksuwQy)d*Su%bi-fE%tb34 zdJm2j%oPQuENl+W6(o6G_aeuPp255J)#Y^a3G$T*+e#+BXD4+LN)$;DR$H4y6~E&# zE#_`QOvF}JoEbr|?KPeE(pi(wWqu_@0YOOQWiy`LS2u1N>|uXdAJmDSuZtDBIO84- zMv3UJJg?s3X8cyIgf4c6SF7{V3(@FQ!lA=^;mqrB7sjH`$ZE50h!PiSqNxZqSR1D2 z3)hodVo$Xb56TmdT!-~t-OVjg7h8yFzwB?zp}x0AFQEr%#@W+L5kSb;=S7?RK@8}S z#5uIrQ}11bdZ!ZDTbt(`%z~^?Ez$k(rJJcfK*~AS7j~3#A%9=0$BZ{0gH&(x>%VUg zQszz$H-7IH55hVrgjCsABTB=efrd&Aqc?MYOa%oEL&8^Kqx|zmsiR@SiLoNX5DQ01 zjxN^i=Ex@E+*T5BpYkmzr}MXFCAY*FClf^n@^sH%t52cFc9JTT*5a_Cnnim#;c^l? z|Li!lUOGmWvu+nIvg6gNUvOH~;c2$zG7MW$kz9^wptUM5|CXpHSW?lEVHV-a)X7{j zcTEYsSY+O*jQc3J^K6MWC9k-}B3?YVdf?R6;fJb)GW}L?9>Y98n$Qv$#j%XKXfnZi z1WfIsqoQa9f$L z_~RW_6I1keV^9SE{RT;>cltH0`jg^_Pa^ZTTr>x5$|UGP{>mfEJTNcNQPek(Fm-QQ z1otuN%9&RXTpM^}k6FtmXPBqkyHMRwrcKkq_(wz0yY!eVzBwd}{13k9E1gMLZ)mhm zw^WZB3$hyG@_Rv7*sQ}oKcTP%-3UY>3+X01tfumdKW~q$C$l*7y1F;6nEV;67&WCv zIrT(Sd0X+`AlC*;nXVb4U~OTTf3CIxI{c{PW}|vre}l}n1$mQuDZ8)fDf>_sn1;}@ zut-wrU{;+rDS#@lQr`Lf!Yf13sE?66$Tn3f6f2IBJJUou+k98%MudB<2Rg^Sr6d2y?VTE=u3PG_IgnjSS=aQCy3OnzTA}UWkO_f z<&*KC?GjN&bu3S#3*V~@5~n%6f0TER(e(xcNXz7BU$av@GSq=mH?FMg#?rF((W!af zG69>dmH!6unk>dka>bn7k_!r+=!Ijo?G`^AvPe-}ZqI7A>UJeu;q6;QQ{qJ589AkD zIcyfU-1=Y&HvJ1MOjX$x>QLg*&wkaK}6)%qs=Yv$tmVpH2o@33{M{Duc?%-?2r=>p$%?ffXJp5Aif{ms#S>rIJM5wd z2L|odjF?Z5;NsUjw@r0X!E}*fBJ>%HU~R!sOIvP#J#9XCG_m2;RkOe_m;0xu#)`ZI z=!>Q~lghCY6d<0-nQrjVq~X8?Ig@Mh5z%iBe{1yWEW zI`RZbDqC|&1wD86O}zn?GrKcz1IN5Y{Aej3d)8}oU8qwxQ)`Hawz`JMga2j!#nr}Y9hgd+bpK>Pn% zfdAhIfS6}v8dMN1wiIUn^xIe&OkmUq>ZoNPf;~Q5J3&wjMR6Y*+j1N{4u2tjt z$i|Eixyh-cD6}2T8O^}TXm%G1%TDGz`6xCSS#MM)Ze-lns&8CnX;zLE3Lfn%Bp$E) ze91<>5`mAHi(4;BJtMI_j#{1$1&)d9`&=dF=)Dng;`P|5&tW7I;?FGujL_uEDm^I5 zNONbFx)&L5bnT;gy%N&RDtpnL+X?^-WS1a96a??=vN2y2Q8#IOQdtR|P%#Sa?g)#t z(QGbO?mc7v3zI{^yRI+92vwh+4kuE*ZA0w*b@I>^7$AUTAgt=NDjtfqS~fH!@j8w@ z%Qfy}mSdB*kFU1IK$HHoA3i-p=iR?2+!RCjG*w!H0Ut#3)j0+LEeJ`dNJEXVar_U$ z5as}$BHsWSAd8@c$F8*WLt^QYc0(SJ7<3wmU!76iaf3H~fh#w3lwm;xhO6JZ+MG5aXo^HR5K#06OPYWa7N@UO` zvK7cp?YP>}kZIUW*MWhaeyvm%vP5j=hfYL9hrwQ;@ImUO7|V}lP9?nD<=1&)j_=s` z!KT_>urLIsZ3iB(-cOO9_YswPW$QD&mj}}kp&t*3tpsw{OEGsF>%*ibGTye8gLX|A zV|BR^S&VhNLaWV0F>g7NeTH`aWQa^8@1C!qoux3aTh3?mjTTx@@x23N>!~?K0zr7Q zZ>`Wg{xlqZ%R_VTKdP=%zeeV-uT;PkzuZfY-FDJPUpRvupRX$IIiJbaPPHlvGE_Y} z1ySUOLTj$fmi3ycyu6FX@1WLgi*sfNX2z8#BBn=YQ#}&`0cInzg?hb)y^^;cQtg@3 z8DYdj_JquceJ&02#6EvGi1~ergz;hLmlA|jG^@XD~k^H1R_on%rT9-uO z=0O)txF*%hxll?uy z1L7B-*D&|gsJFe#}JILZNkZqkp5!M&_1yEA$C1l~-eeQi5r!)~O_9ZHZ9d`D%)&2}*RH}||Hqk}7F z#}Z7XafZ9(d2au+vuwlRb^w>ZmZ#QQPx3xp&E|cj%5~b~onj9Y+rC|mDHI{{P25K* zM!g9r1b=#&w|vcOBK(TtVrY-zWhQ@R)V$`&ILgO7eo}Wmm45Jn_pwx4u2fs?Zvux7 zxJEB-PkZl&eeptfm!9N#u+B~3hnAU9P?8V+HR`vP&9k;H-XwBBhemJ3KQ@>)y*##;WiwRwqV;3A8mxZKavK**imE7<VrJYV{1~V zF|{S6Y&ha=UOqx4(tc~kPW!t&z);zvV52ew*1=a?sLb%U5>z6v@vgGWTOv?4_WwE7yD|=CvB7f{8 zPuA7!B+fIH zl!erN(YUGX$t@SlO~86+3i&NmeeAbpkkk^n%tyw~nL4k6ewC{dlgF!+8rIHg)Xb9n z06nE0?JZs%I^yGe9|w2e)cEY7&+#xl4Jm(~*)TC-MRMyc`=h(%O0RnrJs zoTPx4Y&3Jwg0Cw{--7biNzEKhpfcX-m8Z4o8+2C zCm~&wlz1#!Lb^>Fz>8^y6bej}NtEAGU~T)cB1#$iFnP{VS=?TgK$5_wtwyN{6gdiEJ$0jdbwWPq>!Gmu%n5LE zB14=Wm=m^%#ITcZ%(Kl+7!xkX!|ot9sLqMkn|#c#e>hVEQsMC~9d%~tZUe?N@OXLF z7dj7Wqf_N*tih2-c}x2GPf>SA);_*?PXir^gtR!yGwtu+s2F2xI*M1jr4DhJ6T9Z- z3RA}~P%X8)Opm9)UZe}v8zDBDC~9O0R`aYmD3Q0ySEFf+_c&B=CD{ZhR+cpPmUDn6 ziX}J%k&oitCa@#-_rzcsxz9*w*4a0{e1o8R<#<*F9mbxPj6_DS)!(}?X&^P6$WALCBHnb!L7I57t?K8mAc;RWD0j;shjqRu0MR^(n5`R6)34wWbZqU z#`2u4>oX;^EVmx7h28ym>9y=BE(m!;;t5w*-0;v;$2}$e zTg86SUvHsj%pOxxM{jy-Mdphz{uOzNAkCKc7Uq))TO!4JWwOI&j;uyu_q@;#`|0p7 zP^G}*(09k%J9u1mCwOJpsXUXGj$~yE?GfOYq?2xiht88OZ3pU7*PJ=CELMGiBHrc34bv6ySe{A2jPx=e&`zMDk05w12CJ>}^s+LkQ z25e)PJ&YXSP*ZTx*hk=-APR-UGq0ygLHolI%}=uI z&8Q~#ugpc0*gvT2atEljvk1Gr7zkwvbQfDi&iXWf7X>d6YjWMV*S9P;mF{FdmK^l1 z-lTRE`ShY*_C822tmvp+C`!R={0M%yRd%Q7Vi6BFm5$qe3IpD6XcfHrALjJ?VX37h z^73B>OggpbPyj=On=h#d{|$;u;aL2tf2Gqi`(HW3CiczW+vikZi{B3J>|$+oFwW8+u?3u=zTj$hfThLJ2YsbKbC= zzl4{9eDOq|QVJHhpUBXr(waD#4s}{IEhTIS9YO#o-s}(pK484|Rjed9vadw~C9Fkr zj$QPg9-kcLAbX>Q{fjiXC+l2P((|2&{)=)^f{=iSGaIdQHfKr)e(E>J6ZQ_C zMEChW1(XpKo2T@|IQ1xPK8F~3nO9G3XBxt71Mn>oI|fwQNdmsRZh) zufjF2)blu91#J=k4CqxgQP{yW+%!wuToTsF#NfNe_Fs3cmY{Rmrkr;w4-zXv-0MUZ z9cFw%;?-^zno(=hQLTUphv%EwJz7_-|BHxVivqm5D3R@#+CuqXa>?i9l~Y>>U{*~4U~+lNI?3*Y+e&;l zpXr0`Xm}^f@h`;iU(==xZHT(ZcM4*UcOOwAk<~LgZfGx#uPe#KwZzwxi%F*W1jjp3n)ywgD2t6XuQcCa=(RSOj z=^tI=rLHt=kJafA6e_3PTyznD*Pos(f3W;_4WJ*z@nl|HERrWt%z=TPK__pJc10{@ zw7u0-#ezC-uBOK&)KV$JAx6j+pr{v(S*bFjm`IShemR~RbbgbOiz}Q;#%?~UWHf#_ zUjao12$36b1OBxs1M{7SmJq$>MvbSuU;$w<7}|gqljqWqzp}E-%UZe=?SPv^{I8@O zAjHju(>T2Iryp&BIY#q4v>9+!7r`mBz#5|+1$Dq*PMqe~!1^!yIbCX%4eo)-BpH z_m0vMzlf(wl}EfJVq+JNm&$1w8#=yjsU&@`%cOQCC+)nJ_m( zt}y~MY@uP&&-7Z@ri53CPCdOD1|Pci=d+=SmQQT9aNc@Iaz zt9Z^5Vyk$d1z61lQM~$YGQ6B0{t&Q64sR_+UewJk+9t%;8SSbnEE|nA=RIRr zZQNL()|K}}L7cJuRJJBu`6Y<813MEVC~s9B=1V;T{-TmSh}swqLiuAKenbAKSyCn@ zqE~DY;FckI<`dC9H91irObuJKwHO~U_`UU@1j>-qp=?DJ3!jD3Nj)&HU-aJfoM=Tt z#K(C7bOcW{26ti_*G>5rr!H`e5PuS{b4xT(ob+J;3wTus}T)|X3 z_Wje`bQX%BhImcZAH~X>w+G}>?JvYcRCuBfOoRI;sRp;X$}U}XPK*N3!T7=VQ&JsKSVln> z)n$OyOZNQ>cu3o~R8pWTXi>4KEE^{=LHT~>8WF(Dk19R{_8DUAFIAl4+a`U>9O5^8fU zbCT(zZlt#SmK;zLY~%-3feFm`ORUVB#K#J(!f zVQ{)UH{U*I?p{}7&#)`br!33(kSNb49v+fre4eJ8;7q|#1e(yitKti`s)iRt%_UVp zrl0boFkp1pEUb-H>U=1kU(+#Y)P9BpZm)5;Bl13-5$>lqWTeh^`3X~g6R&U&^pE*U`U<7T*wdz5$@_u~FQ0*EvNWFL%LTw4> zIj|orbQlZ;`Wbm!*v(N?q3$~}eSWM~_04d~h`eE+OCVAd{l;5r=IZrw@S!Xf>)bf8 zZIBLcN;UU-2j3@=^<tBmrlTXwEsh|ejnQo3N(hCsv3pg&!CnIlhoc@Q#c5D=@o^ z>+E{IP@9pyJf(lYLR?H>JU4bGWTDuuk+oE8dMEAgM)DPs#CP7|W3~A%bKPL@XZ|EK zmj?16-auZJ6`97#-0X-VT(bO8nb>!29!ddUjI>1bNkwvd?0Q;MidW&R5}I9vmPh8< za!H;rO7}_qV5Km+ncNb=OxB&{=qzBBw=9Y?Cwu(2)Ug`XRNm_D048clgssqcl!iZL zS;y-D0o#_frJHXTdr!14ZEpf%PcS7}LE+IJmW1BH6tz|DCfKvq*ap#>!jH z=kgw0`qi%Kbbla5u!vs;ayf1 zA@Tn>3out*un}ni*9=qFxN(Q&39!bl2zj{r<=neyS$fe#fKS~I9j=EY^iwjqbHu3H4Qt{c1S2($8gYoHyd*TTb2Grg(UzjsLZ zw|I#W-Bi?OZB4ki&uv1EmhPqL+1G#q`LTU+ec{f2FM(REEWJq6EP1Y`0bLaU>FB?8PeF+J~(wvIZI;}D}( z5FvU)ejxEpZ3+u`n0>I~FWI*rnhK#hU5DbwzPwq%qQ71!M(ow?fO0{lgTWVk{=~S}02~cj+-UWBpuu7!7P-4p)OPYWG@rZ4!-eiRI z0ttIOYas?#U!iccHtkhkc~Jo={CI`8%zhIy*5m?dT7KGg5`4N~U-dqUs==hQ7^4^gcqloT;6~nB- zGj!lx?4ol$U(tNl($l0ysus>t5#d8??rdS(pm!HPRmBE9v*&c>-0+<^wStEa>`P;$ z;Qtsl52cyIHyq`$-~;0TXY$TaYgSD_81I6`7GmDIxsNS#6iw;$+nq{To*-efb<8HT z1l1zEx3X3L%q^KSOUuu_S%o~Ehwaye+CRszIG-Tv88gY34mT?5Sg=s&J|h@~f~Cfu z;+jp-sQj+GBq$-SZxU!mp~l_ttq3_Y#bhv# z!U{DU)Ktwjl`LsK#AgQyqsx4_d!OsYUhgUubBMl30D5E z<;4p_#BvH4F#~RU0q+c zOa6vWMUSx_CFarifyOD_Lh zz#GwSdhP3b3a8*f!a$wH8DkvUx+W1kyztEtfkdSjHR=g5q%kbCF-8eYG#{oz2F1Hs z=2J2_Rw&9wL_ak0iKriJcc>-bJRFdpp|C~%Cg4>V6JQQ&^1WT+ZaoZ8y)RD{XEOOH zninWt5Qv1gJ>lya+?kgz!3<9CJopNcW{JS&pd49voPkxeGIYhy}o z={HiH#&6S~s>|qU7y-2?PwFH7M?*$jjZ#g-&EEEiDNv@z-O+F?)o0E|i4kY4x*$tF zk_YU`RQZvEKRf0?@Yt@<%dMW!;dK_Cn$xN}Gd;tWU0dIn{2;<;K#aF&Ed6xXp(%N9 zOo@P%WGs|2lec8|cN%?1v{p^G4)4IG<)kWAiSeF(%W{r+r2Ly(r=d5OHMG`hNwNk4 z0x)=OKZAt*rf_O&Pj!yd;5G8_fcGcn6{d`6%ZG{rwjz$vC>{oUaL578KnALoQ00e2 zL?(AFXdW}{(=X0{W|OP~rJHqFVwQemXU>(4e~A1hXVg&nmi42hjDqj`=VMvTWxmi? z0RCVT-XYbMelY08Xmu;UjYXSB@G|>4_y^_IY{I3}R6q$8J5>frL7cM}n)zhu5S^nl(S%iZ@&Quey6%Vgdt^d-@XJmB{uoW5Gxk z;hu)!)S|vODc*cQ23A|bJ(cN)p9wokx_9FhlA1ELjnjlNC6+riUc!oWm|U|O>B7lm zY`2S#1E2xyXtV3zp~{T&oQ0918ksDngn?!%JH#r2!i9x7eY+*P9WG1`vAT<&_&uti zRKnq9xqaLc)TQ)%;;pB(_guWG`S@P$zlyu{hAevggpG5__J_xo=<-b!|C*0CAkJ#O zlmAW&f*tJnuZZNXxjpeB@7ib1cKI-$FFt`_2>zn%+w2KbwJc4B(Ai>{uGQ0e`|8|_ zc(cZTv+itK-}h!rc|o|>($L^m_cD-L@q%Gc&~|RDatMwGR@`HUzdE~chzCimj*R0Q z+aW(bG@@$6f%SkFad>$Vb3^nFMyU<8mWUyS!1oNK2mr^M4=+bLE>Us$1B25y~&U(ak`rKydf= zhARD^B<|)R9|F-rz;j$H@g?8y6h4nlJGU|P{lK5l+J7ap9CbO_)9QV%nw^!tAuQX7 z`i~B85#QPpVZBubP=+HxQpLyHF?l(MglzzASolPHJ8aGu;NI?PqVXD@%CNZrTN*>p zRr}2S!*BayGxVPuG_o8`fWH-^EGHn{HdXSaxAnh9<~?37J9gp0k^ zI7%iS*YF`lt1XH%+t-Wl5l&~X?G~bnwhdMSBntMk-PEhccM};`XgjBw8YzHeprW9I z*x z4}y*WBpY~fs@Pnfa~}lx2Z-RKXZv2#zt}PCC=)tr2VcH~+SL1VjO=_h{B6mzEgqr_>AGr zj{qZyqip21D#%R5Y zfHxp9pwfz~cjQCQm9hCt-;J_#&^Kek#K0n($x>2H;_wQ^WCgAs z@TLKDt~YKjuLr?Vvkkz&aKZtI^5+4ve~TI?OAmJa`}F&~c#oK7WRFFp;H(@R^udOZEN%~bh-hEWUfqZbRaSOzK z^|v!$_+5ZNqZ*HYyP>jde6QQ@Ny+t6aUw?(OKrB87b^#7HSg^nt>K*V7KX+igktIc zLUx5^Zms7cxR2+CgHGD#UFSUh-=9dI-89F_x35R<-O1V&MgKZKKJoT4O3|uGyuwY^ zqh&wh!2jzmNB3>-@$=;e0cu5U*ixnNpkAdE&fTOuFYhD7KfUfOc=*3~zh~T|BSALq zW^!o|$hdg#tN6aYKy5vVwEzU4Cm^Fa+&z)l2gtQ7AE7pW$1M&!r%QnE227Z^`lH_9 z;T7)K#EH)2nT^7V&2$M??S`oX>uN+(3y?QcK92(h3s)V1%igP%9|_e1vOf(b1e$VE z-nc-U`bd4ffW5jjKXR0YhMj~qyF^@RF@USg95t&jhvXFD%DfNUo2gv#T8AH(1x3S52>T%h|SIzL8x0<3e^w1$UB9bcyZLUQ=l=2WiM8F3 z)AzYcp+4nU8?DZg(EODZA3HIF*X5Go@9tj(LN3HCt&iv|Ue9*}t#hK!1hftsHsRHyDVXREGV5k0M2udNSxtgj=P zC{tG{gbBqWjV+@K-lMbV4_nAv^dz{p(PS3fF6=Ol6`)AgG`M9EH=vKQ`^WWB8S0GD zXY#;&<$`BuPJ?twdXF3`%4275&{#GYsKX#CcF;+YYsD_}Iao*&GIe*|FaDtAu+~hU zFiOHpP+fC>cl7XgEP(O)&$=C6sz6r3IoDsRkuhOIFB*LcO8g-h%<@saccOM{6$m6u zP6jE9aDOTX=gI1$XRY@|NyYd;>M(nibUzHD%qR2$+8+uLc2ADXIjNWC6nHX-*iO;vBEN?>#df>70MqeBW*GlUM4J|OfihHb+t#LxjEnmh zQ-V7m8b=}QVsiDc8D?y0N>~BiRn5caG65!tW4A63gLnx!!#|e4Jq8c9#1Nmrw>cgm zU;7^q`~5Y}aFM7Xc__ykiD}2r`!u4Co>KtZT0=|KaT-)uLsq4b7?73F7i3zhI)&oU zCo9b@R)V!iI{?b@)Zt$rbusPW&gL=j_uZb`9^Xh#{umz-VdVlK(i3|bB_ts8(c_Xb z4Cq0I=2YfRFH`dE0JmfF4Z(`%{rgX-9cb5&s1Abe>GwB`7;CX(-xJ|@Jp{7AMs5m? z3je!Gl#ALHY$VuyD{RAX^<-JQ)CQ3$LgO5zZlbqiPX8$@^S%GrpBMzZ5j^}nJ4FU0 zQOWA{<4`L?6E-me;O$&1)4hRbi zOI3B=8!d=J;i>fR0<+JeTJRLi|0rH{`D;AoN=6dDO^5zN&c`l{7ZkoEMCfj0oEqhA+bb(?`_dT)jQg= z8|0-~Rr!qHige=4cT#n3(|=;^G1al&&`Ld5^CT^>xYilSKR!tO3NS$N52H!cVXdf( z`Ih5JyK8;C1l^FVvDRD?Fp~JC$cLoZu&$hS4&71|L_>7QI$Y%V`$+8dlOs2J^s>?t z2c|1^r|X9zO3X&Vv)fduZ<^fVV|T%Zv3Q!JeukeAQ<&Eq(5+Yq1?Vu0*y=_|G}0gs z5=LwdTh35%Yz{YJ`&SIg&rou-!zFiV2pdCu8$TW!mEirp&k|at~>~`8#6e2 zopTweDYUdNX~R0%U^^KiJzQ%PHE)luf{&A0Da~J>tch|t-`49<`zvxI_}Ej71$5LZ zjXUyqvs@YSNRxB;om2$*{>#<${vU?Tq?0}j_TJxZjqe3SYu9HKssp)$C?NTs)EJT@ z%yoyn3)fZOuVTD)n7H2G>dkg$#JOPdEAs`^qC;P&J1-pMgzic?7TU&{MYGiDH-7Go z!A}nLv9}}T%8e15`s^F2Kz9lAtq(DJ1r`51>zgc0@5hSE#cATts^d(Aoo&6a8z)k3 zPy`oOs2qd0CU)@Mh2~ViDlB8CqxU>tiBvmMp;|+Fq7%I}%DwJ8h8*)p1MuZI-=K!c zj?^#wL#jJ6T@m;uL@L$>6&!@TS)?C|+Ld7iHl~)j`;xMUUy`}r_a6+3b!%mL$apa% zQIrU|ZsDQ3y|*gZ9|W1Xs$U7Tm7ZTUEZn^XpTSJ4v46m;6&|0X2qQa}fk~PsYr;j7 z>1lcxHqU|=%^wagr?3utZZ3&MM#Qsd+P6LMd=`Ygd(4sffvbBhUJsiKb_ycUkHrKV z@^=3`gBRV1L=|n=9Js93!T!q;7|j^4 zEUNp;$4=)W*Wb{IX<|5|y_cXAe6O0OV7Ib}ND*pAQ?h|!TGF}}wsMTcPF0UjeYxEp z9!gwy**z}uvcPbbTRCE(OXBh48I<$-|J147E8LDn;#j|A{l@gW|K|K#O1Y%~zEh?d zQN!crifs$$^UA7BduXXA8Aeu=P?qP&0uINPGqMe!mdmhVENGur-P5 ztBzX_@Uo$j@GQ)31R%V5snn46vx--r;iaJsY_84MSF@Ur!yn z?b$+(H9c2!7gs6W`t3-^83+{pE0jT;X*y0=Vb}~Lkfv_-W>Z+{cflQ;ko=tn- z#mnad{kXnkPi`Ra+(}^t@kBMONAELO3~sIcCV+^Q{w?BY^_d3mVEDwLUI%HaO`NlY z6;g`Y@kqCFSy%>apTaQYD@I(2+Jk{m`PcPu2jmYjO1JR1L$*TJC+1g};b@$t%+t8o zkP08vgC01}=fZcvd{&X^q{n~sC^pn4E$(%rRumLQ3b~uCQw2+e8_V*1$LihM6W+*% zzUh7tk5v{-Q6yMt5tnb}mc6$^2U~fGfq}u2&n%95*?S``fxl%@Q@`455dlWfFa3*1 zLFk*zVJ;61Sj(Xz+|^Ok&?QY>=})imvOgjbd2YhSJ#vzVSIG-|+D+nCLh9V#-|hNa z5?~-fnwvE#nUmU*)w8oo3)@SrRNl|A9=qV=llOz2tr#wuXz#t;-|t|v{%kJzrd)b? zYOd9{A?c&!dknwBs%ZeNS|TA%zkkfqVj|oPdApx^Qt6oNcoP8`!-D#l>4Pj3yG<4u zBMK9~9(Sy{;g0rie0hFh>epz48n?Gl{-!t~2#fkDt#9~z5rKwzztzTXr8WahaaluD zT${1LkHQz;tq-O{aOi}hxyiC>legd|Jusr?GxQ+pGy=EL(D(+l(6J7((f}m}8sPKl<;d6*4Tx@% z*f9)rPzv|i$BDkHdLZ0sD4$}J@GmaipiU*E7LBxoLql3+Bxq}lfK7q(L{s+TB%rws zr9JMtCTq5DOs|lf9Y1s;PKjij_{P;FdkXIE65eZ3Tq@rtW7*8_8u5Jp&I6dFpTvC9dujnI7`wlIeY%&+dN`+zg_UG~Pb}Gf z%0NOtt1-=M>{$)yv(ks5dAel(SoiAaj8b*z*%5JjTg1tLoEPRdbC?zdzrr!%l>RC6 zl$e~q*;;~ePKOuGfA?8tbv5TeJg*b?{Gy@Lz8{y%$^h=ep{vNL?rV&8y=yZnr*kS0 zdu+fUjN^*GD6F?Y0=Bo=t*WfFH|!UP^!e|}WRXjUiH=?cM1Skrzg0|uMhpf)X~qZ0 z#Kkj#+o#?Lww6g=Yga=^gAgP4du;l#l?VZUA~Kd+0^z!jrq7vqQ2D8)#0mb3zARcF z$4PSR32C=!*hBxn!LnmCI3CWkAyN9?RbQNHjb%`8;hPzZ_H|G53ZFPRRkO=+Lh~A*8v9$fk*FY|^=U*cp@`u%JQlic*pp z>Pxhj8RI*19PHeYAa*YNdKXKKE77DmO9Icrtl=Jx)h}m?-2O;dbyce*N z^5%aGF`gO`Fq;5oXmWl?+`jSFr$6;o=sU4qYI$QDIP}&xMN}7bH!@stZ_@L3uKUdi zqZff6HKlildX71!#Ht~rm;tegpM zi^Yb4YV2)WJYQ*>kpA6~T(e|@;V7xE5g?za|6uH3R5 z9mh;dcxluG&$=Ama<8=C#jAP>E^Xp9Y(PpsXWRH5cRgcPwK6I)OtAp2GD`MRe;$k=ja zNedd#+;^urlI$c6m7zRdg0(%IEat+QA}MBYX>v@yTtVyRozNU1E2~1B4p#>@RC?F- z%di>*?0#m{dta+>>HI!F*S{Ey4W4u$vlsPj9}&d!D4U}+Fb^$Tl_yP%GrkAE^5hik zZ%4jZNnyXH&q^w{;t2#MQtaCuxglaQvZgfh$KEny8zcOTF_ST7dI8z@gD||2E(U*A z@3+>_4A#g|RWEiV3O}_)+J=h2KVzCRrh!)~2YCfu*cy+0&<^9JQb?vi}mkabazp&dnvR>mK zkrM!i_^WlYj*;0~lv_+cxZG&f*BL9bDC44n!4l?+mIwHO+)Q#LJc*seLotP+3)mQT zM=Ap$F-tCKn%Ii$(8|X=lP2cGCp3F&Bs8iWw`pCm_4D{e6jk8Qa z{y*OcNYHsb@X9-{ch+%;tub_wSme`KzawuUdBbpxAZvi`MJmR9$89{18T&MM;_OCU z#Yu%Gl4I`|hQva__O~kum$3e~qJ(oIYmXaUTjLx&f0RXhU@%0k1zGf4&^*hd$lILp zr?Xc)x7}JF{zQe6u+S4K9UyJ4Bn~H`X|k`~pwI*U{L~6_Cqe7mhiB~MW|)UD@5y5g zb`su5nH@nIYTQ_SgR%_r6S2l2?Mn~|tkX+ZF+vv%&rotRUonRfMZdo-VN zXpENiUL#A3wdqeS4*kB_RI`p#^hoUIia)E5{e5hGmI6-8^eBYaHzB5~M33Al4q1u2 zHR3em@yqutF0VAi-O~2N&$fu?^}jYI0m@PyK+6hgI4*727-;WJ_|+Old;AI1@URsc zpMa@am#mIX(E}@_9BCY+bh@|krS{{!5cQJ19lA1uu8jTA&dnS9A6`F2dX$vv7lzR( zd^*8Jp%V`qs;n0H0VEe+PxXZK1BYOsVe(^|CnqE3g3&`(D;`%X+>~I`EBbg27ICFk z08+MN+YVvtJk3Rfv||I_>j zuP`rbl#_(2XwuwDX-#$XwLy$ioTILus13uj1fO4>_-eBZ% z^DJfMd;Dz6Kdi!)<#cKZiga{OxYBU! zCFprXr02iR?)Nlx&-053ghl;9nzdnqjK|CGA^qKeTYO}I9(8TF!Qe(|P5C|AaD2Zd z30GX1Ssgsht!+IjjXDK5t`QrQwu0Hl=|&N^@xh=X<%6?N_LZyX*{GJu0yE#*K7Rss zDXzOO4MklrXgrYkgEj6k$2ly?07%I;fNi*;$_5$n z*Dx~ez#Btsc0ts%v;(tw2n3OIHfnqz%5P}?tdi%tnizHL)=yf9k(>`8aZJqVf-+dm z;<_~GWf&V+yTM|oY7YOGSkaY9+Pm*oUug)V5bO*8;K@38;yVA4YI|(=Mmh2vZ@py5 zK`(0{0b!N@N=kyGpv)_;D{}_6lsPu7BgX6Cs9+5F#4HJ}J`STeVq;<1H%WOTt#}+=J5GJS zWZqM8Jr>~cPv?x4Z5wq~pwaQ9t3o~?h4p3qdJ9=As|A+#$|A z_7!y#6QO>ewp{XnEOWt>AL|(OJg|%>9PhVjmA4noLuIQjy3_|*N!XlUFhO_^&EMSe zqWm~jKTy4uBO3@vX>Fq>@Hpt|L(?APgPec5K1YWBghsInB12E@+R`ZTt)13oz1?mW z@aNbEVL&uExmn(@((UMPsasQgILM$6#~r1ng}2tH%hfd{uXYdc@O zBwlIb{plNJ75S<~GhqG=;4r#L>UU1p4k2-eMUZyHxoqO+`uoGVDnlVG+zj}48XipL zWI9@in?IkAFUWA7ee*%DX!w~4n|qqV$(?M|kc;bE))0{a+0JO&KaggWjvd zfZ6dJ;H#Gxr%f|qx%@`~-sx6*$bcM_Dq8ybpiJj@R%a9N&&ddpAivfJVpn2{TKtz! z4+K0n4mVe?kKhAPZW3KL#BGNPK<}^=lja=C3&?Wv4yB?jzrDEZSC(y#-&8_811({K zREApVb_A)qF?gAHhUU8BX1xzQv}F$)VTyniKr#Z{;KNme!6g)rrNI7qE}gd=7&*8h z$W|Q+bBR(M6nFdBk~x&kgqVu--dxhOOO;KAJMG`U?L?EO|$5i*oJ z&A>UDyxT3V_K|}I80iL6x(xC5j|f!<^*jPcf{fjujH8>2OPwSN?W;RzQquy1$9D?( zq79JZLb^CUgUlK)3c6)Y>q^&rcCnWWwtALsy{J>xv7fsO^yeoyjMWFG70(0i>s6kW z*wH07!P^P;pCb4z2f&A7I=@Gyl_y28*8s6j{CY-ZkFwNV<7!A=BX(ENCaL9Wn_k*y z9vOK_G(2yCPW7w5pbp3Z8g;Rd<>B>`&^9o%um$G<PP|(>(n^2pBf2R2R{}`3?ARjHeuDF;W@FGL48%b<2(1Q21Ej&w5t%t5$n&e? ziY6Tx2l}x~J$*qrXdN>StDpDBl1@(;CsKsm?ztMC@>jcNhBXx=_xs4Vc@9~pC9wVK zDRVTZPXjhmUxz3Wj@aNT4s~{_ggCPwQ_ecJ_oXdvXxa@i00u6r0IK1yL$^xOUgiaD zW2u}jk_|PB-dp`muft_Wf%-W+aGpkl9T$!|_-q_{oScsde-S~aWkAL#%8+=XAB?OU zY6wBOeVF~z{b1rBN$iO&_vtCQL{x=e8_5D`mq-jh-Hi9ull4Zyp{A@NqQ!pes_B=o z?#~8++N|2-T4bHrWH!X)42{D%?s3i_$;96wBKw_0u*-=OGlU`ASf52r1E#~YtFtFU`NJhW|bA-8j*D3pKhUI)HXaoibxdmxX1)kk76 z#L{&v8@C+Wn{JflwIb)X)b4GnchmwFvQoc_^32G@_O;#)eLP+_Q0cZGx%MeT@PLq&py8~i14@RYShN5T zlr)t+IL_E*jnnuEsqY9RA@T^RG6rLccPE2gRc_OJ%%Z{_etcIA6r%Hqk9EpL1*KP4 zTGQjdGL#ET0eZ`ZU+H|QtFgi(z{+hj9`xZC0{ybRuk%?ePm{9V^D)gL>8Ju_Nlv5qd6A4!b`W*>l$@NO4GnuWppS{oVi>yGwaB*ES4$dz$EvXj zvlj%b&Mtnr2&JM5`;oVUbhtj&CENpIp6=l$>SGvvN1aeWL51S76TJnBnANQ%G!u$` zX8yK201z3@-eVfzoK#t(t(lL+N1@5gu)b7N=O|oe3BGfPK!8OMT zK-3E@@Ws#(8icftdM@iRPEHc8H^P1={93;>WNv$1s7Et63#?i2+vywIqxOq%F~NDz zI)h+}2rC{YUZt@SqmRyTpwfvj?SSc%zb&F==+_)4ut}4*a+rw`MC0>;wJ`Vg?ub@k zAp+?!c`YFc6QcICS)x3V*G9|XpM^Ez|4lNQ=p87@&^Y9y=j`8EL!4i$0=w}7G`(33 z1dWFGroVf_Bu)^Me~o$aUcgyDB7sRd3OR_Q&Y44~X^n>ML96}d40<=V(dkmZLElij zD4cv1Ki71#&WL|fl2`j0+rH5}5zbMTErULESk8tD!4XUt7{3_IQE2Wu=~Ai=0QZg0 z>B-w7)LTLoPxs7(ttw4>HNF`)79s5n@#|DOue%tJT06MkOxSDz0{{2W-1u&|`ma^x z%_aLX<}eDPyzphHute?k%xy{?=_tu?>Q3Qw?yX<6THZ!&xMrF;tmzyS4tvOd4X{qR zx90)8;ckA&^`E?y2j4sfC<9Af+V0^uebTTw9LEa{)*8t+d!kS?CM^O)Fg8C5` zeRB+v!0bjzMeSQ^f5u5EO|v&svW<)ubt@?Qd;P*i&?y+UP-LE|hx)Q&*aCu^h}jqR-<)wQMC2+`U{3xBRv#oIaqJ`_D@!U$j@fGb zC2Di(6-N8?UGA+p*Jixlz(Ioq72^|}fq1;^fL1DNfwra^x)JU_0l*M2Mr+b;eBORD z%-RCQECy)VBg6-)BCf{ojoj|R7Q-gd^{D-+>%Y% z2ZmVNcwOKk%i(xIgW(-))y%0Q)Nvzyy=(? zzIDwDl8i5D`A$P|8ecJf2j1_n2XbS0vkI)SnZ2DdG|(xUJ4V6`N}KVAG)cv8e(03v zEgr)~h^&Onxa4$&87#rYEKi>R<7*oU_QiKaEiKA}$NoG%VC7R?1w=Y_OKq0-K5rM% zy{I4JXyA>!Xij-2q23nX-;9?5y^HP)#xJk;YP+`;0-Cg{7Fa?HDaH4FxS&n!0Sw=g zw`QyUUaS5MBfs8r{qmWs$ZBS}$?y^nU`k)Z!siOV$30`P+xLT8YCV?ZOm7*4DGQK3az@IEJkTeIgd)wk)Z*I2jEDMNAZoI%nr7Q4xN&VENo9gw3!9^B+mk5HN zdN0kg^D-hPH!pSfZ7JdHhZAPDr>|3{$ug!bsR)!#-~73+b88PAS-6%Ogu%qZ%IpDS zBGSvu|O@~0o-NV@35H{0iO@L@MN zIUr{1-l=~Dco4TMmL>aK(`p`{CM(kfQHN)3BaMxv*h)x5$$GEa6owdHQJmh&gpG`R zT{8)NdG_J_^}{bk?hEkZ?RW_LrJ%jUukY=>8X?GYoY zj-7f5++Tt|+@sP$eL|uIED~b38ua_``2}>2ko|`f3*K?7MA6ceps#W3 zdEZ+$ocGQ^deUT@zv^BtKyUzsfqsr~chnZdc|P`Ddw0wx56moq+CHJtGHhpXRY%Bz z$MGIF;t-Lk2tQ5Kws)sA*qh+PC-EAXX#xK)zjU5!GkjiT;gd%bx3f;-qPtRY3Ba0oQgd7+j?g9ES^Zm}Q3TazE*Y_}?@@zm-$RK}Yyq2j+;rxSyh~IO?Z^T~cAWUkm#!dOf za2$Xh;8h?t##wa(A$tcx1T{$OD3iW)^Qe9-Rn3|D53|itD3h~ErfYn{)da~f!LFhy z8WzhVz;(a6g!%Ci9=|8?_T5=$4c;Ly@trZ_sjxbhij1ca-nZbpM7%bJA2WFTkzoti zHL98GW_&ah`X^m~5OdsWTDImc)Isb`F)+x~5$2%z!1vqRc^@%qKYx?6^J&qXO4#)N z4yaK`ajCTRzeKjO#-#(1BCUAo&8omVA=_D^`PoNAZp&3IeL%Mb#9gGFsH+i07oti> zklg(@y3a%@G={$qO_g27#|qK3?~TYc@y>vrL`Hj^}4x~fe?b4`xK&)L?e){0wm8}6r- zX<_p2M*=<`x-0Z4!O<25j@&k43J!l2TeTPXZSt`_koTOhgC(w4H^PP*1C0iyhG$h# z^mAl7HHu}*=3I=DFtAWX}MPI^Z0eVK365g-sunV|gFdt~__X@r$3YSs?GGiAHx%;R7kBf@7FyZ;IOU4rPLAu$<0$P;vgV}0@1ri{D83|xrWyjLpl)l1;?MIvKE&vJc6>XjFFhmcg{k7^H% z=tjr21%FShgA|9v^ADeerD}+0t$c^RdUR#KL$mVCZuR}P|080%s>vDjOXmiS;btv9 zOn6)9R-oT%TYp*_CJ1?}0@e27II(g!GMPIzMZX-rzDMJz-sz2x>Y~cPgwIqMysR{p zCw+;KwxTEgI6v6=dYE~}q9|$d4^5ulp@hI^eKac5J8yUQw=zKj#I%QU-bU4GPiS9x zYLN0gu+!XK-gfT7x=D!@QEzmxIYO;WmFruhc8})AB$>3Z0}mLOt4RXx@4sE~Jl$)k z`An_08>wW6+b=s9`CR$xM9Rw9IJlAmW>@pzGLm{bceH~~)U@lXxlZiwuT9l7k$al1 z8O|zlu8(n$zYpyXw!43*X}hD+bWcQz0Z*MS`n@`G$l z!?#l^SNF};i(6GCO>qWoihrR*YrRw+mT47vN_W0G-i#q!}UWLJm; z34d}~>8zFG%Uq2UKZs#x!$%eHXvNWEv$GqMbh{u8DFXl#@}~LgcSQqb!Y+>Ir{NBb zmfZNxCdqR0J!%4uj29+ooM@RhY1))?kx~+^GHalC^7b_wFSFh8E3EIjKt6$yU!@57 z`0t5C7sYRDdc;4IXr*^FLq`vn)WRK%UGy-dJ8PgJxNVUq((^BZjCyMB#RPi7lECV% zI?f_t{EMtwmFr(6d11m~yg!gJLxk3NJs;m+RkgNRSOJQxLf9H&$hxhr2OQ`7>3c-S z7~=9+1z@j`Sx|${@4lmZ9X66Pt&~$^5a1h=2wk-frJSChd>zPMi6tJbXnR0mTO7w& z_A-5J^FL=1-w%h2FuDmUxuN~&L1%0lM)>D@CgP9s?ve!}b3SfPm&6Pz&J4N>4>p|O z+1eWHhc1qr$8|mf)y7wcl^Pe)-vBn{_I~4$VOW$^F33;p-ShRuO>lMh~*}M&7-w zI5Y6ca{`zQgKiPQ$JYQnW1lbc)9+qW&;Q&ZM|!(T2m>@ey8M|Ie^@@=W6)f8RifD` z0I}E1EyM$hgNjF|4+vkll`Vcvq7%&sFXo=cm$*RPuO}qg$|f%mZSPAXEInO`+10n> zH`$-NQT~)|D~MTH7s1ph8+G@}5_2Flu&^49He8Nrz`MG)-o8DO4DRn?Tii$%hW^%o zzAe#j+%ore(d{UuGuZBzP1Z*bu)=13ynf@5;X+$3MaEI!h>=SC;n3aW9AQeD>-T8A z=?>kj|T*S~?#?&lV7Kd6d>$(FZ09a!X%|k9WJtsBJYe^IwtGx?eM}n`UuqEC* zVK!UY55#w+HC=c=cOt7`KE0tibvW)g z%3(DRye!?`NV^zv&LiDK62o`3W`_{ zZ^F@~8l2`L0j+ z=t)I$n6G1>n?*q$kWFxG(@v^JM zIQjG6890O{(;&9l_4I?Xx!}!~9>VDOOfMKGOONRd_vlujNp5zCvN!7dMiW8(sNU1= zq(<$iIj9#gfkJpIgRpYN203U+SKQ^Vt_an_bxDVy0$5!rlb72Gsh26m_a`NX4x4Qn;KUT&ngnG7>b{J*`6NYX4dN z6%c|ba;k~5B{JFVxZab@JV&<{Q0DHI66!1kgflY}=cN|M zT4bZE*dM{=2aSti&i!aE2_o$aFy?h^S)s_?M$f!ZN0tH4nz+>NF%u%W?A#|AxwgLj zc53;jq_vy51|YxtrNBX0SvPuW6Y>u}r%`vKz(8?QTil@HSu4-~$Se_!D)8EJ-g#{I zd;}MjS$=vv7A=x9wrZbnWRM*|HSB-1__G4)#KM_iI=kt1!}B6bnt-B6LO4O2xc>y4|t~VP62cPi z{5(9PpV?^-nbd(-fs8*;!7aytD#KI`o2VH}2uQteX5xas*|baUadvJG)(z))k_gpWQWO>RqOHb6DW|D*1I&0HV)^X^6hmElRquv z*O^=;(*3?balUgHVoVyQPZrP;o{+PA;ll&y(chZG7o@U3Ioxy*PJuiZ7O1G2+WN8! zR(Wjgk(24?v#q#j9hxkBsWOjW0e~CyMOYbNE&pihpa(=m)J=hrAPTBViOer(Cdmum z$f(psp0@?eq`=(c!vth7NS1!p;sfR7>B<5>QRdlquE6BY7bL9-4P}*5-0v zFMFQ4X`5`?6(Uko4nRx4+}7$|y`V3dTVd380M5Ptln&mk*4^>l@nc=(xc+2hzF+7n zNK*>z4E*l~2m7_&1Wwhux?7&w^7}R*$a{f>lXzi6>Sn-WPC%Vh>$HKDiyL^gL}r?Y zT>F>WxzYfqHM}p0F#ieyu84@BlQ|%z0Fx%e<=EHG1`_M)MI;9U)usd5fHKcqcfaEc zfM6_q170S=qI(r(;vw}Uyl&>djX|dyl?Yr%$qvANf-H|CQuJa%P!zFYU3rn1UOy#3|tWr;r#nw@4!<0Pw!aq z-RQpiZ1U1|;5wlF=l_WRU!86G{}d)!^ZNf=(y`7ZBGaEc3_r|R;hUt1=X?A~AkjvM z-RTo)_WkPf-LIQp{w~%R(DvKM)jt2H!QfAj?rb==JEi-ly~$Ok!^WGsn}=CNzqwmI z%`uqL$Dgcs&;qFsi zkLN$JeOFD7eGUda4rCAA(0afYoj2$l~1{hf!&~x0#z+3_pKOqe?&Y~s&Z~Z$oDfH^hiT-m(4fh@E zljlsjXkyxb5{uaX6`5Dt`C>){4gac#g$wo3Y=wzx*eQ8N-mgFY>CFM4oI?@+#Wab% zqUWgcs%7tmuJg*;gO-uT??)jRZD?)>Zw*7`H#1!!+Chz|S&+Fr^7N*v(^GDN5^8iZo|0B?!B z%@IcV@v`2L>lydWOACd)v=CJf1CrxbxaVsRG2gT5n-fOm4f6R$>6!_)10Wvz+ zkH}|nR+U)j_=(=)rj={g;)d%rv?w^Mr;SFOpmiY30EPKiVffV?fGLa3B^mkfdPhdk z$O&NdjFE1P3T%w3tB*!$@V*RQhCV(BMD0z>8R+Q-3NV-d{ti7~8^yoL%Sg?{`!VAC z;X5hk58s-1ap`ESnteIeoj|_QC`=fb@c}}Y2V}FHW_gS!1!^v1jDm77%7uRiUboDU zHlPrFdbYXL|PD-4yx$3p8eLDPOO9S#AJ(AKI640K0ghrK~6+4?}t6EN9Ry z{u)gl{J^fbG>RLw{bbJrke~F`BS9>uCblrUKyp#0n#iC?V2tH|wf5anQ7pmUiwKB_ zfQU#okh4UIdl5;JBukJWl5@^1pb`ZnOI$KYRC3x~G7==S3Fx@&ssS6$sz)eL32QA5E9tQTN^lzI3mCJu0oDz+p&w;0Gn!0mbNsTrYV zpLF##tfp#Tk6_?aYuHeH)YSugV8jFC9;9=+N3UOPCczfWfiS_ur6q<;SPr!QBsO#CxXMIYjwjKk68ym2%#sDJO*8Avf~ z{Ama?*HEvBaj)7UKuz}gdwFF~-I0jSgm|n@n^<>GVHO2T7X*pY4312RZSaAmB)ofV ztszx?iU~^l3kkNt{|agkR8#3(Dd(kPgzn1<(L-EqZRxkd&$hJKPMV=Hv(&8!NEw}>rn$>!1cX}-wh1C)(C(@ zrRg6))A9d}BbuGZspn!-&g;%2$r3ML>aO^#MsKGzFp{JyPV8DlZ-P%GM9=25q_1{o zPvHA!n^7-`CQ(yYm{CX@-9H)-j4Xc8vHK;<8=J#XYhYno$-I7 z*lyyo)YazI5{%+Eti9y>pRN8Mu>b#Pt5;uv;3EQq6U@~I$l10CfaP6HbeJaolbO}4 z>9JLhEy2+WW1_tiyE3^BXoru}ev0zTNz?dqnpx?awpXZ0x4mS%zEV$KW;_7@Q%^)A zFISRWx3Flh6b05#4r}Z<(+)$-Cwm+bi@>bq&qxXQmp}ha2iOcJ(FKD3NQDcLmR;gh zGK2`g#?27_N!9Uws~c#gGQI2w9mOC*V0?e*^(x~xenE1Rd8KA`aW;_}E?FU+>tnz; z?-pc!ShIRl;`J9%jvB-%YSr{@L)qAQUZN|J@n(J-@_M1{F^XL1@flR+g3E;N$!6LG zt!`nV)$6?nG>V64O8-ieaF@%98N52@ zw(ALLVGM*m*ux-tihr3B{&uhgyiQx^=m9pIaxh25gpLjx1*R!mQ2Yq=QSVJ4wxLMe zhN(Zwn>Svj>o{?fRzAJE|At(n;*-FPC54q-`1I?~U@m&i1-PyA5RYxGaWD8~1qme~ zMe&;@z$B?+!S}8-#c?MDB|ehQv~fe(9RX}?98TYSRUy~|M9HSF2}=AFqEr~V;`{s^ zi-g!0e@(Lm7v+gKe+==wy~RY`&`^l1kPh2yPuN|Xl}R{5&TqtWJ%_Hi5#%SNqR_&dGez0W^p@UOLB0| zNOsi+{E#d$n|CZKrd*$zr&dzh*wtFB(mk1YD6((Z*e+KeFrB&=mYeEjy;doVn?;+3 zeWy}$;c|WTp#j~5{iw)p=U;_)Gs>w@>XmmT1-D~%j0S_5wa)t^-f0PT`Yk^ETJf-a zC}4^ZVR}{Ebs z;zT%J2hg{_W>Q_Z6XDiL%DMiNk%)}>k@~y#${Scwdl0ZrTcI*^?ucEht`{&8?I}|O zyVmevW7R_5gUsLXzL1>#NT#V+n*PBQ7qXl40-vt1yy5^D9(pJ3&43A?D~sxO8hsW) zZRz~aR)tj@aE;{9?ZED@GW};fcVCop0J=`IE+>f%H-5b%yKH{z4pDBJ*TO0>zO>fe z88DDA0-g2Pg52?BHs>%uJ#v7#iRGAEjv*h$xuogyA~K^;BeEB-u-dQ5Vl9qkGwm!* z`(?at^XV&d3S>W3l6JFFmayj(pag;Eoz|@0z4O)ej+jDiCplV+Ee2ZEb?~@etsCT- zUg&>*EAWen51eXb78Kh zN^H*`_nzuc(2F8JJ^f=C6mXcP%!Hmy<8@e{d0?53Y??n7u4osh0o_8bV`^KTp1yrF z;r&jC@=hz~9W#;R;N=18+VS3J`5f@(uWOG>1qlT0Tj?uQ~ts=E3d2> zc!i6yS0DRAcbw~oQ)|;ae##{62@B9R>6m?xrqUVp;7Sbx)gfcDtF_N!P{b>Hk?EcR zcq4&;84TScP9M+M=^de~onmkJ%48})920U(O!3t%7>k4>a#C&OuFsLKV>#6*mm6$8 z!RrTd#d3%$v}{Z&Ef}dxd}qx5(0FODzHf^R4OJ9%<$RNg$)vU=sA6xzjJSS6+b|; z;F9VtGc_a#+=+CFk_!~nDxQ!zZ*}Eb3Yqn>@5zOdU*PP@tRQ|}h(DQ70LF2DdkByf zJyZ-5?-a@Za@N2*e)Vgs*k=(42MPTrFZ_<2(nzAF6t8ItIbB-|yzmVW@IHm?%nQX= z-k4ZV{74M}>#2gb>;?m4e@X}>An}F#Uuv=gUqbc@WF7b~t zH)ze`+yP4vAc}NpYPI9uBCWVAGMf2#Lat{kP&x3L7UFK|-W&9d`vds^ z643Lp%w0GxF@_tp9U?)W(*!eyCGpvLL_6qrjix*d=B+3U0mpkBr>sFFQ6rK_@-U#I zNEw{6iDAK{m2bz?8?3VVR1n4V0p5kU+oxTRQ^qTdMJ8W-pDoJFu^15YXh5@T1o|g` zOs)6Rf{2N)_)b%TAj6Gq#e`E+q-tLxbOVg|pY1WWhUzfzSUd#1r^s?>Ig%jNRF<-6 zHzHo&%{jVv)!TZtz3_wcVW+(n=ydT?iZHGOD%ah;_xu*)m`vn2>hOiL*bnyXy%q0U zH$DOyCfggk3AdnlGxhgwDA}@fPn~pM6iM$JJn7>>27QpM?eN?S8Pr8!uO&B!TB2fsg75C7%{V1rpDw|q;fnh z^ERJfY{%iV?XljPSU|)F6UOvxc}bKLQ+GJz!qy;{P>KZ8&6AH>EgY&qhPD&fb~qbC($% zS3^#B^<`1bzm-ao0lq{$ApU%GDEW+rlQs%%KLq4Xg;nUg7lix;D&^kdXbW zY5uGFoc1{Ami;f9uaNs}ZKn-^C_33{;>WQ;%OWC?kp@D0{Hx7LoWv$sd&kXt9O>}K z6qMNmn09|xtxFox4F!c4 zzp2GWrEJ!CI8Ex~`mtg>$6Ca4$~nXS)Fi@D3_6ayQf-8SA206C^6#02aYg(?Bq600 zxgSy%c;Iw5shR1EB~o9T&{0e>EU$s@$6MPq?plksTrUw6tu$ zhY3foK>$^4mkXvn3uAX1bY(=6zb(b6x?h>;&B}*Xhwt~%qv8E^v(_W3=+sd5prYt& zA{Dd>YooLa*rlkwM5-4=1|$191de*;=F(v%uH63WL(n@#d1D(Y}Jy>;r1@2es z1BTm^kkf^VAGBFXniPo-wz@%<=6L6qb<1};zV^)>zSR?oX54X1I(Yg3&Z3;3cN85E z20cVmaOk0qkASN7mmJvDpq*zhF856rMy5su{eFNSBtlG_f9z{lJMXM{2F8TxUiv&P z+3ZCW{-qAq^uPz69p9VG;K5cxBEo$cJBk{H`{`4TOLJW+--ES|aV}CHPQb6ZE=@5T zj`P9xugNAln8}jjYv?wIW6I#ocpvp^W5nL?R0!*>9pYYJxVyw* z2ce!vcO$?h&c(6mT%aPp#ZqOrZkXc5^rxo{tX@qtWIBO>%&A#5yX$*wd$5ZD>)`Bc zY zNLshwQSopA+XUYQCez+ijc#<`GS9<52Tj79KXweXnn^azB^M{TamJ>@m*?su)m403 zo5>J@_#&@oC)&E9!}}|nl6PdfRiCU5mHN;<6sO0H^eMr)c+o;GSvp{F!~W>L7Ff02 z1vFD}Hn1Io-e>9ynQ`fF9U?kXNytUzo=!SQv~X9!xhky%8@;=mr|BH9mkbdNbB7#w zTE36!Vg7bPH3ynCc|*V>SdasJt}hjM|Yonp= z7m{y}7d9__E+r}94+#VerpfpCKN^_L?--0yG?1t~etR5(+l4S+9Jxb9Y{0L}+|fHS z+9~F(C7R+0@C74_vxT*naoBV&)6`o57PP+sY7lSbuJ}y#YM!?Bp7`N5V~MX)6K}Dk zGx#1MkDAYvEIypt`|>bjR8Oo4jJdJqYnz5ELe=M87Eu^UEmNW*L1aW=aQLB>K!>)G-fZror8xL^sVVF7`xeeDzyVo!-EUtp|OYSqP_slFN-N8S=T;=S&U$TGwWl#+b zd=xY9ch=}lO5MzJ34-u1CxpK|Jfzz+3Po{_yRXFXiw>#2m=v-(&*N~a6D;=KS`cBo za=N?1d57+vB($%>r+9JWspgY>*z(UNH##g@L>~kel7KL1n?4RS&}ue=vt!ThDx^9q zzjPMx5%qnH90IQ}g69JdYp{GSobnmO2ebH<7w&@m!UZ8TM6r6KoiP0H56Csqi#B(n zH$_2K7Y5vd+lpRuIN%*L{qf}XH_wa5b31lHf!gh!C(V$MDAs3o@cwpU+sMgLhu}Pz zhf5YcL)47K@x~^RHxww6DLL9q1OW8F144^!6os>Vt3zq=M zIG*}A_lszPwsNl-61CUV1B{5|qy3ZBz>_c)d42Hx`wFh9-hO9Xe4>~4rZmBP`_tcG zX11@RX)9{UNf8W(^-$ZzuqeOW$POr5N1(UD1V%evCO*M3$G(f7Hc=dr zH-4~8x*XlSItjB}dqPQOQ~Y4xKN7WnV*JBzJh`Ru6z^qhB#hq!(1 z;6T~;@d7XSHd3&T@l@=suU!Jy00A)MB5R-@u7H@DE+1-lR-^q#UEcB6Qee*XEi$Qf zgU%f69xmoHaZzU{xu4bE-q4Sn<&Y8cMsD)eEA8Zs0zea!9Rrh0*~Fo_(_a6^40l#I99$)5d#MiEw$9y1^dIbVRzGf%(v1<1UBm z`z+bz|>^BtDDJU`++X1zzkF*2g2Cq+j0=7qdB zHxNpoI6)bLKS0avBq*#tZGe=&&8g3}KnM2Wu9F7LEY`Pjb_*i9iLj3Po>uxhPr0BJ z3+WV{c_ykQ=eo~N8dIQh@03uL5~aoyX3ZW$n;g}SAi4%b&@2P33+Y#46$u}2IyZ=9 z>!|o}TO5m++H@a&BN4SX%?&tOsO{I%ve>H9=N&wYM9HoyLig@8v$2JuZt7Ky@=tZ| zdm7+9RaQF!tZoai+l_RAXKhxMym`@#Pr8PZxhkGr$YK+{7Z|PkxG8?~BFhGwE;8+} zMTx$>#{hsimb2+cWyyQE7&LY_nzsf(#E*uSN9imwFJAB2d1r^Kl&4uBLWTks&Y$tDXx_ zyo0%_c7r^&$+n{IfcQ(6nv~wm_!y1qFVYHoUl@Qhzn1jin?1Aom$zN_rojxZ_4@Y< z$aTgDCW|w+zXeX0Ntrisyvb&?A^-T`%q$00z_iRK@#68^NSW6p>}T!Q#OD-;TBJ0~ z=l({CJv}0&Ez#>S#~x5O-E|&a+j3fqXx**R48I$mS=Qe|&P^c20s%ELTxR#bA}U%& zB(c&1;*5;NwaF+bD{rAc#VO=aLv!PNCNnr^%X;~}oJ})FzSbWd#HIG8@& zIF8HP$b1IU5g{l22^g7$3w!oSzmzTs$zduR%R|niJCRho{;zi70f-@ma#ZB{hRZq- zR4%+iGoc_q6kjV}cg}TftNh+FhK&R7%MkQx9`c}(uzLIJRPBW1?V&24QBZst#E!QK~3Tz@08jx_CPV6%2#DIp&$9ff89+s;#u5 z2Ne##MW6D$?(jC>Jbiqdt}cQXa|R(Ra#m414vf*M07N!xA%XOlfgwb@9*WQ znNJ!#jnz9dYEGA@^UxVz+U@B1UN1Kk#P8XJv!C+Muqzh#SJy36?ds|@T{RqlkTv(1CkAs?k2EEv6eCtWc=alB8^{mFQk>jzQMc!i zGWVN!!RssaPG@y7h7SUA2kV7QESGz;#7?un$=^OAoT9y3*r^c7erIB^&Z8$Q7aO@U z`iVT+_x86{ow|~@*MIZ7%=Pt8)((Cdt=V^OBatMdh$o)l+q>RJ)XP!Q=mBo}otr&& zAYI6o-~D+}F5L~!OZrSn!iL}Uw>0YMYOXWegSra59HJ|&QX}{}d8pceG=u;HGTYf3 zFHIVLdRH}XAH_ukPElT8MknKPHo}~IuZ*j`uFpZ0r>LxrKAWE4-=xxQDR~#rYO;!8 z4TqTo!dj8*zihGf0PF#dgh{~JjT?wk0=yRe7HS}@HV8B(^Ur(wKg$j38U{Yk#;n|= zDy3qoGVBcX_%RasB$>CSa9?SGTsaeWS_XXFA2;YGsblVb&3n!32 zJeH5RrFo?%aQ5!=#wq`K7o`dn@?B9mDmZzhPeDCFjht*fzJjM%``6m}-uyiv&+{I@ z2J{nE1}xC>QHpy0BR@GSmv0)|V(6Gb9ntyGt*CI7!f#Krgky-l02fG9O?gac5&6fY zKNLs7+sZbdsgJnEN)obHlN3|=B4+XB4<8>f_FN|L-tI9NeAg~4m_dGMROzNQRAqoS znP!C!Gb-k(A`v24lPPiz$yk??0-Hoh+~9A>`~Oyqb^$Hgo}#}m-oBuH6H z`%I<}c+A`=X(=&em0_0%G*<5_q*12KOP99J=6mxdh`(vvUa9icYoe0z^dzK}g6qv4oZaB@IPnG!P^zx>7eS9HprU0R;2vwEjD z1UjzD47F&q;@6id$X>*N{9xa({B?sc>oF+%ngZv}G^^Oyo1ki|eK+P90eES7Bso0- zvG=huJK6@TgPIj_w@NP2S!WzY)#-8Z+R*qV%cXQ#&TY!%C{^=mt&RRBNi=TCIh7{q z5AWAnZzp|1mp;%vofB0n`YBV~*ET?&)fjx=Jm!U)s_=<%-cAl{WQ|!A|GUnsqM7On*B<6=X#7>OQ6aL+Ak$8 z$f{e%Kk~a^rd{08liY}5Y7%nwxy z^hk&Esj@huth4uuSvFFyX0(4E;^IY+RG%spAs(Tu^z6AfbxgTIY0p|EtZpeL_?3bh zAQoTB_p#s%XOyJ9vmg^E6y+WjFMlfBq|H0k9iFJOXKkT2v*PsnPs zuNmi5`B1tWYBp8ismSL!7wTzrhW6#WF`%wnrG9E+6n>w|g%`V_yUxZ}|CbYs@0E3t z(~#q>ftBzVET>MaJ-gVTEp}zjgl-Mt^-@Hk1?x4moy~qqF%{<(*RDr?Q|_(a7$tH# z)50`-^sPrL4Xu*%eJN6w>YTkA^98VC>yEKU!13(za2hw#I_C2Y2CZLR3GEGnNP;+e+@l;FjK7?)w6K4 zc`d}aqFC5sS`=N2Iz&s){K1ti{(9bps<3}fDsS2nzVZ8wS-_e!un8FinsfYrEzjT4 q{K2lihkpaxQ@~^j90~tZ -1. 注册获取SIM卡状态接口仅针对有SIM卡在位场景生效,若用户拔出SIM卡,则接收不到回调事件。应用可通过调用getSimState接口来确定当前卡槽是否有卡在位。 -2. 拨打电话和发送短信API现阶段仅供系统应用使用,暂不支持三方应用申请调用。 -3. 目前开源的范围仅支持蜂窝通话(仅支持CS通话)和短信,不支持蜂窝数据上网,仅支持单SIM卡。 +1. 目前开源的范围仅支持蜂窝通话(仅支持CS通话)和短信,不支持蜂窝数据上网,仅支持单SIM卡。 ## 使用说明 @@ -140,17 +134,12 @@ base/telephony/ **电话服务子系统** -telephony\_core\_service +[telephony_core_service](https://gitee.com/openharmony/telephony_core_service/blob/master/README_zh.md) -telephony\_ril\_adapter +[telephony_call_manager](https://gitee.com/openharmony/telephony_call_manager/blob/master/README_zh.md) -telephony\_call\_manager +[telephony_cellular_call](https://gitee.com/openharmony/telephony_cellular_call/blob/master/README_zh.md) -telephony\_cellular\_call - -telephony\_cellular\_data - -telephony\_sms\_mms - -telephony\_state\_registry +[telephony\_sms\_mms](https://gitee.com/openharmony/telephony_sms_mms/blob/master/README_zh.md) +[telephony\_state\_registry](https://gitee.com/openharmony/telephony_state_registry/blob/master/README_zh.md) -- GitLab