diff --git a/src/main/scala/xiangshan/Bundle.scala b/src/main/scala/xiangshan/Bundle.scala index 781a50c4e008163bf0b550bf7e6e4ba56245b3e7..7be3f2088698a41e602649dbc6885f52c99148b4 100644 --- a/src/main/scala/xiangshan/Bundle.scala +++ b/src/main/scala/xiangshan/Bundle.scala @@ -53,6 +53,7 @@ class MicroOp extends CfCtrl { class Redirect extends XSBundle { val target = UInt(VAddrBits.W) val brTag = UInt(BrTagWidth.W) + val isException = Bool() } class Dp1ToDp2IO extends XSBundle {