From d5fe12d0c7b65f4e06362022c0a089e100a6c2ff Mon Sep 17 00:00:00 2001 From: Lingrui98 Date: Mon, 19 Jul 2021 22:54:26 +0800 Subject: [PATCH] [WIP] ifu: use f0_fire as I$ meta/data sram ren --- src/main/scala/xiangshan/decoupled-frontend/IFU.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/main/scala/xiangshan/decoupled-frontend/IFU.scala b/src/main/scala/xiangshan/decoupled-frontend/IFU.scala index f0c440bc1..36fa85d3f 100644 --- a/src/main/scala/xiangshan/decoupled-frontend/IFU.scala +++ b/src/main/scala/xiangshan/decoupled-frontend/IFU.scala @@ -105,7 +105,7 @@ class NewIFU(implicit p: Parameters) extends XSModule with Temperary with HasICa //fetch: send addr to Meta/TLB and Data simultaneously val fetch_req = List(toMeta, toData) for(i <- 0 until 2) { - fetch_req(i).valid := f0_valid + fetch_req(i).valid := f0_fire fetch_req(i).bits.isDoubleLine := f0_doubleLine fetch_req(i).bits.vSetIdx := f0_vSetIdx } -- GitLab