diff --git a/src/main/scala/xiangshan/Bundle.scala b/src/main/scala/xiangshan/Bundle.scala index 38c98cd28717487a344fde0a25867fbadb3a8a2b..fb4e00f576a99faf2b269bea5805c2517f816b1d 100644 --- a/src/main/scala/xiangshan/Bundle.scala +++ b/src/main/scala/xiangshan/Bundle.scala @@ -13,6 +13,7 @@ import xiangshan.frontend.HasBPUParameter import xiangshan.frontend.HasTageParameter import xiangshan.frontend.HasIFUConst import xiangshan.frontend.GlobalHistory +import xiangshan.frontend.RASEntry import utils._ import scala.math.max diff --git a/src/main/scala/xiangshan/frontend/RAS.scala b/src/main/scala/xiangshan/frontend/RAS.scala index df6c2a8a71204482ed3698279c3144dc035e3588..88ae15d3816768710dd82e35600f4c1eabaac6b6 100644 --- a/src/main/scala/xiangshan/frontend/RAS.scala +++ b/src/main/scala/xiangshan/frontend/RAS.scala @@ -7,6 +7,11 @@ import xiangshan.backend.ALUOpType import utils._ import chisel3.experimental.chiselName +class RASEntry() extends XSBundle { + val retAddr = UInt(VAddrBits.W) + val ctr = UInt(8.W) // layer of nested call functions +} + @chiselName class RAS extends BasePredictor { @@ -33,10 +38,6 @@ class RAS extends BasePredictor val meta = Output(new RASBranchInfo) } - class RASEntry() extends XSBundle { - val retAddr = UInt(VAddrBits.W) - val ctr = UInt(8.W) // layer of nested call functions - } def rasEntry() = new RASEntry