diff --git a/src/main/scala/xiangshan/frontend/PreDecode.scala b/src/main/scala/xiangshan/frontend/PreDecode.scala index adc84d0c360a11f622c33b9ec0a5fe7cca0a448d..64063c5c1244d5503cad016214d783317220c14c 100644 --- a/src/main/scala/xiangshan/frontend/PreDecode.scala +++ b/src/main/scala/xiangshan/frontend/PreDecode.scala @@ -101,8 +101,6 @@ class PreDecode(implicit p: Parameters) extends XSModule with HasPdConst{ val rawInsts = if (HasCExtension) VecInit((0 until PredictWidth).map(i => Cat(data(i+1), data(i)))) else VecInit((0 until PredictWidth).map(i => data(i))) - - for (i <- 0 until PredictWidth) { val inst =WireInit(rawInsts(i)) val expander = Module(new RVCExpander)