From 03fa16cfea86384c18001131092cbfeaf294d464 Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Tue, 23 Aug 2022 10:07:11 +0800 Subject: [PATCH] exu: disable fast wakeup from alu to mdu/jump (#1746) --- src/main/scala/xiangshan/XSCore.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/main/scala/xiangshan/XSCore.scala b/src/main/scala/xiangshan/XSCore.scala index cac9e504b..439b86dab 100644 --- a/src/main/scala/xiangshan/XSCore.scala +++ b/src/main/scala/xiangshan/XSCore.scala @@ -159,7 +159,7 @@ abstract class XSCoreBase()(implicit p: config.Parameters) extends LazyModule val schedulePorts = Seq( // exuCfg, numDeq, intFastWakeupTarget, fpFastWakeupTarget Seq( - (AluExeUnitCfg, exuParameters.AluCnt, Seq(AluExeUnitCfg, MulDivExeUnitCfg, JumpCSRExeUnitCfg, LdExeUnitCfg, StaExeUnitCfg), Seq()), + (AluExeUnitCfg, exuParameters.AluCnt, Seq(AluExeUnitCfg, LdExeUnitCfg, StaExeUnitCfg), Seq()), (MulDivExeUnitCfg, exuParameters.MduCnt, Seq(AluExeUnitCfg, MulDivExeUnitCfg), Seq()), (JumpCSRExeUnitCfg, 1, Seq(), Seq()), (LdExeUnitCfg, exuParameters.LduCnt, Seq(AluExeUnitCfg, LdExeUnitCfg), Seq()), -- GitLab