From 9b9881bf4c81d03f12dcb016c6cbfe6887193948 Mon Sep 17 00:00:00 2001 From: tyustli <1225613647@qq.com> Date: Tue, 19 Mar 2019 15:13:18 +0800 Subject: [PATCH] [bsp/stm32l475-st-discovery] add a new bsp of stm32 --- bsp/stm32/stm32l475-st-discovery/.config | 369 +++ bsp/stm32/stm32l475-st-discovery/.gitignore | 42 + bsp/stm32/stm32l475-st-discovery/Kconfig | 21 + bsp/stm32/stm32l475-st-discovery/README.md | 124 + bsp/stm32/stm32l475-st-discovery/SConscript | 15 + bsp/stm32/stm32l475-st-discovery/SConstruct | 58 + .../applications/SConscript | 9 + .../applications/main.c | 33 + .../board/CubeMX_Config/.mxproject | 17 + .../board/CubeMX_Config/CubeMX_Config.ioc | 148 + .../board/CubeMX_Config/Inc/main.h | 91 + .../CubeMX_Config/Inc/stm32l4xx_hal_conf.h | 435 +++ .../board/CubeMX_Config/Inc/stm32l4xx_it.h | 84 + .../board/CubeMX_Config/Src/main.c | 271 ++ .../CubeMX_Config/Src/stm32l4xx_hal_msp.c | 169 + .../board/CubeMX_Config/Src/stm32l4xx_it.c | 218 ++ .../CubeMX_Config/Src/system_stm32l4xx.c | 353 ++ .../stm32l475-st-discovery/board/Kconfig | 39 + .../stm32l475-st-discovery/board/SConscript | 38 + .../stm32l475-st-discovery/board/board.c | 62 + .../stm32l475-st-discovery/board/board.h | 41 + .../board/linker_scripts/link.icf | 33 + .../board/linker_scripts/link.lds | 145 + .../board/linker_scripts/link.sct | 15 + .../stm32l475-st-discovery/figures/board.png | Bin 0 -> 4017549 bytes bsp/stm32/stm32l475-st-discovery/project.ewd | 2834 +++++++++++++++++ bsp/stm32/stm32l475-st-discovery/project.ewp | 2277 +++++++++++++ bsp/stm32/stm32l475-st-discovery/project.eww | 10 + .../stm32l475-st-discovery/project.uvoptx | 1008 ++++++ .../stm32l475-st-discovery/project.uvprojx | 747 +++++ bsp/stm32/stm32l475-st-discovery/rtconfig.h | 176 + bsp/stm32/stm32l475-st-discovery/rtconfig.py | 134 + bsp/stm32/stm32l475-st-discovery/template.ewp | 2031 ++++++++++++ bsp/stm32/stm32l475-st-discovery/template.eww | 10 + .../stm32l475-st-discovery/template.uvoptx | 192 ++ .../stm32l475-st-discovery/template.uvprojx | 395 +++ 36 files changed, 12644 insertions(+) create mode 100644 bsp/stm32/stm32l475-st-discovery/.config create mode 100644 bsp/stm32/stm32l475-st-discovery/.gitignore create mode 100644 bsp/stm32/stm32l475-st-discovery/Kconfig create mode 100644 bsp/stm32/stm32l475-st-discovery/README.md create mode 100644 bsp/stm32/stm32l475-st-discovery/SConscript create mode 100644 bsp/stm32/stm32l475-st-discovery/SConstruct create mode 100644 bsp/stm32/stm32l475-st-discovery/applications/SConscript create mode 100644 bsp/stm32/stm32l475-st-discovery/applications/main.c create mode 100644 bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/.mxproject create mode 100644 bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/CubeMX_Config.ioc create mode 100644 bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Inc/main.h create mode 100644 bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Inc/stm32l4xx_hal_conf.h create mode 100644 bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Inc/stm32l4xx_it.h create mode 100644 bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/main.c create mode 100644 bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/stm32l4xx_hal_msp.c create mode 100644 bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/stm32l4xx_it.c create mode 100644 bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/system_stm32l4xx.c create mode 100644 bsp/stm32/stm32l475-st-discovery/board/Kconfig create mode 100644 bsp/stm32/stm32l475-st-discovery/board/SConscript create mode 100644 bsp/stm32/stm32l475-st-discovery/board/board.c create mode 100644 bsp/stm32/stm32l475-st-discovery/board/board.h create mode 100644 bsp/stm32/stm32l475-st-discovery/board/linker_scripts/link.icf create mode 100644 bsp/stm32/stm32l475-st-discovery/board/linker_scripts/link.lds create mode 100644 bsp/stm32/stm32l475-st-discovery/board/linker_scripts/link.sct create mode 100644 bsp/stm32/stm32l475-st-discovery/figures/board.png create mode 100644 bsp/stm32/stm32l475-st-discovery/project.ewd create mode 100644 bsp/stm32/stm32l475-st-discovery/project.ewp create mode 100644 bsp/stm32/stm32l475-st-discovery/project.eww create mode 100644 bsp/stm32/stm32l475-st-discovery/project.uvoptx create mode 100644 bsp/stm32/stm32l475-st-discovery/project.uvprojx create mode 100644 bsp/stm32/stm32l475-st-discovery/rtconfig.h create mode 100644 bsp/stm32/stm32l475-st-discovery/rtconfig.py create mode 100644 bsp/stm32/stm32l475-st-discovery/template.ewp create mode 100644 bsp/stm32/stm32l475-st-discovery/template.eww create mode 100644 bsp/stm32/stm32l475-st-discovery/template.uvoptx create mode 100644 bsp/stm32/stm32l475-st-discovery/template.uvprojx diff --git a/bsp/stm32/stm32l475-st-discovery/.config b/bsp/stm32/stm32l475-st-discovery/.config new file mode 100644 index 000000000..cc6c17772 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/.config @@ -0,0 +1,369 @@ +# +# Automatically generated file; DO NOT EDIT. +# RT-Thread Configuration +# + +# +# RT-Thread Kernel +# +CONFIG_RT_NAME_MAX=8 +# CONFIG_RT_USING_SMP is not set +CONFIG_RT_ALIGN_SIZE=4 +# CONFIG_RT_THREAD_PRIORITY_8 is not set +CONFIG_RT_THREAD_PRIORITY_32=y +# CONFIG_RT_THREAD_PRIORITY_256 is not set +CONFIG_RT_THREAD_PRIORITY_MAX=32 +CONFIG_RT_TICK_PER_SECOND=1000 +CONFIG_RT_USING_OVERFLOW_CHECK=y +CONFIG_RT_USING_HOOK=y +CONFIG_RT_USING_IDLE_HOOK=y +CONFIG_RT_IDEL_HOOK_LIST_SIZE=4 +CONFIG_IDLE_THREAD_STACK_SIZE=256 +# CONFIG_RT_USING_TIMER_SOFT is not set +CONFIG_RT_DEBUG=y +CONFIG_RT_DEBUG_COLOR=y +# CONFIG_RT_DEBUG_INIT_CONFIG is not set +# CONFIG_RT_DEBUG_THREAD_CONFIG is not set +# CONFIG_RT_DEBUG_SCHEDULER_CONFIG is not set +# CONFIG_RT_DEBUG_IPC_CONFIG is not set +# CONFIG_RT_DEBUG_TIMER_CONFIG is not set +# CONFIG_RT_DEBUG_IRQ_CONFIG is not set +# CONFIG_RT_DEBUG_MEM_CONFIG is not set +# CONFIG_RT_DEBUG_SLAB_CONFIG is not set +# CONFIG_RT_DEBUG_MEMHEAP_CONFIG is not set +# CONFIG_RT_DEBUG_MODULE_CONFIG is not set + +# +# Inter-Thread communication +# +CONFIG_RT_USING_SEMAPHORE=y +CONFIG_RT_USING_MUTEX=y +CONFIG_RT_USING_EVENT=y +CONFIG_RT_USING_MAILBOX=y +CONFIG_RT_USING_MESSAGEQUEUE=y +# CONFIG_RT_USING_SIGNALS is not set + +# +# Memory Management +# +CONFIG_RT_USING_MEMPOOL=y +# CONFIG_RT_USING_MEMHEAP is not set +# CONFIG_RT_USING_NOHEAP is not set +CONFIG_RT_USING_SMALL_MEM=y +# CONFIG_RT_USING_SLAB is not set +# CONFIG_RT_USING_MEMTRACE is not set +CONFIG_RT_USING_HEAP=y + +# +# Kernel Device Object +# +CONFIG_RT_USING_DEVICE=y +# CONFIG_RT_USING_DEVICE_OPS is not set +# CONFIG_RT_USING_INTERRUPT_INFO is not set +CONFIG_RT_USING_CONSOLE=y +CONFIG_RT_CONSOLEBUF_SIZE=256 +CONFIG_RT_CONSOLE_DEVICE_NAME="uart1" +CONFIG_RT_VER_NUM=0x40001 +CONFIG_ARCH_ARM=y +CONFIG_ARCH_ARM_CORTEX_M=y +CONFIG_ARCH_ARM_CORTEX_M4=y +# CONFIG_ARCH_CPU_STACK_GROWS_UPWARD is not set + +# +# RT-Thread Components +# +CONFIG_RT_USING_COMPONENTS_INIT=y +CONFIG_RT_USING_USER_MAIN=y +CONFIG_RT_MAIN_THREAD_STACK_SIZE=2048 +CONFIG_RT_MAIN_THREAD_PRIORITY=10 + +# +# C++ features +# +# CONFIG_RT_USING_CPLUSPLUS is not set + +# +# Command shell +# +CONFIG_RT_USING_FINSH=y +CONFIG_FINSH_THREAD_NAME="tshell" +CONFIG_FINSH_USING_HISTORY=y +CONFIG_FINSH_HISTORY_LINES=5 +CONFIG_FINSH_USING_SYMTAB=y +CONFIG_FINSH_USING_DESCRIPTION=y +# CONFIG_FINSH_ECHO_DISABLE_DEFAULT is not set +CONFIG_FINSH_THREAD_PRIORITY=20 +CONFIG_FINSH_THREAD_STACK_SIZE=4096 +CONFIG_FINSH_CMD_SIZE=80 +# CONFIG_FINSH_USING_AUTH is not set +CONFIG_FINSH_USING_MSH=y +CONFIG_FINSH_USING_MSH_DEFAULT=y +CONFIG_FINSH_USING_MSH_ONLY=y +CONFIG_FINSH_ARG_MAX=10 + +# +# Device virtual file system +# +# CONFIG_RT_USING_DFS is not set + +# +# Device Drivers +# +CONFIG_RT_USING_DEVICE_IPC=y +CONFIG_RT_PIPE_BUFSZ=512 +CONFIG_RT_USING_SERIAL=y +CONFIG_RT_SERIAL_USING_DMA=y +CONFIG_RT_SERIAL_RB_BUFSZ=64 +# CONFIG_RT_USING_CAN is not set +# CONFIG_RT_USING_HWTIMER is not set +# CONFIG_RT_USING_CPUTIME is not set +# CONFIG_RT_USING_I2C is not set +CONFIG_RT_USING_PIN=y +# CONFIG_RT_USING_ADC is not set +# CONFIG_RT_USING_PWM is not set +# CONFIG_RT_USING_MTD_NOR is not set +# CONFIG_RT_USING_MTD_NAND is not set +# CONFIG_RT_USING_MTD is not set +# CONFIG_RT_USING_PM is not set +# CONFIG_RT_USING_RTC is not set +# CONFIG_RT_USING_SDIO is not set +# CONFIG_RT_USING_SPI is not set +# CONFIG_RT_USING_WDT is not set +# CONFIG_RT_USING_AUDIO is not set +# CONFIG_RT_USING_SENSOR is not set + +# +# Using WiFi +# +# CONFIG_RT_USING_WIFI is not set + +# +# Using USB +# +# CONFIG_RT_USING_USB_HOST is not set +# CONFIG_RT_USING_USB_DEVICE is not set + +# +# POSIX layer and C standard library +# +# CONFIG_RT_USING_LIBC is not set +# CONFIG_RT_USING_PTHREADS is not set + +# +# Network +# + +# +# Socket abstraction layer +# +# CONFIG_RT_USING_SAL is not set + +# +# light weight TCP/IP stack +# +# CONFIG_RT_USING_LWIP is not set + +# +# Modbus master and slave stack +# +# CONFIG_RT_USING_MODBUS is not set + +# +# AT commands +# +# CONFIG_RT_USING_AT is not set + +# +# VBUS(Virtual Software BUS) +# +# CONFIG_RT_USING_VBUS is not set + +# +# Utilities +# +# CONFIG_RT_USING_LOGTRACE is not set +# CONFIG_RT_USING_RYM is not set +# CONFIG_RT_USING_ULOG is not set +# CONFIG_RT_USING_UTEST is not set + +# +# ARM CMSIS +# +# CONFIG_RT_USING_CMSIS_OS is not set +# CONFIG_RT_USING_RTT_CMSIS is not set +# CONFIG_RT_USING_LWP is not set + +# +# RT-Thread online packages +# + +# +# IoT - internet of things +# +# CONFIG_PKG_USING_PAHOMQTT is not set +# CONFIG_PKG_USING_WEBCLIENT is not set +# CONFIG_PKG_USING_WEBNET is not set +# CONFIG_PKG_USING_MONGOOSE is not set +# CONFIG_PKG_USING_WEBTERMINAL is not set +# CONFIG_PKG_USING_CJSON is not set +# CONFIG_PKG_USING_JSMN is not set +# CONFIG_PKG_USING_LIBMODBUS is not set +# CONFIG_PKG_USING_LJSON is not set +# CONFIG_PKG_USING_EZXML is not set +# CONFIG_PKG_USING_NANOPB is not set + +# +# Wi-Fi +# + +# +# Marvell WiFi +# +# CONFIG_PKG_USING_WLANMARVELL is not set + +# +# Wiced WiFi +# +# CONFIG_PKG_USING_WLAN_WICED is not set +# CONFIG_PKG_USING_RW007 is not set +# CONFIG_PKG_USING_COAP is not set +# CONFIG_PKG_USING_NOPOLL is not set +# CONFIG_PKG_USING_NETUTILS is not set +# CONFIG_PKG_USING_AT_DEVICE is not set +# CONFIG_PKG_USING_WIZNET is not set + +# +# IoT Cloud +# +# CONFIG_PKG_USING_ONENET is not set +# CONFIG_PKG_USING_GAGENT_CLOUD is not set +# CONFIG_PKG_USING_ALI_IOTKIT is not set +# CONFIG_PKG_USING_AZURE is not set +# CONFIG_PKG_USING_TENCENT_IOTKIT is not set +# CONFIG_PKG_USING_NIMBLE is not set +# CONFIG_PKG_USING_OTA_DOWNLOADER is not set + +# +# security packages +# +# CONFIG_PKG_USING_MBEDTLS is not set +# CONFIG_PKG_USING_libsodium is not set +# CONFIG_PKG_USING_TINYCRYPT is not set + +# +# language packages +# +# CONFIG_PKG_USING_LUA is not set +# CONFIG_PKG_USING_JERRYSCRIPT is not set +# CONFIG_PKG_USING_MICROPYTHON is not set + +# +# multimedia packages +# +# CONFIG_PKG_USING_OPENMV is not set +# CONFIG_PKG_USING_MUPDF is not set + +# +# tools packages +# +# CONFIG_PKG_USING_CMBACKTRACE is not set +# CONFIG_PKG_USING_EASYFLASH is not set +# CONFIG_PKG_USING_EASYLOGGER is not set +# CONFIG_PKG_USING_SYSTEMVIEW is not set +# CONFIG_PKG_USING_RDB is not set +# CONFIG_PKG_USING_QRCODE is not set +# CONFIG_PKG_USING_ULOG_EASYFLASH is not set +# CONFIG_PKG_USING_ADBD is not set + +# +# system packages +# +# CONFIG_PKG_USING_GUIENGINE is not set +# CONFIG_PKG_USING_PERSIMMON is not set +# CONFIG_PKG_USING_CAIRO is not set +# CONFIG_PKG_USING_PIXMAN is not set +# CONFIG_PKG_USING_LWEXT4 is not set +# CONFIG_PKG_USING_PARTITION is not set +# CONFIG_PKG_USING_FAL is not set +# CONFIG_PKG_USING_SQLITE is not set +# CONFIG_PKG_USING_RTI is not set +# CONFIG_PKG_USING_LITTLEVGL2RTT is not set +# CONFIG_PKG_USING_CMSIS is not set +# CONFIG_PKG_USING_DFS_YAFFS is not set +# CONFIG_PKG_USING_LITTLEFS is not set + +# +# peripheral libraries and drivers +# + +# +# sensors drivers +# +# CONFIG_PKG_USING_LSM6DSL is not set +# CONFIG_PKG_USING_LPS22HB is not set +# CONFIG_PKG_USING_HTS221 is not set +# CONFIG_PKG_USING_LSM303AGR is not set +# CONFIG_PKG_USING_BME280 is not set +# CONFIG_PKG_USING_BMA400 is not set +# CONFIG_PKG_USING_BMI160_BMX160 is not set +# CONFIG_PKG_USING_SPL0601 is not set +# CONFIG_PKG_USING_REALTEK_AMEBA is not set +# CONFIG_PKG_USING_SHT2X is not set +# CONFIG_PKG_USING_AHT10 is not set +# CONFIG_PKG_USING_AP3216C is not set +# CONFIG_PKG_USING_STM32_SDIO is not set +# CONFIG_PKG_USING_ICM20608 is not set +# CONFIG_PKG_USING_U8G2 is not set +# CONFIG_PKG_USING_BUTTON is not set +# CONFIG_PKG_USING_MPU6XXX is not set +# CONFIG_PKG_USING_PCF8574 is not set +# CONFIG_PKG_USING_SX12XX is not set +# CONFIG_PKG_USING_KENDRYTE_SDK is not set + +# +# miscellaneous packages +# +# CONFIG_PKG_USING_LIBCSV is not set +# CONFIG_PKG_USING_OPTPARSE is not set +# CONFIG_PKG_USING_FASTLZ is not set +# CONFIG_PKG_USING_MINILZO is not set +# CONFIG_PKG_USING_QUICKLZ is not set +# CONFIG_PKG_USING_MULTIBUTTON is not set +# CONFIG_PKG_USING_CANFESTIVAL is not set +# CONFIG_PKG_USING_ZLIB is not set +# CONFIG_PKG_USING_DSTR is not set +# CONFIG_PKG_USING_TINYFRAME is not set +# CONFIG_PKG_USING_KENDRYTE_DEMO is not set + +# +# samples: kernel and components samples +# +# CONFIG_PKG_USING_KERNEL_SAMPLES is not set +# CONFIG_PKG_USING_FILESYSTEM_SAMPLES is not set +# CONFIG_PKG_USING_NETWORK_SAMPLES is not set +# CONFIG_PKG_USING_PERIPHERAL_SAMPLES is not set +# CONFIG_PKG_USING_HELLO is not set +# CONFIG_PKG_USING_VI is not set +CONFIG_SOC_FAMILY_STM32=y +CONFIG_SOC_SERIES_STM32L4=y + +# +# Hardware Drivers Config +# +CONFIG_SOC_STM32L475VG=y + +# +# Onboard Peripheral Drivers +# + +# +# On-chip Peripheral Drivers +# +CONFIG_BSP_USING_GPIO=y +CONFIG_BSP_USING_UART=y +CONFIG_BSP_USING_UART1=y +# CONFIG_BSP_UART1_RX_USING_DMA is not set + +# +# Board extended module Drivers +# diff --git a/bsp/stm32/stm32l475-st-discovery/.gitignore b/bsp/stm32/stm32l475-st-discovery/.gitignore new file mode 100644 index 000000000..7221bde01 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/.gitignore @@ -0,0 +1,42 @@ +*.pyc +*.map +*.dblite +*.elf +*.bin +*.hex +*.axf +*.exe +*.pdb +*.idb +*.ilk +*.old +build +Debug +documentation/html +packages/ +*~ +*.o +*.obj +*.out +*.bak +*.dep +*.lib +*.i +*.d +.DS_Stor* +.config 3 +.config 4 +.config 5 +Midea-X1 +*.uimg +GPATH +GRTAGS +GTAGS +.vscode +JLinkLog.txt +JLinkSettings.ini +DebugConfig/ +RTE/ +settings/ +*.uvguix* +cconfig.h diff --git a/bsp/stm32/stm32l475-st-discovery/Kconfig b/bsp/stm32/stm32l475-st-discovery/Kconfig new file mode 100644 index 000000000..8cbc7b71a --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/Kconfig @@ -0,0 +1,21 @@ +mainmenu "RT-Thread Configuration" + +config BSP_DIR + string + option env="BSP_ROOT" + default "." + +config RTT_DIR + string + option env="RTT_ROOT" + default "../../.." + +config PKGS_DIR + string + option env="PKGS_ROOT" + default "packages" + +source "$RTT_DIR/Kconfig" +source "$PKGS_DIR/Kconfig" +source "../libraries/Kconfig" +source "board/Kconfig" diff --git a/bsp/stm32/stm32l475-st-discovery/README.md b/bsp/stm32/stm32l475-st-discovery/README.md new file mode 100644 index 000000000..a33e9a3c5 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/README.md @@ -0,0 +1,124 @@ +# STM32L475 IoT Discovery kit BSP 说明 + +## 简介 + +本文档为 RT-Thread 开发团队为 STM32L475 IoT Discovery kit开发板提供的 BSP (板级支持包) 说明。 + +主要内容如下: + +- 开发板资源介绍 +- BSP 快速上手 +- 进阶使用方法 + +通过阅读快速上手章节开发者可以快速地上手该 BSP,将 RT-Thread 运行在开发板上。在进阶使用指南章节,将会介绍更多高级功能,帮助开发者利用 RT-Thread 驱动更多板载资源。 + +## 开发板介绍 + +STM32L475 IoT Discovery kit 是ST官方推出的一款基于 ARM Cortex-M4 内核的开发板,最高主频为 80Mhz,该开发板具有丰富的板载资源,可以充分发挥 STM32L475 的芯片性能。 + +开发板外观如下图所示: + +![board](figures/board.png) + +该开发板常用 **板载资源** 如下: + +- MCU:STM32L475VGT6,主频 80MHz,1024KB FLASH ,128KB RAM +- 常用外设 + - 姿态传感器 + - 加速度传感器 + - 磁力计 + - 接近传感器 + - 压力传感器 + - 湿度传感器 + - 扩音器 + - 板载 ST LINK V2.1 功能 +- 常用接口:USB OTG、Arduino Uno和Pmod接口 +- 调试接口,ST-LINK Micro USB 接口 +- 支持mbed + +开发板更多详细信息请参考ST官方网站 [STM32 开发板介绍]https://www.st.com/en/evaluation-tools/b-l475e-iot01a.html + +## 外设支持 + +本 BSP 目前对外设的支持情况如下: + +| **板载外设** | **支持情况** | **备注** | +| :----------------- | :----------: | :------------------------------------- | +| 板载 ST-LINK 转串口 | 支持 | | +| 温湿度传感器 | 支持 | | +| 九轴传感器 | 支持 | | +| 音频解码 | 支持 | | +| **片上外设** | **支持情况** | **备注** | +| GPIO | 支持 | | +| UART | 支持 | | +| SPI | 支持 | | +| I2C | 支持 | | +| TIM | 支持 | | +| ADC | 支持 | | +| RTC | 支持 | | +| WDT | 支持 | | +| PWM | 支持 | | + +## 使用说明 + +使用说明分为如下两个章节: + +- 快速上手 + + 本章节是为刚接触 RT-Thread 的新手准备的使用说明,遵循简单的步骤即可将 RT-Thread 操作系统运行在该开发板上,看到实验效果 。 + +- 进阶使用 + + 本章节是为需要在 RT-Thread 操作系统上使用更多开发板资源的开发者准备的。通过使用 ENV 工具对 BSP 进行配置,可以开启更多板载资源,实现更多高级功能。 + + +### 快速上手 + +本 BSP 为开发者提供MDK5 和 IAR 工程,并且支持 GCC 开发环境。下面以 MDK5 开发环境为例,介绍如何将系统运行起来。 + +#### 硬件连接 + +使用数据线连接开发板到 PC。 + +#### 编译下载 + +双击 project.uvprojx 文件,打开 MDK5 工程,编译并下载程序到开发板。 + +> 工程默认配置使用板载 ST-LINK 下载程序,只需一根 USB 线连接开发板,点击下载按钮即可下载程序到开发板 + +#### 运行结果 + +下载程序成功之后,系统会自动运行,观察开发板上 LED 的运行效果,绿色 LED 会周期性闪烁。 + +连接开发板对应串口到 PC , 在终端工具里打开相应的串口(115200-8-1-N),复位设备后,可以看到 RT-Thread 的输出信息: + + +```bash + \ | / +- RT - Thread Operating System + / | \ 4.0.1 build Mar 18 2019 + 2006 - 2019 Copyright by rt-thread team +``` +### 进阶使用 + +此 BSP 默认只开启了 GPIO 和 串口1 的功能,如果需使用 更多高级功能,需要利用 ENV 工具对BSP 进行配置,步骤如下: + +1. 在 bsp 下打开 env 工具。 + +2. 输入`menuconfig`命令配置工程,配置好之后保存退出。 + +3. 输入`pkgs --update`命令更新软件包。 + +4. 输入`scons --target=mdk4/mdk5/iar` 命令重新生成工程。 + +本章节更多详细的介绍请参考 [STM32 系列 BSP 外设驱动使用教程](../docs/STM32系列BSP外设驱动使用教程.md)。 + +## 注意事项 + +暂无 + +## 联系人信息 + +维护人: + +- [tyustli](https://github.com/tyustli) \ No newline at end of file diff --git a/bsp/stm32/stm32l475-st-discovery/SConscript b/bsp/stm32/stm32l475-st-discovery/SConscript new file mode 100644 index 000000000..20f7689c5 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/SConscript @@ -0,0 +1,15 @@ +# for module compiling +import os +Import('RTT_ROOT') +from building import * + +cwd = GetCurrentDir() +objs = [] +list = os.listdir(cwd) + +for d in list: + path = os.path.join(cwd, d) + if os.path.isfile(os.path.join(path, 'SConscript')): + objs = objs + SConscript(os.path.join(d, 'SConscript')) + +Return('objs') diff --git a/bsp/stm32/stm32l475-st-discovery/SConstruct b/bsp/stm32/stm32l475-st-discovery/SConstruct new file mode 100644 index 000000000..6e29ff6ae --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/SConstruct @@ -0,0 +1,58 @@ +import os +import sys +import rtconfig + +if os.getenv('RTT_ROOT'): + RTT_ROOT = os.getenv('RTT_ROOT') +else: + RTT_ROOT = os.path.normpath(os.getcwd() + '/../../..') + +sys.path = sys.path + [os.path.join(RTT_ROOT, 'tools')] +try: + from building import * +except: + print('Cannot found RT-Thread root directory, please check RTT_ROOT') + print(RTT_ROOT) + exit(-1) + +TARGET = 'rtthread.' + rtconfig.TARGET_EXT + +env = Environment(tools = ['mingw'], + AS = rtconfig.AS, ASFLAGS = rtconfig.AFLAGS, + CC = rtconfig.CC, CCFLAGS = rtconfig.CFLAGS, + AR = rtconfig.AR, ARFLAGS = '-rc', + LINK = rtconfig.LINK, LINKFLAGS = rtconfig.LFLAGS) +env.PrependENVPath('PATH', rtconfig.EXEC_PATH) + +if rtconfig.PLATFORM == 'iar': + env.Replace(CCCOM = ['$CC $CCFLAGS $CPPFLAGS $_CPPDEFFLAGS $_CPPINCFLAGS -o $TARGET $SOURCES']) + env.Replace(ARFLAGS = ['']) + env.Replace(LINKCOM = env["LINKCOM"] + ' --map rtthread.map') + +Export('RTT_ROOT') +Export('rtconfig') + +SDK_ROOT = os.path.abspath('./') + +if os.path.exists(SDK_ROOT + '/libraries'): + libraries_path_prefix = SDK_ROOT + '/libraries' +else: + libraries_path_prefix = os.path.dirname(SDK_ROOT) + '/libraries' + +SDK_LIB = libraries_path_prefix +Export('SDK_LIB') + +# prepare building environment +objs = PrepareBuilding(env, RTT_ROOT, has_libcpu=False) + +stm32_library = 'STM32L4xx_HAL' +rtconfig.BSP_LIBRARY_TYPE = stm32_library + +# include libraries +objs.extend(SConscript(os.path.join(libraries_path_prefix, stm32_library, 'SConscript'))) + +# include drivers +objs.extend(SConscript(os.path.join(libraries_path_prefix, 'HAL_Drivers', 'SConscript'))) + +# make a building +DoBuilding(TARGET, objs) diff --git a/bsp/stm32/stm32l475-st-discovery/applications/SConscript b/bsp/stm32/stm32l475-st-discovery/applications/SConscript new file mode 100644 index 000000000..4939638d4 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/applications/SConscript @@ -0,0 +1,9 @@ +from building import * + +cwd = GetCurrentDir() +src = Glob('*.c') +CPPPATH = [str(Dir('#')), cwd] + +group = DefineGroup('Applications', src, depend = [''], CPPPATH = CPPPATH) + +Return('group') diff --git a/bsp/stm32/stm32l475-st-discovery/applications/main.c b/bsp/stm32/stm32l475-st-discovery/applications/main.c new file mode 100644 index 000000000..2c4ca35a6 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/applications/main.c @@ -0,0 +1,33 @@ +/* + * Copyright (c) 2006-2018, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2019-3-19 tyustli first version + */ + +#include +#include +#include + +/* defined the LED0 pin: PB14 */ +#define LED0_PIN GET_PIN(B, 14) + +int main(void) +{ + int count = 1; + /* set LED0 pin mode to output */ + rt_pin_mode(LED0_PIN, PIN_MODE_OUTPUT); + + while (count++) + { + rt_pin_write(LED0_PIN, PIN_HIGH); + rt_thread_mdelay(500); + rt_pin_write(LED0_PIN, PIN_LOW); + rt_thread_mdelay(500); + } + + return RT_EOK; +} diff --git a/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/.mxproject b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/.mxproject new file mode 100644 index 000000000..edd256f4b --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/.mxproject @@ -0,0 +1,17 @@ +[PreviousGenFiles] +HeaderPath=D:/RTT/Company/RT_Thread_Source_Code/rt-thread-master/bsp/stm32/stm32l475-IoT-Discovery-kit/board/CubeMX_Config/Inc +HeaderFiles=stm32l4xx_it.h;stm32l4xx_hal_conf.h;main.h; +SourcePath=D:/RTT/Company/RT_Thread_Source_Code/rt-thread-master/bsp/stm32/stm32l475-IoT-Discovery-kit/board/CubeMX_Config/Src +SourceFiles=stm32l4xx_it.c;stm32l4xx_hal_msp.c;main.c; + +[PreviousLibFiles] +LibFiles=Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_tim.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_tim_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_uart.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_uart_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_def.h;Drivers/STM32L4xx_HAL_Driver/Inc/Legacy/stm32_hal_legacy.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_i2c.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_i2c_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_rcc.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_rcc_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_flash.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_flash_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_flash_ramfunc.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_gpio.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_gpio_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_dma.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_dma_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_pwr.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_pwr_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_cortex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_exti.h;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_tim.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_tim_ex.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_uart.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_uart_ex.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_i2c.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_i2c_ex.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_rcc.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_rcc_ex.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash_ex.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash_ramfunc.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_gpio.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_dma.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_dma_ex.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_pwr.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_pwr_ex.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_cortex.c;Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_exti.c;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_tim.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_tim_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_uart.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_uart_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_def.h;Drivers/STM32L4xx_HAL_Driver/Inc/Legacy/stm32_hal_legacy.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_i2c.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_i2c_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_rcc.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_rcc_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_flash.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_flash_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_flash_ramfunc.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_gpio.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_gpio_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_dma.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_dma_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_pwr.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_pwr_ex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_cortex.h;Drivers/STM32L4xx_HAL_Driver/Inc/stm32l4xx_hal_exti.h;Drivers/CMSIS/Device/ST/STM32L4xx/Include/stm32l475xx.h;Drivers/CMSIS/Device/ST/STM32L4xx/Include/stm32l4xx.h;Drivers/CMSIS/Device/ST/STM32L4xx/Include/system_stm32l4xx.h;Drivers/CMSIS/Device/ST/STM32L4xx/Source/Templates/system_stm32l4xx.c;Drivers/CMSIS/Include/arm_common_tables.h;Drivers/CMSIS/Include/arm_const_structs.h;Drivers/CMSIS/Include/arm_math.h;Drivers/CMSIS/Include/cmsis_armcc.h;Drivers/CMSIS/Include/cmsis_armcc_V6.h;Drivers/CMSIS/Include/cmsis_gcc.h;Drivers/CMSIS/Include/core_cm0.h;Drivers/CMSIS/Include/core_cm0plus.h;Drivers/CMSIS/Include/core_cm3.h;Drivers/CMSIS/Include/core_cm4.h;Drivers/CMSIS/Include/core_cm7.h;Drivers/CMSIS/Include/core_cmFunc.h;Drivers/CMSIS/Include/core_cmInstr.h;Drivers/CMSIS/Include/core_cmSimd.h;Drivers/CMSIS/Include/core_sc000.h;Drivers/CMSIS/Include/core_sc300.h; + +[PreviousUsedKeilFiles] +SourceFiles=..\Src\main.c;..\Src\stm32l4xx_it.c;..\Src\stm32l4xx_hal_msp.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_tim.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_tim_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_uart.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_uart_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_i2c.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_i2c_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_rcc.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_rcc_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash_ramfunc.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_gpio.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_dma.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_dma_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_pwr.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_pwr_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_cortex.c;../\Src/system_stm32l4xx.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_tim.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_tim_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_uart.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_uart_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_i2c.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_i2c_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_rcc.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_rcc_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash_ramfunc.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_gpio.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_dma.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_dma_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_pwr.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_pwr_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_cortex.c;../\Src/system_stm32l4xx.c;../Drivers/CMSIS/Device/ST/STM32L4xx/Source/Templates/system_stm32l4xx.c;null; +HeaderPath=..\Drivers\STM32L4xx_HAL_Driver\Inc;..\Drivers\STM32L4xx_HAL_Driver\Inc\Legacy;..\Drivers\CMSIS\Device\ST\STM32L4xx\Include;..\Drivers\CMSIS\Include;..\Inc; + +[PreviousUsedIarFiles] +SourceFiles=..\Src\main.c;..\Src\stm32l4xx_it.c;..\Src\stm32l4xx_hal_msp.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_tim.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_tim_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_uart.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_uart_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_i2c.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_i2c_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_rcc.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_rcc_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash_ramfunc.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_gpio.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_dma.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_dma_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_pwr.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_pwr_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_cortex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_exti.c;../\Src/system_stm32l4xx.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_tim.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_tim_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_uart.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_uart_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_i2c.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_i2c_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_rcc.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_rcc_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_flash_ramfunc.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_gpio.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_dma.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_dma_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_pwr.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_pwr_ex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_cortex.c;../Drivers/STM32L4xx_HAL_Driver/Src/stm32l4xx_hal_exti.c;../\Src/system_stm32l4xx.c;../Drivers/CMSIS/Device/ST/STM32L4xx/Source/Templates/system_stm32l4xx.c;D:/RTT/Company/RT_Thread_Source_Code/rt-thread-master/bsp/stm32/stm32l475-IoT-Discovery-kit/board/CubeMX_Config//EWARM/startup_stm32l475xx.s; +HeaderPath=..\Drivers\STM32L4xx_HAL_Driver\Inc;..\Drivers\STM32L4xx_HAL_Driver\Inc\Legacy;..\Drivers\CMSIS\Device\ST\STM32L4xx\Include;..\Drivers\CMSIS\Include;..\Inc; + diff --git a/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/CubeMX_Config.ioc b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/CubeMX_Config.ioc new file mode 100644 index 000000000..8ad413816 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/CubeMX_Config.ioc @@ -0,0 +1,148 @@ +#MicroXplorer Configuration settings - do not modify +File.Version=6 +KeepUserPlacement=false +Mcu.Family=STM32L4 +Mcu.IP0=NVIC +Mcu.IP1=RCC +Mcu.IP2=SYS +Mcu.IP3=USART1 +Mcu.IPNb=4 +Mcu.Name=STM32L475V(C-E-G)Tx +Mcu.Package=LQFP100 +Mcu.Pin0=PC14-OSC32_IN (PC14) +Mcu.Pin1=PC15-OSC32_OUT (PC15) +Mcu.Pin2=PH0-OSC_IN (PH0) +Mcu.Pin3=PH1-OSC_OUT (PH1) +Mcu.Pin4=PA13 (JTMS-SWDIO) +Mcu.Pin5=PA14 (JTCK-SWCLK) +Mcu.Pin6=PB6 +Mcu.Pin7=PB7 +Mcu.Pin8=VP_SYS_VS_Systick +Mcu.PinsNb=9 +Mcu.ThirdPartyNb=0 +Mcu.UserConstants= +Mcu.UserName=STM32L475VGTx +MxCube.Version=5.1.0 +MxDb.Version=DB.5.0.10 +NVIC.BusFault_IRQn=true\:0\:0\:false\:false\:true\:false\:false +NVIC.DebugMonitor_IRQn=true\:0\:0\:false\:false\:true\:false\:false +NVIC.HardFault_IRQn=true\:0\:0\:false\:false\:true\:false\:false +NVIC.MemoryManagement_IRQn=true\:0\:0\:false\:false\:true\:false\:false +NVIC.NonMaskableInt_IRQn=true\:0\:0\:false\:false\:true\:false\:false +NVIC.PendSV_IRQn=true\:0\:0\:false\:false\:true\:false\:false +NVIC.PriorityGroup=NVIC_PRIORITYGROUP_4 +NVIC.SVCall_IRQn=true\:0\:0\:false\:false\:true\:false\:false +NVIC.SysTick_IRQn=true\:0\:0\:false\:false\:true\:false\:true +NVIC.UsageFault_IRQn=true\:0\:0\:false\:false\:true\:false\:false +PA13\ (JTMS-SWDIO).Mode=Serial_Wire +PA13\ (JTMS-SWDIO).Signal=SYS_JTMS-SWDIO +PA14\ (JTCK-SWCLK).Mode=Serial_Wire +PA14\ (JTCK-SWCLK).Signal=SYS_JTCK-SWCLK +PB6.Locked=true +PB6.Mode=Asynchronous +PB6.Signal=USART1_TX +PB7.Locked=true +PB7.Mode=Asynchronous +PB7.Signal=USART1_RX +PC14-OSC32_IN\ (PC14).Mode=LSE-External-Oscillator +PC14-OSC32_IN\ (PC14).Signal=RCC_OSC32_IN +PC15-OSC32_OUT\ (PC15).Mode=LSE-External-Oscillator +PC15-OSC32_OUT\ (PC15).Signal=RCC_OSC32_OUT +PCC.Checker=true +PCC.Line=STM32L4x5 +PCC.MCU=STM32L475V(C-E-G)Tx +PCC.PartNumber=STM32L475VGTx +PCC.Seq0=0 +PCC.Series=STM32L4 +PCC.Temperature=25 +PCC.Vdd=null +PH0-OSC_IN\ (PH0).Mode=HSE-External-Oscillator +PH0-OSC_IN\ (PH0).Signal=RCC_OSC_IN +PH1-OSC_OUT\ (PH1).Mode=HSE-External-Oscillator +PH1-OSC_OUT\ (PH1).Signal=RCC_OSC_OUT +PinOutPanel.RotationAngle=0 +ProjectManager.AskForMigrate=true +ProjectManager.BackupPrevious=false +ProjectManager.CompilerOptimize=6 +ProjectManager.ComputerToolchain=false +ProjectManager.CoupleFile=false +ProjectManager.CustomerFirmwarePackage= +ProjectManager.DefaultFWLocation=true +ProjectManager.DeletePrevious=true +ProjectManager.DeviceId=STM32L475VGTx +ProjectManager.FirmwarePackage=STM32Cube FW_L4 V1.13.0 +ProjectManager.FreePins=false +ProjectManager.HalAssertFull=false +ProjectManager.HeapSize=0x200 +ProjectManager.KeepUserCode=true +ProjectManager.LastFirmware=true +ProjectManager.LibraryCopy=0 +ProjectManager.MainLocation=Src +ProjectManager.NoMain=false +ProjectManager.PreviousToolchain= +ProjectManager.ProjectBuild=false +ProjectManager.ProjectFileName=CubeMX_Config.ioc +ProjectManager.ProjectName=CubeMX_Config +ProjectManager.StackSize=0x400 +ProjectManager.TargetToolchain=EWARM V8 +ProjectManager.ToolChainLocation= +ProjectManager.UnderRoot=false +ProjectManager.functionlistsort=1-MX_GPIO_Init-GPIO-false-HAL-true,2-SystemClock_Config-RCC-false-HAL-false,3-MX_USART1_UART_Init-USART1-false-HAL-true +RCC.ADCFreq_Value=64000000 +RCC.AHBFreq_Value=80000000 +RCC.APB1Freq_Value=80000000 +RCC.APB1TimFreq_Value=80000000 +RCC.APB2Freq_Value=80000000 +RCC.APB2TimFreq_Value=80000000 +RCC.CortexFreq_Value=80000000 +RCC.DFSDMFreq_Value=80000000 +RCC.FCLKCortexFreq_Value=80000000 +RCC.FamilyName=M +RCC.HCLKFreq_Value=80000000 +RCC.HSE_VALUE=8000000 +RCC.HSI_VALUE=16000000 +RCC.I2C1Freq_Value=80000000 +RCC.I2C2Freq_Value=80000000 +RCC.I2C3Freq_Value=80000000 +RCC.IPParameters=ADCFreq_Value,AHBFreq_Value,APB1Freq_Value,APB1TimFreq_Value,APB2Freq_Value,APB2TimFreq_Value,CortexFreq_Value,DFSDMFreq_Value,FCLKCortexFreq_Value,FamilyName,HCLKFreq_Value,HSE_VALUE,HSI_VALUE,I2C1Freq_Value,I2C2Freq_Value,I2C3Freq_Value,LPTIM1Freq_Value,LPTIM2Freq_Value,LPUART1Freq_Value,LSCOPinFreq_Value,LSI_VALUE,MCO1PinFreq_Value,MSI_VALUE,PLLN,PLLPoutputFreq_Value,PLLQoutputFreq_Value,PLLRCLKFreq_Value,PLLSAI1PoutputFreq_Value,PLLSAI1QoutputFreq_Value,PLLSAI1RoutputFreq_Value,PLLSAI2PoutputFreq_Value,PLLSAI2RoutputFreq_Value,PLLSourceVirtual,PWRFreq_Value,RNGFreq_Value,SAI1Freq_Value,SAI2Freq_Value,SDMMCFreq_Value,SWPMI1Freq_Value,SYSCLKFreq_VALUE,SYSCLKSource,UART4Freq_Value,UART5Freq_Value,USART1CLockSelection,USART1Freq_Value,USART2Freq_Value,USART3Freq_Value,USBFreq_Value,VCOInputFreq_Value,VCOOutputFreq_Value,VCOSAI1OutputFreq_Value,VCOSAI2OutputFreq_Value +RCC.LPTIM1Freq_Value=80000000 +RCC.LPTIM2Freq_Value=80000000 +RCC.LPUART1Freq_Value=80000000 +RCC.LSCOPinFreq_Value=32000 +RCC.LSI_VALUE=32000 +RCC.MCO1PinFreq_Value=80000000 +RCC.MSI_VALUE=4000000 +RCC.PLLN=10 +RCC.PLLPoutputFreq_Value=22857142.85714286 +RCC.PLLQoutputFreq_Value=80000000 +RCC.PLLRCLKFreq_Value=80000000 +RCC.PLLSAI1PoutputFreq_Value=18285714.285714287 +RCC.PLLSAI1QoutputFreq_Value=64000000 +RCC.PLLSAI1RoutputFreq_Value=64000000 +RCC.PLLSAI2PoutputFreq_Value=18285714.285714287 +RCC.PLLSAI2RoutputFreq_Value=64000000 +RCC.PLLSourceVirtual=RCC_PLLSOURCE_HSI +RCC.PWRFreq_Value=80000000 +RCC.RNGFreq_Value=64000000 +RCC.SAI1Freq_Value=18285714.285714287 +RCC.SAI2Freq_Value=18285714.285714287 +RCC.SDMMCFreq_Value=64000000 +RCC.SWPMI1Freq_Value=80000000 +RCC.SYSCLKFreq_VALUE=80000000 +RCC.SYSCLKSource=RCC_SYSCLKSOURCE_PLLCLK +RCC.UART4Freq_Value=80000000 +RCC.UART5Freq_Value=80000000 +RCC.USART1CLockSelection=RCC_USART1CLKSOURCE_SYSCLK +RCC.USART1Freq_Value=80000000 +RCC.USART2Freq_Value=80000000 +RCC.USART3Freq_Value=80000000 +RCC.USBFreq_Value=64000000 +RCC.VCOInputFreq_Value=16000000 +RCC.VCOOutputFreq_Value=160000000 +RCC.VCOSAI1OutputFreq_Value=128000000 +RCC.VCOSAI2OutputFreq_Value=128000000 +USART1.IPParameters=VirtualMode-Asynchronous +USART1.VirtualMode-Asynchronous=VM_ASYNC +VP_SYS_VS_Systick.Mode=SysTick +VP_SYS_VS_Systick.Signal=SYS_VS_Systick +board=custom diff --git a/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Inc/main.h b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Inc/main.h new file mode 100644 index 000000000..ed53d3f84 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Inc/main.h @@ -0,0 +1,91 @@ +/* USER CODE BEGIN Header */ +/** + ****************************************************************************** + * @file : main.h + * @brief : Header for main.c file. + * This file contains the common defines of the application. + ****************************************************************************** + ** This notice applies to any and all portions of this file + * that are not between comment pairs USER CODE BEGIN and + * USER CODE END. Other portions of this file, whether + * inserted by the user or by software development tools + * are owned by their respective copyright owners. + * + * COPYRIGHT(c) 2018 STMicroelectronics + * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ +/* USER CODE END Header */ + +/* Define to prevent recursive inclusion -------------------------------------*/ +#ifndef __MAIN_H +#define __MAIN_H + +#ifdef __cplusplus +extern "C" { +#endif + +/* Includes ------------------------------------------------------------------*/ +#include "stm32l4xx_hal.h" + +/* Private includes ----------------------------------------------------------*/ +/* USER CODE BEGIN Includes */ + +/* USER CODE END Includes */ + +/* Exported types ------------------------------------------------------------*/ +/* USER CODE BEGIN ET */ + +/* USER CODE END ET */ + +/* Exported constants --------------------------------------------------------*/ +/* USER CODE BEGIN EC */ + +/* USER CODE END EC */ + +/* Exported macro ------------------------------------------------------------*/ +/* USER CODE BEGIN EM */ + +/* USER CODE END EM */ + +/* Exported functions prototypes ---------------------------------------------*/ +void Error_Handler(void); + +/* USER CODE BEGIN EFP */ + +/* USER CODE END EFP */ + +/* Private defines -----------------------------------------------------------*/ +/* USER CODE BEGIN Private defines */ + +/* USER CODE END Private defines */ + +#ifdef __cplusplus +} +#endif + +#endif /* __MAIN_H */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Inc/stm32l4xx_hal_conf.h b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Inc/stm32l4xx_hal_conf.h new file mode 100644 index 000000000..6827a0c47 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Inc/stm32l4xx_hal_conf.h @@ -0,0 +1,435 @@ +/** + ****************************************************************************** + * @file stm32l4xx_hal_conf.h + * @brief HAL configuration file. + ****************************************************************************** + * @attention + * + *

© COPYRIGHT(c) 2019 STMicroelectronics

+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + +/* Define to prevent recursive inclusion -------------------------------------*/ +#ifndef __STM32L4xx_HAL_CONF_H +#define __STM32L4xx_HAL_CONF_H + +#ifdef __cplusplus + extern "C" { +#endif + +/* Exported types ------------------------------------------------------------*/ +/* Exported constants --------------------------------------------------------*/ + +/* ########################## Module Selection ############################## */ +/** + * @brief This is the list of modules to be used in the HAL driver + */ + +#define HAL_MODULE_ENABLED +/*#define HAL_ADC_MODULE_ENABLED */ +/*#define HAL_CRYP_MODULE_ENABLED */ +/*#define HAL_CAN_MODULE_ENABLED */ +/*#define HAL_COMP_MODULE_ENABLED */ +/*#define HAL_CRC_MODULE_ENABLED */ +/*#define HAL_CRYP_MODULE_ENABLED */ +/*#define HAL_DAC_MODULE_ENABLED */ +/*#define HAL_DCMI_MODULE_ENABLED */ +/*#define HAL_DMA2D_MODULE_ENABLED */ +/*#define HAL_DFSDM_MODULE_ENABLED */ +/*#define HAL_DSI_MODULE_ENABLED */ +/*#define HAL_FIREWALL_MODULE_ENABLED */ +/*#define HAL_GFXMMU_MODULE_ENABLED */ +/*#define HAL_HCD_MODULE_ENABLED */ +/*#define HAL_HASH_MODULE_ENABLED */ +/*#define HAL_I2S_MODULE_ENABLED */ +/*#define HAL_IRDA_MODULE_ENABLED */ +/*#define HAL_IWDG_MODULE_ENABLED */ +/*#define HAL_LTDC_MODULE_ENABLED */ +/*#define HAL_LCD_MODULE_ENABLED */ +/*#define HAL_LPTIM_MODULE_ENABLED */ +/*#define HAL_NAND_MODULE_ENABLED */ +/*#define HAL_NOR_MODULE_ENABLED */ +/*#define HAL_OPAMP_MODULE_ENABLED */ +/*#define HAL_OSPI_MODULE_ENABLED */ +/*#define HAL_OSPI_MODULE_ENABLED */ +/*#define HAL_PCD_MODULE_ENABLED */ +/*#define HAL_QSPI_MODULE_ENABLED */ +/*#define HAL_QSPI_MODULE_ENABLED */ +/*#define HAL_RNG_MODULE_ENABLED */ +/*#define HAL_RTC_MODULE_ENABLED */ +/*#define HAL_SAI_MODULE_ENABLED */ +/*#define HAL_SD_MODULE_ENABLED */ +/*#define HAL_SMBUS_MODULE_ENABLED */ +/*#define HAL_SMARTCARD_MODULE_ENABLED */ +/*#define HAL_SPI_MODULE_ENABLED */ +/*#define HAL_SRAM_MODULE_ENABLED */ +/*#define HAL_SWPMI_MODULE_ENABLED */ +/*#define HAL_TIM_MODULE_ENABLED */ +/*#define HAL_TSC_MODULE_ENABLED */ +#define HAL_UART_MODULE_ENABLED +/*#define HAL_USART_MODULE_ENABLED */ +/*#define HAL_WWDG_MODULE_ENABLED */ +/*#define HAL_EXTI_MODULE_ENABLED */ +#define HAL_GPIO_MODULE_ENABLED +#define HAL_EXTI_MODULE_ENABLED +#define HAL_I2C_MODULE_ENABLED +#define HAL_DMA_MODULE_ENABLED +#define HAL_RCC_MODULE_ENABLED +#define HAL_FLASH_MODULE_ENABLED +#define HAL_PWR_MODULE_ENABLED +#define HAL_CORTEX_MODULE_ENABLED + +/* ########################## Oscillator Values adaptation ####################*/ +/** + * @brief Adjust the value of External High Speed oscillator (HSE) used in your application. + * This value is used by the RCC HAL module to compute the system frequency + * (when HSE is used as system clock source, directly or through the PLL). + */ +#if !defined (HSE_VALUE) + #define HSE_VALUE ((uint32_t)8000000U) /*!< Value of the External oscillator in Hz */ +#endif /* HSE_VALUE */ + +#if !defined (HSE_STARTUP_TIMEOUT) + #define HSE_STARTUP_TIMEOUT ((uint32_t)100U) /*!< Time out for HSE start up, in ms */ +#endif /* HSE_STARTUP_TIMEOUT */ + +/** + * @brief Internal Multiple Speed oscillator (MSI) default value. + * This value is the default MSI range value after Reset. + */ +#if !defined (MSI_VALUE) + #define MSI_VALUE ((uint32_t)4000000U) /*!< Value of the Internal oscillator in Hz*/ +#endif /* MSI_VALUE */ +/** + * @brief Internal High Speed oscillator (HSI) value. + * This value is used by the RCC HAL module to compute the system frequency + * (when HSI is used as system clock source, directly or through the PLL). + */ +#if !defined (HSI_VALUE) + #define HSI_VALUE ((uint32_t)16000000U) /*!< Value of the Internal oscillator in Hz*/ +#endif /* HSI_VALUE */ + +/** + * @brief Internal High Speed oscillator (HSI48) value for USB FS, SDMMC and RNG. + * This internal oscillator is mainly dedicated to provide a high precision clock to + * the USB peripheral by means of a special Clock Recovery System (CRS) circuitry. + * When the CRS is not used, the HSI48 RC oscillator runs on it default frequency + * which is subject to manufacturing process variations. + */ +#if !defined (HSI48_VALUE) + #define HSI48_VALUE ((uint32_t)48000000U) /*!< Value of the Internal High Speed oscillator for USB FS/SDMMC/RNG in Hz. + The real value my vary depending on manufacturing process variations.*/ +#endif /* HSI48_VALUE */ + +/** + * @brief Internal Low Speed oscillator (LSI) value. + */ +#if !defined (LSI_VALUE) + #define LSI_VALUE ((uint32_t)32000U) /*!< LSI Typical Value in Hz*/ +#endif /* LSI_VALUE */ /*!< Value of the Internal Low Speed oscillator in Hz + The real value may vary depending on the variations + in voltage and temperature.*/ + +/** + * @brief External Low Speed oscillator (LSE) value. + * This value is used by the UART, RTC HAL module to compute the system frequency + */ +#if !defined (LSE_VALUE) + #define LSE_VALUE ((uint32_t)32768U) /*!< Value of the External oscillator in Hz*/ +#endif /* LSE_VALUE */ + +#if !defined (LSE_STARTUP_TIMEOUT) + #define LSE_STARTUP_TIMEOUT ((uint32_t)5000U) /*!< Time out for LSE start up, in ms */ +#endif /* HSE_STARTUP_TIMEOUT */ + +/** + * @brief External clock source for SAI1 peripheral + * This value is used by the RCC HAL module to compute the SAI1 & SAI2 clock source + * frequency. + */ +#if !defined (EXTERNAL_SAI1_CLOCK_VALUE) + #define EXTERNAL_SAI1_CLOCK_VALUE ((uint32_t)2097000U) /*!< Value of the SAI1 External clock source in Hz*/ +#endif /* EXTERNAL_SAI1_CLOCK_VALUE */ + +/** + * @brief External clock source for SAI2 peripheral + * This value is used by the RCC HAL module to compute the SAI1 & SAI2 clock source + * frequency. + */ +#if !defined (EXTERNAL_SAI2_CLOCK_VALUE) + #define EXTERNAL_SAI2_CLOCK_VALUE ((uint32_t)2097000U) /*!< Value of the SAI2 External clock source in Hz*/ +#endif /* EXTERNAL_SAI2_CLOCK_VALUE */ + +/* Tip: To avoid modifying this file each time you need to use different HSE, + === you can define the HSE value in your toolchain compiler preprocessor. */ + +/* ########################### System Configuration ######################### */ +/** + * @brief This is the HAL system configuration section + */ + +#define VDD_VALUE ((uint32_t)3300U) /*!< Value of VDD in mv */ +#define TICK_INT_PRIORITY ((uint32_t)0U) /*!< tick interrupt priority */ +#define USE_RTOS 0U +#define PREFETCH_ENABLE 0U +#define INSTRUCTION_CACHE_ENABLE 1U +#define DATA_CACHE_ENABLE 1U + +/* ########################## Assert Selection ############################## */ +/** + * @brief Uncomment the line below to expanse the "assert_param" macro in the + * HAL drivers code + */ +/* #define USE_FULL_ASSERT 1U */ + +/* ################## SPI peripheral configuration ########################## */ + +/* CRC FEATURE: Use to activate CRC feature inside HAL SPI Driver + * Activated: CRC code is present inside driver + * Deactivated: CRC code cleaned from driver + */ + +#define USE_SPI_CRC 0U + +/* Includes ------------------------------------------------------------------*/ +/** + * @brief Include module's header file + */ + +#ifdef HAL_RCC_MODULE_ENABLED + #include "stm32l4xx_hal_rcc.h" + #include "stm32l4xx_hal_rcc_ex.h" +#endif /* HAL_RCC_MODULE_ENABLED */ + +#ifdef HAL_EXTI_MODULE_ENABLED + #include "stm32l4xx_hal_exti.h" +#endif /* HAL_EXTI_MODULE_ENABLED */ + +#ifdef HAL_GPIO_MODULE_ENABLED + #include "stm32l4xx_hal_gpio.h" +#endif /* HAL_GPIO_MODULE_ENABLED */ + +#ifdef HAL_DMA_MODULE_ENABLED + #include "stm32l4xx_hal_dma.h" + #include "stm32l4xx_hal_dma_ex.h" +#endif /* HAL_DMA_MODULE_ENABLED */ + +#ifdef HAL_DFSDM_MODULE_ENABLED + #include "stm32l4xx_hal_dfsdm.h" +#endif /* HAL_DFSDM_MODULE_ENABLED */ + +#ifdef HAL_CORTEX_MODULE_ENABLED + #include "stm32l4xx_hal_cortex.h" +#endif /* HAL_CORTEX_MODULE_ENABLED */ + +#ifdef HAL_ADC_MODULE_ENABLED + #include "stm32l4xx_hal_adc.h" +#endif /* HAL_ADC_MODULE_ENABLED */ + +#ifdef HAL_CAN_MODULE_ENABLED + #include "stm32l4xx_hal_can.h" +#endif /* HAL_CAN_MODULE_ENABLED */ + +#ifdef HAL_COMP_MODULE_ENABLED + #include "stm32l4xx_hal_comp.h" +#endif /* HAL_COMP_MODULE_ENABLED */ + +#ifdef HAL_CRC_MODULE_ENABLED + #include "stm32l4xx_hal_crc.h" +#endif /* HAL_CRC_MODULE_ENABLED */ + +#ifdef HAL_CRYP_MODULE_ENABLED + #include "stm32l4xx_hal_cryp.h" +#endif /* HAL_CRYP_MODULE_ENABLED */ + +#ifdef HAL_DAC_MODULE_ENABLED + #include "stm32l4xx_hal_dac.h" +#endif /* HAL_DAC_MODULE_ENABLED */ + +#ifdef HAL_DCMI_MODULE_ENABLED + #include "stm32l4xx_hal_dcmi.h" +#endif /* HAL_DCMI_MODULE_ENABLED */ + +#ifdef HAL_DMA2D_MODULE_ENABLED + #include "stm32l4xx_hal_dma2d.h" +#endif /* HAL_DMA2D_MODULE_ENABLED */ + +#ifdef HAL_DSI_MODULE_ENABLED + #include "stm32l4xx_hal_dsi.h" +#endif /* HAL_DSI_MODULE_ENABLED */ + +#ifdef HAL_FIREWALL_MODULE_ENABLED + #include "stm32l4xx_hal_firewall.h" +#endif /* HAL_FIREWALL_MODULE_ENABLED */ + +#ifdef HAL_FLASH_MODULE_ENABLED + #include "stm32l4xx_hal_flash.h" +#endif /* HAL_FLASH_MODULE_ENABLED */ + +#ifdef HAL_HASH_MODULE_ENABLED + #include "stm32l4xx_hal_hash.h" +#endif /* HAL_HASH_MODULE_ENABLED */ + +#ifdef HAL_SRAM_MODULE_ENABLED + #include "stm32l4xx_hal_sram.h" +#endif /* HAL_SRAM_MODULE_ENABLED */ + +#ifdef HAL_NOR_MODULE_ENABLED + #include "stm32l4xx_hal_nor.h" +#endif /* HAL_NOR_MODULE_ENABLED */ + +#ifdef HAL_NAND_MODULE_ENABLED + #include "stm32l4xx_hal_nand.h" +#endif /* HAL_NAND_MODULE_ENABLED */ + +#ifdef HAL_I2C_MODULE_ENABLED + #include "stm32l4xx_hal_i2c.h" +#endif /* HAL_I2C_MODULE_ENABLED */ + +#ifdef HAL_IWDG_MODULE_ENABLED + #include "stm32l4xx_hal_iwdg.h" +#endif /* HAL_IWDG_MODULE_ENABLED */ + +#ifdef HAL_LCD_MODULE_ENABLED + #include "stm32l4xx_hal_lcd.h" +#endif /* HAL_LCD_MODULE_ENABLED */ + +#ifdef HAL_LPTIM_MODULE_ENABLED + #include "stm32l4xx_hal_lptim.h" +#endif /* HAL_LPTIM_MODULE_ENABLED */ + +#ifdef HAL_LTDC_MODULE_ENABLED + #include "stm32l4xx_hal_ltdc.h" +#endif /* HAL_LTDC_MODULE_ENABLED */ + +#ifdef HAL_OPAMP_MODULE_ENABLED + #include "stm32l4xx_hal_opamp.h" +#endif /* HAL_OPAMP_MODULE_ENABLED */ + +#ifdef HAL_OSPI_MODULE_ENABLED + #include "stm32l4xx_hal_ospi.h" +#endif /* HAL_OSPI_MODULE_ENABLED */ + +#ifdef HAL_PWR_MODULE_ENABLED + #include "stm32l4xx_hal_pwr.h" +#endif /* HAL_PWR_MODULE_ENABLED */ + +#ifdef HAL_QSPI_MODULE_ENABLED + #include "stm32l4xx_hal_qspi.h" +#endif /* HAL_QSPI_MODULE_ENABLED */ + +#ifdef HAL_RNG_MODULE_ENABLED + #include "stm32l4xx_hal_rng.h" +#endif /* HAL_RNG_MODULE_ENABLED */ + +#ifdef HAL_RTC_MODULE_ENABLED + #include "stm32l4xx_hal_rtc.h" +#endif /* HAL_RTC_MODULE_ENABLED */ + +#ifdef HAL_SAI_MODULE_ENABLED + #include "stm32l4xx_hal_sai.h" +#endif /* HAL_SAI_MODULE_ENABLED */ + +#ifdef HAL_SD_MODULE_ENABLED + #include "stm32l4xx_hal_sd.h" +#endif /* HAL_SD_MODULE_ENABLED */ + +#ifdef HAL_SMBUS_MODULE_ENABLED + #include "stm32l4xx_hal_smbus.h" +#endif /* HAL_SMBUS_MODULE_ENABLED */ + +#ifdef HAL_SPI_MODULE_ENABLED + #include "stm32l4xx_hal_spi.h" +#endif /* HAL_SPI_MODULE_ENABLED */ + +#ifdef HAL_SWPMI_MODULE_ENABLED + #include "stm32l4xx_hal_swpmi.h" +#endif /* HAL_SWPMI_MODULE_ENABLED */ + +#ifdef HAL_TIM_MODULE_ENABLED + #include "stm32l4xx_hal_tim.h" +#endif /* HAL_TIM_MODULE_ENABLED */ + +#ifdef HAL_TSC_MODULE_ENABLED + #include "stm32l4xx_hal_tsc.h" +#endif /* HAL_TSC_MODULE_ENABLED */ + +#ifdef HAL_UART_MODULE_ENABLED + #include "stm32l4xx_hal_uart.h" +#endif /* HAL_UART_MODULE_ENABLED */ + +#ifdef HAL_USART_MODULE_ENABLED + #include "stm32l4xx_hal_usart.h" +#endif /* HAL_USART_MODULE_ENABLED */ + +#ifdef HAL_IRDA_MODULE_ENABLED + #include "stm32l4xx_hal_irda.h" +#endif /* HAL_IRDA_MODULE_ENABLED */ + +#ifdef HAL_SMARTCARD_MODULE_ENABLED + #include "stm32l4xx_hal_smartcard.h" +#endif /* HAL_SMARTCARD_MODULE_ENABLED */ + +#ifdef HAL_WWDG_MODULE_ENABLED + #include "stm32l4xx_hal_wwdg.h" +#endif /* HAL_WWDG_MODULE_ENABLED */ + +#ifdef HAL_PCD_MODULE_ENABLED + #include "stm32l4xx_hal_pcd.h" +#endif /* HAL_PCD_MODULE_ENABLED */ + +#ifdef HAL_HCD_MODULE_ENABLED + #include "stm32l4xx_hal_hcd.h" +#endif /* HAL_HCD_MODULE_ENABLED */ + +#ifdef HAL_GFXMMU_MODULE_ENABLED + #include "stm32l4xx_hal_gfxmmu.h" +#endif /* HAL_GFXMMU_MODULE_ENABLED */ + +/* Exported macro ------------------------------------------------------------*/ +#ifdef USE_FULL_ASSERT +/** + * @brief The assert_param macro is used for function's parameters check. + * @param expr: If expr is false, it calls assert_failed function + * which reports the name of the source file and the source + * line number of the call that failed. + * If expr is true, it returns no value. + * @retval None + */ + #define assert_param(expr) ((expr) ? (void)0U : assert_failed((char *)__FILE__, __LINE__)) +/* Exported functions ------------------------------------------------------- */ + void assert_failed(char *file, uint32_t line); +#else + #define assert_param(expr) ((void)0U) +#endif /* USE_FULL_ASSERT */ + +#ifdef __cplusplus +} +#endif + +#endif /* __STM32L4xx_HAL_CONF_H */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Inc/stm32l4xx_it.h b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Inc/stm32l4xx_it.h new file mode 100644 index 000000000..29156563c --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Inc/stm32l4xx_it.h @@ -0,0 +1,84 @@ +/* USER CODE BEGIN Header */ +/** + ****************************************************************************** + * @file stm32l4xx_it.h + * @brief This file contains the headers of the interrupt handlers. + ****************************************************************************** + * + * COPYRIGHT(c) 2018 STMicroelectronics + * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ +/* USER CODE END Header */ + +/* Define to prevent recursive inclusion -------------------------------------*/ +#ifndef __STM32L4xx_IT_H +#define __STM32L4xx_IT_H + +#ifdef __cplusplus + extern "C" { +#endif + +/* Private includes ----------------------------------------------------------*/ +/* USER CODE BEGIN Includes */ + +/* USER CODE END Includes */ + +/* Exported types ------------------------------------------------------------*/ +/* USER CODE BEGIN ET */ + +/* USER CODE END ET */ + +/* Exported constants --------------------------------------------------------*/ +/* USER CODE BEGIN EC */ + +/* USER CODE END EC */ + +/* Exported macro ------------------------------------------------------------*/ +/* USER CODE BEGIN EM */ + +/* USER CODE END EM */ + +/* Exported functions prototypes ---------------------------------------------*/ +void NMI_Handler(void); +void HardFault_Handler(void); +void MemManage_Handler(void); +void BusFault_Handler(void); +void UsageFault_Handler(void); +void SVC_Handler(void); +void DebugMon_Handler(void); +void PendSV_Handler(void); +void SysTick_Handler(void); +/* USER CODE BEGIN EFP */ + +/* USER CODE END EFP */ + +#ifdef __cplusplus +} +#endif + +#endif /* __STM32L4xx_IT_H */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/main.c b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/main.c new file mode 100644 index 000000000..98c326b28 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/main.c @@ -0,0 +1,271 @@ +/* USER CODE BEGIN Header */ +/** + ****************************************************************************** + * @file : main.c + * @brief : Main program body + ****************************************************************************** + ** This notice applies to any and all portions of this file + * that are not between comment pairs USER CODE BEGIN and + * USER CODE END. Other portions of this file, whether + * inserted by the user or by software development tools + * are owned by their respective copyright owners. + * + * COPYRIGHT(c) 2018 STMicroelectronics + * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ +/* USER CODE END Header */ + +/* Includes ------------------------------------------------------------------*/ +#include "main.h" + +/* Private includes ----------------------------------------------------------*/ +/* USER CODE BEGIN Includes */ + +/* USER CODE END Includes */ + +/* Private typedef -----------------------------------------------------------*/ +/* USER CODE BEGIN PTD */ + +/* USER CODE END PTD */ + +/* Private define ------------------------------------------------------------*/ +/* USER CODE BEGIN PD */ + +/* USER CODE END PD */ + +/* Private macro -------------------------------------------------------------*/ +/* USER CODE BEGIN PM */ + +/* USER CODE END PM */ + +/* Private variables ---------------------------------------------------------*/ +UART_HandleTypeDef huart1; + +/* USER CODE BEGIN PV */ +/* Private variables ---------------------------------------------------------*/ + +/* USER CODE END PV */ + +/* Private function prototypes -----------------------------------------------*/ +void SystemClock_Config(void); +static void MX_GPIO_Init(void); +static void MX_USART1_UART_Init(void); +/* USER CODE BEGIN PFP */ +/* Private function prototypes -----------------------------------------------*/ + +/* USER CODE END PFP */ + +/* Private user code ---------------------------------------------------------*/ +/* USER CODE BEGIN 0 */ + +/* USER CODE END 0 */ + +/** + * @brief The application entry point. + * @retval int + */ +int main(void) +{ + /* USER CODE BEGIN 1 */ + + /* USER CODE END 1 */ + + /* MCU Configuration--------------------------------------------------------*/ + + /* Reset of all peripherals, Initializes the Flash interface and the Systick. */ + HAL_Init(); + + /* USER CODE BEGIN Init */ + + /* USER CODE END Init */ + + /* Configure the system clock */ + SystemClock_Config(); + + /* USER CODE BEGIN SysInit */ + + /* USER CODE END SysInit */ + + /* Initialize all configured peripherals */ + MX_GPIO_Init(); + MX_USART1_UART_Init(); + /* USER CODE BEGIN 2 */ + + /* USER CODE END 2 */ + + /* Infinite loop */ + /* USER CODE BEGIN WHILE */ + while (1) + { + + /* USER CODE END WHILE */ + + /* USER CODE BEGIN 3 */ + + } + /* USER CODE END 3 */ +} + +/** + * @brief System Clock Configuration + * @retval None + */ +void SystemClock_Config(void) +{ + RCC_OscInitTypeDef RCC_OscInitStruct = {0}; + RCC_ClkInitTypeDef RCC_ClkInitStruct = {0}; + RCC_PeriphCLKInitTypeDef PeriphClkInit = {0}; + + /** Initializes the CPU, AHB and APB busses clocks + */ + RCC_OscInitStruct.OscillatorType = RCC_OSCILLATORTYPE_HSI; + RCC_OscInitStruct.HSIState = RCC_HSI_ON; + RCC_OscInitStruct.HSICalibrationValue = RCC_HSICALIBRATION_DEFAULT; + RCC_OscInitStruct.PLL.PLLState = RCC_PLL_ON; + RCC_OscInitStruct.PLL.PLLSource = RCC_PLLSOURCE_HSI; + RCC_OscInitStruct.PLL.PLLM = 1; + RCC_OscInitStruct.PLL.PLLN = 10; + RCC_OscInitStruct.PLL.PLLP = RCC_PLLP_DIV7; + RCC_OscInitStruct.PLL.PLLQ = RCC_PLLQ_DIV2; + RCC_OscInitStruct.PLL.PLLR = RCC_PLLR_DIV2; + if (HAL_RCC_OscConfig(&RCC_OscInitStruct) != HAL_OK) + { + Error_Handler(); + } + /** Initializes the CPU, AHB and APB busses clocks + */ + RCC_ClkInitStruct.ClockType = RCC_CLOCKTYPE_HCLK|RCC_CLOCKTYPE_SYSCLK + |RCC_CLOCKTYPE_PCLK1|RCC_CLOCKTYPE_PCLK2; + RCC_ClkInitStruct.SYSCLKSource = RCC_SYSCLKSOURCE_PLLCLK; + RCC_ClkInitStruct.AHBCLKDivider = RCC_SYSCLK_DIV1; + RCC_ClkInitStruct.APB1CLKDivider = RCC_HCLK_DIV1; + RCC_ClkInitStruct.APB2CLKDivider = RCC_HCLK_DIV1; + + if (HAL_RCC_ClockConfig(&RCC_ClkInitStruct, FLASH_LATENCY_4) != HAL_OK) + { + Error_Handler(); + } + PeriphClkInit.PeriphClockSelection = RCC_PERIPHCLK_USART1; + PeriphClkInit.Usart1ClockSelection = RCC_USART1CLKSOURCE_SYSCLK; + if (HAL_RCCEx_PeriphCLKConfig(&PeriphClkInit) != HAL_OK) + { + Error_Handler(); + } + /** Configure the main internal regulator output voltage + */ + if (HAL_PWREx_ControlVoltageScaling(PWR_REGULATOR_VOLTAGE_SCALE1) != HAL_OK) + { + Error_Handler(); + } +} + +/** + * @brief USART1 Initialization Function + * @param None + * @retval None + */ +static void MX_USART1_UART_Init(void) +{ + + /* USER CODE BEGIN USART1_Init 0 */ + + /* USER CODE END USART1_Init 0 */ + + /* USER CODE BEGIN USART1_Init 1 */ + + /* USER CODE END USART1_Init 1 */ + huart1.Instance = USART1; + huart1.Init.BaudRate = 115200; + huart1.Init.WordLength = UART_WORDLENGTH_8B; + huart1.Init.StopBits = UART_STOPBITS_1; + huart1.Init.Parity = UART_PARITY_NONE; + huart1.Init.Mode = UART_MODE_TX_RX; + huart1.Init.HwFlowCtl = UART_HWCONTROL_NONE; + huart1.Init.OverSampling = UART_OVERSAMPLING_16; + huart1.Init.OneBitSampling = UART_ONE_BIT_SAMPLE_DISABLE; + huart1.AdvancedInit.AdvFeatureInit = UART_ADVFEATURE_NO_INIT; + if (HAL_UART_Init(&huart1) != HAL_OK) + { + Error_Handler(); + } + /* USER CODE BEGIN USART1_Init 2 */ + + /* USER CODE END USART1_Init 2 */ + +} + +/** + * @brief GPIO Initialization Function + * @param None + * @retval None + */ +static void MX_GPIO_Init(void) +{ + + /* GPIO Ports Clock Enable */ + __HAL_RCC_GPIOC_CLK_ENABLE(); + __HAL_RCC_GPIOH_CLK_ENABLE(); + __HAL_RCC_GPIOA_CLK_ENABLE(); + __HAL_RCC_GPIOB_CLK_ENABLE(); + +} + +/* USER CODE BEGIN 4 */ + +/* USER CODE END 4 */ + +/** + * @brief This function is executed in case of error occurrence. + * @retval None + */ +void Error_Handler(void) +{ + /* USER CODE BEGIN Error_Handler_Debug */ + /* User can add his own implementation to report the HAL error return state */ + while(1) + { + } + /* USER CODE END Error_Handler_Debug */ +} + +#ifdef USE_FULL_ASSERT +/** + * @brief Reports the name of the source file and the source line number + * where the assert_param error has occurred. + * @param file: pointer to the source file name + * @param line: assert_param error line source number + * @retval None + */ +void assert_failed(char *file, uint32_t line) +{ + /* USER CODE BEGIN 6 */ + /* User can add his own implementation to report the file name and line number, + tex: printf("Wrong parameters value: file %s on line %d\r\n", file, line) */ + /* USER CODE END 6 */ +} +#endif /* USE_FULL_ASSERT */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/stm32l4xx_hal_msp.c b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/stm32l4xx_hal_msp.c new file mode 100644 index 000000000..a7fa9c3e3 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/stm32l4xx_hal_msp.c @@ -0,0 +1,169 @@ +/* USER CODE BEGIN Header */ +/** + ****************************************************************************** + * File Name : stm32l4xx_hal_msp.c + * Description : This file provides code for the MSP Initialization + * and de-Initialization codes. + ****************************************************************************** + ** This notice applies to any and all portions of this file + * that are not between comment pairs USER CODE BEGIN and + * USER CODE END. Other portions of this file, whether + * inserted by the user or by software development tools + * are owned by their respective copyright owners. + * + * COPYRIGHT(c) 2018 STMicroelectronics + * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ +/* USER CODE END Header */ + +/* Includes ------------------------------------------------------------------*/ +#include "main.h" +/* USER CODE BEGIN Includes */ + +/* USER CODE END Includes */ + +/* Private typedef -----------------------------------------------------------*/ +/* USER CODE BEGIN TD */ + +/* USER CODE END TD */ + +/* Private define ------------------------------------------------------------*/ +/* USER CODE BEGIN Define */ + +/* USER CODE END Define */ + +/* Private macro -------------------------------------------------------------*/ +/* USER CODE BEGIN Macro */ + +/* USER CODE END Macro */ + +/* Private variables ---------------------------------------------------------*/ +/* USER CODE BEGIN PV */ + +/* USER CODE END PV */ + +/* Private function prototypes -----------------------------------------------*/ +/* USER CODE BEGIN PFP */ + +/* USER CODE END PFP */ + +/* External functions --------------------------------------------------------*/ +/* USER CODE BEGIN ExternalFunctions */ + +/* USER CODE END ExternalFunctions */ + +/* USER CODE BEGIN 0 */ + +/* USER CODE END 0 */ +/** + * Initializes the Global MSP. + */ +void HAL_MspInit(void) +{ + /* USER CODE BEGIN MspInit 0 */ + + /* USER CODE END MspInit 0 */ + + __HAL_RCC_SYSCFG_CLK_ENABLE(); + __HAL_RCC_PWR_CLK_ENABLE(); + + /* System interrupt init*/ + + /* USER CODE BEGIN MspInit 1 */ + + /* USER CODE END MspInit 1 */ +} + +/** +* @brief UART MSP Initialization +* This function configures the hardware resources used in this example +* @param huart: UART handle pointer +* @retval None +*/ +void HAL_UART_MspInit(UART_HandleTypeDef* huart) +{ + GPIO_InitTypeDef GPIO_InitStruct = {0}; + if(huart->Instance==USART1) + { + /* USER CODE BEGIN USART1_MspInit 0 */ + + /* USER CODE END USART1_MspInit 0 */ + /* Peripheral clock enable */ + __HAL_RCC_USART1_CLK_ENABLE(); + + __HAL_RCC_GPIOB_CLK_ENABLE(); + /**USART1 GPIO Configuration + PB6 ------> USART1_TX + PB7 ------> USART1_RX + */ + GPIO_InitStruct.Pin = GPIO_PIN_6|GPIO_PIN_7; + GPIO_InitStruct.Mode = GPIO_MODE_AF_PP; + GPIO_InitStruct.Pull = GPIO_NOPULL; + GPIO_InitStruct.Speed = GPIO_SPEED_FREQ_VERY_HIGH; + GPIO_InitStruct.Alternate = GPIO_AF7_USART1; + HAL_GPIO_Init(GPIOB, &GPIO_InitStruct); + + /* USER CODE BEGIN USART1_MspInit 1 */ + + /* USER CODE END USART1_MspInit 1 */ + } + +} + +/** +* @brief UART MSP De-Initialization +* This function freeze the hardware resources used in this example +* @param huart: UART handle pointer +* @retval None +*/ +void HAL_UART_MspDeInit(UART_HandleTypeDef* huart) +{ + if(huart->Instance==USART1) + { + /* USER CODE BEGIN USART1_MspDeInit 0 */ + + /* USER CODE END USART1_MspDeInit 0 */ + /* Peripheral clock disable */ + __HAL_RCC_USART1_CLK_DISABLE(); + + /**USART1 GPIO Configuration + PB6 ------> USART1_TX + PB7 ------> USART1_RX + */ + HAL_GPIO_DeInit(GPIOB, GPIO_PIN_6|GPIO_PIN_7); + + /* USER CODE BEGIN USART1_MspDeInit 1 */ + + /* USER CODE END USART1_MspDeInit 1 */ + } + +} + +/* USER CODE BEGIN 1 */ + +/* USER CODE END 1 */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/stm32l4xx_it.c b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/stm32l4xx_it.c new file mode 100644 index 000000000..e13a77a0e --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/stm32l4xx_it.c @@ -0,0 +1,218 @@ +/* USER CODE BEGIN Header */ +/** + ****************************************************************************** + * @file stm32l4xx_it.c + * @brief Interrupt Service Routines. + ****************************************************************************** + * + * COPYRIGHT(c) 2018 STMicroelectronics + * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ +/* USER CODE END Header */ + +/* Includes ------------------------------------------------------------------*/ +#include "main.h" +#include "stm32l4xx_it.h" +/* Private includes ----------------------------------------------------------*/ +/* USER CODE BEGIN Includes */ +/* USER CODE END Includes */ + +/* Private typedef -----------------------------------------------------------*/ +/* USER CODE BEGIN TD */ + +/* USER CODE END TD */ + +/* Private define ------------------------------------------------------------*/ +/* USER CODE BEGIN PD */ + +/* USER CODE END PD */ + +/* Private macro -------------------------------------------------------------*/ +/* USER CODE BEGIN PM */ + +/* USER CODE END PM */ + +/* Private variables ---------------------------------------------------------*/ +/* USER CODE BEGIN PV */ + +/* USER CODE END PV */ + +/* Private function prototypes -----------------------------------------------*/ +/* USER CODE BEGIN PFP */ + +/* USER CODE END PFP */ + +/* Private user code ---------------------------------------------------------*/ +/* USER CODE BEGIN 0 */ + +/* USER CODE END 0 */ + +/* External variables --------------------------------------------------------*/ + +/* USER CODE BEGIN EV */ + +/* USER CODE END EV */ + +/******************************************************************************/ +/* Cortex-M4 Processor Interruption and Exception Handlers */ +/******************************************************************************/ +/** + * @brief This function handles Non maskable interrupt. + */ +void NMI_Handler(void) +{ + /* USER CODE BEGIN NonMaskableInt_IRQn 0 */ + + /* USER CODE END NonMaskableInt_IRQn 0 */ + /* USER CODE BEGIN NonMaskableInt_IRQn 1 */ + + /* USER CODE END NonMaskableInt_IRQn 1 */ +} + +/** + * @brief This function handles Hard fault interrupt. + */ +void HardFault_Handler(void) +{ + /* USER CODE BEGIN HardFault_IRQn 0 */ + + /* USER CODE END HardFault_IRQn 0 */ + while (1) + { + /* USER CODE BEGIN W1_HardFault_IRQn 0 */ + /* USER CODE END W1_HardFault_IRQn 0 */ + } +} + +/** + * @brief This function handles Memory management fault. + */ +void MemManage_Handler(void) +{ + /* USER CODE BEGIN MemoryManagement_IRQn 0 */ + + /* USER CODE END MemoryManagement_IRQn 0 */ + while (1) + { + /* USER CODE BEGIN W1_MemoryManagement_IRQn 0 */ + /* USER CODE END W1_MemoryManagement_IRQn 0 */ + } +} + +/** + * @brief This function handles Prefetch fault, memory access fault. + */ +void BusFault_Handler(void) +{ + /* USER CODE BEGIN BusFault_IRQn 0 */ + + /* USER CODE END BusFault_IRQn 0 */ + while (1) + { + /* USER CODE BEGIN W1_BusFault_IRQn 0 */ + /* USER CODE END W1_BusFault_IRQn 0 */ + } +} + +/** + * @brief This function handles Undefined instruction or illegal state. + */ +void UsageFault_Handler(void) +{ + /* USER CODE BEGIN UsageFault_IRQn 0 */ + + /* USER CODE END UsageFault_IRQn 0 */ + while (1) + { + /* USER CODE BEGIN W1_UsageFault_IRQn 0 */ + /* USER CODE END W1_UsageFault_IRQn 0 */ + } +} + +/** + * @brief This function handles System service call via SWI instruction. + */ +void SVC_Handler(void) +{ + /* USER CODE BEGIN SVCall_IRQn 0 */ + + /* USER CODE END SVCall_IRQn 0 */ + /* USER CODE BEGIN SVCall_IRQn 1 */ + + /* USER CODE END SVCall_IRQn 1 */ +} + +/** + * @brief This function handles Debug monitor. + */ +void DebugMon_Handler(void) +{ + /* USER CODE BEGIN DebugMonitor_IRQn 0 */ + + /* USER CODE END DebugMonitor_IRQn 0 */ + /* USER CODE BEGIN DebugMonitor_IRQn 1 */ + + /* USER CODE END DebugMonitor_IRQn 1 */ +} + +/** + * @brief This function handles Pendable request for system service. + */ +void PendSV_Handler(void) +{ + /* USER CODE BEGIN PendSV_IRQn 0 */ + + /* USER CODE END PendSV_IRQn 0 */ + /* USER CODE BEGIN PendSV_IRQn 1 */ + + /* USER CODE END PendSV_IRQn 1 */ +} + +/** + * @brief This function handles System tick timer. + */ +void SysTick_Handler(void) +{ + /* USER CODE BEGIN SysTick_IRQn 0 */ + + /* USER CODE END SysTick_IRQn 0 */ + HAL_IncTick(); + /* USER CODE BEGIN SysTick_IRQn 1 */ + + /* USER CODE END SysTick_IRQn 1 */ +} + +/******************************************************************************/ +/* STM32L4xx Peripheral Interrupt Handlers */ +/* Add here the Interrupt Handlers for the used peripherals. */ +/* For the available peripheral interrupt handler names, */ +/* please refer to the startup file (startup_stm32l4xx.s). */ +/******************************************************************************/ + +/* USER CODE BEGIN 1 */ + +/* USER CODE END 1 */ +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/system_stm32l4xx.c b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/system_stm32l4xx.c new file mode 100644 index 000000000..c76fe45ee --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/CubeMX_Config/Src/system_stm32l4xx.c @@ -0,0 +1,353 @@ +/** + ****************************************************************************** + * @file system_stm32l4xx.c + * @author MCD Application Team + * @brief CMSIS Cortex-M4 Device Peripheral Access Layer System Source File + * + * This file provides two functions and one global variable to be called from + * user application: + * - SystemInit(): This function is called at startup just after reset and + * before branch to main program. This call is made inside + * the "startup_stm32l4xx.s" file. + * + * - SystemCoreClock variable: Contains the core clock (HCLK), it can be used + * by the user application to setup the SysTick + * timer or configure other parameters. + * + * - SystemCoreClockUpdate(): Updates the variable SystemCoreClock and must + * be called whenever the core clock is changed + * during program execution. + * + * After each device reset the MSI (4 MHz) is used as system clock source. + * Then SystemInit() function is called, in "startup_stm32l4xx.s" file, to + * configure the system clock before to branch to main program. + * + * This file configures the system clock as follows: + *============================================================================= + *----------------------------------------------------------------------------- + * System Clock source | MSI + *----------------------------------------------------------------------------- + * SYSCLK(Hz) | 4000000 + *----------------------------------------------------------------------------- + * HCLK(Hz) | 4000000 + *----------------------------------------------------------------------------- + * AHB Prescaler | 1 + *----------------------------------------------------------------------------- + * APB1 Prescaler | 1 + *----------------------------------------------------------------------------- + * APB2 Prescaler | 1 + *----------------------------------------------------------------------------- + * PLL_M | 1 + *----------------------------------------------------------------------------- + * PLL_N | 8 + *----------------------------------------------------------------------------- + * PLL_P | 7 + *----------------------------------------------------------------------------- + * PLL_Q | 2 + *----------------------------------------------------------------------------- + * PLL_R | 2 + *----------------------------------------------------------------------------- + * PLLSAI1_P | NA + *----------------------------------------------------------------------------- + * PLLSAI1_Q | NA + *----------------------------------------------------------------------------- + * PLLSAI1_R | NA + *----------------------------------------------------------------------------- + * PLLSAI2_P | NA + *----------------------------------------------------------------------------- + * PLLSAI2_Q | NA + *----------------------------------------------------------------------------- + * PLLSAI2_R | NA + *----------------------------------------------------------------------------- + * Require 48MHz for USB OTG FS, | Disabled + * SDIO and RNG clock | + *----------------------------------------------------------------------------- + *============================================================================= + ****************************************************************************** + * @attention + * + *

© COPYRIGHT(c) 2017 STMicroelectronics

+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + +/** @addtogroup CMSIS + * @{ + */ + +/** @addtogroup stm32l4xx_system + * @{ + */ + +/** @addtogroup STM32L4xx_System_Private_Includes + * @{ + */ + +#include "stm32l4xx.h" + +#if !defined (HSE_VALUE) + #define HSE_VALUE 8000000U /*!< Value of the External oscillator in Hz */ +#endif /* HSE_VALUE */ + +#if !defined (MSI_VALUE) + #define MSI_VALUE 4000000U /*!< Value of the Internal oscillator in Hz*/ +#endif /* MSI_VALUE */ + +#if !defined (HSI_VALUE) + #define HSI_VALUE 16000000U /*!< Value of the Internal oscillator in Hz*/ +#endif /* HSI_VALUE */ + +/** + * @} + */ + +/** @addtogroup STM32L4xx_System_Private_TypesDefinitions + * @{ + */ + +/** + * @} + */ + +/** @addtogroup STM32L4xx_System_Private_Defines + * @{ + */ + +/************************* Miscellaneous Configuration ************************/ +/*!< Uncomment the following line if you need to relocate your vector Table in + Internal SRAM. */ +/* #define VECT_TAB_SRAM */ +#define VECT_TAB_OFFSET 0x00 /*!< Vector Table base offset field. + This value must be a multiple of 0x200. */ +/******************************************************************************/ +/** + * @} + */ + +/** @addtogroup STM32L4xx_System_Private_Macros + * @{ + */ + +/** + * @} + */ + +/** @addtogroup STM32L4xx_System_Private_Variables + * @{ + */ + /* The SystemCoreClock variable is updated in three ways: + 1) by calling CMSIS function SystemCoreClockUpdate() + 2) by calling HAL API function HAL_RCC_GetHCLKFreq() + 3) each time HAL_RCC_ClockConfig() is called to configure the system clock frequency + Note: If you use this function to configure the system clock; then there + is no need to call the 2 first functions listed above, since SystemCoreClock + variable is updated automatically. + */ + uint32_t SystemCoreClock = 4000000U; + + const uint8_t AHBPrescTable[16] = {0U, 0U, 0U, 0U, 0U, 0U, 0U, 0U, 1U, 2U, 3U, 4U, 6U, 7U, 8U, 9U}; + const uint8_t APBPrescTable[8] = {0U, 0U, 0U, 0U, 1U, 2U, 3U, 4U}; + const uint32_t MSIRangeTable[12] = {100000U, 200000U, 400000U, 800000U, 1000000U, 2000000U, \ + 4000000U, 8000000U, 16000000U, 24000000U, 32000000U, 48000000U}; +/** + * @} + */ + +/** @addtogroup STM32L4xx_System_Private_FunctionPrototypes + * @{ + */ + +/** + * @} + */ + +/** @addtogroup STM32L4xx_System_Private_Functions + * @{ + */ + +/** + * @brief Setup the microcontroller system. + * @param None + * @retval None + */ + +void SystemInit(void) +{ + /* FPU settings ------------------------------------------------------------*/ + #if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + SCB->CPACR |= ((3UL << 10*2)|(3UL << 11*2)); /* set CP10 and CP11 Full Access */ + #endif + + /* Reset the RCC clock configuration to the default reset state ------------*/ + /* Set MSION bit */ + RCC->CR |= RCC_CR_MSION; + + /* Reset CFGR register */ + RCC->CFGR = 0x00000000U; + + /* Reset HSEON, CSSON , HSION, and PLLON bits */ + RCC->CR &= 0xEAF6FFFFU; + + /* Reset PLLCFGR register */ + RCC->PLLCFGR = 0x00001000U; + + /* Reset HSEBYP bit */ + RCC->CR &= 0xFFFBFFFFU; + + /* Disable all interrupts */ + RCC->CIER = 0x00000000U; + + /* Configure the Vector Table location add offset address ------------------*/ +#ifdef VECT_TAB_SRAM + SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM */ +#else + SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH */ +#endif +} + +/** + * @brief Update SystemCoreClock variable according to Clock Register Values. + * The SystemCoreClock variable contains the core clock (HCLK), it can + * be used by the user application to setup the SysTick timer or configure + * other parameters. + * + * @note Each time the core clock (HCLK) changes, this function must be called + * to update SystemCoreClock variable value. Otherwise, any configuration + * based on this variable will be incorrect. + * + * @note - The system frequency computed by this function is not the real + * frequency in the chip. It is calculated based on the predefined + * constant and the selected clock source: + * + * - If SYSCLK source is MSI, SystemCoreClock will contain the MSI_VALUE(*) + * + * - If SYSCLK source is HSI, SystemCoreClock will contain the HSI_VALUE(**) + * + * - If SYSCLK source is HSE, SystemCoreClock will contain the HSE_VALUE(***) + * + * - If SYSCLK source is PLL, SystemCoreClock will contain the HSE_VALUE(***) + * or HSI_VALUE(*) or MSI_VALUE(*) multiplied/divided by the PLL factors. + * + * (*) MSI_VALUE is a constant defined in stm32l4xx_hal.h file (default value + * 4 MHz) but the real value may vary depending on the variations + * in voltage and temperature. + * + * (**) HSI_VALUE is a constant defined in stm32l4xx_hal.h file (default value + * 16 MHz) but the real value may vary depending on the variations + * in voltage and temperature. + * + * (***) HSE_VALUE is a constant defined in stm32l4xx_hal.h file (default value + * 8 MHz), user has to ensure that HSE_VALUE is same as the real + * frequency of the crystal used. Otherwise, this function may + * have wrong result. + * + * - The result of this function could be not correct when using fractional + * value for HSE crystal. + * + * @param None + * @retval None + */ +void SystemCoreClockUpdate(void) +{ + uint32_t tmp = 0U, msirange = 0U, pllvco = 0U, pllr = 2U, pllsource = 0U, pllm = 2U; + + /* Get MSI Range frequency--------------------------------------------------*/ + if((RCC->CR & RCC_CR_MSIRGSEL) == RESET) + { /* MSISRANGE from RCC_CSR applies */ + msirange = (RCC->CSR & RCC_CSR_MSISRANGE) >> 8U; + } + else + { /* MSIRANGE from RCC_CR applies */ + msirange = (RCC->CR & RCC_CR_MSIRANGE) >> 4U; + } + /*MSI frequency range in HZ*/ + msirange = MSIRangeTable[msirange]; + + /* Get SYSCLK source -------------------------------------------------------*/ + switch (RCC->CFGR & RCC_CFGR_SWS) + { + case 0x00: /* MSI used as system clock source */ + SystemCoreClock = msirange; + break; + + case 0x04: /* HSI used as system clock source */ + SystemCoreClock = HSI_VALUE; + break; + + case 0x08: /* HSE used as system clock source */ + SystemCoreClock = HSE_VALUE; + break; + + case 0x0C: /* PLL used as system clock source */ + /* PLL_VCO = (HSE_VALUE or HSI_VALUE or MSI_VALUE/ PLLM) * PLLN + SYSCLK = PLL_VCO / PLLR + */ + pllsource = (RCC->PLLCFGR & RCC_PLLCFGR_PLLSRC); + pllm = ((RCC->PLLCFGR & RCC_PLLCFGR_PLLM) >> 4U) + 1U ; + + switch (pllsource) + { + case 0x02: /* HSI used as PLL clock source */ + pllvco = (HSI_VALUE / pllm); + break; + + case 0x03: /* HSE used as PLL clock source */ + pllvco = (HSE_VALUE / pllm); + break; + + default: /* MSI used as PLL clock source */ + pllvco = (msirange / pllm); + break; + } + pllvco = pllvco * ((RCC->PLLCFGR & RCC_PLLCFGR_PLLN) >> 8U); + pllr = (((RCC->PLLCFGR & RCC_PLLCFGR_PLLR) >> 25U) + 1U) * 2U; + SystemCoreClock = pllvco/pllr; + break; + + default: + SystemCoreClock = msirange; + break; + } + /* Compute HCLK clock frequency --------------------------------------------*/ + /* Get HCLK prescaler */ + tmp = AHBPrescTable[((RCC->CFGR & RCC_CFGR_HPRE) >> 4U)]; + /* HCLK clock frequency */ + SystemCoreClock >>= tmp; +} + + +/** + * @} + */ + +/** + * @} + */ + +/** + * @} + */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/bsp/stm32/stm32l475-st-discovery/board/Kconfig b/bsp/stm32/stm32l475-st-discovery/board/Kconfig new file mode 100644 index 000000000..163e816a6 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/Kconfig @@ -0,0 +1,39 @@ +menu "Hardware Drivers Config" + +config SOC_STM32L475VG + bool + select SOC_SERIES_STM32L4 + default y + +menu "Onboard Peripheral Drivers" + +endmenu + +menu "On-chip Peripheral Drivers" + + config BSP_USING_GPIO + bool "Enable GPIO" + select RT_USING_PIN + default y + + menuconfig BSP_USING_UART + bool "Enable UART" + default y + select RT_USING_SERIAL + if BSP_USING_UART + config BSP_USING_UART1 + bool "Enable UART1" + default y + + config BSP_UART1_RX_USING_DMA + bool "Enable UART1 RX DMA" + depends on BSP_USING_UART1 && RT_SERIAL_USING_DMA + default n + endif +endmenu + +menu "Board extended module Drivers" + +endmenu + +endmenu diff --git a/bsp/stm32/stm32l475-st-discovery/board/SConscript b/bsp/stm32/stm32l475-st-discovery/board/SConscript new file mode 100644 index 000000000..965977ad9 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/SConscript @@ -0,0 +1,38 @@ +import os +import rtconfig +from building import * + +Import('SDK_LIB') + +cwd = GetCurrentDir() + +# add general drivers +src = Split(''' +board.c +CubeMX_Config/Src/stm32l4xx_hal_msp.c +''') + +if GetDepend(['BSP_USING_QSPI_FLASH']): + src += Glob('ports/drv_qspi_flash.c') + +if GetDepend(['BSP_USING_SDCARD']): + src += Glob('ports/sdcard_port.c') +path = [cwd] +path += [cwd + '/CubeMX_Config/Inc'] +path += [cwd + '/ports'] + +startup_path_prefix = SDK_LIB + +if rtconfig.CROSS_TOOL == 'gcc': + src += [startup_path_prefix + '/STM32L4xx_HAL/CMSIS/Device/ST/STM32L4xx/Source/Templates/gcc/startup_stm32l475xx.s'] +elif rtconfig.CROSS_TOOL == 'keil': + src += [startup_path_prefix + '/STM32L4xx_HAL/CMSIS/Device/ST/STM32L4xx/Source/Templates/arm/startup_stm32l475xx.s'] +elif rtconfig.CROSS_TOOL == 'iar': + src += [startup_path_prefix + '/STM32L4xx_HAL/CMSIS/Device/ST/STM32L4xx/Source/Templates/iar/startup_stm32l475xx.s'] + + +CPPDEFINES = ['STM32L475xx'] +group = DefineGroup('Drivers', src, depend = [''], CPPPATH = path, CPPDEFINES = CPPDEFINES) + +Return('group') + diff --git a/bsp/stm32/stm32l475-st-discovery/board/board.c b/bsp/stm32/stm32l475-st-discovery/board/board.c new file mode 100644 index 000000000..bdd1307a9 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/board.c @@ -0,0 +1,62 @@ +/* + * Copyright (c) 2006-2018, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2019-3-19 tyustli first version + */ + +#include + +void SystemClock_Config(void) +{ + RCC_OscInitTypeDef RCC_OscInitStruct = {0}; + RCC_ClkInitTypeDef RCC_ClkInitStruct = {0}; + RCC_PeriphCLKInitTypeDef PeriphClkInit = {0}; + + /** Initializes the CPU, AHB and APB busses clocks + */ + RCC_OscInitStruct.OscillatorType = RCC_OSCILLATORTYPE_HSI; + RCC_OscInitStruct.HSIState = RCC_HSI_ON; + RCC_OscInitStruct.HSICalibrationValue = RCC_HSICALIBRATION_DEFAULT; + RCC_OscInitStruct.PLL.PLLState = RCC_PLL_ON; + RCC_OscInitStruct.PLL.PLLSource = RCC_PLLSOURCE_HSI; + RCC_OscInitStruct.PLL.PLLM = 1; + RCC_OscInitStruct.PLL.PLLN = 10; + RCC_OscInitStruct.PLL.PLLP = RCC_PLLP_DIV7; + RCC_OscInitStruct.PLL.PLLQ = RCC_PLLQ_DIV2; + RCC_OscInitStruct.PLL.PLLR = RCC_PLLR_DIV2; + if (HAL_RCC_OscConfig(&RCC_OscInitStruct) != HAL_OK) + { + Error_Handler(); + } + /** Initializes the CPU, AHB and APB busses clocks + */ + RCC_ClkInitStruct.ClockType = RCC_CLOCKTYPE_HCLK|RCC_CLOCKTYPE_SYSCLK + |RCC_CLOCKTYPE_PCLK1|RCC_CLOCKTYPE_PCLK2; + RCC_ClkInitStruct.SYSCLKSource = RCC_SYSCLKSOURCE_PLLCLK; + RCC_ClkInitStruct.AHBCLKDivider = RCC_SYSCLK_DIV1; + RCC_ClkInitStruct.APB1CLKDivider = RCC_HCLK_DIV1; + RCC_ClkInitStruct.APB2CLKDivider = RCC_HCLK_DIV1; + + if (HAL_RCC_ClockConfig(&RCC_ClkInitStruct, FLASH_LATENCY_4) != HAL_OK) + { + Error_Handler(); + } + PeriphClkInit.PeriphClockSelection = RCC_PERIPHCLK_USART1; + PeriphClkInit.Usart1ClockSelection = RCC_USART1CLKSOURCE_SYSCLK; + if (HAL_RCCEx_PeriphCLKConfig(&PeriphClkInit) != HAL_OK) + { + Error_Handler(); + } + /** Configure the main internal regulator output voltage + */ + if (HAL_PWREx_ControlVoltageScaling(PWR_REGULATOR_VOLTAGE_SCALE1) != HAL_OK) + { + Error_Handler(); + } +} + + diff --git a/bsp/stm32/stm32l475-st-discovery/board/board.h b/bsp/stm32/stm32l475-st-discovery/board/board.h new file mode 100644 index 000000000..e49d71c68 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/board.h @@ -0,0 +1,41 @@ +/* + * Copyright (c) 2006-2018, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + *2019-3-19 tyustli first version + */ + +#ifndef __BOARD_H__ +#define __BOARD_H__ + +#include +#include +#include "drv_common.h" +#include "drv_gpio.h" + +#ifdef __cplusplus +extern "C" { +#endif + +#define STM32_FLASH_START_ADRESS ((uint32_t)0x08000000) +#define STM32_FLASH_SIZE (1024 * 1024) +#define STM32_FLASH_END_ADDRESS ((uint32_t)(STM32_FLASH_START_ADRESS + STM32_FLASH_SIZE)) + +#define STM32_SRAM1_SIZE (96) +#define STM32_SRAM1_START (0x20000000) +#define STM32_SRAM1_END (STM32_SRAM1_START + STM32_SRAM1_SIZE * 1024) + +#define HEAP_BEGIN STM32_SRAM1_START +#define HEAP_END STM32_SRAM1_END + +void SystemClock_Config(void); + +#ifdef __cplusplus +} +#endif + +#endif + diff --git a/bsp/stm32/stm32l475-st-discovery/board/linker_scripts/link.icf b/bsp/stm32/stm32l475-st-discovery/board/linker_scripts/link.icf new file mode 100644 index 000000000..baba2fc59 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/linker_scripts/link.icf @@ -0,0 +1,33 @@ +/*###ICF### Section handled by ICF editor, don't touch! ****/ +/*-Editor annotation file-*/ +/* IcfEditorFile="$TOOLKIT_DIR$\config\ide\IcfEditor\cortex_v1_0.xml" */ +/*-Specials-*/ +define symbol __ICFEDIT_intvec_start__ = 0x08000000; +/*-Memory Regions-*/ +define symbol __ICFEDIT_region_ROM_start__ = 0x08000000; +define symbol __ICFEDIT_region_ROM_end__ = 0x080FFFFF; +define symbol __ICFEDIT_region_RAM1_start__ = 0x20000000; +define symbol __ICFEDIT_region_RAM1_end__ = 0x20017FFF; +define symbol __ICFEDIT_region_RAM2_start__ = 0x10000000; +define symbol __ICFEDIT_region_RAM2_end__ = 0x10007FFF; + +/*-Sizes-*/ +define symbol __ICFEDIT_size_cstack__ = 0x0400; +define symbol __ICFEDIT_size_heap__ = 0x0000; +/**** End of ICF editor section. ###ICF###*/ + +define memory mem with size = 4G; +define region ROM_region = mem:[from __ICFEDIT_region_ROM_start__ to __ICFEDIT_region_ROM_end__]; +define region RAM1_region = mem:[from __ICFEDIT_region_RAM1_start__ to __ICFEDIT_region_RAM1_end__]; +define region RAM2_region = mem:[from __ICFEDIT_region_RAM2_start__ to __ICFEDIT_region_RAM2_end__]; + +define block CSTACK with alignment = 8, size = __ICFEDIT_size_cstack__ { }; + +initialize by copy { readwrite }; +do not initialize { section .noinit }; + +place at address mem:__ICFEDIT_intvec_start__ { readonly section .intvec }; + +place in ROM_region { readonly }; +place in RAM1_region { section .sram }; +place in RAM2_region { readwrite, last block CSTACK}; diff --git a/bsp/stm32/stm32l475-st-discovery/board/linker_scripts/link.lds b/bsp/stm32/stm32l475-st-discovery/board/linker_scripts/link.lds new file mode 100644 index 000000000..e57d211bd --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/linker_scripts/link.lds @@ -0,0 +1,145 @@ +/* + * linker script for STM32L4XX with GNU ld + */ + +/* Program Entry, set to mark it as "used" and avoid gc */ +MEMORY +{ + ROM (rx) : ORIGIN = 0x08000000, LENGTH = 1024k /* 1024KB flash */ + RAM1 (rw) : ORIGIN = 0x20000000, LENGTH = 96k /* 96K sram */ + + RAM2 (rw) : ORIGIN = 0x10000000, LENGTH = 32k /* 32K sram */ +} +ENTRY(Reset_Handler) +_system_stack_size = 0x200; + +SECTIONS +{ + .text : + { + . = ALIGN(4); + _stext = .; + KEEP(*(.isr_vector)) /* Startup code */ + + . = ALIGN(4); + *(.text) /* remaining code */ + *(.text.*) /* remaining code */ + *(.rodata) /* read-only data (constants) */ + *(.rodata*) + *(.glue_7) + *(.glue_7t) + *(.gnu.linkonce.t*) + + /* section information for finsh shell */ + . = ALIGN(4); + __fsymtab_start = .; + KEEP(*(FSymTab)) + __fsymtab_end = .; + + . = ALIGN(4); + __vsymtab_start = .; + KEEP(*(VSymTab)) + __vsymtab_end = .; + + /* section information for initial. */ + . = ALIGN(4); + __rt_init_start = .; + KEEP(*(SORT(.rti_fn*))) + __rt_init_end = .; + + . = ALIGN(4); + _etext = .; + } > ROM = 0 + + /* .ARM.exidx is sorted, so has to go in its own output section. */ + __exidx_start = .; + .ARM.exidx : + { + *(.ARM.exidx* .gnu.linkonce.armexidx.*) + + /* This is used by the startup in order to initialize the .data secion */ + _sidata = .; + } > ROM + __exidx_end = .; + + /* .data section which is used for initialized data */ + + .data : AT (_sidata) + { + . = ALIGN(4); + /* This is used by the startup in order to initialize the .data secion */ + _sdata = . ; + + *(.data) + *(.data.*) + *(.gnu.linkonce.d*) + + . = ALIGN(4); + /* This is used by the startup in order to initialize the .data secion */ + _edata = . ; + } > RAM2 + + .stack : + { + . = ALIGN(4); + _sstack = .; + . = . + _system_stack_size; + . = ALIGN(4); + _estack = .; + } > RAM2 + + __bss_start = .; + .bss : + { + . = ALIGN(4); + /* This is used by the startup in order to initialize the .bss secion */ + _sbss = .; + + *(.bss) + *(.bss.*) + *(COMMON) + + . = ALIGN(4); + /* This is used by the startup in order to initialize the .bss secion */ + _ebss = . ; + + *(.bss.init) + } > RAM2 + __bss_end = .; + + _end = .; + + /* Stabs debugging sections. */ + .stab 0 : { *(.stab) } + .stabstr 0 : { *(.stabstr) } + .stab.excl 0 : { *(.stab.excl) } + .stab.exclstr 0 : { *(.stab.exclstr) } + .stab.index 0 : { *(.stab.index) } + .stab.indexstr 0 : { *(.stab.indexstr) } + .comment 0 : { *(.comment) } + /* DWARF debug sections. + * Symbols in the DWARF debugging sections are relative to the beginning + * of the section so we begin them at 0. */ + /* DWARF 1 */ + .debug 0 : { *(.debug) } + .line 0 : { *(.line) } + /* GNU DWARF 1 extensions */ + .debug_srcinfo 0 : { *(.debug_srcinfo) } + .debug_sfnames 0 : { *(.debug_sfnames) } + /* DWARF 1.1 and DWARF 2 */ + .debug_aranges 0 : { *(.debug_aranges) } + .debug_pubnames 0 : { *(.debug_pubnames) } + /* DWARF 2 */ + .debug_info 0 : { *(.debug_info .gnu.linkonce.wi.*) } + .debug_abbrev 0 : { *(.debug_abbrev) } + .debug_line 0 : { *(.debug_line) } + .debug_frame 0 : { *(.debug_frame) } + .debug_str 0 : { *(.debug_str) } + .debug_loc 0 : { *(.debug_loc) } + .debug_macinfo 0 : { *(.debug_macinfo) } + /* SGI/MIPS DWARF 2 extensions */ + .debug_weaknames 0 : { *(.debug_weaknames) } + .debug_funcnames 0 : { *(.debug_funcnames) } + .debug_typenames 0 : { *(.debug_typenames) } + .debug_varnames 0 : { *(.debug_varnames) } +} diff --git a/bsp/stm32/stm32l475-st-discovery/board/linker_scripts/link.sct b/bsp/stm32/stm32l475-st-discovery/board/linker_scripts/link.sct new file mode 100644 index 000000000..4496573e9 --- /dev/null +++ b/bsp/stm32/stm32l475-st-discovery/board/linker_scripts/link.sct @@ -0,0 +1,15 @@ +; ************************************************************* +; *** Scatter-Loading Description File generated by uVision *** +; ************************************************************* + +LR_IROM1 0x08000000 0x00100000 { ; load region size_region + ER_IROM1 0x08000000 0x00100000 { ; load address = execution address + *.o (RESET, +First) + *(InRoot$$Sections) + .ANY (+RO) + } + RW_IRAM2 0x10000000 0x00008000 { ; RW data + .ANY (+RW +ZI) + } +} + diff --git a/bsp/stm32/stm32l475-st-discovery/figures/board.png b/bsp/stm32/stm32l475-st-discovery/figures/board.png new file mode 100644 index 0000000000000000000000000000000000000000..b567f496b351c442a3dbfc8427076accc2727874 GIT binary patch literal 4017549 zcmeFY2UJu|)+l;9K$9~_PEAIXOp_$2M9E2_NDhJo$&v*Xp@AkS8KeP0K~b`xAUPu- zAfN(Gj*?W6@D7G=zM21<_h$b8-nH&}cdFJtwX15^uBu&CyW%+>JDzTZUG#T$001p5 zKmY&$0)QL>05A}P5a)s)$WNR+48);7@RPVB2pIqcUAWy14n@VHxP;+I3b94 zL8yMF1#tkv2;iMKAPhs8{=g?8e7|TwZV-_lX&FJ9S%~NloCXYqL#cn!fT?dg1Mr`G zAoN&b;3QADvxf)haNw&MDVbbU2LJ;@6(OZdBEn!G0H}d*lIsumcMLn~j4)`$B&7My z3k$0n@}JZjw4%Zy(lWwgG9oB;5tNLmn2adML;yniBcBs)Kt%fQvcn`JvVP<25AJaO ztsZxHf8mM%kO2HFqp+xma02Xio!B2G!2iJO6CpooAW#^9fdE8cHYZJR4g>vze=Y|0 zM>r1#{s(>rgZPyfC|wM}-{gcL{1Z;}n-7c*bMr@Db^yRG{u5_j1oKk)6%KM?KZ8m5 zQ8rMv>;ss@KkzXO<_~--_jkQSgoSf|^$`FpbuRRG`w-{m!hYlAVYzs}arVWBr+$?U zZ1en|d>|*CD*vY(z%B;>*gx^a{_J-r!2lNaEA0sm|D#^4SiE21OkgkL4Lde#86@=>bUDq^#JLZXu%PR1oJ+j zV*o&8K5vY9)ggFh=>q@j|Yc=1`i)WL`*_LM1a79g8^_jNPyrYz{ewE z!6$~p;N&cntPIAds2J$EM3G=DJ_Q{k2?-rJ86g1$CmtM+=oBkGl1q@Cmxh{xAM_*G zjcy=0S(#W^X_-&q;o;*`2Rsy(;pbuFW2T`5{RlRCZXOx&v!{{lr%vJHBM2zT7?_2; z_0O{MU!ugrCm>{_7rf$+wdJIt5JrO0gmiRVL2)j^{OpvRbSHiq8UX1Zg)hzW`DPck4RBBh|Ap(ZB*>-@84{r&emzyRDw z>_L}63ji#?A{l`&@?2uz$8h?4`v2WNW-x7&egT1OWD5aDsy-6qG>@*aH7C|B=Ao zNdR0EK*9bM0ruV#+XN__L_^Ntiyh@0+Z+eua~#0|vBOUMU#bwK{b_zoqrXyx0mPsM z4g6Q+9}WSCQG&n?!pT_E1Hlo5Fc8W?m;r$j12;%KfD*uk4iMt-C^3Q|h{&ku z7+E=a1kQ>{$ts*z)zme-Y+-HZ?B?kc5F8O5gT|z$XFn_~DSKX3_v%esSKr{f_aCO` zmew|Rz8xIn5s}j{uyFG53yFxMWEGTE)U|%5clwb&?AD#wgyaXAx!B^;^2*wV#+Htr zf#I>qsoBNV&nM|OVE{Nwp8^1eQ``|Jvy)4hm#djs0jOb)l}wbunrj}|g5OQpI!qEi z4?7WP9QY{#>x>V&1R$iGD7C*dOE6~m984Cz@ndBK${a#{LmVK(N<+ST%RxWG6ktm) z6yfi^&*M#rG=kj?SZE#Ni9~;EUJiY{_;00IGP=E;z_M;R0xBbmu?$YzKAbv75 z|1frcVE@-3jO}6&P{01ohyqD}^ZXqKgDOy82JnB5MsS7ycai_`{Qp1#P$)P-{iQJj zhtki6S41kQ*n?+562el_!l1@%gS0|!LuR4Tkcoem7zl*ALhYc>AY;H7@C5n|q6Qwc z#Dcy%&^yoyFh3L=^>^d&qzKGVC&-EYSLuHj`y?+Ahc^Bfjz1|Nja1gBW+O;{`i_o& zZvBtJWyXm`fb~3?J5CNL4vr7OP@*3*$<8qZ_=68(tdzbVeuzUglCvdXA`u~Ezarj@4Z9)Ih{`c~5l!F3L zbp+r9x^C4Z|2-!EMymg=T7(1ifIHL+>I)5ohWwL}qyn`9l_TMPv|DmKe>;(Wh zDgmHjm>+lr@B^2$Pj>tNx>0}13QVdA0v$&Jz~sd5bBljHJ@))HV2a)inAULxJRZ0J ztyM1ndSa0BR+&0PK_hd;NdU{Xf3{ zNZ|io2`Ks8xDMXlf#&4q{1VK`dBe%c>HoxlEG9uX*)ZWw+`qb`|En-52`MpY zF#z~S7u*IyeryHd`qC(HH~I7KT^PK_2Dt+OAvo`yY=#nH)0$PfHPu|R&`JcHLo zfBmw7!~btD8#u!L{IUU@PER(EAZw00;70rxp6?BYoftI`PNv%*?(x|16hNV&qOS7e zAm+zJd^`c12Z#^|0t7x00RaIq5fL#NJp~ylDH#hb9VI=Ijhl;;jgx~%Q0goXzc@b! zr?8TUxU}rKbLY6vTu?tRrzRzTPWFTegov1!jFgO-f`VC=kCRXK-!{jM03`t^!7vzv z6M#}dU{sLfmXjMT2p;5zIjPTy0UtBK!3$9ELXsFHs05#dKwvN^9EJzpyn?a8CyyQA zlz3DeB1-twdRGygo;0H2iFpJ_ z&R@8wqN=8@VPI%vY;xJu%+}7{!O_Xt#ruYjub+QFVC1c+=-YSh#$b|?Q&R6gNK1d1 zk1Z%HdQ@EU{6$4&Rdr2m-J9l?*0%PJ&aT0s;ddjWWADeOXK=G~^9zeh%bQ!GjT647yqPjhdcRP9I2{=dMZ(#$pqB!)4$x$@h+JgE6F3R2jo1ZO=Zx$Gc^tO`E* zeDq8hK+R0#sjn(G_*6T`VlcY#C>a1U{3!c*OCiEV@^uEADgDSwj?O)`WhVfV_xwF` zqLRMM+c2?sGf~e-MF6^s630>m$J3DTNi*z-(?V33#KGI4VYW9J!wZ{5kl4%x7QS|d zMLqy3x9eiz*nrB#4Ms6DlMGP+Zvqg5v36`vH7x6`vz&$g1?rZ6)AF_MAi179hXvJ|U#v`J&xcW3|-d~!2IgxpR63*Y_#6wIN1qG89yLuRsp8e*Mv|B#bKYdd zZYl!Ak=1)|`$Sa(WftTZFMal20c9CRlM|@(5~Dhp)1+M_9!cD^5CZ_x?b^enxz=b| zZyozRFBw~!Pt!;=*cQGd=ty+Mpp+yz%{kBT!GwcmGb+p#qr&X$U3Lq!mKejzB>>JVU)-G6v7(00-$lq~1^~|b2$neUSf8Dusz808K9G6M zeDtBWw!XHi#gL8_D0kVnjWoF=epqN2xSL7{)b}g0-frWscL)PWY;y)P+W57d)Vp6<(t(7IK))=3gl6__)sr?e#KsT=_OF!^GPFF;~9zoJ__5)w*j^a z2bQKD6fz=EL@|Fe7auQgGBDjrwUQaq9X z6^4+@g(#;eE|bD!pW~fn_<{wXzASO-FNjAo4a}pZf%*IF%&=^Q{bBHGPpo~4ywFJ( zOOj3|83FlPFfL5b9P7QN*a+8$QN|xNAfR%r6_2x?1Up@S*#t5odE{OJNlNUKrkS%K zq0BT$E-4p4$pKFE5Qf=o8K18OTo?*6ol5w0`Pg8#cA!ldz!-Y(srbi8W^a8F<|!{N zv4~vOv>mXJxpugrk#tCB`?P)nIZMz2%^=av0oYQiX#XW`t_9v03zEc7G`75~U@>pq zVDS>ulJinE^Jr(+9Ye;0jpIZwjU8{vBzq4ICPrm-xlOQ7xPNE}CA#F0snb0w{x*{l z>?CbY$m?(~egp%Jquego<6b!{5Lq2nyaijIes<9FW2QeM{UXD;3h;%3k|OB2Y@PBRuC!^b6)kPE)2rQu6L0m44_Aerxl22fp6;`G(Ak#J25hDJ8~pmDVtwZMUDRJP64925@4qWvIQ=-HLr~4w-F*sW!EcV z0JE9`A66*3Juff%a)P&d+j`I-Jx~;$5I}RVW<5!b9`5rxmpnxvUjs^PB0d)>j*X>~ z*=fYKcW0wk?0VKi&G=$gWCbR?K2>)cljy|~wL zyk-_2V0HxU=PDlbd1@mQd+Bjtbf0iYg`iDX?tElyCa8rVNxf%8zQt%)1c7~vx&gs3 zlk6kzaZ-LSeowScpvcGM?ZGfe9F{eCl}N_|Ou_mv)-&CzA~_o1LG`jPX=7Pr^ucUq zlF3uUL|QpOJw$AQgH?dzk6YaJiK(SYDQLUhk=6~VKJZV z;i=js9C!?9_%ko;4S=`l2-f8M@OTYKTL7+saA*bWJTxA{vSj=dK~Jx2@xS)0p>LIo z-6sn@8Eu)F*&mY!O+4DP*DKjANM;=i#GH|+uS=lTOCU3}X1g+yk{LC7gXUJIV*@X@ zyypos5|04fK{{=UeV-T_j}OM~D^JRy2TmK1o6TY5ivBYh9kMSHLd}G=gNqSejjSqx zx&WYp@BH$);u<>Tj2Hv1K(#uz71VW@;9`P3l_1vSS(u-^vuC~MI5;*{-Y)ZFDg28G z8j*u(eWK@*0pQb{ST7}U?6fEP&>83p8UUbfIQZL7pNni`uDbw$(onckD<8KaXCy{` z5mbl_`IT}}(#Tj}>=ZTFwoB?Up7bze1CN*@K)^Sljcecq$Ex;0j3Sxm$NMypBt?K! z+$PzUoi(k19is&ZGoYR1$V<=bf@WhdJr(k=9L0lWkq=G`Jd1idpLzci;k5X8FEsK}WZOR0^Nm*smMAG4?@-6#0Gk+XO(7>TNr*xd@@RO%bFrIMSXOYqR-JNaSgQya3(4$ zZF^_$Fr2gT{T!svASx1H>cx`X#KJ38Vfz)x3r4s&>pvo77Ma=~IzP2Q6L5R5rtgzA zIw@mZWRE>0d8i*bnD8oy$nvN}Fm~+=!J_nGKFzv9P@ec?LNkjhO2_Gf=uxfK7v*emBv>`}07gvCq z-QCdbmCfa=xAV5(wNz6Pxwb5PD+$hi+=i6tdMU~v_F0L=4e_Z8%xhrmXZq*C@ z1pC+{cv+-hW6?*Jrnc%PiCVtEMX$R^q|eMNFFj9R$Ko_46;I4^&{APpyzJSGWacZ% zQ_8B+`Q6qsY-ZI(k zI}+Hr)2j16qs_lQy{0lv`~*%OB3L=N6BI;Xc>3yGd?Di=0edGV)6B<#wLE$^+y*g+eX<}J%<^$zCU6eS-#AC@V3?<-UW{` z_L)+nc(~UXFT*372cMt#7jI_b5)GjcVQGXszp$#+&U8C(`uUFe1b`F~BhvJBNwXRz z|7Z%-cb=^*#fpt9ir;HHMhL$(RcJBDkp_);}$cIepdms~hZm8B+^JY!%!lcp%p z7lsK2)$8L}?@u`eC^sac5*6>B4^0P}*jg0ms9Dx?DP8^<=a1f2Z0B9s zd7xr)nD@c;N$T|qKEzYnq!J6ElqWAlrV*_3$(C6y_m$J@o{4phxplBdE*YOj)$~8C zK8kR@f5t9t);85PX}9QoXqm!p?=i4LZS{PNs^VI}DuYGLC4+baRWm0_Wri3L<7=z) z4Yla;55%1uZys$}=HEoQn%lW{mtwlGVfj%=mQWdz62sX6+gkx>qL}5II#WR#WJTjr zglp>U5AxS@0_W8lOVx@bP?P7A11zJ%jmxvnQOKm(9jP0+toncFuEK>cyb5`sQAMK6 z;BD*eRN~Iz-yA&H%*W*4T$;%Rw3jt9L=tR9h)2AJN`4~9T!$1N%S*~{ zj5~3DtunMNBVW={*7rC_K3a*A1+uv#a8?121UrjmMNlLY!L_{mBS!NDc4_^qlM=yN z&KFBfpUR}(X%h(Oh4S2tVtA8!0QBB4`+$|yBog3vh`U@h>4;Pqc@}Qpp;PbmI)`l} zoFfuhS(!d>ozT{&%Ei|QJg#ME57k(dBP?|eVIICdVNi{klH))cwi}GZQ>bHIWh(TY zsavC=ki-IeP$*Ufx+ft^73mn*M_ zABw2HbQl_yetQ1drhCftA+1~kM;Y_s5=8_J`CR*MnS%MKiB)y|eb;cV*F3lm*(SC^ zSF;qHL+XM|(T!P0BKNNn3)OX8#%vDIix#RLQtj*cuLlOZNfIUB(-b$NkmU?CYiFch z3cqjb7r5|JBxedZ1Qo&EovVt zr006}pA)Y{RaOPi?=X`aSX@b+C`Y?$>epiC6`#ESf*tz!!8)lgE^RFA3AvI*8a64} zcG=6u{IO{k!?i1?vA%jSMe1B`-!4R@J9T)Osz@d_0ozBxt~$+>lj=neS|GWnWbZBH z!H$7cJM5O8ouzs~@XU`-4wl%bK&18kHD_kp!ZJEp7ALwEDQ%u)+lM<(*@x|^ zmrcaTFd97yRIY=&!Rn_^b0-ULOHLym`#u%&yv@Ep{IIm3O(Sp&$>1Td#lPs!vRqIX zu_qs80mmVe6lq`@*3bH(8N=TV8tRf1^$BqW;QbKO=LQiiPq1YA+M+0$%v~%1)3HLh z2y4WbiD(4OlGwygZ-5)Wu)WK>U-L2A+FGiyv6({ycDCDvP>7#T$C^e_Sm;;0?p^EyV@H`+sNsn<7^anQV5zF@ZT z0oEFm)Es*Z$l%2H^seXn?dfXNBA?L~KRPYe{iqXWcVWGAUCLIWz&*eBQWtiPNbc=X zrK)U73C+U-3jul<>NMflNcb42m7<&x3N@4_}wy;+C zkoIW|`II0!|L{w}XyNQ$V!g@whbR?ir(VKY&DZeB@sAOf;xl%>vvh=`D~aiwbVrpR zzg4$YRU7Ls)v0?kPil&JCN9dyd8ig>vjs4|jlAWL!oAn}Hj7v4?smGW$i>hsH6~He$P! zcumscye#y{vjbKp6Ut#(STMbYRFZtFc64KTExg8>-wUE(VMIGzsg@-)aVgyPo)Clo z)(7${WrC*-#^x%r=Z9r7zCX5=YEv(mB;bCQs%Q$`6U`$D0~Cr@E;IVx<$hQHHAeBf zB;l9-z!e`-bMxW5LH7t)zD<13Yb>GCd~z4-?}ofkNj95Pdv+qwvA6%AD+dr z3B(T=y@dM?3#Cep%^MN5f7AAt81@g8<{`*cy5pe0ADj^M%LYO=#-4D;KpI_BLFq%*2!(iC}5eb6Dsyy25uRopvaf3LXO zP^h8tnKbuNN_uHX8HM9ebmyVqfVdm1xxdh2Stjb>!jsrelIKt(h+MEXo}CLdSFs;! z6qGliQ{Y*9SJ|!1FN>jBuBTkQq$jyPC6Wd$zEkHi_`Ldjk(I->{W)g2?}Md1tf=Qn zeokBYx;t}=^+lUlP!Wd8=t;gRm%HMwi?Q{(dkR4_P*>&0kT)rFbHxhH_(IPu^Cm`Z zro})Di!QVGDqT(^85%!TpLUrb`Cw|jUBYmoSFg%$snaEjZ=6m@U7n$PDSqz5dNVV>f}oom3Ao2{ z(cbLa%4HXkGg>2(x@SoEOs{q?j}r06)` zOi+q^Hyz`5bAG-SzS+D*=d%Z!oB1BcR+iF{1VD4Ns0THXOeVVl}E->HcMjn*i+x0whQ3InG%Sw>Ah^M{l0dHaZB(huxhlb|Q%;+^|%B3*)Csj zx_61r!&=I3A7=Al#4qShdeMsH9Nc&}py79MdX0fvujYMB={TvQRg(Vm=vGcj^NANU zRz*qeOX1&)w7630ykzch9|HrVhH~>|OUOgc>#hN>cVLzY@uwMuR{Na0(-OL_d8zLf zW;^Tf7C^MbMe<13F3LK$Yv{ND)-(?a3W#*rPxhRTwT=PyMTM1$oK68C{%~l|=(fK2 zqNvFCB?XK=@!d#{0J{X1_1;vW&h^0b%kGY0GR=Z*T!64~+bVPHk$AI~yt8=e)mxVz zYH|+`)i^siSc_m>gY-rV8tR?hOMAR<;PaM|VzWgZ0l{A0WFm$@$60{+=wao7lHoj)N+*!)_U4P@vWWJ48HbGxZLB(~ zSUb1Xo4&r3Z~xrX@^dq$B9s`YZ1>I+4wqk0>{M{oatb}GJ|)+gH%sVH#T(ruA-LNuH^@YIIS8$5V6KQT&K2Vg9xM9ij27kA+%<(=68p zMJlGQk0Y*oT-5V_nlGl3`^ZZPT#JzJb<(r+f|_c-uzJEaDGLW57V zX-;cEqv($Tc>%t&Wj5%G$~B%5o_*5C0OL-`3!U0|b8j7ojV{~WyElU`VTa1>txGa7 zG{IW-mT$g%)xXHz%-=-&?tOi{hi#YjF%Vi97;3g4ku0+O;;}8qGf%5qk5vMZG1*Bn zOBdHq%M}RtEgDy$QlBQgk$?Z?$rzf8_F>~1;2pCJ^Pph%Zo<;*YX^XL0Sj>bD0;5l zHAMov$uZ>iqb9EJLrr_WICekmlr#=K9A?AV3>!>W+R)~e=31cf9A(JGhc}X+rtb6a zmG)3$*wDaQvxTUZ!h!coy}}f?9p{^v0yZ>4?|No?*633vW^NxW5qtPI<>GU6M~2~R z^EX~qE$=AAvu9xTE2d#dLzDu51k<%Jbnbkef4yfAX=duZ>!%V0-R(M?r2DtaEP|JP zeBPvI()K#tBzR*mmn8OhlS%T3r=fCq- zEq+odSSmBs?J%X;2o$dF9s>$-l=(EYSR5&2_!_@p$L)0tnG#Fftg7m$K!r?&+KeGzw?f0;u-4bTPI54ZfRk(-=# z0n%Gz>-4;1;ijXnSSrIRw)%u-WIe<(slpBe(|ypdeIy34p)adqSUwt5lNd64$6M$< zaV0HT#5cOji8gO+1qUQG18XF-*7=?DF<{x@p_{(PLRF}rlx)iV`Zi~n4(S`NuqV;{ z=j~<%Qs`N+xE14y?+n*&qMD{CwMnA2R<`-+$5ny@aN{|LaTcf0VMG}lyVH*< zOLtkGziWDVK4aufVEC-QXS)B^!R@{cDUb0Wx2C?}qm30U7%CT!GAktRTu!Lt4Icls zad`pF&`g~7!ArGW-kiDd!gmi{%?~wDbD{!!7(udQKt!Rd-1>9RS0Zk8lkUs6oha_NGo=|NX;;VO!*Ulp@y+dW6Kh4Yj_#jSIi?*gAG7h9}l+csYbZ<@sV=YVMRo zOLey9E142b+%cdmv3R+RcxpN7bHNnC<7nU}+ZBw=hHEBiqjE{$BZVm8X3AqA6>Ni> zF_@UdFpA0w5(42irCmKMDO|&ukycZ=enM|&rQr=#tnx-!I4E@XudX?;lH{(Nw1-$fn1 zvVimJ5vk6m_Ufuv|!v~M-lr$OOTO!80)CG=6Os*=42$YvmrK(SHbKSnc%rbF&%HBoIMu;u4ACV z?22PD+2g$tZXI=2R?dU*EL6r%~Hd|kgj7OIwpNC z>h^m&^G}HhR9O4{Koj|=Dx0pSC_Cdi#!zL^!!>o>3?_5zsY~dT@EL-SLJl_)A{w_N zTP+phXK9WU5EeGRR5dztRrk`{Tkoy$4~3fSo> zY4+*{-4@2Pl@JU|iflZDCwI1QstB2&AF2Gs@RIj&K zTKvRoSwc1Zi6cD6!@~%2%V)QT(k*BK=J4^@F!DlvCU2F1DOd~~kgzjI%D#9uy%tGp z5#N_768ZAnySGG><>fv00v3Jqb18{L6#nuhtD;NFsGeuq_ArvJDX3Ryp`f4>eN&(F zhp@7klvP znKI@Y;rm;H{q;h&j>RrjBh}^W+p~g{LSa}yJ5*!Gd1tZW9%?T24PK18?+gzjr*WUi zIAy(x#~|6@ndP;%hZ(BWC5I8N>SjTE1sKr_l=yvQ@7lkG(Dp+5B|d$$c+Ye%?%c$s z2-!Qh?F*PTkqF39WtM{-W=&|_ZFN?;P1?7qA~s*RX&+|QD-?f*)}F35du3a0iKUP5 zW4BG^{DZ0&#I6i2sisf-<}xG)GEg}#@@>?e*nLaKjoh2v0%OEuh3O}Md#EJQ;exKmCX1X z4yVR#QMLqC&x*u7;}9%EDfgR9yyc57V|ORcyC(EI(-4V>TVq-@Isn$jV<1!i*0|q= z3tlnyqNX>3`Nd~=qRc+^Mj5N!|N8jri^Pqg6^ql))QRyD83-X^NCrgSQ|)A~KrR!e zgR0bZ$y4U)T&H+b_U(>=#>cltuc8HaC?_jBoODyK#(Kt*y9>U}Pu32h~z5cL$N+C;;F6BOpykO}nLS|BJS6-g{fHRvj0D3=;%@rUR7aAxGmFa(% zJ$=T#r{ra}{tH@W{P#(Fl>6^w<&DI9If;pfh*bmc4BfKrF*j0Ky@6sYu&k>M$_R?P zSe4mbJ6i5wgk(#6${0$0RL5v+wH@MEz>-K2$mMf|Gc+|d-Sjh z%08)TzCKk%yu&f@DtJj;^V_a*P3Y?OwGyM~&FJfC`|)2R+1gT~0n5r^s=aVflt zi@j(sNSl1XpM7p0 z2kWmC-YJvmr1T$_Yb1s-K40=|7^>HIkC<-36z>wLh<$U&p|a%36IyHilxe429M#5D zxN%hJCF5S;cO8!Ac5G8)SZ+B6!g)WJGVB&HUctml+17lypshfD7veKEM`WR3p zQ8e09zfv!%v(T%;YHDYq>(LS$WKf}H?V`(HbAei=(L)9;edJKOM~R^{5g-RLZCQ?i z{!+E33V%h9QqHN*4-mIl^s^JJ5_?Lz^(vN5R*vA$A-K*pG$wnmXa zAg$f!14TvKY*Wpym}QE%++FZUMWc@PVb$o%#1P$J+a`|lRdU@d)7>k>R@(K%r< zjW{e>s6eH+hYjX}RIN`oDq6=PTW4q%@ws@8rN@%?UHYdFDRY&5m(489EYmJrdxlZ& zm{32^dc?K&^v4Ex~+M$iruC8KGJnnoy&1c@lZUKCQ1GNYXxkkC7&7^^oC#NC5AFZoIp9@*KL`XlYtJDeT zQ%K_EV4C6m2$o_sIY+h`{yU1os_{=Xd{5bW1+wyY-SQp?98k2oCMir#Ljs|tx6U4u z=Yye>4>mjcN0NMTNv&!$P`1oK`{1A?e!-1p?gN3mb+Qp0qZ+XzO86C0k*F67IrcQssj#>Cp9i%9%b( zoiyJoW#bAHo(;ZuWu#I|K=aY+jg@k*epw5V*pPK$4#BAYQl~8bt%-*RRUFgy{^vSF z@Iwx+&zn7InDsiMQ#->k>=u`oj$6g3Q{R=mb~To*Imy^QUE=fn{bFL13EH7nv4F`Q z&srsx@>pZCjABctuW{ZE({^K>7zjNQzwlXIO&wj`g|c3UQDvcG zQ`5;(3SZwItaN*R)h?k+dSOYnvnyOOY4mpZ5DkE9ydPw^`aiz z$ZwRELjI+P*_BvA`xo`KVO4|Ol+wx5UaAKOg*#8W;7u;=({!v_tzt7j)A5 zoZY$Yqf$;4n$XkZb{^63BOCd~Y-{jw1sq}beEsyBMbgK$VsCr2uN=A-Rd2#H?Y@d- zZ7@=`s-jkofzdMYyGFj86bwOR^@i!eJE<74Fpb0r`DZSSr?D+o{#?Q5bsz9h8Iu)F za3$@}mlniM*O$k&xD&s8v|D0)ip}Zut7ONk7sg8)cbdNJmO3-sDxZ@S))gl6Y-eZF z*(`Gw&b}`(ntxh?JKxHo3=D`2ubHgvJkYXZkJY7)KxXy&1TQLADFsS zjmYULKd@W~ekCT2mj#0sA?ayv9Eli&?29&Sdj(hwMoAB8pS6XAeBK`!=W_~&@iOp^ zKWjHz6dHIy`~2LxutJtEWDxFujrp@$fia3b`yj}mJ>qF8GVbv(MPL~C!UrnJ ze&$r>?*8Bz$xO7CdfejypI%|Wux3S(06#oFIWkFYfJSR?(X;yX-h5VK6AZz!#3d>h z+oP>Mn+{lOu}`to&}5v4dVez*ru#k_Ym=#Huw2ooOd*H@pZXxm3m|ECP%On=^zPS4 zSf#N>OdTwUKpTL9Iidld&)P_ROmRG*82qOVDYsi7TVMELh#7pfg3ucfMn1(|5`Zp< z@8L_gTRFc3*8>DgYDAEZa~4Sx;)f-T2b0699(_t3^u-j3tk7Nd0RW*nE#xw!RTne( zg?&@Ir>{v$#+s#OKYiU{^UCdlIOKLoH zzx$=*V42{wUGx_Je73WuV9EW3Bhzw)GUrMGH@;n!j~{i^InJ2!hD3+-3Z*Sez9u`6 zprMR@MkV@_<(v280a`(b|4O6?C zl-acRle-SmJPkuLCI@%!&bi`|~8qGfv%{XJu8qyc2WgjBjFVUZRQb%|}4XR#%qs zJ>-LkM!c$`CAjk)L{y%|EAx%Ivg}=K^P@y7bUylUHGsuT*F-*u%tPVIoJOhi;aIIH zyZ;-+B7~Ru`!Rra$u2HDj8H4K2Mo_68X@R(APJPpDsl_x?!S>su09 zHvDIHz6UST=W&NIH1h6^)ZCG7<+3PzxGPe?eacfs%Ip+do0LJ){HNYn4^dInxsNG1 zu71;x);J@A98+}dpe;VqoQ0t6QVmaE??7exV$ra48(Z^ zTjk3hR#4WJWDIw|??`YNXQ}M1|H8Ma?%rE|Po$AOHl(6kFhiRAve10($3SWx8Ilo) z7t;-@%4$~o+)C2c8JC&YR)$v00*N{OeWz_P+zmmG4su)Qrjz57(P>AOjf;k6F9wp6 zmp-ttzLm1`ZuRQx84#Yt7y1(Yy=Ou62GTd^7ebM1{qWjD&dqhoz^y%+eKC^?*m(MzT@pDbohV$gv$1(*d zvB(-3%6Ln4JAH#w`I%gN%IPvc7in%@fQ?~!7m)ZNM6dlC@6u)Ohi1;?MD!mDA+eFt zR}RE~SMEE$yJoNA@q|?;C0QUg46zL!C5QXGBzSLB|Dkxm z)8g<|C-ThqNJ3iWFM^RdOMCA$dkza;+VLOa*{@O$PQ}h<^+lE^wMdG!GCN3{p@s#X z(gP%reM3L9-G*NUmfkZpKpo!T*6k{cUFFqvEE`}P@Jg1<@JST*}9G%1J~=+B~#vfmFCHs zt8Jr12YQYaTk)F$*5E0uXT9j{dY^aS80uZTWf=^<-9cIo3hZ>YUdOY$1eGC4Z~Ads zlgoRJoe$2;I0MUt6R9XJqOe?U(sF?Y@BJu;B`$c@`!I-y;ZyM=5Gz^&o?$`5;eNLB z%;3AtoIuqk{g=192g1R}l^pERxS|4-^wmk+=)wMw4*WZjk$3ha_}5QWE=l?R=D;9^ zBvS4SO1z{Di(umyFCaCB^f{@(#gJq zTG$7lXD;oB6#InIw#HQqU1T$$3%Rs#a76nWKWQd3i*;~WZ5vY%e+j%|Tv5>36l%X+ z`O+^+vUQenj(Ix1Kf-e~eUTjPUg?DQWL{QES)-fb>dPXlkV=mmtHZ5~+7Ep>Eylg# zdM`ds8?BRU!0Wbrl_Rb$cCBejnB6)=8GHBobX`UC^6*5PZDKw{|G|svi=k$5^{O(< zCRD+N>NuxOYB9;NPw@|6>jVcbpC)Wl{cX_a1=Z{H)xO_SZVcb;bItqC|Guc?f_9{q z#8{_y`v$YX$D^Ve)uZCj544^BWhlJKQSIfS^F()4vkmj7J5X$wa_r0M6m~UtXnXx{ zE14gf$#y(#Ep1PDI~_J|LL%Mob(y@-l|l-VL-l%Awc!{DR5&Ctxoy2y(8v~qS{rF- zpV2wE^Y!(H>M@WPvq^i2mK~PVSaxvsO>6Ww)7CrRA}KeS7<~&EO=Y?xnuZ_s$&l-S z=UdlgZk;VY9iKw{a>J{p{ZgRk{)pbC&-fzYdxLx^2Hv?oQuCt6QplVOHaGkd8%m3l zg!^6|v|g~_Z?Aq8sGT@`%=Zf{?|Xg@bK#v*2mWsmio!m1QZZMTN5ujDj@? z+}?5CQLRlsjUNe^Wb6^I`16@Qze+x-p(3B(XB(RSxyV`N|RX6!WMyVFiPI zlPkHhR{rX==PC9x!%E8G@h_6vq&wO|9@bFS#&?`^0Dpd2KR8YB`Nn0h+u!wdnX<*M zHCIc}+U%LzkA7n?{8W$OjBkqxjE-f}uc5H8T)kyYYr7Rr;ntfyyTE=!LS>@)g(kN7 z<`G9UJNISN6$rRjXO(Q^mS>8XrS6-*AoL<+8o)=o zPww_gj$R(R`hxN>5i`}&xd8jBuJ!UYSxvs)h}(6a2MZD4uTdl`H5gHykU&q1gUupd zJDKDRzuIcSOdlRvDq}&O@Sp~FH?^&*Cyy)+y0Dqxvl_Op>e98T`nNo;BQZ=FQCqX3 z{2lh&M2YQ+MBYc8MVDP)+1EdIK}%vidmFsQjBir*hYBAV$&}@JjE>0UsS}Z;(swAc zC?U?x9zhFBFLKXc<-?BK4@KS+zvm`MTd(nEuwT!&+7RP<&tW1KvegByfL`e=SRBks z-B#vfTOLkAhmJ6Q99@+-OS3v6luHQDeOT=Nk{-Of%rR@vQF}F5k%4`AhuzNLr8Lg# z7%-ET|7cSn;jZ1(yK(tJyOnKuXo9FHK#Z@3y?MV4BSFhAS8hmM z(|LFda9oZZCf6FM7_P2%AAShnca+u7z$=Sc+MrGf%_X& zXOgAuuszFXZy5L)tGvo^x338A<`-fl4LclAy}k|>eEL8wTq58ZQAEpBmCnM)mnd;k zgC4uc%Tab!mvDv9&k9+dr#3AuC$IBusm;#fN)5k+j_Ls?c(nF226$Gm#}NYZ!V=y4ZrofqqX17`B6^A?I1V z)!^{!abvJe@!YHUil_z7Ofr977~9i5ni3-^cZ-E^MWAboJULdM4E(o+WogQ;N?US= zwUQpbnN#Kjz|P}amiSwHuKhm%4MFn0PHYl71MTZhDy*cOj7pU*)P6vVpah#9oPaCE{&7Kcm=|Bi%Dn<$K--?>-U%v-KRD^yZ{XNS-&^TM3QB z9QXeK3bPd2IJ#DmZno~p%K|$3VwjR>>JHfN3o+~F4*vj!V0V36%+%%f{zjIN-WfMh z+W3MNFXfh5f#F8xIKZxzpztBt_A6JsY>>(rt_QC*$6MWKQ2C-;3GNnE+m~<(o_Ply zd99ra9S;4b*==2OO( zp^yWNat`tT0DPKFcJ>`MBW&w+Ged-BdC6GhX!ysj0VDADt|>}<+OwwI?KX79M%o!) zl^(Yr5vcYR$#}8WJMdVXv>Y5a?)f~73=`L{rFD^is!#VtVQcouadH=Z!EqSEL;=Sq zp1GupHLn)(&g|fV-{YG0?;l1V!FR|{c=`VTwu@drBw#Q_ zInHo%}aV0a$Diqf<9E@0p8b^f(7 zq`mW0zj{@?)1Jyp#kMPE-eGHloL?d4`fpR*2 zSOC;|d|phNotn)p%Uwqj!6OFT11KR$>;S-`(rYU{KE~!5t=)~R5XBqHNb^~bnp}1O zXP(rZoPOZ7{{RA#YF~I=FU043P@6m}q#(C2Y`ME%D4h9!ydN*&)9|iK8PBzL{w{lC zpjbVb%tHF_${^?E^Emx6Tv1*~_aJ-MO&^8&iw}NXOls~r&)z#bO)}VNBIUH5jJ7W8 za3ll+Qc-N)np~$nCfl&>bVp?so2;1=+Quby z81n%Q^B=8KgHaQ!y3M*>yG~CeWRv(;O@0CUZRB=xy{r+(Zmgg(BLTUGJx9(zI>^yc zR9%a^XddF`2Zq~)Kn~JLKZSYH+|%8&`5ma8Zj9T>HH|AzwT?^e@G|d-5%?RqJart@ zLsZnRwCUBPj9L+fg!&v~uRI)nRj=Y}$o|D)HoSxO49n4aAcI8F9 z2_`|x(&R37x@b15zq%w;N2oyi+9xs#1@PJZu^dLiuHR9GeT_}O>qoz zPb?AS?d6-x+)wHH*Pr-q%4;hKEkxz5?_*iq2Mdp!C>-@Ye?MyUyKfC!SZZjNuQ~GA z>{gQ?dezmH(o3N=9qh=b8(o(YDD;aZhyFdI=RLnluc>%q*HhEyvA2pSC7w1^lW*KO z>r+jsJ-qVACzcM^F-Fra(~y|jih!=b_JNn>ypY)HqEfPE`p$I4U2`lvti-}(yYlkbnMYJ|2Wjh!%X0*=_h z9sdB&6%?4jJ@e~AjG%0QagjhfBTH^%VS+|aZolD9bR>XJLEf5x zl>`&G)6d@d!*V#ssHOq|cYf?920dvP1}{eI#XUk8_ixGLAC(12WeXNPayrleF|nrQ zVm|Qy02)~sfU-XUdY-*InvmhPfB?wtOpvLyl^Z`YkO#gv{b&IpqG%)2?+jqdLEDxX zIXrXi+N&KhLRnJb1BS*JQ?z*6O-j|LAtAR$Mhn%sC-df}bWQTIPxGP5ZESN})2%40 z9OhG`o~F!YhLmrE?!32&kPL#y{3~W32-}-?Y4up+cpR*&jNpPt2k;$zYTtvcbo-l2 zk2_Jhg6dKi?k?S=_0QL>CyZ@vG&x0%m8dlFT|^q>2i>@aBxL>->3eMzk;_5LnJ2Ns z?6utJs+TKhw#{A&jG zAr;F{{{Y&J`Btqr&SyHUN!ZONdS^e(RePN~PehScSxHYY4bhMe({<1OLyz_Jq-`e6uF)Oj^325; zh}ax?p?^F+e=6#Xq_&C8^@$^$sJ^ED!Xo&A^r*BQKTNUIKH20?BfIP?fJpxU3c}MZ z7UNJzrCsZ_nD9^Vlb$|;w--s$=ZY?6Pcb8G@^QGqyYlm2@?Tod@b$ylYs1Wdw*9df z$8F39>6-10;|AZE&kE9-l6{U@S;-`CI%+L7+|Rnxo*g}JxA4+zDYX2jE#LkFT@H(@ zrRCO}5JDogw|MRuzyl=+Q=h7@7Q|2-ifYBqOI4J z3QBGxF}CrMj(dJb@U1G#o|9{#G#5Q4nH1M7(kiRvnrPjfHTf-XhMXoEP`M&agkZMR z>+8nau7c0)c8h)H2+Y4PmO0K3AC`X-DxK$yFRm=)w22np8DGqiA|wLXQTcqMik2Iz zXO7V6(oS#ko0@TyL$!mqu<6fkYm=mHx!UmYTBD<@zQ;1E*|83TqiT9gcMU(5WS!Ai zwlKSbpaa~0Dy4U!={EW_^FedwI6%t7BR?=5KNDCQjn|(0Ad$Y!7s(QlkCYRGk5iIQ zxiq%Y+)270HMUGPun2d8*!Nq44MJWd$HX4{oYf-x_A_Qra1#dmS| ziY-G_DQ^_f`HmGF-~*F^it_J=y37{#cXk&sGs$qFV2}VzXC!~@+59Wf^cb|*^t(Bw zoA<~!DUq(-XmvfM{?3(Xo9RmkOnl0RHl7tmHT zupS}t6l2F)rhCW_{yHm}U%V>rv8mka8m-0s<~;eEE&#wCv5&&5+e7C}6F9Awz=;vi zp${B<1M+}r3V;}nqMaHls;>NV-_o16amL}1z@`E~Mg}?0bDE8pBX)kcr-eJ4o&^|C zGmH;fKmbM;lZ=dtLI5X`no`Q3@Nh>_N>_0>BirdrKr>Agv|!|@89&yX$aa&o1Kekk z*ZgVfsUl!?9WnX;03k!2%!CYfBNV`qk*XYEa1ZpUiEMd!W7vNx7?n9JFneRCdX+-H z;vc3kF~tG^BM}Ubp9;s1U&4`rjv3t!SSLa_80SBRDbkkPw{T!P0n@cl3=!R0+^*sp zI9^k?sQ_{)06>OmK5WxPD_jXdJ02r|azp-`S3iw6P}7<;aJJ!_l1izj=%(6h=%b8C zvpHZ6+zeojwXLh%MGUel9j0|3E9)J%@ul%;#XA}R%oWtwR@Yp3m1{D0>+TUrvPDh6ZJhSoU*XE)$Z=6 zjtL|fc@HClf_e3>-u;_Su!C2(NgCpNhK!>O?H@ZS^&fO|^`q06S4!;x_|7Uv-Z}xh}<2fC(Ojfc~}2 z-J}y)MLoMYh31w|H#l|6C?!Yc-&L-YUALa}chDBmA{__!4(3Hj02`1Cymr8l;(rbdKBUxl(7yww4jP~GEcFU&ehUKoB zQ*iDriHDt|cx-)n8LeAL;J4LcwE56U8jX%QITB|-iq=-SV;I*=n*z7nB31c7-Na`( z@6?aNs`f-l=qp{6w=uOf5Ddj@DC3jl5`i8z z1#&TsxESq?iT?n63WiHXeYuubS3-a@kXJbXA8Zr&W~TdGrczFPu_wq6_emp~9i_N2 zi8mDi_a}Dfe?CX&K#&0hsRs^{KNC?)Z{%P5gRCH%s2dgrgG zACReDAvCCj6aS?h5628Lp4S@G>QnNCO(>bo_q`==9$yd>?vu;$t%i z*C!-lH-12m%+_u0g{jzFt)6Dv=a2VKpEj8;m^9@op_IP-08V-AI@VR>taDY5yyR6B?sQXnSn}zf zGdzlbXC!p#RdrH7v>#~%ZBC!=iqM+fA-$IXFie4t3Foin#b)YDw*E!yv?%`o$3h3&8qDee^AR7nF$YSK29y9pY1>&2KF4}8d z&;cMSs^52y@fodD(~QXN6v16UAd&`73Gei-Z&;D$v{@N;o%kc%41Y?-G~91OqX64{v&NYcpCI~Hdou|3UeS=vSxIh5^SGg#HpS_0N+ayVWEbD%W>UQ3&<& zAPk&zJ!t>{=T$CnK0(eKty{ChrRY@(=FVK4<3BI|09*B{>Z&@aCppRI{PS1ro-{X@ zKs?JifhV`f-2VV701(le;iZ*zSlU(*tU_J8c{xAfU4Ef;1<%@GhSEtUnTSpYUN9@1 z@YuGB>MNJO^Ck1yKF$CKZe@7Vm)c;unHgBO&OV?0dJ$0E1ucWaZ$6(b z)t%mfY~~zIBNWR8Bc^`}>Yr1CP}Ys@wXD{vZI%^Xpcxps$m7|%`ijZXwR>6abs40- zRWd;s-O%y4Rk3qvbrVJ;4kE*T?BIYlkIeL|gk7#{6!}P$mc~u4V^047SJNb(<)?+y ze7-|2e(Q4)KAj1wPpVp6$k6?pEQpGBl6NyEc8=r>56ssEbK)767Pf)~iA3INI}Ez> zk;(ij){o*#kG9HhQ|%GTpEfY1NKzDo*mGR`nq2f9CCG2ht&Trv`OcP8bAM}hEY^;m zUM@^BWpJyVx#PYu_04kHL_cM}S#HsqIA>2aM>zsS+&${`?~5T8%@&_;B1tq}T(V5t zw=Ucq4EmC5GREwM5sp>aOAlN~mCr)T=Fp|ejq2UBV=A1?^{bB^Y1YV(Bopm)4hRFG z$G^C%x@U>4bwC;zW>qhTLbsqIwPPUUu z(W8(_wrRHHa;(kera;da3Z&=Oxj`F&yJe;75o*@+%N#2bq4NkiZ2b7g;Ml5~)u>%= z-d`{rPag#GIb3)4tM(d<�}&)r#T()N9S4IA(}+i;0na2pAO&w{_y-e zikW;YC9@oN1-YNA#1~Y!a@dec-Ep+Knw=6zn6%DuFgp( zmmHtNj8;wQb0z-3IEvkgdZn9U4G=iEw9 zueCmaARFRX-A$WH#hPe?N5H@yY@m)kPd|zFu6{UKm&^;5jYGfFc0ZXFyW)GfF4EFd zw-F&xh8Y=>Mgyt;063}|EcUGLV|5tWauqz#c}yIPeMlLvPY?VwO)L8y4hr8JDy6-q zr&;1F``5eDXM4F8Lh2-lCN_erpQy$~S-QL3rrHJ6&8wJz^29)nUDTh!YOb+)c7_+W z)h(qlqDBNjAD0X{$m|oJ_p25g`=|c^LKE8Bm|`qskdj>PT3o`dV3&Yh@9ajZo?qnQ#HA1cZ)*k&UDehpPWg#_B!6Ajp)p68uMXAS13 zcPy7G5nFgd*enU@`qoCbZuZ)nM(P#3sG9)##-^^^Vtd(qxS5P#mLYM0y8xfeesy2O ziWgawsU=tqpq_wnkJ7O~Y6gdgS>m)Ph{#=_>AT6~0 z;m7&>>n~SmpHGdV$WXum>DM*47O(bJWk{lZ>9`kAKsY0(d)_?#S%vfL#N<~q;WP%4L-iBa7$iU8V{V62GWfTzn z1IJ=}Pyhlk+@%N9Q*qav_r)o0cvFw%LlA_pBnQab=rf=2paur=B9|;s@GyP2{{S4* z&mSOMkEh{LhJCFV7+%>p{{TGHiVpL*qV^g5r~wF2RgUEtJ;*e%gjQvFFf+|CqwoM2 zBeD8ZRg@492NVDSKI|z~2LrM8%~-QB$!mEWj(D_{h6keOADF6Y{{Ske=LB*)`qYRd zXs6l${nUy^eNn%{kj8-(^a-JtRfwt-Z=0MB!;n4jMNfLOE%cJKCE^ZA*gA45j*aI* zdvC&p^BL88Nz_j4whaW%8; zQov&!{{XFQL!@fjb>!BQ&mElW8pylG{p-6KA3`(uRu6^zU8w2S^TLHpLDj$`q0j#S zSX7#)i#1(WQa7Qt${_McMc}SU=kuQ`cm!;O5s~4{hSbes}I5mXVj*>`F!hCNf2}Z z?^QViugjn3T};un^tYOb5ydW{8Hu8E_fUYH`-~6!=u)jw!bu`AD)l}^Qi7CQWl(I#20Oek&s4kD^+dmhMMe?g0du+(daL*%% z_MTL!Jx6a^hg8$G z90dnvTksXp_N&M;JZF1|v`vnb%qbqKAwl;d} zK_}ZTQDnny!OEVP$n@)3cD{S*5PhH|<{3zcxft2y4TPV3uh%uskBBx8Vyibw< zkDh~r@6BDcxYl9QKEXAjFtrJsI1Hf`K+X?em46XjOR21&qq)>)8FL!e*DDSEob0i? zG;yja;gk1ApRVO2=qoo_)P=^r=$|oLs0IPZAcP~eI%uw~?jyOpw;p6;0JE1Q=Zt!A z#*edGGcDA&At$-IgE2aQL0zlI`~-frgV?ETYKdEo)a2iLeYr>n;4J#6M@-{FWuo?dVKb2Me@?1wDjg|K9=N$py{+X$;2g=K}N`+P< zI3NsQm@(_oie1Ja52GRbNOPPNMeClsNKNr=uheT z)FxMOtG{aW`V9X7fTjWg9a=~kKJkwrGj+~-AM?_&Zxk6O49)V}h91O7Kg8DLZM-OD zIFsdJi~=$I@x@|lDLvKdpe38gbJ%bhX@CJ&#Bridj*LifTaoC*e;_J`mi}I;YDruP zQ00a|=e=6i;*ti93CL~O9-CN>KOCRNsYL{aH)zn7z`+BjHR;g)5(*B~k-=B^j4J;C z5uKK!b|g0iq|=X=Bt)B0f=Lo_^ydfgu6ZLDH`hPuHqfhEuq`uxwx{Ly;C>mV>6a7f z{$^l~VYww>$Dfxy{)LC-P(-HZR=1g=R)@@vHpfGZ1D~!dhBs5W=%pTRW3B5GlbPDa z{f~$*bnQ6U*vz6!c6>fKb>sX2qF)p0cQAdL=IefXv0hGcGCerOSG$hF+f=)XH6;)# zua>|Loc^MqQ*QD3k*Qbm#E9F0z|Ma@M-|6bp1j@5t9KO5sn1JxCKkIn=?u1)Q-yDq zVdbzrfM9=`iml=>!&j4V>yi(s+}zZX%=bE+!bkZ;ox9}!0QKpfB_Qg@9Fe#a>-)yX z>5Ah6qzk$L15cl*ZP+InKAX7wYOa#5G}e{Ed5v)D z{fQ(FzTE!+I%L{>@x~7R0K+IBbYz_VT-NQrRbrI+VvLop?n{m2$!)Fdrj7SYB1Q?` zcI1W0!Twc)eC4c)?#ip-Pql4FXDqhI0Pa;PHuJcS->2(Xx0yCAmD{m`k?%up^0{tz za){DaRwYK(W>sxjllD{~gMreqYhu8twgl&j;7>-3`W@`u37m20selp_}rvm9j@E3Dm23b*RE;gqMwjt@J46> z0ORHZxq-+yC;a^>U6qgB18+yiRh~xo*=tA-Re>ygR1318LNv7U1ww~p%03(k70ClJVphQ|cz)cIuE=tG(N5(et zNk5PEs<+Q*BpKZ2)eZ$mrAm*eBJIg7j8=?ZABtvhZW#g$pOYljLvmBFq&BWUKz%pK zyA#DbC`BMEf$RSO)~=7j$4@uY7?qQ3V2?eslelA`;8kA;_&8t1Eb`yNv56I0H9tEq zbM4l(wLb^JW#UKE*7=GXF*fDGak#EOCCz#Zo7ossuI{?R&VV{gzAtO;8giQIRt0hdQ`qnM72ayxZRVS-A0YzDkRcK6MJqc{%@cl>ST-4}t%IxZ9^(!YE zBbezS(6oDnve~Ga43H~4YCdl>_hVrC^Z8Ze(Db-(qepoZ2m`b%r{+7z#(tYkUDLF~ zb)=w~?f1hRYKa&j-)eUE&+-*w&Iu*DR+e}YDG?(n!$`Q3JwHFg>0DJR$vYTScau9| zO*2?4MCLU+Mz+>pO094e`9JCMJnYtOHfV+`}d9h|eXqY@ahhTW62^&LKyb+u7t z$|_Nfh^M1yQI(}4Ys=|U2Kz%{zS!FX5($IJU^D5+U&gD+X)Ed*)@OD5Y$}Y*LB`-S zjPX!v8l+mSo1}8e8cEocDmagl27e#?cA|q?SPC?jh|ZG-8;4z|Bl=e(_)ec^b;@qH zGUwLjqdS=zcI9^xpq?{S6a_HN6B^;c%>w*b2PAl)V+XfF9i*e!Df<98jeoA@z zQEX%h<&zO7vyQ_;GxRizk*pS2w@qjvK>%}<8#Tl3) z84g=%$tS1z{7ph{LdIl|$_f{7{r5Q9kLl>+@z13|_d5_^!oFVSU}eeqe8O?Z{W3q8 z^{4`Xvm`)92_;)N!t@WhXY?6y><&pB>*~|bK z&)z@>>F-0``El)Zj2QCA5@Zs-VLzXgN8&we8(6uM`$lNvK3|kxBek~%T<5RXAC)so zQ0J9|MM-kT<@8H!XH>UKsSHp=uFm0kBX;Z$&WWYCnLMj_(g1`FfOb6O8qBcNBuR*o z$pvr;{uA#_eznmFe(fVlkV#n>$$QL*Nw;=Uw~3ZhXj5w40H#t2 zDyKOk^8B+>CXH*l#uzSLrJ1(Px1y570zV9XwP7Y`?xA8y5l6GHI4Va2>VKU>Yam7w zZYwIbVX($LZn(%}blpO)&?arV)$o^b5!@Jz>WBqDhvxw(+BXbgPLEs98 z{eDl_sKpVWRe zS4Wlp%IK^?-bfz!TDsTqM6z3=6XrVdTL5(j^T_&(Z^X)qU8Hq9!) zrN4E##(wD?$I`H`Fl?QJ5?F%7_c`XeSudfqnq1oXu?fj=hmgGr=hGj~xy$b?+sx2{ zsLog^{t=!9YU3SR+>TePAvdBb>tiCdY*+{sFgP`pT9zz6&J?x=dE&Xi)q^ZPJAPCL zJ9r;AT+?>|q#i*(jR4_6@0tJr45Oi4rkWcB{{VS=QaR@%r6P=;pl8;A0000yAEBiO z3)#DVbkL)g2Y`BKtw@6^95Bck!S(+DIG_M#;RX}{;FetR*mF`NM$w~!0}i15J5)FV zRo-wo`kem&`l<1SebIr8X9Um!5aJ6dkmniaJ&h(+o2g(AK|_{Q3_&Q}v(k*6#faE3 z&N_6U1_POnO0#5=FmckLzB!9(v1fdPo`R-Ok}-U-1Pq^Qp{h0`jB$_-G4&JxAVYMR zyuC^(a6U%_jo*0WP?y*5c&X>SK5xiadQ=)=X1|C`!(nyE_NyH78TC(OT^B4OT7WMho_4Ap-Pn|R}U zosqPyC{r0u02smjPvu$<;tP8(5$f%C0y4CcqN+#tiGSkfo|W4eN0EHa95kg;bBpP* z$mK3~@;a-f2*sn39M`I+!)pykLbaaGcD9~tc-i+2%1+RK4*vk1c~~HlYuU9A7D3^! z3TeulX1jxLZX_wIq5}4r@OO8QiF@s+HJUNxpK$FHgTjYE}E)R-QY5(-UYVd{-7`G zS1tTuV{fT6s}m}`TSV&~91YncpUXa##|8-*45N1=8R?7)Z*W4eS^2SiuCIf7xPS(t(dKuv46M&#iJc z=v~PJ03K^*&KV?dq;1Xs+uY=0y!ca;XRkx5qp{g3sYgSa2$Irim`SmQ;QpOK&ri%% zpR!$CL+2_Z2Y)FBIW3ITYjI~}P)nIvf!eX2te_D+^ zR~^6;U0N(F_LnW>#en>wE0TH)56-LWX{y>xx}mpttghe8w{ivuKQP8RaBEjCWp5y- z6&H8cLp2*}Ilg6NOz}ZH@yw3N<)9J+>JM+yqA=Yvw2KRE19n36Ub+0Ldpk`*%y)P2 z?SkSLlHHC9$ool9dE+Ej-ObY`r4F90aIwj1L4~7Gr&WU@`Qh(Owv}~!j=3=yNEBe?j z^>Ehgup)^LIr5N>diMI(9nI~!-m^_>8*fy|Ju)$pG1DX;&Z|6=EbkFw6m~ycRXeQt zi=Xa_&JH)!$kIvMLe7>ag5asQhBT2*F&9S9Pu7z5+GepA4G{w)5_;z&AEBy#5|%i% zWqCkkK+HisN~t6D0=xeJ9a_U>r4_eP$9-7Pqk?lkZJKxX?Yqo)ys_Flk*Jr+t1}(p0Ny2 zU0hqh`=dz+RwuT2u9^N=&&xA_92 zcga)yBNAEs_3yl|J%L0NOgJ&tBCN z$gzfeVKN7=S^xk|Ho;!*KqOei2qZ4U(2weA$HP{L>_4`V84eC1V}d>DwwJLey+RJz zmuPLgj1Ye=DmErQmkiwf++wulCif$z2iMf#R=%sGY8IE%=@ZJ6 zUtUM{xTjf4q9$7)4}2fOyD6yB($=|^I*LzS{{XM@Ipo~+xul@d(52ul8pm9}y|KN( z-)ele&nnxOk?&pRhw$#(Pt;QS{_U@tEO{v7g~v?&JuAq_-pB+yorT)AXrN(xHjrkYnoUM>FslAoXf z=@0&mYUREn5uMU^2x201+o2rC-%=b|OU`z}np%4+o!4-B0CN77An~Hvmp{=chxD z)}8&9^4XV(*z5tE5svud^QEaGGX=-glE0m02e^Gl?bnaJnjbJHa@g8<^y^dU+RH@!5!@2HNXqeo8y`>z zu18au->^m=>pB!Hng>658yc5~wEdUHCuoFA6GtPKz$KipJapQb`~`9n*-q!p5Xz`W z%Y`I$$MfUyt=m0n^=_}EWm}K6jjSYGqGuxjcI%4huBWv76gD#e66WnfZ2k!R(%C%! z0J?egHKiK2(V0#ht#Xr6owY`hmD9QB)-DdMX3{_>0#pVqzw0nY1_!V8u7*$e_|}B_ zF%k;`7h;@5Jfnv8;|KAn(@wT;5oz(;nBw`PVE&D`j>oSYeibdvrRB40D*EcO{{Uz1 za_1&funoEY0D%guM(a}l3VttE-Q2jyI6_ic_Jk3vVcHIptr$ssnv6cLNYq_kFsTPsXM^@1>_gZ9<+)oS^ zkjpF23~~h#uNhY39>*E3i&MN3c&krif98)NjspD3L2?1(*Pq6)1iE5)a!F$$E*|t8 zXXixfG4#(`*K3PQtGiu9Y8KGQv4#0#!OJW4=dN13+urv#be}GVWvpVRu3gqHbf{-m z*A{7FxVJ`t5T$S&RXj7S2U{Xza>v-K1Ehp)T!kclpjV>! z*6tha1_;$p?h|;BAG|?S=kPhMKf(5~Dod!!>O?Z4d1PlGvVuq8Ykn*1T~l?0j#x`^ zBx>O~WY}@Xs5m*TcW?{nzpkWzFm+~Cm89^&Z*KajwUYD$307;xc{fGzEcSiO3nv1%^fk9`q7Q zkWV9@ttN0dlC_gD|a84;qrR3b-e4Y=#turNm-8|xrnE7+ga1Uw#009Ri_v{5U zwsJE-1P?%I2>=s}ng9UZSuk9cRXpH+82+_BA_HJ%18>(qD<(6eq0_@@Cf|Bp`>C|05gNh&vT#f zpa2O3yClybsO#zeNvQ86QEXW{b{P8q0EJ56ISk!M+syH$ z3zv^_;jns-Lt4kg+LXGGzn#UzsSlDj4vC!Ok9x_`?=7Rab1j5Y{Dc5lfuCC0)3vJ` zOaA~o*rXsME>v&HIO++m+BBl$%vC72P3m&goVlz_-KJ8p)^98|Z|z7QWybR4fq-&y zMm;OibYF^gcM7p;uoxKNZ%$Y8uPK?swaV+J}mD2`}zt$$ydL$OMp~M<0p<^{z@uryKTvnbA8%b9Oo=(@w?_yLK@* z;zfO<3yufUoiyfagEJiD=kTlkBGK1Eyo%9o1k7UHAsqvTWgvblSt>?AHFhSPx#F1x z&OEZQqh~UQ3RHW2dw)v5tm+ax3mQVP#)!CGm@Ux%03-TVU7~{`?|w242U2tY0M|9C zZ$8*Rdl-~506flffr`#*?5UKUiHTP3+`~;fkf@%h- z>1JyyIgx9-qjKK+Pe73QGL>+R<^l%=xj3Z^ME+tOj-+{EyOMA}9{&J^Wm#K^^%xcr zEOzek#AR-Z=NUej$o(p`^ITgz(9b8CBQmid=he9U>lWITnRZf|X2~Y5LTyCby1kCZ z5pg}JM355}-N3K}jt}|fpt-m*ENe8lQyDls?id3fTnv5{m;Ir2sMtYaJfFFQkc6D| zU|0`MPJar&9Ntx+^Q@X%roo0%*eIamk@z3`=+-pfJ?>l~%9`$LHOsLQpED!5y|PPu zz_TlqQIh!^`k%mzarLTJ7S~#x;=vZ;T#f7jAr~MPKEpIkXEfhvmfj-qLxUqPMdPpN zYeT|wM+U4?Sxg^jgv5*o@e;d#QBD*i%Xu3;V9+|PyCXR0(>FBF4BAa*r%-V6tf9uj zakq>dcjbrX1#4WjgnA6dB4RVxw4eyM3K17@?~F)4Q&`JkdmxGAy}E&AvRK%KA1(xZ zA8t?m^Hk1lT{_9M z-z+pu95NW#otfCA@$P!oE$xz83th7A^XmU0AbycDIiQ?v^7QOB-YOv7Ub{ zepOTwd(s+IAZI-AYT*t@*s>)nyOK-xX~PuqoVQ#BImU6CiaTqSB2Ov64mzpi*H*qS zOC4NZTE+H=-q*^JWLzEnau23(e+sF2;~{tiYi6(kF7t*bI624itSd=!oYiQw?rlyk z=CtZ7Sm$NBnB2(`JR*X*9FxHQr}C;Aij|c!)SxD{ZXVrb3z4}`Aof4!6`86M(;@A* z0=dv5U^RRxsL2ecPuis}Wlx)L%o`&d`eX{}iZ+uM+W`(rw9(};yC~TFFmw1<5u&`2 zU&ACxzD1P^ulJ6473sSAM!yeq^S8{ND|o>9x+zA_q}p3)!dqG8 zlI;Ovhu<6y^(M8V#cN@DVKZ%nWDNYOcLC4Wcj;KujFo3~-}BS(E}d!0vy8Ua@OCtl zP6(MnC?>p)EaN|QDlO9Qjvk3-Ftsp000T(pT?S?0ci^u-Q`h;>0s+aeWea10 zk55nWr3x?{j0YzK@<2cRYKe~3AOZ*<{c4pNL^;|)KXdcOKP=EN03SGV4$uxjC%0eZ zD!tLnL@*$Z2l@Q!Y^s7W!5RF|_zJIbL5LsX&-kC~NC6EMNG&jsfsin9QZ2o_w`X}} zQrz=Z(nd0cDlmDbNF*#y4hg3b#2BP@UJ}r>d#n42F7Huo;z5;WA&)(=*Qe`ObuS8O zmi{0%R@bu2Xe|EF(*OxmxPidxGq>}uD@-ltIOpyf)Uc0HxVvT3%#AQYaHo=^pU0r$ zx1&}|L#B+8+(~yIm~WTk%Pg-GpFHCQ0;T&&AV}YKlihqe;Vr| z(kx@Omf|ZJC7m)5GM_6ZP@o+5+njsW>D^q$q?}T*o6(w6if!EFK_`mqv`I#r9GXqa zV(3U^U9PwoC`c#QG4%JT?6mDUZ?9xJbI!{c2^o)Sqj_v|>Gy?mx{bQa;(ORU)rEf5 zC@$R;20!6dS6H-;dKFxx+`1xZ{7IWtf>E;WP2zia;nd`1n8@<8$isH*ZjsJ2^xOH> z8$CMW@e9Hgu-L(2l6{4A8h(kPubKAEQaMk|xmOzHH~>6_CB{X7PI-n(qa+z z<0KzXsIBO}T1wsI+XchRfO!9sL33AasL3_1B_Dbjyq`+%mGg-!`GUZLeTXsTTZyUf_r#v zp>U4V$+Ty!N8$|&QtJn3oF~A3&eY&1$)=);QBS?hTvD|0RE&H;Z3}fs3>g9)r43@HuM&+#}iwAB27$;~y z_s}5yDtUChLrG{JNM(>2Ga{fWQa~?(=r)3DJ#@M6bqBURhs%WNRTfi{J>|^?^ey6Z4?m6dv6`gR`3*bSrjsX1lhs$<$o0v{X!Tf(BZmh z(90H^5m_(;ZvEeJ)be`!)8#tn9A3oRe^wcCd&U7BAjOF!|1G*c0!7x%b^zN~9Ge zhH!FQJx+7{>95RUGyUPWBex&W56Dq+E*2`rX1{LAu}doe;X)u%7&3-&o;qU-`PHum zPo|~C&Ay>%mj-miTgM1hS+n{9O}V#;uH$Dw-H@Y;H6R`E@wHhRm8bl8%EAOPeHlhfP!=BZq1SC(-ZE@CmJ z?Ypy{a7Z7at*tXq{@3wupE|6Og>f241~zkn_2m9FUs$=jxYHvq3~|B?YQ;`jmHV3esg$ zgS%#1#{U3mc?bu89G~;)P-=jNizoOxRK9eEMvo&3Hw^dx0Gz#LR2yITE*gpicPK6; zxDx zp63yr7LIjA6H}bSrF=)3XA}UvVU1~RDPQ%7CaX{$&iY+)m%cg&#Z9 zhh`3J&aD@~TJh)XVSZRzZ^c*UChs*h=Yz%5ZVRDr9)6y<9v$nV@&|zgrbT<*o15RM z>vD#g5!=j}UmqW4D}Qk~eI|De6iODdG_MT8D#BW8+LK_Jn9%4O`|znC(t~a1r{Z=j zco!SIqvg4srKErCD7vuu)7yVLNKeK;gJwuu=}zE7tdf zf80l8oia&|NE|NwrV_)f7MozJ;zf*4c6wF~O2Kq}1@5>0+LG#o;X{iIRo+M!iJq(H zL`cP3@di3sv{|eP^iw=B>2fJw=^zCCHzZFghG%^x8}m+8mCo!+qSZ&SoS{xQt7An@ zNlxk;$8x>V4(xeKI5pMGbdKbGC+_#Uxr)pkJNc#Tes-=S^*p{!NA4&-+tbnKq3FD= z(>5X~?hm@Qwk3M)U#sa4YPZc1x_%Ql9m1qWTxuw527-g3C{r0-f_BeNL^?W_OwMl6B3hL-;v-UOYSB;p?{SdD-R1w$!MwdJFk+lS00+5KLRw zUq>9p^I;S*D4bLk5Ff>KA%D(z*cPBx?4LZ5$;u-ddSAn)&zAp+D@5ekK1ZotK(|4w z-RBr!G>(=JMJnlYKX8o=DEo$)7QZ0)_dBI_+9!B)3lE4+o$61cR0SD)+b-)lM=JdEID$(qFnJtq z(OmZQOfC}t)LM(o_Db)YCU3?e!T4p%*uTuwwcWpb2HM9d{24Bk_tkdN&c@OD)#oUK>ygjfA9a3(b;UgCj`8ljO z+pLR75gaG{g*wJ#;p&eNDMeP|0ynpS0L8Vv z)T1$m%EAGisFXQYva#<39g4*Qx$d+P_DvEZosMV$NyfhX@$K>>5B$5*9p{%7%aBz~ z@;=x8Zn9j@&CCzy=dMf+{2O728Swj=72OY$$7J|WB{Ic=aLyUB z9IVL|kn*8)C-mLv0|Afs_`BoZ@Q^X*8UOO>JcToXrLy-`FMee1TF^vmdFN5-Qwj&N zY#E&)H zj$k`H#WaB}u1|-JZFR!YbDuV(6jG2axVD{~)}|V%lH7H_elbt!`2M4(?+=6R{zF@% zpOO*GsfvZR3vYV;{C>AorsP1=7k|Vdo)~$Ri`q2t+~7ewa!H@gmtJ%tPQF9prR8T{ zG!Z!}R>oE%(I{hc4tp%mW@dZs-Xi=CqWA$Y9lOWF$p*}HW>yNhZ3CW*SYAc)ah7eIgi zLFvp7tA}bHPB_2K;+n7~bSjCDG^+feIzxcwSqlEdEFkLIK#o}b99W$9>pMu|Ln=`B zQ23KHi1F~*HUi{A6NI`R`0zK&j(M4I-95BO)wkN%*r0DJoxiwWKn)?{|FvQqiLqFqOrV4_pXvdT~I0<)-9{JrZxQvaGMN zyQF+BahtfA&fe3g$vY*YK$nqh0ky5^g>biNFzZGqS&2ze%6R;to^;F)VI8SpJIIfS zAU72^qfQ=v;dLv!^yI1#*E2?5DK{2e)v>nDu3X#as?!ld;u&cXQ(W~&x)O$`EPJe^W2}f@-k9vTzz!p6Flq&dkE^?z0nJmKg z;{tJmUWm$w^NQM+_if#7G3YGwvzb{aEfG{LnyO1{lqg5*OP0D!w0+{9PP=h)5at@> zAGC$0qN>L<@Q)OuvkJ$d)mf|b&19}qmSIFXbZB%!du7=Jwo%2fIw}O3Wa?mvae#v_ z^vjG_4a}$XEyOEK@$yGzGkgNeUGqncK%xa^0e89XDKl2+y?7vkW<-&*uP3jDw#GN} zk`E80^#i_S5{t~J@?FQQaR_^=i1%rhje8cDg0hIaly~5Jy!#o_dVx4I*+^+(Bb*i` z)EI=iTyq{W2lghEj=M>8i&R(n#pbHW zw%pk{Ph*n{$q3i$0b%a4OxU=hux?WbATI}7`cNbe*HD2q7i;U4sDB$FkcUS~wQ%E{ z-8^&YO%|OrlS^F;#Z9{3mRr$#4%^>_D+$)wi=c8S*K{}ftYmy{mMA0J?PUn~@QKJn zQ+;|mEk+<0tZlX4T#qFyLijhvKt4YSqXZ#jt7uN5KxamjfIo2nZqnPl<^&S`Jb!rA zS%jtg_1qYmyaQws@EulJCd5Kd6jLG++33b>4q77b|NQXJ~^;iS3jQ>@J~3VM||BVvD%_ zs>^JqyJYUWnmT&_g#mKR;JNsv#*>v~(>3g?BgR}LRA1Xvq#A&%JCN-j*JH36np->% zu%Lj=QFxy1sj%MZeHnSliat9*j=Yc>yW83~Qgxg3w%*g__^d$NCQ^)->xBut$%|g+23iR+b(diyDi*_|s&;y(| z1NrnSFK2%(4Vx=N4Mfww2d0_&;I5PeiSIa8$Y_v5QUjsH(@;s#QO{;xzF~Eu79hDQ zbiKqRi0`=C4T~!QhscTk$Lv{_g4ML)_F6m}PS~YY48enfP1fLq%O^<0o!8gLAKo@= zVVd~|W_1OT1WS=noWlSepu=J~*m`Q3A~1V4>*$G-0^jw=*b{Rlcdx1-WosJf6ROt_ z9-8el9_eKWQ|D_AKY#5&NZ5 zuw_}I$XK7#?zHi`NDLCBklE$0q)TM;(}pop@Gb_~#+U3Ee-2l}T3Fe6>#gXcK=l$*Qx(tkz6v0m#wO6V`eI%ixjn1flZhN1I>J||7Tn(pZei8qGG$}Z>iZ+{Uw?63T?`^=ce zDjzpMi$XUl+Q-cxaSnsQO_CHdCSrcV^JC@TjV$jcjPHobagP<3=Wbem3Jzo~JZf+Y z@PFZ(+52s@-{PR-olM;(#BzAs)i5a>_~$yqBfovlJW3--cF3Aa=En~CaFayx!O@VnaIGC23XnvB37+A5Hop7smBLFTPq9+HPIqDxd^1p88SR+bzZ7u9zq6(P zKwT0g0g)nO5MO*;qFd}D=YJqV3E_}`Aca4ZKarPB^j(wSV!P(l-;JxJJC!_Fth^uan8zd^31J?IQ9=6N)nxdauh){o~J4qanyCRK3=F0QDO`tbTo5AFGQnNi@tb)3hdmiW*ZZ^g zHQ`404$>*GCe{ZX-*Oz^Fa!CGXnYG1)g8sz znqT3Tf@0XLwb?ys{v|3kpzfNtcRJoTS&6c$i##0^Z-JgL#$SAva*R@nZnRcpJAsp? z0V+qTvk(sjJIu*#j$zsA=;0-=iplr zKF}w9I8HFxtvEUu+#{V=hnR`W8PUX}lzR^6l@sgz(1O&DPgE>G{t5j9i1R6W>Vq zJWTmFKKyd(*BsY~s*WCpg(v_j?3nYs-P6;_aBO?r13RPxA_Wql8`rQrDIleY@1>;_ z>;=6->>mNe)a9r21#sPK%EJjkpdg#vUhpb31qy&+cqE@Er6^svPL&vB(K^L}48olz z?o&CUnZ@7x!`bf;8}pHbIIwu=KTtU`h=$^W+purv(?8HaftVz~?@m2NJ()K^cU`ck zODZ^4l?wo9!Yx5nrc-lI%;43Pgdjm6N&T>g3F;u}9AKPG?^HH}7fXx=;gbC!gQ8w* z*?<44e&k|wGnjVhH1wQBhj(d!NSli9!d*`CV%j#j`G~kr0YSBS>NZOO}l9lA{lnsQL%0Y&fRZyiD9rihKt!;{+ zfomE76K3F;)jNBVybk`(5G|a&iI6w8#}vCkIS{90{9xi9{GBb0yN;^~OX;HB3(9Az+a~ryY6!?0>sE@ZvUK&0))agO_FB6^o%p4Tj^9V?V*tW*T=hkSRR!U zgo|A?uk-3Kc?ew=-{rLv&QNw@wAVk7RUk{`rB>}9ka8muD=~;Wcx>ZHf~AWZ|5`Xn zL?lgV!&>w~Z?&y`TK^=c#)p_OQLv-$^vWFyR<@AH)UKW(Q^CJ~TS-JL!juyxr+J|i ze0~1|u0LU~GrkyQ`T7+n3AV0;064=>OIJ8E^U7T4Wt@=WOt+FNE2)3B;n2Nm;n4j{ z3MYKBk9pr190JJDh$J|uan+eEg}Vu`TNp2)k{SqH(tc4tkA4U}HTXgRMXgsbSdaUK z(uZ~!zpt%%=b$YP-ow3%lirjw7D(k2`F)gMqFj@O_I!eRHR4vYG{Pzx-!rn)KvLXK z4S&#i-jYpms{?4J#*e`{&v2?`9mE5kg2d$S3^Qz`z&MH5Nh%TC>$6lXw`t=>@5y87 z+In{N#KLejrs(SxN9-g|p{2Ks)96>7NbAzX_eM6qcxA}-zs{kQvK&XcS3agOA%0)p z3^~Hg)=EYy+f;F3B5d2M^bGaP$u#*O&)xn0+~|scTF{a4)T^RGSWgFMZJ9_NI1x-& z_f0Q#;jh>X=cO2;Fw0zMhkrw2vC*N`4X6Dt_9mGdV^=EJ9+BJ2N%6s{IPI5QV>>3o zyd|<3jcP-06VV&iyRAR}4&+?^qCIyf&^u?~8M>fQl%6lRc;Iy_H1K4vSU4G(Xxxj) zdo-~pY_D(=an2P-BK5H``e6?0(^+9L^H~O|5^49=jYbd{Z~{bhz5TbMqC^<|RoG38 zn@rOMC1%_F^{45luUXRvbS*|$<#ori)(YGw?na8G7~S=s7(D3pF_cuaoZGB(;!Uc%@n%BNL0eiV$iEo zS;5ZE9O{gid2n-K+>!$IkNYQEEt^=O^*|Poq@YNgL!(cF1~r{f?7b2=WblZ=K0|uDB6?lmtU;aMu_1ATau= zftn=?;zH#K5}9)@viBS2Kc+0_8Xzjc!=rCdGz*vv98-*bS1%h`3B*793v@&%t|*IG zD@Glyz6~!<5^BRwZYxNg>K;QxBeF*?23s-Loz^n~J7Q!iI{kgU8FCycwUF@#Ut=^O zj5U%J?#-P8YFWC``UN6yLO4-Kl81-^KGb)2DJ4tCx&B6%=^p2z$F~1Kpb~#{$w%+s zX3c5`x+VQ(lcr0eT*@i5*6(G|Uk8UFN)!f?MfHqPr2YQ119j*o^>)u_K%?=;Jbq&3 zQP(DX?rRkS2ruTn>$>y26?JV-TTgqee@#{7XoY!yOr*d(<5`}yd)I|Xk^Uor z?!MX^6vPd1s-Q2QHBF7|^r)MPMY3UgGxu!aLzX+~j;Lu?=A2xwH_HR959PGUK7~vVPLS6Aws2O3t3;C9oLMzFYC~1) zLF4eSp{=5+-3r;rna{dThI(<#4Q3P);@#r+jA!}hS_$@ZXSz1@avU}%fdV@ZiNzx? z)ZZt__3f7~xu9!0(7xT%{suH#+F149mbj7<$#(56Mkc7g+QLyMcRj-16)(4bC;tmz z8>)Jd!0%?xORJs5ZYoc&+tyvzM0LayZhm?yp&47^(Jw1v+|svx(OxW*byK9C9UlC; zn9@#e_ZQ;s##=d5LS8|A?OHQG=9tSn1q-@-Z!R>38LPVY`l|TKSiz$embtk~#+~{k z^^#OpYUefgLR1$*^pU>o9W-J2$n_a#-)PP6*#4(NpdpgS-;%I<151@7uesM{r3Y># z<`>(|a9bK=2`<>~OsK2f&FRi^@qPF@0-RK0!@$7vh?p$V+nayaC&86T1d0kigHU%> z+}W+FXlikD#!4Ys-3&LAj0#bov7Fx|hS=*oEi9mw$P86?e@p)Y_xflOVbg@NN*|6Z z7;O_8Ygf`p6BFK!qKc%%oV=07G!a?)mBNKH&>;Gb#iMa~ie@FrgeQLKJTH@kr*=uY z>7|VL#HA1)xAG`0_?KG~1k<_|ex|YrBl~G_$%!pO-S^{8%G$8st3^mZ*yCfT`aoqE zuRFk|UM>=KFbG2+L=n=CdL?{r(4Z+phyc)6N~A+l8Id?fZ#ZptuwY+-D<0}0{%4<~&d^~K=_y&`x9Atn#(cQ!}2XDaqQ z7Pl`h5jIE`;A_~9v4huy3@&<*9}`jcoh0=!DTN2#`U@m5%saWN{hn`0oA*d~v44`R zEJ1aHbubmY)9Wt08%(*`c7|0Oq)JJBXx!Ud`pPv#EXHD4W=E;+qA1Q@a42bcxS>*g zD;CuY$t-kys^Yv2K3Q&$NH%hI)s*m`9J9ItRU~9m?iv~rP$Jj?+Z1Cu3BY2k2Z0Qh^=^AbXilh#N}fu@52>BpRmLcN z_an_{sG(>^{u&~d3Dar_8{gM|4AnEd%k~3-T>6f4aGZw-i{~A(#5&>!mytZmdN*C< zj4Fj4I3<$q&SEmB43op_wsB&~aKzW`kxphnVzLd$u&bqLPea<%Y5t=AT{h_|x6fi| znKchbBt2 zA)$FdW?-P8Mj%F9pT8xOzt!8@w!~ zr+d-MdmZJ6`ko7CADAQvoI~MyuVtf=Z7XiD9@)n-AXi;P+Z&NyrkiYFuzS%}GB8jZ zsPhSHkAKvJ2cJro)3^T#+uowffI@ir1S(HhcoZ&3(gC}aNODP&ScQFOA0)qOUyAeYb za-!=p4E2q@7rMLzD>Ddw$#_v&+P(SbyM^_~*h#oO{ugt!D&D_ySR=>F@m=pmWx4$@ zu_d@P>Y3m5@pMdmH555$RF~jtB=#mQ!Ay{=w=q7?R#&s3m^8N675I*>O7aE?HHa$o zDKg9{3Nh7%(B@S4cjhROj{K!T_541A9l(>HQ_346G!?Ne(fcx_X3{4_KGK*aB!pQ! zk2qIvg%PVd(7!*{Zu-NhDUx0%TmWRo15%~l*0t9i-}JN`)f1t#gTBwQ{@F;SJ@))W zy&-Qk<(|y~m$)7e4C6h#Jf>Of1Xvy;Rgdp+4E4BP)^96EbzClMF)}G40)H0V#KK=* zaL!7Wv>GL2(3LGC!c|TQ~kl+OSTn+q4uh8nlz`8F?sGzo>{VNA#J|fwvg!BEQPl!+}H?FRno0%K;@J% z{B?bN&uEopY*ycfBRt$YTCr3}gC`?$`RpUBw=W$LijvvTSXoKs>qqUGSNHZEh!skX zRmS=s8aZ=xNlC|wdtF8zFRrbGy%TKsi5vj$Sz3PM-pXUlw!Rfg^UHyk+mahzAPUEiBV z3?9QY8w>3-DaD5mgaS_BwMq>hms>RWTyNmiF)n|^>!CNsZx-K(!k`Y8XX08Clg&Rr z+mtJL5{?d5AqXyw!$Seui7fiRZS9d4?=Z)Iq)d^}oZroA)umaN5?jxFL5Vh=e`a~U z%9Q^GwpJVjH{R)b+xk*OBy??z-xBTOuZY&P)yO4Vv%$PI-0Vg(E0*^s3DBR4PU!?t zS^xzrp@woBl`D2&c05Py>CrEQQgbadp$P3jLaJsga5p_mTVk)=34U4)s~R#hpDE1R@aZhf^h0&}7L0?sO37^_{Sd=w#?)dlMKDNP|MAxD^cag&&iV3AJ%kVw{s%y`4HT~5TV~0rUfz?Tjj;lt zaY8?yb&nAynwmxuig@MD$L@W_rf!O7S>TuFS#T`&KC#y!lZpk7?aM0&jxqi>{pU#* zb)Dh`feKnEn>zE=6c7=|0jEFPK<(ZUmgSzHke!M+Mu-X`^6d*GBS&Z!^W6gU+R$w^ zn&G*B0^9RD|yzQ z9?XM5h?YFq;?9abrYpxr-i#9P@h|nHM)F)4uED2(Q;^>Y6YA_3yMNFGA#AZJRd2gV zovx;#p!EwBRN9%p%VmN#?Y+)Uisl{dKu|t7!IQ=x_?MR z0V!l>Bb~X9HU0w?Yf5cnV#coih4Wf@nc<^~!JIFcbE-S~A@1^KuR@`ckXI59YlA^O zxIPfvd5KJbosB9ZfVn8Xk8AGfB@qN_V*4P1GxZcHx&2`IFd64%BMPU6U+_+oZeP9x zK5K!3&|suA1e0<6l{N;s;c(IO)SQu=75k!g*7zJfB1>0 zfso@nX{LM*4<$!^uAYho`}{S5djKj&Ssh6rvGEd!uF`{fozI@U6}&GA!U(-rAENR< zmsb@UGyMlL#>k)s@GdSN>#+UY<#E9OwQ)M=GNI$908@*?`w-af3IbuT{ydQwn+;I~ zdR{R=G$z>lX%CLd--?=9QyMF+r^KdUXUEKeRL5y%5{{wNj#!3POX!Rl zQZWdjH*$;8J;Q(Um3)UNb~gMhkFMki7@Wt;xk7~YBvS4sNkCjP_H6sYgvkIR!!2ocWoAJ9mT0aIF=#Ucu}%sR)ak6$F8ZLzUcDK3FB zhkQibBD*+jfCr!MLBle6S+$80xXmj_$WcD{n|T69*Omm~Nva9SVn6#(8Rb=+Ztm89 zS8@`Aq!4v{p3^St7?|*t*Nq^}<^@p`Bc_|65J-Gs!P8w{5^*}{5 z8hDkTG(xx!aO@?z($K)-_XUVT)Ky!NB)135AXLyGyirlQPmUs3QApV{Cj=(ur-_TH zeC8(pRa1e`HOp2Qxc$Hu8Q2P&X*_*Ay-eVuEh#|D7wA42DFt&q0f&Ui%t%GnCWff#W2nYxu zGvERGw*-;~y#h875dK@g`fou({BMnngoKEMf{cRlKTj|kDhe14jDmuSfr^Iy-vYeC z#6ZXVZ{xoo`QKlCg^Y-Zj1EQt|IaS}N2`AwAUsr@AdDPDgg2m9cnFAi2>*IO)Bq9; z`G1;+0MK>(&w}s@5eXRu3^W4+*r6U5Xg(q$&}d|!#lYSHz~>+&JY;-YE-4fO^^f2; zu0XwTd@d^8yXsCNjfpb|kGWe28anZ75>hgH21X`k7G6Gn0YM>Q>Gv|Sa`Fm_np)aA zx_bHs7M51lHlJ+m+&w(KynTHALcfNEe~b7Y2~9{$N=`}rnf5C$zo4+FxTLhEwywUR zv8lPGtGlPS57s|0I5{;vGdnlGu(+|gwY{?o-`hVpzqq`*zPY`-fB4TXKvnL4n+2@@ zKkdQ;?0WUzIRO7>7s4xV;E9NbgiOnYf-j{G{^&~ZhC3LQ@Lhavbtf7fkH#61x!VLf zF@$%6{`^1F{>QTae};wpUs?A58210#wE_apz`sQhCL#iG3K8)@5}+s9y2!~vnj1A< zb6F0phA++r76#uE`*{)+2=SH}7bX--DL|^P%2$?*Y?mPMNuiie6;Lt3@?j^I1)6I6 zY#!`b&S>$o(@^abJ}GaPxO;@pbsa#$EHGQ~vwQu*QmcFXIF%hvEmERrwm+rVo&$Na z#G;|~oKRu;(pl=3P$BawPv{&{TJq>b>TARuYJb<=m>#CEg{O-qEOk{f=fMW|H8DH; z5}V)#P6VURzka!Nex3{k|E+jMObq4MpW*IzLxUF>G|Y9VV{%=DHR1~=td`#IO{8vu zY-ZD)@ykxsN8MB_SczJAk1=&wfjT$F%Q1uH?`)HkOl+2D(O)qa=$=?pn_J}PBWgR> zr`6U>q*=xOcoUBeyGItEFsKMCIeMA-d#~)qfsI1^BHPPW7}DNEt}OUDe4m4CTPEyl zf2eXZ5lR0xR3(cTXIF83)OhjJCb8D0Ik)xufw2Gn$;%xnxL(1j6z2ZQ%}0DQMLC36 zfovm!?qvPCEPJ6c*kmE8^Kno_gF+|Ih<#R?D!_kwQT#ihKOaW1{-zhLsUT`#g=v)X zq+{Ks-z}RH#<8BNs=IbQ4j|gO;xR7T^h-#K!ZLpPVXA4TIo+>1pj0L-ilutUB3Ltu znf(a+SO273D|t#`0P}dS^A6$9u3Baqg4@3jS2t<=Q=>oen@roVU$~hOtv}D@2#YG+ zV(Zylm6%=FVAKED4csi9&{w6upm`@91Eb5i9?_fL5dIuus8Ac;ZK?Su-{54Y-CjG= z@zvBju)84-r%Ql~M1dK^8S+akzm|eSc;-o|Sn>k3S*M%op0|3n)3Je>y))iTAhzi6 zgF)ggi(Nbh=_H}~U_U9~kK4sE4kn|1_~3J@*w5m;Hn^`*cEO*WW^BbR41Z-%hC4WpnXHi`|r)#&1C> zq}y7YO%3tg#F>nXglw%ZYJk9YY5I4XgpWcRe(?%OBcj18O72;{17*d@0$6Z690otE zHnW>tR-6*#mHO)(rIKHcH6DQmm6KrOhpDOj<)Y{TUj*FZbWVy^HP#ddT8v3(;iJ$h|UZb8(-UHc5j(*8B6 zVJljk&+)-8?fs#vXaN%11=6=2yQxV_6_UE&D;#ig?Ag(yo8tOn^WWo+$GaLtyQfY-jn0 zIi<_p)y@hkL&BDGd|h7QZ`?Ll6`e4sY!(x>|HDY#OGbM*!lDhjE4ie%?!whyV*Ln- zpz@@F3$m}xCik=9N6iea<7!a}6SesRt+xV4)bm-%=n4MNzMzHfPRBD}IJW$a#sf4PO!_mejD5%w&c~r(h z#ku%EnDgAKJxWyEyzhc$L!Lwk-~|fAF&cy!MO15xn-;1VO}CjO>`nP-zHeNzZ=_YT zwDd0AW~lsVv|5$?IRWZFV{Z>`qB8#FbRX2|pxurm*)r`(?$ghT5HHgc?ONwuK^*Ih*bIQU z#Lx*ei>DXrue^WwKArn6!`GRSagQZt1%C522dS@1TeU*Xw2r-dbd8n~gt%Bo+;uWL z;rZqr9TX>(CnJyr3ombD;fT@J(IzhE{qhm4vpSPU!bexcdBWm-)Xa9 z9TOqI&b*(Mx>fP|o7zNG+mL_AHp4Cm?x>x-d-1YzQsA=P?-hN85@e9Ns9|KfEEb8M z-(VDbcU$pDsqk5%giaNWrP$kMYO!?x<=t!YYL>V!7PIB3Cww+EZi7ui*Za@5u{#Ub z{wi{c{Hv~b%AM0tG$i-5#)8f~fYoi4scB~O=av^UCbxUIMv>5y?H`J9;xV~t*@j#b zf`~*+sOtT*eM*b8qsv?hXVUje&%?uxC)?`%gikkr9Y3v96hOL$g)JrvRPm+wIzIh+J}87(IlKl} zx~jB7R|eClzn^+UeCaA9?}enA4FN8jn7!hyUYZ_VE&YRxwj z`Kz4R0{)M-BE2po?tMZ+lq>?xm$C1lJoRI<1%EH!Lb#EIAZNm9-rtU}#-Sn!wYFUu z-dE!7c5Ej?#8-c@R>!`y#8gteZ=H~KbDgdIY(kTweR-sW`a{q?Gyj@ec{&_!@H-{N z9UVmuw$)}- z>It>VjHA~T@_A+|rB9{3LR;+2LbMr;aHJ^F-Co=iB*?pnokQ`h4^cWm*oH)v5t`GqGh)-NqDjnwZT@%vflWlN{6 zb?kHGueH~=eAqRAmk zC>+|&EzNqYv82UypyKgDbuQKrTk$%A_hN|y6gKml9~&S?`P$;Zvl7NZniq$g@1C|i zbM>=L*D`w{&FkLL)Nu^jJeJ$bSjM$|d*Wc9#WU3=)nd#b!68I)x{9Kt%RgJeR){J& zc1|t%CCQ>Q>(!iR`-d$>Tyjg$(?um1ez;g>xVHMquV5rpfufV5N_mU&OZ$C(eErHd zpVdXwx<|)aG=7{7F`N(f#QpNtk0b+ep}#F4v+X?ut8^>8)_O}>V8!MY7tqF^K)G-liN}%1hN-C?!?_BT|q9Yhq~9Q#QOpbe;3GD zeBbGerEbvx=&c7G-5be|a8|6Ii*tpoo{G0DcPA<00GD1Pyvv{Nk3-TuoiHAKU~47jV?i8khU7p;uS@e z=jt}Z2F0C-AC*%~gY_xo4z`HhWHq8Op1N#vK|J6W(2g-uYB;ug*k0`C(Er>g&K{sja(OC3jCZ8(Ti5pq^$3X9x8UzgjD?#1B*RQkmPn zfAsp8a1R6V^dOs9N~-akirLvB-%nw1LtF#B6_D{l+xAiIB74*s7PdjgK!a$i%85hW|1_jN~4S1A< zz$(po_MuMLOHqk2%*v%yAR!T);kEU2^-C#ns&cDwn!S@e_R1nOcwafjNq9^i7}!1o zygN1WBq8OJf)sFGr7fZSdShX|_+M!CJKEb@4J;)y7Oid#XJIO^oiWAap>Bp3BWXZ7 z1fGSgoQ@FXZogX&6+)vqd@3DT2p>Rl{T9g2EgiOQ>0R=qWcAVcmo#M?>Xf8BJ~sJk zwPU?~>v@Ak6EcWkewtn1kdVWn(p>9;z*X!6tKO2);@*(x0lrQ&(3SGh+24|RHrF># zCjQlqtK`~Kq5o5tGDq$#>C9^e5(F=nzxNY}*lo&UWi1P6{`ffQ2NEKwXmWO#J+FW> zh`NzHXge}?EvhkUKy^5vCurG|2|aQDK%Z7|YD$agxE)2aFQ1wGY8*@4O=kOYa}D?T zzkjXc=B{)H;*$N-O$rIM4x+W?OUNgD&+Kv~x7k}-D z&(i$aE!>0|>zDD8a_$m3&;SnY1=EvvJCpDTL3aQ zyP#AuB(A&BuI`FCwlQ{jX^4J!Nij~lHnOO6z%h{NBI&H$P2o_@j<}}R%ex;&^ZDz! z&U!<{{vmsL{%;3m@(eO17l)MY-}wUeV$(nCM?c_ONIT6n0j=R18$WX62ufiY=4`@1aGiMgi7Y# zG?G7hv}N^8MN{2lP=#+aotxf6n!_99kCZ);#_r82)mOWP_7h@t$w%^-oZteoShoCI zuC5LF`_#+p3RL`VwP?o0aUM+Ofvq{dbdKa0g!f6^%t%!E>$|_&m5#n8Egu zY}`X)jZd8WvlBdgwYcc~Qev#BsluH4dFGaMJCv%El?KgTqw73#%d=VE-8c3MT`QAW z)Q(W+MOw`!Toq;XsSK5*SaVt031jVk+$6dS*;*_l9L5{g?w_eT!VQ;q1&o&<65Kze zPha?wu!Fam)2tsmG6W=&_)p%?mx`W|GLON&E5`S+y#r?qST&35`SCiq8A}Z z0kmYdZjyA)OmW?BspqC9)9|OWRi`v?^`!=cgpLAA7~7OEA{_Ha861ek!sOFdGJ2qLDoDMpGg^7$Zm_sqwmyWa z&Ea}QHIuS|Lv%n)FyypqG%SSgCaIZEMOBXeQTsrA_j@ru?Oj(B^=+Mn*K^ zG$Xr0!!e#?RzuIaaK`daq;`880l7=l0l>N>eUs}VeeYxa*aM^-4sy^NH3ulDG)~A{ z)-QFh%4!BEUTegYWn|olvCuitQ(2$M`T`g)R_=4O&>wAbCfUz+Xn))66g;gvmfr6S z<&uCK{}$ldaz}``%r9cgUp-8xp=AFOWx)9EWz?1p`MHh#q?7gA!;w@RX7Sm{Muy75 z1N7)O^{1fGJ#nVO1S5>rja(F7G+X+vCOyOg>9-KwWWD-&YuM%=_c6Cg-!96Q{g#z*a2MYF5;^onmQ@O;WWKO72snZ)Dk>2SJ8?>u4^{BXB^BZ0 zw|^^8wQ;Uth&2k)ihK6$RZ1FcDy00GggWX2c}W~lu3$w`e&uHOYxIHxqQkzH6<%Ml zjxUL|j#s&k$o06|RKo53sXU|Prjo0k*Gh-H6xw%1SzhmrpyWhLBjFr;>@Ka`mLQP*qL!l}2a`t>mAVt9}!&XyK*- z3a8!J0(S^($d;K@Mu_n+((5tZj0%%C{ToU_R1fX~KpE!PC_et0G5WTbo3Vbz37o{G z38aiV_yQ!;0;C`H7i}%j58fq>X&!4kv61;=a32docI(+aw58j6TfvZE?dxv0IhMU_ z{z;19xkG7`;URfip2~%3FftIh5?}0kA-pIs`la~JJ3oXzdl-DDDkL#j(PcqjE?$|7k8BHt|LbFA4*$em+L;=~9^^Vo|IrBTnyR+q$*Mnt$=kNrBW zE48a5xYfvKuv4(CDx&JDTF-td@(Lsx5Z>1i}nU(#9h``LVW?nNiEp7@6f39I{N(A)0`JSejC1k4Ua&13|p`&2$tGEEf_8-8u%aP z;1RmO613}t*sUWCm7c3>vGzs0=_mnBaYd`%TKe(EtYbXW7Xy?%oXLdcd~>_B)U=yG z4ivpb(-nL_?9J2$;<>mGXVB-3M8tTNraC<07;-`P0l4V2Of(BR7g%h+qH{>yy73|% zFT^#@%??R#rK=xD2+k)MpZK!pgem-B9nQt_xgUWp%a4rmM9DFl<0Ff7_|hJWp?%Oi zl4bSrWT}Yr_V3%<62}uLPjlY#>*mtsSo3cE#F%`Yu@Z~Pl0QmL+Yh^iX{RH@@`~X? zkf}EaTJVf3LMI%3?BI0T+Ns&XG>!dH?5(lcvez04i&7){>V(C3hK&sAOfmFa;aDB< zf6V0+^)18MehL0B0QEo$zh-5mc-a>Y*~!6d)qk@(u?h$oI48X!mhE9yL=FfAFb}Os zG%B(Dxd%PzEnU9NPdEaB4t3CECHmqwezJ4vJQD9)DU^0b~A8$o=sS_m7oO? z3unCmI(wNcnO-Ct)rJY~YOU<%;`&GOe7v@H=RE#3c36D*Mid_5O+l*L!RJeH1N3kR zZ(g(x_ho#lV7SQkr${p%=+xssdpXTSB59w>NjCi4=B`+zk)gJk2ExFr5y|>elMuGb zOdD7qo1E2YG7nyrb=6>nj32^C!8{7C5=m6ew3FVFDe8zIkQOU4K=H^1DZF5k^aKRW^nvImoNNQ(Q(4e!kS$Ed0otLNGh#f!wpE%WplPwYyFM$f>Sx zp@aw{ef*GGs@uaOk0LRQ9F`}gM&;F*I`g}@sgC5&ax2K3$%zLipa(R>8*#~`o>C<1 z)2=9tGfTJgCa4hLS-Jz0PTbPB&&CH*YEc3#K4KiPJe<@MsQ{HZIqqm>+;jPD{;l(# zxu;vj`~Lu#o}Gn4h*O8>C!C&?eWBsu8=vnUYcUq&w~{spP@Tu+KD5bak({G9(={jd zq_f~$I4h1g z%roD$TCs{qoH-c6kPp2+qE|0zwn)N73>kpOPtui=XqU-vmu^AG=~-)ecc)9`!+Dkm zcRc=eAk}VNnXXReB;|?gnjYm{l`g#YyJsv55@}#|EJ^vBzA4vep?C5a^{T~+t`y*t z>&-NTT9XG3szyP^d(;dH{M9|Qv6L$y&m3gcS>@f7N9t;ePeIYn@wwUvIqG?AH3{<~}m#ZRk6mb@r&&$RKtx;(UNJt!1x5(kP ztCBnORLEh_ochttsYu+?^?xQt7cN;!g%}@n3VxxirQMLRhAc+UmM5t-jc+rOQ*KXw zYTOOLR!;n!^O|o_gGG*d`g2Km>Z-Zrm~-t(btFKLj6M0N*as!grzVoznGwc8HGXrmROCa}S$19Zq}EJW-;6 z06*R|x`{iL$;)l-I-0Q>t1krRtA#5jMo%?5z8LUNtvma~44GIlQ_$2CWO7GS)c2_x zVDe*0&i zdJIm$s2Sp|>JL${xd0Uz&t7U)B!joFy+)S%82q@+JVK&LKOAcOU)zz1O1KU~%On_@xSPd!NQP&K~KlmG~; zY?tvBiWP0x>S}ZfREG8Ep7hc;E--rcq)9N?2RT2TLw8|ya&=%>d4S+`KD4uCMqjDv z%{P;PPC2H^8QhJ;lhm3+O|i(EhIeF>%_z4DO9tyqfVzW#divCx*aF?@-$0hcW=TZm zB60^TYV0C0AIznR&MxhbDF%i#zXSPLZ77pa!Q={9+gG-Ck%S?L)4+m_e$gSr^Oiva0%y%iZhe2AcnwB+3T$cy7D!hfk`G+Lbv9`m7@6^&8B?t~l zs3x5Rg%wXDlkZklp9Qm@TvTE$(iYqK^FTYcR&BvS0OvgV)RD5X;Hfz3X)Y0b(hFvp z^DAsFGv5_W-3)W7Y!Eo7MvR#SPhRyUwZ7EcSn_G_oPRM=4^k5&r`$b#X=6aYGje*9 zP1w%I87Dm{;czkE9gPIp+)IRwN!iYNwL&3MOYYC~sgRr!-0{+qLaN1Ak=L3EBZ%!M zo;_*oq~r|q*CwI!gTEm0+|;3iI1D=SDw_bumxf`SkJh7I-Y6n6rG@+ypv6c zM~t>}>z$6mA*(2C>&*bS5KQNB+k{Y^e_`}eYZy*=rmag{%f0#8w5b8 zT2RLr?bMob;z7Y7iRfr(m<`zEo;%Q3_|!-?xczA)K!`RDGtOxfB#e$}S%F|2?)4d< z2yo4vw7Ab4=iZ+*Vn4XudK27KYa;&uA35sPp*PfNBsK`+2PT~wIZy(UJx6b?Jg1nr zQTWn2m5j z4Wp9I3D3>XdTTb;=RYv16_Xek^dh5@JYx=?|mW4jeaL5vZM z(<3gD5^@2~d89pAZt~(=sm!t+$DwcWs^tLb)xBv+&mi;LG!{|FKUxb~0emMF6LiT_ zPi!&BJm;L6bl^o8k9Kj*1j*coa9)G)rZx}pXFX{guwuiWd8s_GQ+7FF&om2GGhhRV z$oiVIYH)d6lb(I*9swOrY3OzW04JZyfu_qXwniaAsCye%>Bp^6#s=tY+tL@46s2P}^a&zfVhDFXd zWap`;cVc>yPTP>M>-_4WJe~<8^HbcoAc6SvRe@knm(EWnn%r`CWTkg01AtC>^`H^= zkA9|+NMp-x^&*h7Gi63a4fG^&{_t;ZX>ta0+m5uHs{MPKPC&-fpXE{mTLj}d=dBEk z6yqnJo#}R*lb@)e*Nw_a^#+05VuR6_p4`;RjH78ok~*4-41!#@=T;OWaUT}I#Ca!j3NUT!s4MyC3rWw{UkCTEq=lp8D&D@vsWQ7~gZq){-d^I_i;T5+#lPm7~ z`TF;%t>J`Pvor1IDo^sPiN2-1HaP8@&5#Z1K?fbjN{3Th%ZXa$q*mVFF~%`Vrd+hL z3wJpnaLv-T^y#$C2_}p1LAAlVyC}5doi)qSkJNi`g8KuD|lj~MpJeJxIeltkT<(#M<{MGF; z-c3QgltG@kIR0jUzNJ60Tuo}%g5Ao7#^5-n#?eRff=g%Cx+$iD&rdpZ?5NT|%*=C; zJ8{yv*-Ruhrf)iK`hQ74L9f%~YKZs;@CtsOJ$+IowH24*{f^a7hCLI4h1C!C)2 z!1B+z+>_5EIjInqZ#k5t7%X@h>6*}rd%Z5F`fG+uq!) z5dcVLT=R;lCBe3qNNwh4P2`q6%>r*yZxUQbaeW`z8z~xKuo%huQDm}+@grk*bIw2d z)azSm3?JG;J;=?pF&t)^*m4jy9Oom@&>}mnO6F(7E~+zIqS_n!c@Fpv0fuM zat`g-#yx5l(+a3 z)$UzFuJ>o>1K+h&js*?ecgZ=aBDs>;!Qa=M=k%=}qkEe^Aky^BBT2W8D2cl~%*C;R z^sLK7pHYxoM&+9v0(w)SxNF3BzGjni9DLm3ty|n_T2{-;WHL%P3de=VtxfDE>_HXf zt&INwXO=8D>*frT`P90N+*+Iq6gyQ_aq?r6nxUxuqgA?VwnEY|&Oqj-({ziNqBnO@ zx+4R(cYc4JE0Eh`tYf#ek)^o>gDwX>53W1ZH*<-u8Kc}3b|*NZ=qy>zdh$hI((UbF zP;a7aKPpJ;{xpldh~TxkdqaF98vw>nsp(ak{nU9_IqpqrUda@2y_LM-qYs^+b^L0J z>CJHp%8a0_pEg?tfW6ArmM?oQ%0PFiYoK@z&E&V#+UQ+F5= zQbVWSY1%2(+IYqvXxxXBjMWJ|L#b+LA$b*2SI!kcBil4wQg=jB2`pzZsUs)9HFe{b z7}iPRVjHU-DPXp?X$s2Okx9T~%}=RL_t8gh86jg_9Gvq=$fYNho$xn&oSuTLS?MNr z`&2MIj-xE-c*k7Uzr(!~Pt<%t9j31dF-`I_6Y38?g+ZuA7LR*zZD{NfScaG%kc{I1 zkHVeGvuMuLOz!Hjrv!Y*(A2s$h1A+}Uxoz(ZR5B3#b0ec`VBrkU_>6>q#(*pMn^cL zms(rMT00~O3t+OZOwqW~Dz}R+ZLQ?8j@)wHKm(;&(zPECYP*iCOfw{ZyD}z8J^ug? z@T}CcH*QpNN#(odw6!~HI5dcDi_?UHzd!HcA5x^}&9a}$Xy_l7P6fHyd0>Ny9mY*rn; z?ak;&By!9~7u?pI`pvE5m5mZLZi$|r!#%4EH-zJ z+LQn=BrfyDM|z&(Z@0v-i2;v)BRmY$zBRmyQr7(YJ5@+lJPdVW4=hbT!#Y*wpc{6@ zjbqBQa7pchL1=cL;hjP&)V#C`Vh%ZF?fq)sixLOa8sf&|$s}RETwvq1Q?u8vt*+kI zc|UaIs_s1x^r_nYtu6g~?**7E?#UeYq&-SATRC$wsoW&bUV7G+uW5UxSy}43Y`_T>gsR7qbJzM(-d$Sg zI-J(mu`{$zR46}t6wB>$T~+~XUKs6UTtpr|Q{N(i4$<}PPgS~*>Q@=u!*Zy>Y;Y@0 z?R-6Oe#fawV+M6&xyknF=~cWn;bRTZ)n?UTlHCq=EB*SL#ky_1_O`d08pya`oewMc z8e?sm9wN80HC;cX4XMdt~!bP90Qx*IVIF0qWi>x49Om!fQ)* zk;}0I1CBjw6lxc?5X)~PI&EUI1?MDjlSmAo7TQZ^sR5@sjyVuBB0t=0aw#+|I&E=S zLll=6Stn`dXdmbCr$+Wu&mFbYZpaDw-2MLmp*=-(8V0H1-v}A!(;;s6?z-niEdWj+$!z2C?^_mLAko<)u-CLPwlX%$5C?FiD{5!l+H1Nt zl^2Qat@gz(;S{p2Ly^>aXP?%aZ{l>-;!{0`mnr13bZ@0-_=jD(*L3ruK&u^^NxB%6 zart&NU&G!Odv7M|%Vt8~InO{k)6`E={{Vzj!}qaGqH58&Hw5e@zlffsn%7&a9TUrj zI2}w)go0jtz&OSSezk+GY3`c1lT2dGoT|ooA46My8u6~9Gy-SQQcttENo19GGjrUY zeQBquCRfD|hWdwryh{qS3leHpsdEx;&edVNx3Lw4b$fTC$g5*^AtVwr&Pe1|uZ;X@ zd8zCEUb!rjYy}`KAz=#Vg#`2!nIc$T0{3qoU|<4DagurfXY0;T4E)#kN1B{Nm zo;a+{JVP#=+JnBhi^DM`L&EF@#HHP|Jnyvho;x$1j-7Z@PCyotg z$D>@`pp^kQY?mD~n$g#pj4>^RyNPxLAx~DuIHJJsb3#i-(X?07B%3mBB{#}5fB-N*^J3Jdw}RfYp=3bEoREqs*)3XFo9HRGNk3>K5ltK*A+BR`ve?IjoDzNg|)j ziHKpwMP1SCwJW=8rnGfsiZH~Uynp)X+z51y67~&V2qw{|ao6YIZ9NF7^>ZePe=WU{ z<=Z4V>GZ2`_}1s_>1T0l44Y15Z@j*Oty$Os_vtNUFL53l86XiucMIx6adj+A>&k>VAf7nsS~8`@oNK8l4GShnZs!@L zv`s4hb7gvjv+<9AtzDKVZfzveW061zb_P8tVA)RJ#!GbR3nal@fa%Z>JMs9`lXxiW zH}OYraUYj9aVoY59eZ@D7G4b2KHG2RmGV5`U4c7*AIwzVF?)?0#5;7$=leT=z=g&e zxWMT^?{aS(XpN>=L8ru4NtEOge~0|!*CA|^uA-Kq-y8mNG64G4^fz}G$IPB)mOye{ zxv7@+DUXwRYDAHOB9sA!ABSFOxD~JbL8<9`W44)C1C7|^el+_p7vAbY*Hxd)RBxSM z-@Qk31(o`&S0foYIQ11SlVt_8uOHdfmB}r?nn810LAcN@?iW&G2Esg|$EUeIg0ehg zHP!a7ER(7`{{RG$ugXWYQt=j^(dsEI7V@pG-O9VN1A7kt0R4K{xA1nWbKxe})+x`~ z=GbIm@~|U4X|h|fjbmvvQ?HsB7yc&cn$x?!ywV|2Yj8>Aw^CTtHn)(=3M_{#4mS$e zwXxIhEu@7Q`KofhVc@TS#*@@JwK9`YeP-Eij0_1GlXq{Z?NFq8X$iF*WREHtq~QDF zx_vLh!%2$$^*4*ky|Tr=?yA|&NBQquh1IppQhBocz`rDL1xnm|mTrFAt0OX#DU5x= z`Ba`C@aCs$s5JVOuay#^0VMm`Beg@QTU_aoE#CYI2*Cu5duP2(cjFtm^!RNg$1)F? z4o9|XhCyX}tJ_U9YbyjVwU$rr`&O-$o#cz>!EVl^o=09iDx6UbM=sK$5((cUV0}$k zT|sU14La^@<;giUr87^Yi1iqQkRSMZpKsQOb{dVi)7R`$dCJ&8cNA<9ip3voMhz9= za@Ze-(ANEx{idp}lNk(~h?Oyq)|lE}ncUrE1Lpz6LH|iV$7?3*u0Ewh) zs|&V$KTUz73c$OQ)Z>mntx0_sJ2tn^cW%U`XuAVDdSSjSOWBQ7(c{H-Goo;vyQ^n_wpkyNXsGro6U; z%VCYBk5)Y9rG~{JC2m}W*|{IFKA5PIXILY+pQDW6`qX!RAX5aEEddUCxu)2Nn^yZ7 zn-Lj*bVO~tfKPl?J2$z!Li3E4Z1kkJLvbobUo8B7HK7iZd0?#+%2~7N(x&=@V%xyb z%uG(7QZrO_y(dwY?Wc{zg9B+rJ${uv#8+@kzFsm~g-Okfib(>hEh|L6KI+5~$+M~13 z?rvu&fahlJoKtU6Bvnf}CIWY0q&7}Qay_bRrH$cMfSixKGuEZMb7h$Nu;Be_A3M#A zvj7HuZZXXzsZH24twvib^gdG)oR<}?Z{qDDRhVkJOtQXk0ps-_=ZedKtJ@jl+QerV zJ?aP+>gHo9LQDSu30|goS#~M+aQz|xRIUM;FJ1hsx_E-SVZ~ff+&>)YFBA3 z(~sv=%4OLtL@N7Jc6k*I?X32sM|Y8&FaY+d5b76+CzlPw6$b=0Uu)RHpSCtY9ZAhA zuqK*ME&*^IK=nN;A$jM_Nl+B@RrRZO*47%Va$8F(l`-I8@(*ggr+7}%(c`6201+MS+6Zp1GzW9=Do3WUxD7M$oP`|K$x2%~fs?p$4Og1%E0qXy*Ey}-H%+p?v$nl!ue|5Y zQ}>ki$N1B^Xv&@?dwtTVXr$wD9Y4a0sH_s*WQp>@a0hS3w>3Le)3nyKfg&KuyJ^Pn zdgb+5DR zVn;!qri)!#Lvy}FRVRYGxHVvzvYO?^mZKza$_plTqw&G-T?VIp5wMOM%^6U#jnl>k zNcH!r>~voOc-|Oq?XDkBmK$)hLL|=Oqmz@*B!0D@<9p}=Tj-u2gh(O>kaEO#CZ_GN zNtsvoULLiTtn{*9E0$?^ApHeXn%S-=Ndf{n4Vt1f{YW1*EghK2h6-|VpY!?Fqf0KD z;gV#Ll!gNx`-4rlaVw6F+(d<(a1T;yl+()6v}|_1NX2N}>Ob0v3Q1ttM&)CE2YQmu z&Krpv%}t!}aZIv`L30ik>J6hHg2S)Un{rUQ!w?0x{J_=Qt3tbxdBNo7vqTIhEzV1G z?Mbj2x_r|}UM9d9-R(Gu=bE@)Xg7%V$fV;K!CI{4owmC22U=IueMGXk zn)caCf*YU>>GZ7~I6wgxv4R1pY;@=@Exh4&naTNgb*IM@c}<26M+XL|E@o;{L+3fP zKx6PiQ{{UKL$!PL~aDM2ZLz(vii5^&vp!B4+WM#yK zw<}|>dLf-wGoH9TdeUYv+rx53a%claE7Z0O?Ty>H3^ETDRFu>e;==|F+qFlsOQcjS zhR@!u2og9`=0lU;)`zGW^J%hKIFTd_tiLcmwNZ&?#!2s=O3=T$dDWv%fSmWLC3X?J zzrRYAxDuo;K*1v`$EP9jL znEP40vFq6LQp*g6+`6I2``Dky|++;68f$ z)Nd(Mwk9Wc9MKXMQjADB;jz+_cNheDA1-mve_EP0j4AG0lgCPpu?G$F5OI-Ae>Xnf z#(-_I)wJX5nJC96N zRg9$KMC6X7)|^Qas>>)l^N>NHp=P$bu^%{X#AIf(u8^FT1eQ4_uixE^+mAA7TXryV zco?EHZU|R78063*zMBkcrM4C=_j%^8Lb0=zBOmW`Q@h(Dua$x6fz4jA(yjFi(6PaX zWZI*5Q`A&F#>{)RSW!?WcXj8fJ?blkXit&|$l-{o{6lARX>x5RwPkfU$?2c1P)xL> zB>BMg&P`H!0S)@dKYjc?su+k4Ks< zj;FmM!Vf;RBvwx7a^P{0O;|82Fvd#~**mBUjJ8>!1OdA%$i+HVl*YbTKQ}CURJvll z(@WWaBxjOET(z~5NWXs>Pu&(F$3D~nlG9HaAay2*FB@Y5fsPL~Hl=F`K+woCe)ly= zt*2JrgkX*cJq;EnL=mG*S&AMSr(1HQVMsXS=9;Xi4h|Ulp7hkYxOo5vzj_KJn8?rt zMPN&09Q72{JCDqIoRd@ef;8DUBxfZ50QKs#%D8L}3CT18HO%pZ!lp|O2{l(?lOCk= zO?}UkyOMor@ooTfgPsqmsc0@(R1koQyN_{6b1aHfZR|nEtq}^rozFATdDL48)IY)r%W*9#}6w-Xk@38B$#? zStC}9=6^Hi4c8vE9nHMq-`&H?+NT9kq6qg5=?Nu(XrqyO?Ws!?#19$ZF zqS}V+r#|y1%%>g6sMHep3!I+juCgqjEX)A{t4y&prDNO|JTV;84x)(^fsafOMKnUL zOCB-FG`>k+QhWEInl#|&uRPEUlGw7j3b++C4=EsR`^TxO>fmN&_34_w4EAqo!tj&| zK^)+knGX68$rK9b?J|N+cn6bNvJ_|AoRZ&-UDTqqWNDz4i7kvXWY&0A$vb%mlTton zb%{4%a(!v}>NgzcvF}jDB$(-g&T2(QVZlFB-n1uF$mHB#=BJ~sFxfu&qH>AA86@&) zv21LDc|AR-8`N&~1HLofoRBDHbn4#yDo3}C6gVY`{&dGugKpFFPy@xZn+qdj*C((4 z0A8A&ag3P(JoWYTro}tN#X&sv$*Tz9#M$ataZ=M2%KL&H%sTqhLuHFI6O+YGAW3&9 z6&bXaA?Cbi8NfdNl$ePvtQPBYd4q5qE_pPPLddxT<^z#Tj7T|C&U>1gMrjxj$I*$Z z-2q#32F~BFJt|ey3~?0^e>r-5TA@X7w$5ZQ36%m}^=hCDb8X{)* zwkd{JkDHnjYIm!3t zrjP?C$azbP0W^h%G>Il@GSnfSJ z9Oj6ve|ijr3=ZaoV&HtEKU#)goqVwT9tb9zcLliVW{b|ki~~|d6mt!|PCC^@jwsG! zLNVT^iQNw5JmQgIab{sF-{jMLw8 zgT_zRhGmP9>y9bPTevwsv;j&)ZN894b)c*OwN z;K;uz`h!BlFjB;IKD5#EV?BpcLrkOO+{BKCfhTesm)X@@ zG}sdCiK8nToRi*~)}cn?PhNSTH_kXY%{$F<7zD>SB$_}$H%>V{jYGA8`MRE@)sm>> z5Hd4S$cvUCxbL31r_>11mw3-0oRd?O4~F0M=An%X5KwOQJ*q+SrseE;q3#3nRd%<2 z=9Y9%;F?9qJF(3s-HVf+Y3qhk!z5#-Y2AS>ypB+-=O?{P5klp^9%{61k**F&{#4^9 znIFj~uIfX3jh`Fv8zA&F_@gCvj!CH2B5*+G+~%c58*n=N&>`DOsUy8a+MVtlKVI0fk9mXBg*g2N$a|pZN!R73>^10Wzp0qQNtcjsi!;&gs$F4T+m5P zBXv0olb_G&QZ_&=dS|^pLFM4*sV1UxxP9shOlMv&c|B?60Yb$(dYW$5>Uz^2IT^Bq z`}08C5=je;s|*eXds1y6XC#t))1e!~6;pt4a%u7maM{TR1k<}9YB64)#m~~5rCIhj zbKa5yHvr=_uZ5Jh4mW!Aqywk6NSN&;@$(GxRb4_i86mrxu{4=&>Ux}Y=kchR5%~8s z52&#y$ifqm+N2j-cSaNvcw?G~wm#@Qo_VDJ=OASJ&<}CvaO8e<6C9p9`ks`dcYOPT zX&HWOpT>dTLD2?0ch7ozXE{Abtt4etPUFUU_o*h><8TC?^b$ns%!9Wy-U6M&l4?c+ zVaoHIXEhU?92yHkZpk2w^GZ}GY-gHg0Bn^!ntNdL*FAZsyBlONvqszV*Vmetb4&@x zJ;|mJWI4x9rk(Prz$Bi8W{0^8RyEUV@9s}IpdF)V=LVJE18c8eT4LuQh93FvL)>d| zU*_ZtoYRXE4s+I+q_bl=^`|oAU>r)N5A#v_%9k^bHuO^zHE?8$I z^UVaOsVB-gX3rgSON4Q?N8?F?2|IEL=AN(43L0T8#+KW`&nBLOj(N|mGjL}h`t_%y z6$N|xlSpV3#;@}XWb;OG0J?X4_s9y1;#-c&vDHpZt;_YlRzhSU%HWPlkD+; zSoMCm_Np=5DV2hPypB&NCYTEkn;etgq&Qwn4o_M@ zxh;{Lo+;dhHL!A9wMGkaF^$~Rt{J{ubKLsV2vR?J*F6VnYjFy^9AM`hQ{#*}?mv$- zn|Q(={ITYxOaNCuok$Tl1EBld^GsDaIX(L3r!lK?PJQX7G#LY&^FRz`P}n5%(B_)i zPki^O7Cqb%kWW6MfKxk707j9q`=H?WH8_L?=eg#DiFaVJJo?k*A1>7guQUM*e3|mb zN#y#|9FdH4`qZc7&O6j)NhB%ylTB*Ca)Qgqr&g? z>{}fHrVO3Ek4P^<7fZ?nM@{ZOV@|LEyDjSVh74x_2k}P&>9)NlxvK zIR5dgi>U};HrDbs#{0uH9o#5Cbc4SD)E2gKna)*!&I@(P_NK*l^d(qKu0ZE^aB9}2 zbp^6RYo@3hMrCz5+}-Mxz>?o33CDVgG6v~MB=UHq7P}{iYMP_81$@B08`7jkYfqJz z=Fd5)bjG)^FC$9C$T$L`mfq&|nn)rnKZp*r_XhPQjCsc{*~s;)RuBsx^r_=6qn)Fp zeQAQn?Aj!k?w{LB=Hh4tqLNcTl?0VV^wTj(A0(cDbgPhh*h=@a9ce0r|NUV7T7%8hvy#D+ZoM`-`ZE^RP1^hN!)1N z@Xv?z-A=}P{Yy|5;shl;!S~ys81K*ZsPD_%S|kcX6vrBOHsr?>e9i4z`X7(9-wI7Mx_!JNYlxW@q)^^VbleZ;`PORdX`xz4qT0x;vPM!u zFj@WUm`yThr6S%!-ekFEeoiyZ0y5Y9v;-2O}F~&UyEs>aVNbi0&jUD1?%8*8+>!4A|1{=4hi9xl)JopoP)aGBs#c2@AiIe7sooUa|~=9{EJ3fxVoqe!JlfdrVrJs6s&Ec3*;M9If0#)iiA z(6_5X_j5&mY=CAmCK%)%)V6jPM^F1pwpmX+=M?)VMQEqg(o~H6#gKKt7G5Si?N2@ z!exnW%OP+YHBY=r$Kg_VB3(r4Ut_lmDj^sWoPq0IqI^p5=9Grl{t-)WH6S^Lj z_tdorCU;^G$dVtE(0xC`rtsdOZ>K>eyWXUJa0sQ>Ja^BnFw~z;i$?N)v>~0+_9Z@9 z4UC$?xmoY78E;=M#E{v}PimU;OTUWk(_sOm-0}n4+O@n_;kfi|4#QuLPA5j(y}=md z{$hZ86?6>_Eiy?U*KMEYwq^y0ucMSWoU9-S(SQhrqDqLnS)m>Wwv%>Hb=J~rBwKXqhIKnycbqdq^m4Iv51TYz{vbR`t@T& zvAETA8?8WK&uCSoR_+PG{{TMJrMMY-HI3!wsTHbZiN@AqxxhW~QfkKg!WzR`z){-W zzt%eCNgR&7YeL7wx&$yau!+{~TOv*UN7J?ArAr$ZjYGq%cXIO^g#P>qtNW9C2IFHL*Zu$Y%lf#+}V#4lS zWHcUH{^h0^1@q8@+pSCF>Kbj4)wKTrFuX0#mfWeTw>~J;_4nJUr<)?L`ngHTKBRim z1n;?-=YM9G5E5f)F|}WgwbR?`2EyFUdjqHn86UF`!l>!vOqJFhLIhp?RgWP1X0@c$ zt^WYAn@DKma%8}E8Eg+jK+E=D5p3=(e7jqj4lgEC$Y%sVWd4qxvLsk59Z-1m~DRHM>Od@zk?$nGa$0zyFE1Lc$heEaR z%vv6v>n-Nu-eV{&j{N>r&S|z+mzsnyurIiLqdg5?@O<}|(8;Jt58AOC;xM@&VzqoZ zuXt_r{{Za=(x#5=Fb$qIj+n{+06b7O+butdd_~}U#IwCrm3*M7h6iXql-+v%_g-^& zcMw#3=x_(&{e9}y)}LkKDFw!>GLJ8eoef8E)U_f>zTKG#pv^?dG&3sNot znlkZ{K<5KKwPh|Y>?apivXyY)?q6yO>TP(s!re@9$09z&2+W{oaPL|Ac9lKEivq9C z#0BH7YHc#dQPv@n;^7Gh3rUvAJm$1*^*dXrjkI>&Qy>_?$!7lmIsB=uL#dxN&E}5H zrxHtV$&9z9SvP{>&}s(;GEV$An!Q(R@F5rLuX# zX!tT7hdIdirF}u}bCwa=+uSYEI+jzoDO}|GVALA5nroLnZQj-l4i4O8_Nb({zO*U6 z(n${NVFr1vtyae4!`gg0r`qFSP(I9Mfjt4BH)I|Z@U+Ln*LHVhQfP?S><$1t{{V$^ zt9f$-l45j`Qd|>{&+)E?>&ACJAd)!XpJT)@>Z1XH>M5Fbhh=vuv1f@z!xHZOkA8bl zHe_B}5K`juCkZdixFlp%?F#2k(pF{DV`XW<4js2+JaBu}&mFub+Aj|2p;;}X+Gb97 z9P)EmHX0q;>K;s2SuQggsm|j-iyDuIZq3@yWf5)XEZENPVNdaWoyL=<#S{_wHxpa= zlWlx+o;aspYx>TSbhgnVhe-M05>NT>RIl&uHMTm9z+&xy#PsyXw@PdqXwB30zYtu^ zEoammmbV~ekaO!@E|=n1Y-}N2LL(&09?46L?lqC8u9F-6qDgkm8sp~VW3NusYC>IB zUQiSPxWrSS+mlzsp;yYZ9FgG+X*hEDSJHe?Ome)9+|{$HJB-Cxga=*#m4U^AYXHPY%jm9L7Cbw_7_F60p+VNcf` zfBN;0bEVnpC|F1cjfUc_)9X%8P`%lyr1;ZBx3`MZ#d>I(2<{Y@Kr@f&{{ZT)gT>zy z^qo^f9u@F$2?VlvlE&B=IrLsX$gU5>7SmkX6Q`&#?->~9k5k^A;jJ#>;^BPjKP{0* z?+E+A^O{%C8Z0k|b*W-&i+eyCHamthk=GzqtwH8nRgxJ3zm^Cja&e5FDm%XrELRfS z>CF2TzEbVUIOign4a65Q?Jh{$l1@)F689-SqpROsM2m3j!vR-0?^;*NE$$(X^436( zptOXHZXJa-2y89nON(hjNRn}m>U|PEVn(b63@+vfM3$ zBPx8yJw-cQcV?!a;jL#-E-duw`cM}B?l8o#?%@ZR82!=o{=U>|h0UozYgYEt9Xn9B zQI*e{5Oo!D*UQxx?B-%O{{X8i&TB6A2fesyz~#?O{{SkU)+wyC_IF}s2ZaNmqW3Rz zcTLcakYm5q0=2t7-KsfneEn;c@g!|7w{;DgxB-WkCm;{;sh`9e?dn5qCETH6bF>53 zo}Bip$u+vZZ}=O&c6xf)3Kk~o`!r27E(&E``sDi5wwlBeM6jK~+p81BUAvmm?IgFb zx<=f%#_#1>$!99Z=cT!291XwLguvB@Nc(i@3n70ufK>g{{c8T9;m9=08;voFhYDM8 z>ygjapnF-bGZf;^Ku@9KJ1D+{eh~!?*b(ef- z#l)E`rvTOY7UC!ij4>zX9mnHIs9rP|@+$5M*}}4880+syv!fBVL$&z9>JQe7yM@G8 zd0ACrW4(tJr6iW^5wg07CfpUetHrBvEcOz$Se|j|tWsy~)jFXIH3Z(8f?iZ6w zsM`MkXD8K4j#SoqXc~yQ%SssT#!ptO+Ef>5BfGmGUNP(bf2A7ErE{ksWjwj+4t=RT zWFnQ!o=b&_A|5l0_7$O|_=5D@d6SluzYbfR`$>-g2{9NLw-U9E$R z9+aMpeNKza&@OG{i&HU1(E?DZ>S~?T34G$@$Vd_3Dz{%l?^$<2=RmI)UNXazR&6C{ zZ18eO92Pv#*`BSIG{?)fzdA5woNy!-h06(P(>QijOd!4pxc&uGpxNMr9ZFyydL~uEf3~yG( z2fwXZ@fM@uxb*vdBR~;MS8o?G#uZW6hR z1pfeJk&%?KcER^P)v*)#O0qb}2arxP^{5uzKGP%poGWj^$m#7t&A@08Pdw)}Arj5* zt7~_3^FwMPR>#P#kPmUqSNUa|_h4;2pREvSlLbF710=OlxR!f6rt)RLVTKv~Xe)MK zzHBd+ib))|J?flr7MJHa&w6S~#@tDg&7YZ@y)X8HDKRP?#NZynfN7Gw(6#-3X6;Yy}fHf#@-9al1P<_eliA0G!b`kB^K_BAh#|@ z1&%oS)N+_qv9??@515*g{yC+ItWk*apE>K#ennAMiZ}lNNR+PTz+w&wpmx+ty(0Od z+=pV3lKXR3Aa=5~S6hNGKrByRYFmrf(?ac>Hsv_(YCSsc3((PAHV5%B9<=YNS0R$> z?p;97FCoqVC+^j|*e&FOE7i_hA+ygSwJkg&ZFg-I{+Fx5K0;sZQgM-*qpRtv!V73F z<1zw#(z0a%J^uieAsLd!mkQ{spP{Ve(ubNi2FkWiJ^kxZY#!9Ho^@>WWa6sHa?uuy zILSS0K-gLvcVlHha z^GP_9pSpcHsOn4AT+T~@2@Q{!=bCgu=Q2Em63@ULsk2!Y#H!iPziND8U|jCxwg5lM zsp^4lIw<6aB?jPgxpB|E6Wy{rMh4isdFLda)n4;bkZy?>Zq5iEm14^I8WCwcV_`Vm z-|#)DlsXlZw35oq$9K#a^giO5dSr%En20222e>`z)}XL0yF!!mv!EwGon_nGG+?UB zyN;}LQy?rvE!_E%Ny~p0cqW-~1I9s6NMX{1jHG1`Cm*dr70tmcvo}-6Ii`wQu!Btj-bv>nGM6p0+przn1v zEM8=iEQ!e{I3l!TYcz?P>=Eh%V-x|JH`}lP@_O@HR&w3T7w?lQt%YoRdeffT2|zp$ zql|x^Y1R^(7giW`4U!v>e+nA_Iz-pj@?S=$%N(;2)Ye4yxGaj>n4IVO*8Zig$$tmg zpg|l;Nhu#3)@`gfx)X*!a~SWr9eljY>qHGdHb6HR!mT_id4%&X(R8 zH;GCT3F>>$GUc|KB_aI$l0N99xw4kk!pt+CK~|)?zjzZd4oErns#cTSGl0xk^(BsI z0oOoDJ2XX#@Hsi#R_u{sfU{+Xq5hQlBe!(lFxtnksO7m(yJAi{VvCOA8?x`^sN>TV zhQ!>t5V!=cNMq?wiZgF-_OuydequS~)L&?onTSKXJfBJgD#Id4iBOVM;OF(K#bgbc z8JQdCdWBZX_ZYj%67=dexJ3Gq|okwM7ugl?~Ikda)cg z7#pE%ZO%_>P3i@VM#@VNNjc3`2`ud8Sm1RdwMTV4%hRPg*>I*nNZr>am=joCG$h7j zEOWs;n!BoN=F4TRiZe4VS)2GizLiGe=Gom^H6g;_u;VAzq=woHyLZ~nyS@4a zE*EzM9A>Ml6w7=5bQDWz7E}qz`LaPLtw_kW(J=~0%`7^Vkdb2Y&Pe%*>7Q>(Td87_ z76~9M#*2ejGvSsgB+bH-tPbD~4Lu=;VJWyA=jLqjNG*>C85zgpO3}NnSE1@?5^nY& zo=IdScajHO({1Bo3X`9$In*iHxSo0Etw@ZU3X`A5r2`T@k?v;#h!G}}=INZ8LlIy+ zyp!IY8W>nO$oaXTQZ#HdOS$dZ;@(BVw>ytorrV>HwhWA(Jt>miNRAJl;dApSJRhws ztlOE0VA(xM>r-cOU6$VMgg4Z@H>wMOAJ{9>={f543&e z=K=++o>Xz?!xyXYriaPMg+GEaZG1MQa0e{lZqztB~&PK z=R4^Ne7!jBOm5?Ifsy!}(`1>7?P7ZJGyLe2IXMG9w8h99oARXf5bUvC$JebMXUpZ2 z-#Ik7R~(a{tpXz4fuF{UXg$Sl=XB;@r5r9DL5%SP4NPSxsjyFDq-XLB9~!;|Y((8w2Jk_JKRR|cyhTbOPL zVu$2z;CfSg2!=py$r5Kiw1(_bDP#bFfzLG>g2yCxsoji~85x4f zj(g*!K1)~J!C#E`s^(W28*+OeN}4w-WFBVaq#!vpP3Rp+E+CMcrby3vf%2KiHL4^q z#$BC82Uhp2_d_J4Zq838lGJX*D90XVGlNzJ)*G^O-kTMhLzBBdT5F@IEWG~!dp!j? z2Ca^f*}hQUtwa^FK?jrE^{FFW?0&TN#?l&~pj(eA3k>nWsG511w-Lb|b5BUO9iyrB z?@g96tU(9sP3|V|pr6C@9=wWf3vdTh$;~5%=m$=o^yr+Z+{@mMqa3l}_L6gov1utm z`8@T_Sz-vzGJ6V+rGw7Hl*v7L z?M*+wT(IZd^`+Qd3yhr9WV$JjudN|9aUDn9&U4n4OoB}A?NJT*-Onf1rj67tSRQ+m zRd7j)^X(WJB=;hl=P7KkBxzlg6Oo*LwIH3z9IKL1uzQ+ny90o~Ui8o4 zEQgca)0RA8@<}~TXkmA9P0i1LQ{IuJP%*dab5Y4EuitK_kzH8fe>wtN4>AM6=XFUG zJ96F0p<@9zu;)GL1G|!|_>(|%B;D1rea$nKxLu7Z&BU<;Js&Tg*eA(|-e8dswW>0cWGD951O}lPe=E$gSWkYhp7C0ON zdYVNJ4$n46Be}&&(Y6C9Y~#IGM__-?T6}tp=2IRr2k~dU07)#2tFe=D?rH!E{J>{D zbKaz9F2t5Ro~EOgRRG}U{Ad)m87#rRQTp|y!IumVIOG~&+{Cf<<3Gxki31DQx%8kA zxhlBpQAs26NZ@+<()odbJxJ}EV>09y&pxJ~QIp!umHEa&JahhWR-~FMjuW3;^{n(_ zyeK0%9Mtd}DL5ea%^)UCfE5P?sy2wI21W-5l4+(>)FIDeX^(Kvl3y7-f_wh}g*CXH z*zKNc>_362Vu4}Hmdka?sNp-wB;%jPgrCk1g}NT1oPj%yc_cD#TwwR9Kn$G^KJ^(w z7)E*?rh_A}E6!B$ijjjMP(dGw>rk@gzF>Jhb5k?1=lHYS(`QgQ7|(irK#mf35Lgeb zD>)p2oOQ)84fy~QgUJ;ykjgN`^`rz@ZMoV`dYTY$NXh<`NHK*E$?7Ugb>0e<$Q}LY z0jQZjbkp|&2JZDaMJjmfRpbCZ)gRyGFtZ+t#9YGiCaIGzU^Oe*2Dnb5cgipzuz5oYOV}xeK0p=9HWbz!G!G^q?EK z^%1*#j6n7EH1>#)dE?wvF#=mS<2lV)W4V0AC#N_)Xc1UOk%9~EW874GC1*6+UmgPpw46 zq-~Ni4+qwzk=a2^ck59Y$wC-$yNUtsG9uW?IVPU$GI$*S06ILqryG0sq-AXL&lofX z^ajWW9ZPqq)-sE{@^j5sjjlqdfJf!*0plk)BVl(x2w2Bq^X*5HSn8)btb}JBh*1 zy*Wqm9w~M+e9AM&C(@g3l!nOJP^XWrFenMPpQ)mBAZ2+bk`^a|Gm1g>g$cWWex{@V zcL(W6z#+M7jOS=x{b{NT4a|AZb5aAlujfH2JBhn$ zV4nSHg_&3q-Fi1;PnBF85uPc#e6zK=3_J5oQrvD?j_eVhxjiaXY>q}rsKSs)AFVH# z$;eWFr6H%FOahGHB+?fUg%_?54s7@dPPDrlh3V5v_H$8$JA3okWLR9xur;6~L?MUQih;ta6$F#Ikghn~ zFDj=e-lNNr)9Z?0Mh7SP)4;*pJ$b6IUs3*Qs+D2i2!DK1S8r0XgZ%{{Ua{su9@6 z<9Qh;^P~+HG{F+xqfQy32ls#;Pter2rJ$LX-Pw>g%EWRI$+h}?bA#w$Y36;(;( z`O({qp5wJKYV{doZF6}8+`9h&s^OTmO!}O&LbmY)RSU~9{NMd*xp8$L*_zhY+h}w1 zeB9Q0=+~E51IdVxbG`d0q3XpZO4hKv0o{kra#-_I>mD7ONw-5hdGjz;lw@=Me_FXd zgjUR7Y4eFvlD#>_bRGuKCh>l=FNd$CouQas^6=B%1=ZEU$RdjvCm9@{pr`5L>E}}_ z9i-)$j&M5Srnl0iyO_r!vZ&7aeJbm?HpymWF3=&yPdGl*5btu`_0E!yoh8m3bGg3* z(yHlN9-*(@`5Jwxl>jX?#rQxu&QEH;@cYA;I)=M#cYme0(~@QTOtO5c7q9vH)!!dq z&1>S@_0caNTX&e@Euz`905~91xluW<5L-iLQ%Vh)ZP=MGJD$d}fOc>gl1axEuWM^I zrsi1{BtQV9ZY>RMxw1r~d#*kdOvBKczto z2I_T*Tn2X9Gu+m-jlI5=71f@z6Zy*6UA;5d=9HMpbZZ+O9^ti@o;hWV9LJxy4^P*< zR=U5t)n;q5hy;!D;AHw%YewG}<< z{vW!7Qh-NvN0}43<(Ixc&!uzDVo&r<G_JaVc~go zuR7VTZDRW}mXbNa1Oe9up>8PdW@v^e?_-+!<7^$l9Z&WD0PEF_OI(b@HlL=rjyY!C zCzLU`j-4ph?ow+AbhJdpsyo{>=7-aRx;}pR$nrC@AH2u(pig4P zog{bjt*y(l1JQuVuA9R*HhvM*e%Sg-UhPI--+PP#JrDR-H*crK6{%xzeC%*XOx2wu zSGsjuYpJ&|J^Gp+pqf2bv`EOD==~iH|x*A#4{I*!Z!!wL?{(qfnT;Ipx z)Q;0pMVB~ehoEk8?@+e0Z>>aD8Nr~k4YL_ z4RWv_Dde)6#!T+WZ08@1Z0VZv-YL6{Ho5tkK*;o{nr$vA4eIIzq+^o_8O1A(c)`VQUd z--Wz2sNU$e*EbVM1hEa)kxg&IfPP`S^5g4CwkLjtjR(QkOLqpU@+!lS%%hUzb|R?w zsDEr-w3emNd4!OMu;T`^#M-CYW4C*$R2erByMlg|vl`2#LFeig0()smj^aGwlpf&r z`uk9w$TGA|Hv3X8ZS5op8j>0Nf$3HyzMUgMJ)z}B;(G1}y>CmZ=zn2LJp$Xy@`o(V zmcjS`06i-vOJk`pf)?e@a>uVHrxsqmb-e}pwF`69TE>ItE3 zp~&ZsE1j24u#Zj_QATB)^3ra=4d_3{sA<-h5^5t&E0=SIWo`%5)W~CJvwQ2yiJ_0F zJ3@}c@mrdUNuZKd<8uE1 z57*n+*C{rme-M*ST}X%$R#rLrat;k4SZ9kYZFLP*=F^I8M;zxpI*vW+O%~5k);Gmt z14$%GONBYbSkp<WkuB>IH-sa1EOU>-wzd1cf56(yY1+n=BZ^QOA=QZY#RVG|kiWzI4#!;*dDnBR z0~v3+y^lS4>)x|2{wM1?#@Oby5fKp#%f@q5FEzE-qmJ$6e4oRra?WiEHL8k%B?>#XFOB%N`T(FN){Y<4fNUNVd_)Jo)8cln%Mi zr8~qnc2>H?!$4;8)rm+*5qxE$!8V6?uUv?X%@VS=JoQ}n9^U4ka^}g@ z-6qXv)M8MoHr|mY~(hH49j7qLT_6%p)vE zB#Mtpu((@OaJKTigFA+JQS_sM^`%Q+F7O`i)cLuZ5Zq3$z2 z9boMi)4Gwnf>#GVhNf3YoGr{_=l=J-U;hAvR!vMKa0S9TyMfL|az_<&$96WC=mwQw zQbo`RQpd9>pBGO9n=c4#9JkPVBLm$9w`Fc-tiW=Gz-+1K)XI<&;dDA z;2dCz!h^@lUhti;ZL3JQ59r9d~a(N$G)6y+1kyBHNl*o)j9CZHx z8cc6e-Il2gmgVM+fCrFxBk-%T2=60Lv|5=h=3K_?-FtNQs)tIrxV|?|erbmxN8b1P z)}M#8wS5Otf;ot}w0xt;c~Slp#ADj{dOHnV-daTI9?iLwWS?>?AHw>KeVxR5mNL1rhM9@U^cGZvj-+KtAe09X6A+lgjl#~A6; zHA?EnYgm?GdFLm;6`cje-12O>a>ThH@&^>y~H|%TLERxWPO|;tusN2Te;+l-I{3> z;N;|!*A=m2%xasdq4P7r*(B9xl zcQlsr07`|y$sG+zsK+;oCV8%8X{0U~KLa@Fn&vfaIZgXbBVb5#<}v%equRG(f$cO% z^_wfQq!PPW@^R@!*qYSe@PETyVJ})uR?Ys$DsSf?a1MW;>s+p_YiVVwTtlWS2)xb) zeLX+VdeMjCcZv0zA)&L$AC`m%X%6O2`1Bv+P-*s&nM2HsyW1yu`=j25+Y-IQPd5vv z8DshD;kIu1e zv|ITlVjwK)Ny0Bd-jR1~j@wVWypPIQ_Yk>}f#*M3OB-8T2#Q2s1d?~TIHziH#bXd- zBMF(l=InlTMi;ocxe&y=q$dG8lR*tMHts9cmRW54#tc9hZiD()L1nty#7#IVxxwCZ z%~kOI+%a4j^~*;DaKIcB?^dU{j_rQU5-^V^Iqyx0GR)pAhgGwV$;vEnt13u|$@-rC zD>m}lJAoaX4fC-($rw#l&}V`4)?u0gyU=wO)34 z3z*oP^VhXaqsqe4`GHk?hf*yn(e5sO%K~CX>*fq&o=r`0s869?HJ6)$ z#|J$QJJyZIi9A0Qjj!1gGYezqGK`Y+Tj=)QB~6CuOwPk<%s9ujASUq6xAurjYIf#W zn}_Gu(!0+J-FzHqO#J2}sWD@t@^LSJc1bJxM%G;wz0t&M+crTWCE&Cnlz>Mua zw2fsI%Brvhl;=3ATE(+l$M#lGcZ}^Fy)#9|(>mETZw+diJd;~Do!w~?R=OuWeZ^g{wvu`Fw0Oj9UD%EQ>S?{ekX$XW6O?HeI45-=)MLASk)tC2 z02WSjRpf#1<1K3_Du?f9H7%~5V>_RcLBXj9t1QE%8RZWWoxk$X<2a|@EcW*Q0O-)O zzU(Uwf~gHc;@#RPWa@Bv?^?QC<;RlakU7U()9M8qT?+TiSfRJuyOMU}@un@&vkX{n zEB)VU+`H85VTq&jH_F2bgCvi0kH)ELejkx#0^ApQ6CySBJkZ_P5kqjLQtPV9Gm}~i zrrOSnb-XU$m^Y>?J{wu!(bg&9kxIyM<}VoZ9+jJ`-Ce}W&`#k;`o$d$8r&YHo~Z44 zA-)l_Chet_Z~+|w9o>=ZGYdBV}nP$PODKt0k&B7IIknlbTC?BIqP+kg<))!voOO>y18J zcq0xn#2$0kAIhm}JL*+<)mLOkaXqRNV!3D9a!*>5WU?{dt?n0!ag;;5Cvne8kZs(a z%~|c6%a7+pJr7z%d#M>gR$bje6<<%()Jk4;+C7eIMhT&wKeR=48Nun^n|BkrPV#td zBvhGLtbl+(&otBtbpA{&wlF)6pHFHvX`V?P<4^%P+Iv%UdGjm9IRN84j!iZ_M1t8$ zv`=K^x17nlfk z=h)GpGG7ec-b*aDg+r<+V(fXXZ@1`n=o0u=Y>Ns1h#2zLwb+6w$m?2FC)buJTW;3J*rb;G)pbAK^*S#WE63lhW(<`^ucp> zb~!&P_s_j&Hm4+x6Lxdjg}HYkzge2-+{E%J=Y(T`Juy<+$o7ab8;<1k%}jpIz!xW; zxis6z)Wp*xWrGiw&>FTOx7xDERx})AoSfv-THbj;D!oU3wFi=tG0Sof2i~TP%K~ju zN$yW3l$!zR5KrBckH@_*#O}r-<%;?r=S82|C6qP~C#mMNVn}!5;erBwXFaNVfgCcj zj7l;AqXU_ma=)by%)irSODpJjX& zFb-pEk`G#r?$$pu$+qgKNarMhQ`}s}j7_b+!?ysQ^%O5A46(1y+_BF=(9<36Qnf?B z%ze!7>Uvbtl(r=>Nj*=cPb{`jCdT{VocbD#V>hueN5SioIjC;pZoz2DGhy@ZgHtw{ z<~Nw9q4}}eoo%IDTR<*ljg&t^^eSw!O(DmI+s zEP2n;oRS|eu2`OV=8`=|+CtD6B=Z%qkT^8KyRu7-dF{}JiZ+<81cY)vm5}lz(zNWX z&fQp^r@ddhuv?YGBW^8`hW>R{YdIi{mqL4W=|DZiNRbp790u*rdVAaN#D&39dV^4^ z$j1a{9qUdTn@bHnrkRn6Y~$0`rW7B#*1-ZfNnn`XVbC~482N?dfUUs;I6iFMNt)8_} zrI7r-W;4|HC*Fi4+cxfW*`$qRc0V}Ib5KmMTK?!pbBtwxDEUanYA>@OPcmi3PC50dQR2ynNLZ@i zkbf#ogmxWp(DjJt2LulO^Y5z~0??!L4tyBxEd#LwXU6_o1;BE^T~)yqy04v^rG@ z402rz@tmG(Q&G3LmLP4zKAZuVS*-l^V2D!Vt{ zUgY}K!uJso1W3*~B>w<+y*1C83EPvOnt(DFZ{A{0#Fy5^r_ zB9W8#v&lTtZHnXWsUD=7uQIeivauOIjU>gnt#bkxBy>;+U|46RQo4mghRHeYnx__W zM3T8ZK`c&c#1FyV{d)S-3ycM01%i@Kzcnb;8e~Y`5;mp?-BspvEJEa*{#7NlyGq2V z=hT{+ZX!SuL$Xni_Q9y`kCOgW4p~p}8kOOlqW$J~4@#>VGq%zX)~E?#g~2DTeS6iI zOU0j*`}6$iBR*7nq(7}rlG-yX+`bb$?L5-zOqojNX&L@*N58E}Ziz5oFproXSk;fQ zTX~HrZOhKwo_(sk(6qxb4*c`hoyMKWX7bq#QS}EMYQL81*xkuJxv3z5yuvaDPtBT< z_QuSpGlRiB=oPgHUvKWn$l^$KVX zx{pXBmJt(zIL<{>zPC$xLWamAAk_B|-A5TNN~r36O=f06_uP}axuBw7FA{E5InF+{ zVWW-&-Hu7l85@-u)ZMuND@i_8Bl?lrrM8AM9@aR0+~>VA z+$?gCa!DKk+NBprkAR!LQ-l6`)f0bsp{gWe?8yp@9>CRog)mhOE0&#&(ZB@bBfSYQ zMJvu|Y@*aH67n;WIL$>g#F9Dd(E8Ld&Ub^3-6`%#K4T2~`q8+hsNfQ1+DHdH(^fQx z<&CgOl*om`KC|i%&fuj&S(NzM+5G;!p{3Cm#AJ-~=IAB7xut1+mN3$Q$#b*kxu)OW`wv}~;$#3b4Z6OMbD ztB&_8Gy-L5N}rdh^{Ve4NeDU3Uzu*>g`{Y9f`2Nhj&q);)|iNvH(dA4Jr3Sj6U8e^ zgM}RD?^Ct{I`utG1Gy73NzYc#Gz35n;mPTf-mFJr%Nd&h;QI1C>5T-XhnPn^?x1bl zNMcYoGafTmVYQG(SR8}c^N&i1Y(~mHeR3*9zef2A?dOw9`jSOFfd>jf>AI<@aVgqz zN$1|K$nmQ=Z<{^pqq-}6qm!P7rE11)X;SEpg4vSMApF!{ZWT9LMV+~fIuYTlvLsV%&tsUUa7Gt3}uBOK#3Vp*eo$i(KWs0?u5 znKd?d0>!g1aM<1WS68OkS!=o?Y3Zn2TR)qV=Z;vOn8k6yg8kP#`_z`EQFOB;tO!$r z4LJ{~)A*yq7JeVHk9M~O<<-Q6nJw4lMHn6V^{f|-#DuyIPI3h_UCz#4XjoX;A zc*r#xvCiCa{o+5BU9r3tw(^jFcvs|aPHH-i*T6^z5 z4Jgc=4Kv7uw&F6xeeBh}MR9^AVVOC}>zW$abRhckO8b!fjh{@^(Mp>N$cI1PscGD# z$WbIjW;r7i(D+P@l20`}mq{5h06FS&P%N2kgp6~FPjN|zSf2j?UTQYm_llmiFpYNf zB=@LY9AuAPrmR=gLWP**dsK=F013(dbi`2KyeB8z(zL-z_3u*mERAk` zS-H<9qGu14--1sEH6jIA!{;OpdFpCFaai-q0r=Bc62B5`n0lhokUu@Vl(!99fn zcO$??%jeu?k>(P7ql{FubjMTcOjx%9MhWg}maLS-MgSFVhLylkz$ZQPQJ*!A)g4b$ z+MN5y9q$BbUJ?d!W4CMAEt1erH@6_h4#DK?=dUeGj%OV7jJr9sy^}S%|`6F;8O`{8)*G#8DQ?& z$5uRhR7{GwEs}eZd()0l3Y?!-rj{IzxjlU;^#F;^N6brh%}ldK*~EBe`_%v-6Z0Q) zN&s)Y+~k^acM`qHT7B$dzy$QBDJs}JdY@Vv2F~Q<6ZfcKGlmB}Gg2}@6tUVm_vuWT z6^Txyo=G&{oH58e`_#ZMAc9Z1s$Ia2IHSQ;2b0OEuv?7u@0yJt=D_DCuk@>t+KCjE zCpqVukSfmvZ6I>EI3qdrrY<5sD^&L-loT=kIjX4T*eT%d>p&C5=HR*Mo_*+i0!bX6 zl^~KOP0ZXLGf5($Q-ky-fFKNvc~iLk+|+{H&eY5+fzG+d7vKT3L`*9a!KxKvVzP&1o6S7Dj$;Ep4B37 zxZW6dGzP5^h#g1E&piEUN&uXu&3_zpaw}VC*|sUnr`)eLCHO7lDPnr&px!s*@^kOiU63MysuvL zN6UQRVF&R^eE-5wrc_P7`Dh+lluyzG8!u)_`MRi)k#}_8I1!cN3BaJQ`HTbICmoI~dFN z=e;}XA&fT-xz9Xg(nzZp8RVYko*W?D7o2iUL31NNC38r6faSU8Kdm^g1+n$X?@>fC z^D}3jO+H7-B{Pijb3lmOk_#LUN>w1|Es{@qMmgv+p82E%sPra*i!;fBg?jqbOY;Gr z&)%geh0ab-b3!K5mFd))2e^Sd0ne|cBmAxiUOj4NQe)hl^UoBA3J!lr?lWZ;_ zTbMtHa;Msuu@H^BD}he7mEi#yiC%CA^`y9Fkc?%QJ*X*eR&*tKKUzrF4ZE+sPbL*| z!ydI?AzKPb$?HHhb~;4fI-KLJL}PR$o^p9LFi^SN4s*e!I3pR!?tSPOfk^;`&%HSp z_<$g1npnm-Bk`fI4p;G@1>CFG^{8a>-|n9MX(Z>I9DQjOHsq1P^`=AIIafLBnnq38 zBRut|91)e|`p}>f7#=(1(hGk(~P%%debD>208pqNwW%BIp@7JpPQ7)C%ssm$dsMg2fs9~T;z|$ zQ`J|X=lavWUYI%0BBtpvrr8u(W*;!m@~Z@J9aORPs5xi(Mt!PeAcK}C`c#Z@C6M}_ z#-KULAx?Aa)9X)SbmN~cGmh)PcLpHbzY|( z1xKRWY`1-0Ytjjjgp58v6N($TE!?-I=sK0&zBGI2n%%+4X6Q$@YgbaUz0z&xeKjSX z*M8fXs(f^J(h={N1~0lH^$s6+{SPRJmc`iVA{z%cQKHKvT#`S1N`EFtDBxCyiHvH0BL=d zeCeOfEI)@ms+W*0?9zK;R^;-;^c6hN7}e#8i=a3?&T2bLX)oLN1d<5^XQ#D0vEJ-R zJVoMNM({xHv*c}#Je=p-HNU3#g7-|6+IWjZjK(lw-hiFMuQiEhX$G7|ruS-PjzfrX z)89Sn8~e-85MHcNN0lVAHvD7!CDhS+H zt~>Kj(=4aG)u7Rm=^~P6z!GHt0G{=FOPl`y4c*>p&pNW7llO0t@BM18sGjA266l(4 zp9E`fG@gFUunof1NpEho5RkYG6XgE-CH4Z!8HE>5=h$St3A!FylAZC zq9WiZ?~z(M2Ze?GgpyueMQ*XHAKpGPKqrr0lw6rIj*gI9?vTN|IT`-77PWmGEPlr; zfa7Yo>yLBZpf?ggJhhOrllOtCtgkFd`z6_B`LLKyGe}WxUK>W1RG>kzCx`B$k#E67t)*!qgm@eQ0z(cN1W$x<8xlb=&mwEZ^cSDe|~OseXoixcVT zNC@8AM4ezNd2DU1Jyyf)7V$`tpP7DUC;5Jq%`Z}yM7w!48$_B_Od)Z*uY6U8p7X>K zC7s0JZ{{NF!r;&*%DQ-x=1yTGj=c5y3YIH-BP%w=1rG3p;MUfO;mdyy7uEF()tP^U zr#U?vWi2*nw_#wXAR=W zB$y1wF_G<7CDo=tX1$4=ebfPRP(B=AB3Rxa7@Y|WerxbDtbyMamW z7r8Xr^{tkPGUzeK=3VVsRP`RFuZtoVOKzP;7Iv!qp3k-v!N zky7fmm!2Ket~B#1mySV(^Ee$2IO*$;dZ1+9C=C{uYcx|Y+F_3kuyj2QQ}Fa{uU~&> zqccyOsm@0n){s_~19fu&%PvZTxaYq$EGwsJ(yi8zz)ZlMug*sH(Wl4;)J zBGPTGbST}fV>p^J6_tTgn#7*Pn&qZ}$qaeO`?WkXTtO^WmodAC%Brc(!`u97RBMed zQ1Ufc$xV&H@91h$Yb@d}Pa{mCumwM5LYlviNV}z{oB-z}@ zxgY1HM`nMtB#+8{BP4M02hNJzdG;xR|{{UF8j1%~Frrpskvp-Kw9%&4d zGeS!S-#Oj1k5O0rSFCAGr8bM9Zkue18hxLAxU4S{+t|%{Jhtz-7DKdWr?pSv>nX2v zyNyd>5!=Y5vFPVGv$m#umI-_-eX+7zaR&Azc%SaB~obk<3 zOGFwC&E}^J?{#X*@JbQM9=`RVYpwWl!Unoczio<8o>*Lr{{UXqA(q3#7Oioo-Nh>2 zlHmc|-kkgY0F83@_O}zyCHa#rG`oOR`?#eoMfQ%8XtggI-wi_M?%p{>Bs(&I571TZ z14;1rhO~RVGsKs$%_PyEB4>=Z&5j4RZ_2PPZKsDtj`qUn`Ni;2MsjM3UF!3&wbU*d zm@y}K)Q0j8>Xla){zPP?yS)+lsrZgKe>HJ~3(+wrg)=q1oBV z6A)y#hyveSb^ieCRzy}dn%VPae;1NS5l6s~3HzlaJ+7 z>rpNH2_lcnQG&oX1cA>zs1dWM-p{CM5%_0j@3OHFws#JH{Z$W#JUyt(t1LG*b1kq0 z%P2cnr#zZxge)~}Xixo#ZVdKlOR;WQf6wx(pYWUNYh(SKVr7+bGUp(6ro`Qh{c1UU zAA2)t_jvn2;2v@h^{QHCu=7hEr-mROn`s>f1RBw|wUYJ;QfbykBPv{SPo`^D*TL5Q z19>lXsV(G7>ot3E41nWz2R?_d2l~;}cez_m@i%}KTI*HNqZbfKzAkgPj)a5gf0a_# zHBC>)dXM(3`E?+yYTS@J0h-0V(PWXNYj~OP$7?X{{VPi6O`gNUYpAS)%O?Z}#?m@q ze_BCy*<-@m07x$&)a;rxXo{$I;GaQRHrkeC|v-B(|0!>2Z?#UNWy0Ak8s0j4W2C0u3+gryP_x4F00ZPYZGn$@4@K8!s+wb^*%`wGv%QR%CvTTQ9OazZ%yn2dAD z>N;1R_>V|$4$8N_9@m-DRQ>MN*zuq9`BlvheMiI^Ti!>yMTt)GPjSb3eM(m{1P}JX z0JU=R6$c5NWZ?c3c$Z7O@U`1oToEHYm;`j`pRH_J_`k#U7hZMT61j{9E=L=OLG4tv zopwJGY6DZ%86+~Fk}xsXp%jAd=5>UzYN4&s{#7_|I_KK2+Ub@^+e;5IImUScv^+Q9 z#qf3Xy3V(!N^NggDu!58K2k@MJB8GSW09s>sF_NHv7HcLu&CBF8 zMC9i==}&ev$rn)6G~Amz`6C43u-yGKS8Ok}E4@BlQ&3YgnF}*|eQ45bKeQ(n0x;6Z z2@2#(YFpdQ;q5A2B5X9Wsm=&vj{QBU3~jfMJU^$bC&Tv4E?KwE?-oE{ILS4G zsIH@}U(0q^%$8Rrkr%l&U9E1cB^ZRsyz!57#c244MAW=DJQryzM$<>Qo!@kk>FYps zCDOD>H25AZM%;#O10F{`>Y5!(Nz|T8Z!zM@UI$e@a644L+nSc63M0q?jE}lGZ>?$B z>v7zbOSsi`t~X#0YI}p+*^1&X3g1~>>b_i-ml27G^Ep6!AIhcGJ|*ZnmZ>}%1(QP@ ztB3Od!(?&Ia@y{z4xt2kd0?#*{EY3L%bcI^toZbEEUfXfENv*=v>r#N9RNQ;z`iJA zItjcP4ber8bd<<R@5$?rg_x)N?FSsB|V(MkI%9^Yx>s3_8E| z$!oWd1oFx_=AdY8UHtDjDu)A;$UgPZ=spqt$dc)GWsJirfFI2V4ci#&^{!h|w3gOe zh@hK3Rn9Uw?hQFTNtVIl1)ETnB!})S*f<=V`qqboyf5~du59jDqbM&C$vHi+KRS&r zE;QR`)TD&$C~z5m_vcgCd_j2@C}qr=cr*aAXYR0*w-*`V;n%WDIGCAF_k8Jm%<9n4g2<@ZLUrWN>vWJwg$;{cLP<*v~ls06w*$;%!1GERuT|8N%T= zdXd($Zef-|e#4OD(i!MGck&=N+hb1X0q>_1>);OFxv%#hK+9!N&s? zelHek8YSo0ZvpdBNs>S~Ak=o(wwsl(70JjM=9{Q!_d156w`Nev>wutSl0KAm3yG-D z9o^BrM&7ajbB>g;+v$=@x06c}NRgHqJ#qB!#Y-laKAB|Cbi4jiL!SMJ#bivmJLFxX zZ_SZFo77~tQ{PT+Sx9#zj(cXU*xeO#42_O6nq;;&dViI0&<9pr^T_;Z*BXVGRBR$j z{5p?cN~Z3GrG%ebyti{{ZwZ&oQ`B*fuVYea!_O+ZhFL=fIBX1$=Tceeliif^qA$Gj zgIjB+MGl`WqQDu*$$Vs}9cn>Yl341t7DgqsFfW=w8*!8Ub6J|+i+!kSGTy}ic?lsG ze^FWKVB=ItOk>Jc$su@Nct4$Wv-q0t!V^b5-HOVOZgGTQ?MJp`hkO=t#p_EJd?%k{g(xiiBWP;bu4)6a1&D`u_l)X?3Y&^C7k+iHaZ`DdMZz z?sF+_Xh6Bmq?N2#AsM&Du81H>sIDz;DAkKD~DIWE82lt;O3sml8=M)_cfeVrnr%ejAh-O ztl1+Kw|5Lu?p>_NSP)yOrZhG-4=A%ii-Wz(jFt8WwIa7hdTM`VeYZq{=jJrC!!7F) zT}mDk<-rvt&XjIXn1qb=Ao42Ry{6a-N{5B(-l->YOxt}KqCaVA+i6d@4;lK>$pbno zBDV@b=A@2KCSN5Suq}=Zx%sd`ZsMtkr(lpapXP}Ijo5D8J!$t>;o~b5 zUotNMb!=7ZrPHKF5k^WkRUB0rG}-1;EDBHt3R#AKO3VnY)(f^J1{{!Fd)50j7UiT| zu_rk9sP+g)%7l}_YIF=>aUdk)k)FnYE6HbnvFH0EhhvuG2kJd5NG_+R*xPGX_iVQf z^8o9foDt1#Sa^>|zOgqty^~zsM)+Ab?FDhjKJ|sEYVqrrg{6o_6DbO)z*QZE1Kgte zrTy!FweeImT4<_V=fK zMSG69ZJU_n7A&on380+9=q_g@T@O z?@rfXSbMvOi8k-IoMC!W{krnv&V7f3apn`r_2Q(l@+V!4Lgewgn$eaRbeN=2!OM&u znQV@fNq%i^J83^R{%{WOjO2Jfx2iKZGow*s#d8_u2LKw>GdFp9(9_)@bEgGC>BdsKt z)^^Xo%P)iT6&%%zhqdzrR`O&rWRvSvqtfHK$@2=G<92)Zs%ql7tb8pFr*|Hw71%cJ znUgPv$><98sJuyO6cER0E?vstzo({YZ(eIVG`X^1IRKN-HC0}F@^|If0$h{&(pR`W zN)bmWae#6UQ(G4L>9IEJJ(A48Vnd#z)~O@fzWCZ*uOHsaQ(}KQFv$C|rE^q6_=_5b zxdyqYKc5!(!+fOl3OE9*9XHGQt(;?aNj%inR*=TqyrOuQgYC4=3 zQ!6qX$NA!^>IROVYPzHtS&$aUxwv4IBvQ6RmmfbCEUyi&UieJYK3RA`*Zotu^sZqJu5PauXTxTqeY(N zu#JKulllSr(XuvdFUm8?H9z((meB3ExM1o>BigH730TOGf=cI-I#nhL;03-hyp6&U>+4SXk9J8d#1J5Ckp8ET zYOU4l-Zo@VM_`8-`qLqj=Tn)D#KWG0Z+gBo$4f-HQa)lp8Oa|}Om9+>+D6TS88h6P zg>B`zEdu0&)S9s{bGchB&#yyJ#ETq;K+Tb!^&7EnQF|MkcKOJ{oNgVdvfL~uOEC)TLwOOA`RZlG*F&P!)ziNJ3cp^{1`$69t*sw>C-lG{!MxmmO+jZHTvT0G@+2 zUSBp94Kyr4`>ZO7T9ewsX5Ojk^Az~^xXpKNrfUKq+dj)d}QX0-EI6mlCB#@`6oPd!d4mdm!k^vw$AfL-MVqHScOX%VA0E$eOe@f9G4|SrebEhQ8`y8)in3|0!T zZ7d5OcLPwo5)6eo$8$yQJ=rX?nI>q#z|R}I(nSQ*w$)Ne=qd=@6S3PTJxy3Tf?Iyz zN(+@ex>9#4TL;@@jUG0HBdNz6?jE&NGfvFRK{?MoYSo)XGBTCwc`QGbHfva*JHv6B z9;A$f+Myq4Q=I1_rHL)%Q;hSR15}xuyGe}X)r$#ZwUy?T3%f296Zd`1F$wl*6ze{uK?&ov~serO5DCY@^#XFhle3FVJ8Jw`qa&g2OT0(m6Ug2K|V+t-|Wnz3-}du3OEeK;LVX zS=(+}IJnQ}S@(L%UQHkt?HaMlWx7+`&FaeYGl@P&%APUUQ_^RH__7ZjX~^ND+py=> zqMed8J5b=Bq|jQ}d`)c>yWSGRX&;H{P(^OiDcq!cW}4DT6v9-=9sMfYT7*p=^AX5B zXaYC1o;gg3C}rAM6URzO?`Ks=`5TWd=}fq~X{LEp9jB;y7Mt0@W>k-zy-xH4(4 zE^YuXA#T}jhu;-iaDWW1P7f#f)yaO#u#|C@JX9vxr8xkxQI3^2)Cvi1^RHe><06$2 zp#(~Y(>bjLvA2V3DmHt9+5D=t?)O3hk=v8rg}BPH&vhOFRvG)J)KjfyZ!iMK3*4G` z!>^Mg45{nsO;iBk26u7|H?cBgw-Q4j*u3QN?NO$mCCJ`~Cw4aDB-7Ff3keG0K+RgU z01e=h{kiKy*h#XL)sxyzVr&-n?NK_oz&+~a#qGn%^QbB~`@H^i&GASR6|iBZQETUTQ|UX=cp0&U)0X1Wtx&4?eWp0yVhuz*!q8Pp)xMyW2}CM9*H- zlSVh~PKUXw<~EF+4oT$Zs0n1Y+Tb8PdCBie;iDY)rYx?6@K}-jUA~m%n9RGGlo3Gg zNoOzbOows#NI5)I&?qEl<_Ec}8Uz+nw9S2XB2x(}#c~UD3;5^st5Nu7{xYpJw(74I zjmG(!_cLR#8J*bXAbDsRwgK0gqxRdecim!Dvq@AmfdgGeRWNxH` zjGxA<$hec{2OhcTYIkqqKD_f!b&5zqWam8%HMm`oLdHHBbMI25`{eTQJ$dO+s976l zJ!({i)D~qudew#QES9-%k%2ku>T0~m#BD-y3C&n$mL%QkX}e1T{N(k?pc!60#Xe=` ztl7)U`!Fiw32dR6Ws zoNc)9bI&|gt9V-JS_pY!GtX*??f(GftY@!N=}w8772dfGp0u9eNiLH#1et?=H4(^c zbDEJg3z?)TD;Fn$&mx}^`K`5=IXvU2rUiE!)PvXFoEMYxtsz{Xxk0IX{oB zR1t4JV9%!%0E89{0`u#f(?|)H@h?*bC2ZdIDf%sU-EJ zOiP9>-mE|bippE3y(fEtADGdp8*&K2C;C+Saool^TjeL_ZnU04&g&lllg4R$r-91n z>+Ms!FLY_#BxxUztPV4b8p*l0a&Y8sKizENqz-d}#OL0dyoM}#p7h?tt;*|e=ytMq z?^202slof*nw;#9(>Vt@q#!@eS3ahsut}Y;r}d{wRnXw~?rO7=2?|d>rj#=el;nbX z)g~9zem-6sJo8a1IFy`I<7{I*o_RF&es>>EYCt19n5qo=ll>|1!Ex z!8kn!dXOf5MduyKpoh5G00VCs>GY_s<8{UW=hSmbSclJi^)&Z<4a9~fv>o*-1!BbX zBZ_g8DnM?XiKSz|jAxn_4CS%(sC$iW3aS{8I{MU7jJD8zVtUlYSx2wqP{>F)>Q6L; z{vtCI0#uT6X;2g-j@|uf;r>7vWST<5o=?!wP^el($vC7%4CJzRr--nlzqzH_&Iw;$ zv;d0;2OxAk#%K_8jAzv5rByBcY3LMXG0$F207m}+yHm7h>rtYxeZ*&)l0nYWPIFB^ zCP0%XKE3Dx%D`|JC%bx6mL>_1!zA~pgBCdh1oh^mw{r6QiR6)w}X(h;Pk)CO;>}75` zd(aKoaRts){YH9I_j#>`Q^$PNVojenQfWl0-#6Ylpb4RHjuUrL-lqy5D%@b?u{BUA zX5J4^dUH?N&mA&o142tU77-=9`=mWr6Bk(p1mI6Nf@fw=4m z&IhFcRcL_uLS*N!r8NfW3~JqaW~{pAKQWvf^Tk$;FXv5JFi(7*X|g#*Ag@}8Je%{h<2=*c z1)X=2PdPN`Q`eAt(v`@=btabs8%a4Q){6k(gm8_Yb4U>V;@sz{6z!^qYcW0g(%@~| zkFIF|#g(Et#!pINl#)nZNuYvrgUumM4(>=ipLz;gj$DlQ=acVBd-2a&5P~`DPE~FA zex`tyq#s`xqJ>kog#tAU@{Lr{`3iI$sPQ|@`3^N>rt|C z^ZNStsSxC293Gt0WnrI`WafeLmD?kto)4`kWpG0V>(?}sMUqI)PhM#jK%Xi6=p~Ol zar)G4fY?9IoaZWV--)IckenWKK>rltJo963N z1#p5)k~7|cw(dnScXr1ho`#ze?&*Np>eXx*q`}I9N6ax%$f_R$r(-~KJB%S;CmCVX zlho1}&+zr`O-unmNnU@QF^=z@xXzusuLGQu-kZ5teS3pa&ZR*F z_3UamqJ7xw_|qYXp|XBc*ON|MsL4HjY0r#dxb-=x{!A89Pvb}lq5z%`KD8^zV&fgE zy}Ybk;BiwtpWa;K)|+=2j==oKIVYZKHshT2rQA6u*PQmFk~ekFtu4ey<(GWpzd5IQ zL?60MN{AbPy!WS+8wn~w^d_6wWoP@i9r~JRC#HWAX;`ss*fefXr@w5_wwEoKqH)vrlcy{ar{TAJ*grXU)`9N8pa++?>!1>#Sk5WEWyGh0n|h z$pm&Z-B#pW-4d*E(RUt2Qr^oXi3+-GZ=ARBA7k89l5m1d9!Dh5PK37V(JMA{ka@*b zO+sLXIol)nk7^C;5URO9HZfC0r?teOhuSx8Y|t^$Z3&Pv4@_04?g~Za#@rM0DfOwZ z?bBp-_VIzRa^tJ})3hxXOJg|>{{IrnpG0*g-**l^eD>-iNqk`SGv2l`XLtgUL zmK`>I(p$bHlRtau`PDm#;?u9EwY88*<-XG*lh@ePw#g;rsK zuXUT57TFArgDf-C^Q#t^jy;A@O2|cNKB?i)4bA82E^eLMYr61oJ*zDwU^fK+09eH2 zbs0IJ8@o3=Hw0SE$M!X`jyIZh26@{GJ92%$rEA`JWjrgXOQz}#1g*S>dufBKWOIfc zYo2XFZ97C4Ff2ZNl3_tPBk-z8uIjSBT2%uW+l-0?O|RGscs|c-mXPqEpI`p9RG&rF z^zA5XF%8ic+bGX}Y}SpIqiqe!+xUY`^FWA(V~|(BUfrrKOI3?f3kICu=tO6e)c*iV zW4V;q*H;PUyz&vaU~Z{lmL;8j)3}WDR#(HfOtW92GeHpzD`V~sI^(BN`P3KNZrP
`mB=sQ-%onvpH#KQj2%^?I4BXBduX%o!K7P@AM z0JqfRzGs_x^C5M>L;Y&Mi7yjW)mXX4|f5m~`kkHa0(cD9K3p*CX*lwr3Gt#y#C5|)gPO{l;CgGB$xdW;76|WAJWWEx- zlJNsG58W^rZ!mTh%iG;c=KYd(EL(*g=wgvA^{@I=mo~s+N6+5-qu#FA*-W-geuNc5 zJj0%qRwe$-l*2uvfg#E-RnEwEU14C|XH4hKpO%zST#5aXrKs?hdtnLXKgFw>4hht>$GgfWo-Eu_o{_(z2 zSm$u*k9yaswKD5XeNBzkgiR#u zr{5>9HCe*M;pdm{Fd030HMM^gr-Sco^-DW}GTcU8;@!wTq<(Y_YE!t;g_nc=*?!TR z(=W=S??>uCI_9nQ4N~cz8;!?yerBuMj-h$4$@aPCYzbM4ax!{yKVQbA(scVhL43)t z3}GA)2U15kq3TIAQ_tb$MAT5rYjA*-Qb-?B+Ko$BOL#VD(cxHNj=+5?-laNgXf*q4 zBe^)va(ycGg#b#~G6f+3oc0NgyGUNxI)2pt2)wCn^Ubr=Cd!Y9Qd_oK<_- zuJn7yw(?$hvw-1&r@j5npO+-ze70zTTU6kcP9Y4mcS?Xrljs^(_ z1fS(sVS5Wz8jYR|gm!GzJB=o7QOuUI13RDIm0!Aj2cG*yNx#&G> zM@rDYv)k>OiX@P_7lIv8f(C3b1ZN~xG#5_us+k<)D5_ZT z>qw#35wcA0Y@=y%RC`s4bg71p+R{$3n4jj41ha9^;a2QhPq$<`v@X%GKQeau)Yli* zGB55k_GUcb&;=LqHQuoT>0t)%ERZ}p}o)JZIm#7|S=J@L@SdQb*`VoYUsb!<~^blcc&AMCc`RPzZ^jgkgyOIXsR(rs<^OADq~blSjm2fYFv z;e9^kw6+)4!B^(PxWO3z0QFW4-Tmg5G~isYh`%wG?N|Q*;V9Jat`km`ox(@8ittPNGC+&JKHe=ReA|Keuca!A6w3 zyu>RseKGa?dQ&`CEsW`Fq}#@$%7~U={aZaNGsAXMTx!o_C5(nLyvR-lf3FmE8F=_t zRMa%VscEa3Qbik2InQ2u*0+gZc=Q-7n@#eiSw{C}8=QgIb@lw}h32cQ_%i-GOEP4J zMGYBa_lJB_wMq5;ZqRtm^s@1W^5!w}BK0Kp?M=IZS3#cg<5vFwgmUs$DRKZ=8MC)N zIrSCOTkFT+PN_DVs9wGLN{T`{WTMtilc{)W@XP!|xc+rs<_WxGcP+!- zNM{%T%hiX_(zuJhDb-U_x|>?Jv2QAQcNJgZTyTH-!kMM`FHL*Md`tbI2}J$rgLn*a z*n%s2!dfiaE}5v<_<;k#_E%_KxFP#<-~9U51q8A|c_qM|nn@U`^}+2!9nC)%OKGX= za_ZV@y2mlgL`HDk@I`3&HpzTbrC!4zktDJk(8xSxbdvRNjhGaYZNX}t;Dg684s`W{HhZZY^dK7b?qB&mAX)@e72Ep2>E&*DhV#+ zE^hB4*}br&cNGqw;j0f5LeNcmJk&U82_TQgw)Lnqi%U54NMbWf5c4FLc2&l zBOIisIO+v%!{YB2{4&&{Y3&wRt}THHzp>KeAQdEw%QHS*QOj5=qb_oN`U&?40JMV=hQ zsq+;lJP+qm_`c=`KVlY2C5d^FI*@vE-m?wW{{V+|d#g9PlXNo_(QDQb*w4q0j!7hBA7DzXp^hn#&4Y-rvq*L)cAX=7`wigIKbD_Y(k)$~h? z8@MB#1;GCRP&mop@z3K`q0=PP+jYIWOEbu^or|1jf`3|ii527V4BF0Q);F|F!Q&_R zIL98xy=iEAMw_9_Cbx5O0|w(fZcu%y_P6loTJV;GcADmv$*}(bp5@$~J^N7NeP z=9*hbjPrt3@x4z$^rTkh{Yp5sNu1e*F#>ij0VC=~Sn%(Lq`A}XPOA&AmZ!><8v{P| z0#E+{2;7ltcG05-P+B(`4UhBsQ}6yF-|BIjQErj5zH+Cis_0JUZO*4Qk7aXjr^6(r zBn0n})B09zy^ZC)>NHlRA}*#v$2lIAyQn~P$z{}{iMJyt5vjq!=cNoDqpbWdZKL>B zPq*5Ym^SE-_kBmbb5obLoC}+b6@A=R%pM}vHLDw~IyhQ0pOI$ez#ZyO3|)9nQ%AG$ zBxNO3A&EF*!=^v_)NTlOk$Glgzq++y6uhH!$@CRH-^DLGN;*!Pr-Qw=%6njS%}wGN z;-1nSGS(s@i8wBQFRf$gS_RYUF|mvY7#-Q`pK2}!?}t1gW#c_Bhw?V zQC2=7TU)N5XW_j%Z!+fEJTnpe9kGhVyo!A$<*n@4+sJxs$UA!v{=HkdO;Y1UZFI%C zl>YI{Za$s5P{8M;w|MU0ifGOyaD?{fj=ieQ{k_hGbtStv@Y>Cak3H*-2GxwSzg)62Po9FR%Ksr20hdCRFmeG-LY z3{L##vBCcUJXXGy;VEpiyRYpf`!X`_iFy`dcqcu&*FUV-UD&|a(MuuQ_qq8-4??FxKU>$Q-u!49{uPzjk)2($r7t~^j;)7V6DlyAQIU=*H^eFG7 zdv=hnL1!nO$F6GIS-riuvq(d-rb)*q^PqR`cNhL3@D7!y+^QEF1k-`#3QYvgEUL$F^%rMe%fcdbXLYSw$o`W%CSwFh5iNde)>mZ-@_KX?g@0lu4A21V-9bHRNNwEFS?q2cyPUxw00%sPf2~{4{2g?%rmXUywTvCD zyQuAv*zt;^VWUbsH9hU@8(KB}*5UVTdX>NHAZU?G6<&TsRN2CuukzpsRNJ7O!RDiBaS(s7P5)0kNKd{uP_y?-VbFm1Mt0 zmfT#cuy@HlGuFF(OUAwu@lBzz@a(Gs%zkKPlzfA&RJAKZgxB?mtP&e^x(&W1UcC=| znyUjxaU^!rl|uRCpXKXUJW=83Z7kB-%skSQleC7$4+f~}QK*VC*5zc004m){>r9)Q z8YC9FJjInf#CZndPI7&!uCw90-`+K}3L`FfQ`_8DEuH?SHmPrD_u*08kdCW( zvJ!AO^yA*HUHG+iyN@r*jyRdYl{(dL_(LMqbs1L50wrQ4SyQka;9`fU%^5Fr(JWS4 zoJbkh=4M};)MNCd)D|5tPE9LEhxc)1K0lm)mztay}yO+ZtUba zf;`9wAdgIr!l~)rAbl?ONOdOrQp33*lpG9pKJ^=TwjFovHVq_@u5es*KHulog}9q5 zw~DoWYhHn*eTgpARol>yr}M6s$3pP^)~P0$tlo=zrd{$jepUm&v8){z!{Qku*3H7m zk;nvKo-^z3R=h{1-1v_2eSb~2c~TLwU5aq0j{W}t`t>s08GFStSnA0Zm!}qWwSSu7 zn+nIb1KPPq(Qj?9ms5TQ`VAud5`X9 zRU4QRNUa+i8!bLa?q<1C91zH-EO_aj)NaP*baXcUEbs-SgH?<;lrQ?8na`$clhuOw zhVn_^kIOE^#5g>4&~vySUjFpDl$n=gvs;CkB0Iwled}g_6vJ%-o0wz!M(!LZ zU&pONr%HL!4Ky;Ye|;p6l0N~`jbFmoS2Cs6imf_J#?~J)f!vPNG{jW8vQ2J7B!>>< zW1s6*t+cP~<}ety7T^)hORH#C_PSJ3zR@8B9j6;{{Qm&@)s3fW_tw|3MA9>+ixpd}(I4vH2QewqIeyUv{K@6}(%jBo?WO zHZwXz0OPJn?^GYdlAE}0SzpPK^28tW=~lc)4B9>XcN%n(Lc6xCp}zMN!MAdKQrc@> z9W17Zq@froCzDun+FZ&KD{xe^jqFo68RY&|qos{gT%PC7FFQcLAR{cpss6QZN7Qsf z1lIbDZp0x7Iu$+t0MFK?y+ByJt2?wdQW6FTX+3_G0oKLM>HBuzj>H*To_pq|mOFck zQnB)PWg9s@m0lexYi3!eh?HGwF2-~NJ=oAa!$q`Nb%YmCIaB_ys5$)U zZzgR%R*PtJk^v*~t8;76L#O?Q1Z9dm(9?AIZeg?7!9B(x&44WOb;nEt<|VZdU|!9+dYE zrnUC9p*-&%lCmaO1tjhC^sIK%C!XgDS+^7O9{&JZh8tP#j~P?lv)AcbbI&H8BAPi8 zMI#QbazUvFsTqx}A`!YB$C7`oW?kIOyPfW(A&&|ijMevfuid0oW;i`NRVRTm!g6w% z1xI66lelP&FWgzO3DhWPfeqInimM&{z2(8Qg~0)^;2aPSw`#33++AC!E>6Y5l6z9= z)=1Z0Ye)0rs667BF~dWUY6P#(8De(zHKF2bMYFWEmrRM$LITbiv-4+~r+0NMZq~5w z488rUM?}6?v}iRZG7J!RC?_YsH7(o*=(pDma?>dLsyFDKIu3L3eQ_(@z^T`Q?v5 zc;>NApEjL((aDwpj~#l_+(9D5uH}S^erVf*hw$rEuQgVAOj4H1b!uw&GZy-LfpGbd zV73O%gZyZ)6BlotvE7N!HEPWvWC7m`jna|1{xdN~+qP%R|+Nmm|mIj=43(ZQ&Y_Feqjq-$($F*ze_V$q5#rFGY7aX6P z8;7+%qcglWsOnl3*V=3tjxgc5-CZod6=S>8WYX>|nPibNWKoZpde#lZJEmlS5RH1Fy=z=Gi$EF}%dtN*I30aG>VtR#RfXAH%MGz#L_ zK@;7pT#uKd6Z%y0SqWzHE$2ojkG+9aZmn-GbmvQBv7kprze>w!( zY>HP>hZVLHzMj z*xTN+{i@7nKzKbyex2%VH(M5V!%e!k1{oy<$Wfn8y{gW=sOh$ngoWhyg*IIec$=`QXaosL5G?l5`N+r{ z%uaFY52h+)^%-gen^)7_Vndd0TOBG5M^8F%5&6p|;rD^hPPG|%?X8* zeAzxjAtdz4&T56!hIak$mZLct>sD;#SfVV5cW0$2T!PbMf!7?=LvH2rl=JIAJ;`Rg zlHe?EqX3Wy6(knc_bjU`5wXD}9%+jKQ=DhloYS`~prmB($2jXi7iYF1@Bv-igV@zq zwRmOPz_w2y)Rwn%Euz6RFP-_^{b}u}h@y~B5hac@^`yH97I-bAb`8h}aP&1>?Iz?2 z1ZQv@)oXbW%F)QD=kJ==he&xX47TyJl^dUF&mYp56j~2Cx3c3a$j^Uju8f3~*iT?{ zSFR#)8Fk3)MNp1856{5C@7|TQ0iqYn6hg;5=B*JnpXEz!Gc1_g7 zx6)rlvzGA4vL`_s5_aaWuBMt@%ov=vV?aGk2!Z=Akp!yl7w6~n6$Pskauy_J+&Ls? z6&9Cqai`sR*%@{N4!Ip`q`vWPn>0;tZ{hgie0;8p$v;|qkhe0fH9OrsSuL9(mkv)A zMmxKiTs+EH=Q+n;^XpPG38Z5AIqGvxwTa-#R_sS!)VD0Zrpct-VBPtVZsUS>PSOjsm03Uizue;U!#yhA>nXjTurI%M?pthp}I zCRK1rely$gt2SwF?Y3z)?&-#PsbKdWxANUc(_9krGZO4idbMk5Z#$Wq;xX57bAwfG zVvg1`D&>@)ylO8kRKY>U;6pvt+iNQcI=;0VXl)?@-%ea@L)18_ol;0fFj$s#Viu zp7ZQ5#xhCVPe46uDo2p1#^Ijo-6~sa+k{}|M&tO2rMS@9>}C=;@`zMyHceN$xt$ku za&2YgXOUVm>WMYG+Xqta;E+y8#ZmhxkPys!@_FW)yA#xoO=9wV1c)5AS&v*)!gC?> z82%=!`5rqATk=K^b%VteHCQpcxBEweEoW1;mG8b`76-1F;K;?znN zNe2N)98kbC%j>6okw{o7V`e&ginI;pu@8}HB9{X=?s3+t>Gzh2JI5OG@t#jWX>BbU z&fs2K&iF+++TD4iQryuI!oW>@o>>dEi5Nq0YM9$wsnzRk-Uea{GHVNfF#5g;>>Apm$N}09YER-M!Jh8n=MqSA%-uZ&XevRl%_8GI z{VO(n=gcEMxiw}dX&_Jbha)}dw_t2@1>9JYQ0J5SQ!V2vNDY-gtyz-B=`78{u0s9n z)B^8n5wsHCovGg74(+>OPBW5zl?3u{JAvSuN0vz(ga_Z6eAcQUS>imC$TR^9d3?J# z9;DPqX_0`CF(deUQd~tgH*MhcH0wCj%3EeR22FvQpL6d?yD^Y6VRA9})Oal8IsEEXj2Q@K$Em0NpUZ6Y8OR2m?jfma zFw+8a*PhhTxROS4PdKN$Nw*`vY|~;alKkEJ&@u5A#u)WJwIs5I7$curNggDNuO!rN zjOfk|an$-y^$}vlM291icok|ZF%`F$z#yK6p|IS6F&{ya)g{rHq$4D$K9wCut%}!X zMel|n)jyjc_wGrn&J~HsEHl9;r9*Y(vV;TzM_RBWTckN$Qws-Uv}4wXjg>x8l6uor z5V&ALCz=FE8brnhbJmnYB+@D=%Q56;qYiw{qd3n>yAp_s%DfD6O(&=fhS4LOq?yLi zkWcYLBPWwe`U4}DaADh=lhl*anH#18fPdc20v2XGbfi951A-6n zQf;u@bcClrdp#--HP!lOCz5H>7H*m1nyjD1KBAFAv#CEXIrTKwP*(#zeQHP}Q#QbN z1Kd;Og`#H2@6=VHmC_a`J$a?t>e(6VPb`0gocA;goMh+gLI8Wa=9bL&=Owcj4`$^Ktjg;8TUIXU;KW1nf-81uoV z*)l9gb1P*-5HrqAM>L$cIP1knB+U|o!6f%J2b;K($0VM4samnEhZYOT2Q<$x89B)` zk{p7$m2pQKNlbGU zySZoJdIET=5?s1{!Jc_JpgR${my=T42dNm0FUW{<>6)4_6P>?POSBx|V4n1C1aa#^ z+?ft(BOfSUrkGYhrN#pYT!0T=r!>XNOgQA8wVM>1CRqOfb_%J^ zd(>0JMoteVrwrIJ53Xqotbh=`4l4FSZM0JIj^-Tu(4~IiPsG)$wU1&vQMPl2BBMw= z#^7Vz)U8E*NWx%$jUfceqXFB3X$qph^HIZb8h{)U zPijdXG~Ix4nl8pQ?gKGiax+aljx*1CY7F!1)aIoM!AQ^Jnm|S+aq$mHgq zP!>nsmB&85w8%?u+t1dWxmfNT)sHM~!$*&L zhE+lL`qTy8$j(@u!WLRy;(& zXQ`>o>5O~wPpts1EYWTmEu0#z%kv$>p4B?bxlS?o)NTr#<39As5-9ZF?D^%nySNu( zMHcYK04P`ZiG1WAY#zO8)vA8rWOY2|sW`~_S2Z*@E`!V4yRqhm1%Voa^Dc1Q`qM5W z1dyZip=M$TR3PV`YGUzDp5yO&=8*2nqakqX$nI(ARDhxR&+A6tFpvjcnWx*vvB+JA zw=@ppri=G{XRkcfS0j1I2cCCS60TP)KD7FSWp`yRdxCq^&;Y=f1M#R` z2;^kaq|F-^3Quln3Eh)IIbpZIe)O)Re$mEi7_N>;&Pnf@l^xg>E6#eJe+mIJrPQ_A z^@*fgm3~`xANWc1sWe*&Ro3@%ghQ6xGf-)|d~-)}6#MPj2oZVbCC|650q(6qgiFH= zFb9gZj2qN`qVZf^JK7wnZ046uwU${Jf>#6OVloNzq#>+U6C_QtQb2rh?lV?C-EV9M zm&pf!Dr_9gBGzVt31gZpzVcO*srIS#nIY8M%DGK~RscMw1M#X*>F<3hlH6qZgK~~@ zTDQ?zUq&?Rbp7N*JGWqx1vjDu?#;W2ZTt%ax?R21tefSTcO2x>X%I=Q>Pc^SGxl|5GM=T6O#W4Bb~-D`VI~Qa1;IJaJNs0WCfkTK-!(0j zrDg@m{vV}Anx3I|Czmb8^4&6@cihv2uO!)U!ZY_lJx9JPLeELkY?ZaCCoIBIwl0}C zpvpkq$@_ziqtdK+dq|q*3yZ7C zHpdq#dX^`kr*>VNM0!t$+Izt@?7m!i$y|1-+VmFIcg}RFK2pRs4-`w{3)v)+$~$!k zue8VyC;TX|YfFoRZ+?<2T_kccbK4Y#R7kwk`$C<}I+M@gR!+8}CTm2EB*!I3da-kH zp=lN-$4(Nzn)u%M&#p~m$)w&({*i8qRi6YC$oHnj9mG#QyUcYgMm;?%D_heAh(NQ4-S@(tgm$H|y1Bp1G9B&)5CQxnwNh@t?{L$u zZ*1C2KP{#g5@s?_ENf=+$5rsix7DZ03zQC!^~m?9Xqu*%VJ*GBt*4p1h}> zgEakjRhwSB`$W(b90=n*RCgHdQ+-BI)iukVWREs;8s{Y=q>95$nEkTYmfAop{c}_4 zT5-3(Ynzn?17$$u0q8-j-A!csOt*Ib03>oTJ3--Sy}_%NHJRkS*#uzlF@kgd0P3px zewg!0MBt-tqtuF3H3cv8H$MtsyPG> z{{W3&wAHU9fLz^xW8MJ-Zu;|7V}xH8FDmW_=I5Heu*VLD+I6I4$kK)kPDj#!M^XO( z2_})@$?a}@J7}%vTez7#&H*5gn;h3Ob)oC`wy|rL@g%#>N}=b2)2&6OlzmP;E>;1i zY#f7y$5X{?T(fv$_Iq1-3#6O_o(HEC46$<1TIeJ9AL&K&<{afX=~+-}TBZHV8_Avf zARp)a=9BIB7qOL+Mi@DG!Ok&S7FO1l(F@j?Gaf)4slC98+f=oQ*lHH}F#=a|{{SDq ztuy;xeQM$_?I%)^#840b<26?OWVo71?QI-n<$-PA&ZW1qx_t$%XS68ex&Ht^N<%sw z6T?yH(~F%(-5n(TE6h^?1)-`-1{?do|T((pz1asW4!wbmdbEU zazt~Sb^NIF3yB7vnv}_=sZ^EFl~y>;Ju1el4W*ZdPM3FTjd8bhGLA^?gHQ1du9}w# zeWcFCj6dj9}geBR!op5Rkme^v1Gl4*Anh|)k&&iro2PsXd5 zS#4S34%X6246Mz_Bc4yw`PR0X;p-m~&laDkHDS~S$O=Z(D9{p%LxNj=3> zZ4y{DWJP_pyRjit*VqcNcQpD8-{{C6YvclW$53ej(d(KflVRaVV3zGo+LAow2L-st zUe(W7{jI0_6t_*8TO7Aj{RK$6&aJ82G?#z80E4$bbe~Gz)2HyHQLd+SKhhu>G4MdZ z>rJpTEp-FoO-b~vRTs-SG7xfk=rVmyDVooSKeW<2D7jPwsM<4w*Cwm@y8bT~-L>AG zZ{@jgr_4Wp9r4@$0IgU27ogc*eXiSCA2fD_hQopnZ{%qJniiBT1bXR~DMr!4uq0zS z{{TMKkE>cE-g)+_z!oi@at>*Kd!|m-KaTWOB)d*Z9O}I>M0DZEv>cWfi)Ctmc&fwpgn7-&|tCf2Zk=% zIi#I+5;1PiovYKK;0~wps~-~l9cSU~6HJ=Y-bpW~kIcD@zuo7IgV6r~g>jHeJhyG7 z8<`8dfSB8!y{YQN%>6@7ytlq>O44EwF*|X|2e2Nsx#ABC>KX@!G=Cb}%JKcZT)c8F z){LpgKBN3AYf0C1ohHg_PZ4-|7)2tKkQ_coUQbYXtj`>H&QBETQdusa_G>8r0Mx_| zK=&V)=|~=>E8i7rejv78I`#NM3dRltpIrB=8X557BCe&BoG}F%fl_-^wmuVz8>@X? zZ`I=fG?B7k{RhAJ)>gjJ>RN=iFvFeA*KR*Q@uBP{>~x+j*2b%A4vqH3NTVU5MBu1B zMtkS_)^3yF$!@$!9PVWM7e#hGSDtu+T{}>}RQpq$d5?jgYTWSehBOZX$$xRE>rl#)BLfEP_N!WgcVXb&d%@D_ z@{MA^$t*zm5-t>n1Le=JBC$M2CD)0r&E@Vlf%}Mv`=iif^Q_zG(xCZU=8UH~ z713V!SkK`5Eq+-f7gE4XyG|P;rUf8r+^6Bs3E$~=#^+hlT2Y-OSIB7)v&kH{{YgTN)=aJ*uXutyw*X*z~L6AY;kyOuxuBSHF$!yXrlYj!QdE%C$Y`LXDrt3P9 zm&7+vpi{|a!!~*RdLC<|@vZiOZKYc18XBMM_9a?OkG?U-O!V#VSw9i{C2e5@7uGyT20M+W0R=({*htOqFN2i*)cwE*)eCzolb%cj6t_hot)^p=)axXcKb9yao&b>7Ko7 znejcdY8Ov?1)~VTI8nh&o`#TIi^MvmzM~@BSjCHAFonm=ezi&u4&A}2+s=@Z1LUT7 zZ^oYuoRe9!kwC;n*F8Y@6`gypYbzMC6BLD5g4yKf(9^z!Cvx|TbnB}vOMRMQR&ti2!{6P{iZ30m;mL5J09HPo$e;h9WUXmdOwLG@s_D7 z+gSw5!t(sGf$!UqTwjXq?Defq?@-e%Jh<9cAja9`^aIk55Nr4H&jfSc&#=j~MqlMn zdwnX^lR>84CB5ahnG%&}h!Qt1x8X>7lX!N=Sl2Wrf&?#ik&@2MleBS@+nP-)#5#?H z>!j*1lP8(An>?xhmC|XRAn@!~^QVUFe71avu=yZ&#berdPV>YQ%dF_&nB$w~cV0*N z#RJ`&Fg$m+e`C9g%y}F~86zb6U{_%N3h@5`g*+d2@2cBK_s|wL+p=R}kTd9e0qZYMJt?mpl1&nflj%jMiy~~y!Bi4Lf2id@EM90i*WRNSM@YRNwtXtk%>TtEItOLuE z;B8m+#d8`&OJh3U+ewCCM2ZIo>sGu|;yc|`HJ+U*MP4$=_m9{7^`uDe5^C^X+eP8{ zUNV-c<)MuL&O4Gi)-{fwa|W$zcO9&=By)wE%IX0){{R|&g_XyYe$Y-=tFhppYS6RS zZ*;pUpn#3Cxa6-Oj)ycAxj&5V7fHX6=~1}054U`S1ZUUMsQ7yJ=Js=I=b2qb?oVp9 zVdY+2yq+O4TthSNa2p3b@tV|@4-RN?AMD!?E=fk*vt*3ZvnkwuljF}0=@Klrx-k1h zd}dCW_CCMiSo+SVapKKS`)2CbIA9re_&|zq`Qv`Bw+4R z+!K#lYjR%3mxa7FJ-yWWh3=gq!66us^y%87@iY@!+RL$tL_4xq?{iq%e~IL1K%c|$>2}f_LqC{EILd&2QR!TJ=*%@G)RH~0 zxF06&`RZz~s2bMR(9de2(|g2r`Jm^6>sm4B%DP*_cA`XfCMNCfD zKAEkZI{yGhGc~5K8lhDrN=8UO;Z=1+)BH-S<=hxLtZc_1b_X4)UgbAovv}U$S%5XY zyqj7scb@e|-p5GPE!yGc5xi3cP|twb{{SYmJUihFi)*#Jx?eiY5sNr*e8N7Jk9hGxI#O4FeROoZIz9mhDxJ*tkGp%v7{y~VpLB%38<7y$R? zstC(3+M>B4Sb1e)er|hK&-^Ss9z8!|#fcS;7&dzKt!+zJ}C*0bTwQh6=!R|z7B z#H+@`-1>B=-&%b}Hol*9iiGcCI%E1(wrDlg5^8Qqbqa*G6?4W&t3$$iPKl*mSX%00 zXS(%IuQuXZiV+AWk%dnK*pY*t9OFE}{QTA1q^zJOZV z*6Z(r6^~LWKjArkjMS~cYRw&1^>w?4xh|x?-71?-JxHTP@ZJ5C zjU1K_G$9V>Oy!B_z?!Q(2Gt_EgLL8(g8;5VNdQC4w)AcKiPckgB0Df|K6}hTIZ8e-) zbW&u(tcUtidx_k$;vWz+bKAANJMHxd_Po1CP&pr3&5y!^RJOW%3+Hx?!ECN}G3OkA zo@xtJ@-CpXzPFNC19CIU`r@@U{{S25z7NzcEc_)9b+}F*WE`Az_CMiBH!`&t?c>vy z2xQIVA0(*l_m6L-X6V-~eS7_%G9R=D$C7e6?a!yZQnl6Y?(W+5ZN_JOw>jpzfvRY; z#JBsQj`etKedfo%=T@~5B$}Ppi7|$2bwLp#Qr%5aI^ydZhwf7VE>7jff6w%(uB~si zDI{rCn31{J$2|8nS4Pr)!>G$Xpsete!i?aMdVMI98q}IE3s`ExTa_*eKPKKrM{M@0 zJ|cqMp-3Pk%77V59N^R!jdh~Kac`yFOB_t))+Ta!_w}fBE8Byoy~LL5DH$>u2frsZ zBM%H)`P!(rmgRxEAyqE+OKHQH&dmnnPnx2hmrW?qj zKWji$92{dcUQH$ZM(cHH0}1wpn zKD=lA1!37~u|%KS(b<*49Hw)eoB}^e)IJxV!kT*~rKPhq-b_;;PMl}_@mz%3uD7F0 zBz8hcAak_F@0<+w&q|f3uc>cSvzp+G_?Rk_wT63|G%E{>iJsYGA)``RoOBg4Y7$!M z;_65Pp&-hg$Kz8E5LyLeYcNM*ILB`NDuz~{SeDTm(gF@R+D{*crCLWz%W&5Vk&aJX zR)jtVO?5ugp#ai5;zgEDKqH(AZ;N~`-Wc$V7CNPq{jyn@hK^B>EMt@D%}SP`t7NvU z+CWW8%2djxGl7nMM|#85W7IA#gR*4I#I%gPPvP9wTg@%ItHE(5I|p(_Z(Cen+evMC zCBjJ?F72dbWOn-0v9c&Fr?=A7zSLoy0(tzaI`deWacjMZ2eWcLaa%gah%K*fgWJRv zS-yPtJ^uh&mg~aN>niuUMcfF{vXqI`mVEUi9V*aRp#;{_`Cn^dp~z6p>+f9&@Wq5u zK8dH1dnC*}xu7{5j)T^-JV&F+XQ$`vMW=~|=T4aZl^2Ai)#lX|?X>_Hp%O$g2pIM4 zM4K8~l(iim?@yb_F|>pd4m#sK{pt7cLj;K=ahTm>!B%cb@0#7X)bFE7Y_3*4fv`SY zoc(Jl&Ay=#p5_)320L4JLC+u4tuAKR%zKOLi-OV0Nj=HyRk0u1L>E$p803+k#VUDNTw946$|qtbQa)4P*Zlg`+kXe@4<__S zkVne`x%yTWf-+ofD#Ifnlhjt;pW}^dNJfPt69+#tJ9ecjfNQ^K(=L+QI~fxwJk8yW zRYP%eC!3aG-HFXvI_$coyS3avmLzX(N&ITO0c zIrPP4!KB_pIf_U!ir5dkpcDo5G;OroJz8~!c|KR*vc3=JQJpg4+D6kNMtzJ@EOO0` z=iZ^zZte8QO!n;FX6_3f2jNZ7d{KJZ*}0P6%^?UaCnGq(KT-WDHE<$%UKViq$UNuP zv>y9W(WWq6TkabH$vl90J5RkVP+Huf7jiC1CPrrO?M}VZHD3|#p3-44jy#B?T(-p= zk~>m*Fe%;Ysi@z(D(zA@6yNOix^p5+_#RC`WnlLcmx?(KIU^lDwENlatqAf6Rb$I~ zniIPr?pe9BBHPM}H_Gx#)jKmJlKE>ZHd`44oPUK}(zUC}ujCTSj~Prdfs(zkn$@*$ z4O`nZv9d!Dr3D@dPMJhDvZY3XdkO1_n zmJ4;H+^gL{-dxt?fXmlCK8B^HQ`jx^NM*B<^iBXlxGy`8yfMSe zMa#1Bf&D8VRn@N~iIO!jFWm$;Bvtrb@P+B;%pLmNuLi6@bc;-K)< z^QDW6i4~wnh6BV=!v5*QA)DGi4#Vu?tSy{Ycs#B-|L%9kGY`agM*?QbVP+tc`2r65+rZ?%l9ytCouq z#|W_blAQbA2L>gqa&T?^7%@X~)PX4!_?SCX_7D5hyr2 zv!1;=)%&YE-xFLV?w4*QV3?UV;DggX{U|A1&qtC#%P;C_VTeS8N=e5g=cR02_-XV# z9M7t@CU^N49lKT_lg!K|`8|2&flG2r`{=F?>pN@^Fv_R=;;m^~MxAn48>I6YPsmuE zrxijwZ?my|rPn=2tvJfo`Ia^0e-P?Ev(gSQ8s zw2f&hlFS%_c=!5Jh~DK*klcOZRfiZoO*bSjkeLAW8SC|{6I+l7+qIPQnyVZ$yxCM^ zlgTwtP-7C*tf8YgQajbibz9vn43WyHM2G7dn` zTFtw#Z&H-njGC3l+T~WvoSfA0LKv0@2ON{z{3_l50EcgMkrdaqtiEz(ayY7s8mzz; zBy=a%tWDU7;${XUBxk9k$ashh3unDvhQvIZfXMV}OOGj21bo2qeW(q}65UA}lNVld z#%XL!{$OpHQgTmvm6j=(#;wYnk)PJAJ)_!6h)62yyLdUz_)rGvwbHds9WHFHL%eB; z(2ha(KdooWrmUKDv#~pOg^jVpHASXtH+~sgMri9 zrOQw#YB#qRF_d;BAG`;>QM0t##7UBazIs-(Xz4GRBP(RZgdw;GG{vzY1bHNZ$sOq3 z+)rW{+SNEr7YC`SF0B^lY_aD#?NR>#XH3jwc(e30vOJK)%LC)olb^zvlOd5jjHc2K zd-Xo_L2^XG7UYcKag+6=6STy;a!YfbYiCKYwo8O?goz{CK79UWf{HO&%wrQuN`uJA zs4tNad5GC!eqd{%n#4W1W|2!4!p0krIp(nM<34GK;9%pEngF0JOzxl|Igk?H-TW$T zMi}j;XbhaTa7%Mm?aIN2+ngVq)KgzA&E7?y8*t8fIqggeMr(|SrIU9UtJ-VEv1~Eq zl5)h;4ZL$5++~GYu(Er(g{sJk+e!J31t*{d?69ilHyn?c1I1NHrk+^-&5lnwH21P- zZ%i|*aDHK$C%Sz&`Ih6LI4AnjYzwklEN()QSe~R+d#|<&brXUEl2vo~Rf~TRhttwY z(=!4AIKg0eB>gHmBVwZrP?>z*b`(@OGRQ z9qOwil3BU~)c2=na4z5J)7(Utkw!*1^E1IcdsK~;k8=)l)}XV$md-Xr8O!b}{Nj=C zCZ1L-x!l>tDcEde&KhEexXI?9Z*LodvjS9}Sxre5x^8J$5xDZlnvr7pf^GRp>S=)m z)vdHKfdjE~obEZOk}&b@JdxiuEY|F-c-%YEBEI~@=xVJU6qV7G5f%=KQ&0#aH>SF~DUimd*GA(1oXif$` zl@W>O8`%E91+ zdYZ1$802%tHt>CFSp*Ll7f5r|5ZL}z4EG@yVh%srIj4J}w{|&a5P*3n9D_^~G^Zqn zKdx#x0eJysC%83W!l;;K%N}Zah?*5mfu&8Pj53~1eT^q6AOV}^>_KdN zkqdq9LFAtFHckdCB3l4|gn=R;%DvO-;BB?oPLvndMlS15V zCXuI^r)djrIOnx$=~nScV$umSBB=yz&*NE762`l?a(dM9UEJ7+ih@g?IqEu9Jw#0; zZ0J%q1&>VnimQ1GTNPObNnXEN(v^{6GsP2ShFfsxYa;IQOQ$a&JRA&AR^+g1_ZEl} z-L{P@8S;__vJLKI|{bK3%|U%_rAVQNbZk(TdT8gN5> z<;(~>8=Ub~<+j@F`wu6zRqi&dW=w?}EDkZnKHZ9sm4g=QDqFx}JdXVhK@_PR>i7ib z___l?i?O3z{_ZpL4x+816^nG_5=T7us<(X-6?W|Kc4#Y26lO41ZSc;h~`3vs)T zm_}qOIrT6U794 z$HD4PueCyM^2`7v0qe~yQrI=Aw^I|b{b_?M&fL)T9;4$DG1>_}^o(P1EK!_8mqcVWGwj0Hzahao;}HhJmlmWW2r^S0Oay&bz``W z91Xqto@xm<9^BC@vHPlX-zJ+8evWgW(uO;ahE0SF9D~Lx`f33bg(01n8DUine0+mB zG>Z=Lf`1cKoyl(Oac$Wwq!vAMLV+Kt=bmVh3`f+TT19dn%N_fgo0Q&yxo12AGtlOT zLm3L(@m3;RWK-tI2|SQ{R8z7{ODgl8{ps@p5K9{;mdVetrj#J<11GtoXk)mq9gQK9 zMUj(fIXNe=ru9K9u$b)!zB<)>_sQm@l2ekWob{kAY6<5A@J%JSA*t6SWP_inrIz9L z?Z*F6RTEQ%{i}a3Qmvywg-*ai7kli~^)!=hmUSvRii? ztVVh1L0~u^jUgd(&(@mGyZ&6&E01v}n8@K&;{u%q)<1jLiUI-%1DcJ_T&~})4Np;% zw)K6xiO)RJtgNGBAH>uUmtr>(dX8#+s7BMtBdt?l$z-{PB*LjV>R9)vi7dg`XD6DE zch83P?@}S#^C&qd)~9wucPINfXAU^eT$)Ew8QY9t@kiQnx!cL=O(2zAY-Rbg!6vEf zD~xbd19aOGOeF#5W+~|nk~nSbAJ(PrUBQXmL25&mVSs5_3ugy*M_%(puWp*(mk&c{G_~F(+Jn+*H8rUo7%JT5RX{ zWUfA)>O$mew>id6J!$daHz&6>1Wof{K?SxGDde` zl1(a}c>Z+TxW}`wIb+E^O-UZv6LI^*;M4_%a#uX(H6U~MfaIQiDF}0_ebC)%GZ_j& z{5ho$frI?%@r~z!o@fFz7$0|xcc#YvQQU;`YDvJ!PI7wG5^ht0-ksPNmf;ymQ=e0s zuPcXQ-lv*{W@J`e^)&Tz&4N1m(gCEEaB^xv8nTw$bDs33GN;Tbk<%ufllU5ABXr}Q zzO>Vvag$PzTaV{JgUoH9{&b4Fu+%>;Q2OmiCKxubH`lO zn^<>oymR@`Ar(R$>Pb20CZ{r5%HRiG=^B+-BB4K1e;W-mKcnvqo1VaO+HuKg67O%>{h0S~lg6Bhrv{J4>Bn8_(TB zo0%Dmjz{D@bDDr9)O}&vVY|zFb;TwKSdS}%8{c4`2 zb918Vtu~V)ly@V`WzGk$`Shw0%_rI(;zlma*(Huhr@Ix)ULLVJ&aQOZwj*y+7a>n- zntQqQd)cjpxt2VTNM3}0Dkt$YOA8A)%yJXUh2)yBE@amkT36jGbDg*>ed)ad>AeiQ z^CjGZ;q94K&ONjAt6DX^mX|Dd&vd)Ygl&4&TQ#(>meWy?5wMg@WP|v0tP>t(DTB9n z%ea4yHo(2ATf?Z6Ev3T_d12iD07{0pXMyGjvg|yjnm^`u(llapt3=v88d58#9f?V`H ze;P}>i6)(=z$y+o6&2O8T}8F>Hky#V%_+5iCdg?}qHNq)x~Sa5;BsmUO$O@v;Ulwx zN8C%guQ&sf%|W19M|XDcJk22slBv~C)~26ay3*u(cxPoe!vJ~%K$~_W)!?-{i%(^2 zu_559lbqBLZ)q8lL%Db$oYcQ-h0$7RSLf)&^HVCtV5Wa8{KOn*{QFZx#_Y9c@dHe@ zvx?EJ;uFS7yq;ep(wQX7sWV8&EaxS&oSMPAj&_nuh;8?lIoKEJTencR*cRykjIjf1 z4xd^W+h&J@?cdW`PP>|WtkX6^6gh{htu(>EcIK<`@v@<*b1Dv zI62Q8*6y9+jW11EG)+nft{H-z@^VyzoP*R-729QE;B5-uOV?lRcAI0B zNDU(A9CN{^)U%muD?Lsq?&Gxz3t`;J%Acodmt1)62NqO>*Ya zD}wQvS)%~sBPOlDv#y;UuJJ2CWZKv~kFRk^3qBvSv(y^q&hFwwb&RrLX9pk3t0#yx zEhg01uA=P(WPqizsn0cH=foOq+L`qGev8w0qT|7HlrA zCX3Cvw@?{~MpodhY9i=5bO%$hy4x<^cb;?8py|}rG*Jz(vS1jf#uQZx`wQz^pk#zb zN^!rqJcCd&3z+4&xnegv$o~K+jeGi5t%i_BhII(gtA{JJoD+`c(yL#-qv5?l3;~1f zRxCSY1IYYo)A;7%X|{`jxr4@k2yy67s>V;}FX= zzWLH8$OqSsm5ZfW-)r(q4TEl)D2#<$k%89~H;HD{?iS|#B$7A6gN*d`t=$({(7Y?= zUTAO^x{@|YA8^0~V2pP9RbN4wNv|vx-)7cqqG{FgGY$_vr@dw07QK+HnccgbpUSkS z@V2|F+5Z4&*+dJ-t+)l=5r<$aQ(f@ZkEVDE4PJY8`)r6-ZOwzh7&z_Tff*WRlyrZ! z3&|sJ@c!%<&JHuiD+OBODH=qzV61Z7@O`S>+LZc(h@s#T0M8_lIIRy3T=;$sK6XE+TCdp#jA^KqkzLcz4Kbqc;z(|VW!%`rK8y}Q~;^(%}~{K)2m%B zo2SOjZltV4_XD~0rSQjxbt_#=-bZS(+gu-*x6DpD@!O>WENGq@{{V!!&X)m0IAJ1| z?m^Bf$Bkvs?zGK6O|X-7^bYaQ8poU*@OKWm^!7D{<84;|08Q~6uxR09fza+~bH^ME z)=r5v?X;s(k=}j3GcR&Spfn8|eizg3ueC`no%aTCprAZ@^IbD|yTqRhwMn$e^%$p) zEv*!0L4{WJ1E6kv&UP;IocAOO&(tHk@y@exH1+{!oDVTL$iT;6 zr}Ch8Wu0Tj7oI4%O=nYrM~q6O6dWA&QU_|VZS>DNJ!9;SfJnnE!<=I|@BU3eq<9?o zf5X=as!uT}#iLM39)N$JTE&k2Ebhgu?Cg{Lg$wcl?mas7r*HI-7)7q(Y zc!=0rzhnOZ*Qy>J@Slh^O9>*ENVr1_Yz!A59>YEJT{e`Ed_2@GyhUpZ+}f&s^4mN4 zJq}N&AC*nwFO7P4iZmPj7eTRWIVPE2PccHfu;@^ZK%^speMa)kzMp?{AW-}QNaS&v z)QQ$HyUH$C48f0TlS}Zto-}6C(nl96cNYUJO?6t&g5|f;?X0EJLR~-@O?1Z_*W7ya z{V7NqxrlsCVP-tt0?k?cyi7_Cr@tL>Snc84?OjCLGKP0zU4vwdQfRtu#6BSV6|B3> z%!V&4FBonQTAxz4*FGL<@>tHU89IPE(22W6uN7!|CANug9m`_)eeI9czo8sgHE5Tj z>@D1lE6Pq;+ay)iyPH~cp5hlQGItY@D`!c62~Qxnlx=7f`Hd%1d!KH9l~Q_wdW)iK zqrlpTd)r`Dlw{*2LFa+r@U3|~WiGtwb0mmu@O-3H1Dx}p@T_e@`$zExp!OFxIr8EH z77^ndbU5o)JQ3kbsBbQzxX#O{Khf2}`@`$ri|Si9d_Sjna^F~Q6}{db6S%@#9QHq@ zbKelWNqOUaUVDofCB&qSf5hZ{0Iq8??d~k5cnrmnNzP9r*NT0{lYMP%2B*n} zUz;)g?homjL2@4h>Cx&sth!1-%OOJFFzzc`Oz}3UqO!xL+(xm9NR~NQaRWT#(x_Z( z?`JT)(_!*%cV7$Y@T!@53=;vLr7wC`%ruqB)B=dLqaTJ_GE zd1gE@YU&axHi^S<-N#?bg{u|WM^x9e=Fu-L>|tRmpk{UG2iCcpTR86^5X+W0&nCK^ zBf`cz^Bu`130G;|&q2Ze0PEHth-~B2bvH{C#Ct~|cly(|fSb7-s*3=($YMD66Du+YP^3|&*;$ALpvd5i9Q2~YZsoaeW$=3? z&7!~WiNSRZj>Kp1r`&jic#MB&G%FmNaUy}kpXpWnxOGXE+f$jqbA&x`PfF-Cp9op$ zayQysl|uVM?s3eso7ZSDFg`*mhl3ix8%ru z>o-)gHkzETV=z?*f{l>lyF({+7MUhvJtsiNJyXjq3#b|*QDHRu9;T+R3wVO% zr;rqK$7wB`XZ(tn!ec0!*v6%!-$RZ~^_Qq&>GF{5|O*Ose*;JA9@WYV8roNI(J9zJWu*<>0Jw|!y(zG;5 zbW7{eZ>-ONu(}mi8Oi8Ltv?Z5X))=R`aY2gksNq33=(tdX==o0U1}OOsT_9}aN!n#z@>S-M4(?=s6;19Y#3f9)Gt+d;TMTmCF z?ghBWq*5ZVwtHJdg6RjCoHJwQHA?dQTk1@FkWfwrU${JR>Hh%Mr)Zjm#-$XKO&Jc3 zRIucKo@-7iyghkoBi>21;9z&RM)d1XR#8{&jp4mPZ?#CGF|ICIR0kA$ZejM|l?jcq3)Mq&;- z9MeLPk}cwQ4igXjN7km+Y;IoJ&8ij8BoF9nJxLbM`bZ&i>aq}yPf=GbZ>DW9T{H~i z->JnCy~!l-9+x(;D_Bc#;Fz|F7=6~pMtyr!4>bC0v*~v*i6n|lWF7`PR<@hty&~Q) zG^-LPKYYG7C(^6W;rqW6>SF4~<1<_wOAKk2cF!M9Kb! zQ0IJwTX5st;-&5e{<)+{eQ@&H+o+9)$QP53d{$kCmw9!j+)5*L`#hT@2aeq1y>!;e zaiQu9sb0DAI1+sLKY;#qTUhbNo2yGDwvTQXbCD?{<|F&m6}mZ_OK#p_jAwV> z$?6Xkucvs1`$5)X8iF*|;yywge5Cr-Uf}LYai9piAeYlCEQ-g>Z$-x#&rbD6!&mx+ z#Q{H-jy#Ze*1VzD(#x3^J^|kHh}}uT-7HWnFk;=0UdE$R+TN zw31C;@h+QnrP^BD+FUcwv-j#w3I6~J(73&u!f4XmPv%QA9kHR$%i9%R+r%-vEk3Pg z5*bbeug^bP8Fw&rHow=k2ZCqAvA`tf6>8sJgG9RWZY)HNw6B`W#(szV@m(I9;jKGQ zM%OHFqF*riv959ts``rM{8^*D(~U|gcubc7bta{5E}^aJ7do@Yb>_q(E3~4F9y|X4 zpGu__v~M6~VsP0zYJC??4{0x|-upf=kywOebY(WBKZwb&t2RPc8!NURN@prK?3DZ!iuq$p_xBB~y7YEQ7C8>s@qS z0=n@8*YVlTWVx3z+an*oM>|KpIs7XPd^L3^hv&GtW{z0oBVk^cJ^eYPn`osHHGmq@!Yyz#~q{Oc<2U%-BKq+X!WnyIcupxbLxM)2J;xKp1r#$9>0| zq%#qXrk=L8Iwha>exEwHa16NTpRG5>_V8J-h6_>(;~`FYtUWGko2#@#I11STNa|`; z`W0e%Y-f?Aip(xR$RKl*SM@f%((HWO8~*?;ytVT^&svjC)gMQ>xNGRa%w0AOuRG581BTFKhmn?y~-c(nCsf*x;{cK^Ryl@$*XZ_@M{v2JfTP4c4o#u z#;do5+SStP3xc3IVYjt2TMoIEWh_ek!x*Ht6}2q*hQi8gCDL@ul#%uZ^HsVZL+jeH zw9Pm}s7EdIC5vTQLvT;m^{F&VcQd=ft&lQKcr|)25XEce*gdkhn7DRj2M4w@N=4{i zv(@z<4BV~mmoSe53>`tqq?c3IJV&d&{-Js#QUELE@CS2H+ulQW0T*5H3w0ShR>WQx zZ3&wGMPk#%x;9t&K*c4wH!{Vpk*K*Iat22r<#0Iks`nttACVNN3;I#2i6fLwurTuv zJ6Dg=odu=fw|Q0^W0u@{(qXG+WU%=+TO|dR{_75Tqx(l%(I=GHUKLkN4s(o-MO~X* zj^9uFB$fdr4t{b257YL=mKfF9vg^uvfw|8k9NpZST>Q(nYU+}KG zO4d9zspxji9IGAR7?3LCbA1JJGikADko}GBQQ6mb%H09v6X{gohUOW;w}s0nEE#y? zy-bQ)o!#e$Wz}t;Q~N+tjUwA2 zy-zKK@!1RMzyX^FoRRPCTXx^s`qD*iQZYKI!?y(ce=0R;p_4*799GElLooAZQG(ob zKj*bs7dh!=j{p`ueR&luI$LTOFtI%U00mZ_1{0JcEyr58CYMb?CAnm5^;6H`KuErt zDbuuxywIhH%AevK{*{p|GaHCb2}hAb9QxJ$AH)(#tfbnUW+e~Y(mtE1NdyvX8Qb!{Mn_y!mNQE67I0e)$@Cp)0kGJC zdbbwiYwpNnl6mc0L&VEr8(Upk`I7A(Q}-F_O;Mx0>o%;nNQ8#L+AwNsTNt$I;<&aPe;T$q&!tPIXwq0)UK@bS?X$b!5?2vKMJ>@UR+w+HPxi7 z8AB=OoF3JuXxcckXtj8o=4C!$ZkY8He{6VCa%`+&WNBL>Q`0{6EnSsz5#pCNO=%XM zkhA4pSjHKLy+9&@?_@Hl1RN_7`c;U$DR*;s{)HiMU!F68R(wkcw6Ox%ZD_bH+qE)U zBL2=zCohQeFJ6R^?^xQ6xwe&2uoD?W*biz^_Q@B>l0tKwvmR>H7b{~tao$>)qu5Iw z+ynT~CAj+-;*U-@o^DtnY-50XR8si1?@9Jsasy$y{)*CDCBW~4;+7! zOmuKQ7w$zm*hUAoe@?b7_ z+&#xpShwbAaDUX86Te2^tMz-9-WF#c!ts-7i>!;|U)FAraCwT4BT5;j9NbBuj! z9&2f3EiJx6zYV*dYBOR{gEFu^%>$8B#D?=x)-H9cg^$gaatnRt zUTUq9Brpiwn8*pvO%iMOvZwZHff@nxU<{wef!($)yK!}>N|Vic#DTOabIy7Sg5K6f zlOYaA1fHbTtu}QK`I4|;ua+z`-=%AKs>?^yqBFs$M)F<6C63)e1qtAeI{uXIR^}9X zua_~j$1k1_d{oIU^=RY;LF>&y6_45^+yOm@si__bqmiJQSdiUMT13ebJQ3Q#l$bLU z$*MO%x{zfV4av?iMCL_v49v*+=XF`L^I&D2;#c{Y0N&@HYS5EWk5jnbEhAP{JG`aA{HpwZ5?>U&5NP5_Cz%5nldeeQ3cI14 z+_S%yW0NHHB=@MdBvBXMbGs(5_>WAFNxC)?5@n5w@N>wl#723FVDr~KDqg^Ccn`w& zzA^Dc8XlWz=1q1PA{)6U8~{CfQi})DHCw+AYmN48LelrhcqAY)kZ^K8DygCC`k#XJ zdruVUGXp)8f=Gc=%LDn>v{`=Fe+BR4x7Yk-@OB2)k%W@mwUly3@~9YQJzJa*TO@59 znw@St9#7-TZ9`AgMxAwazsdngQ^0I;D=$u#!|ic@YQoPR{{WaN!9PP?kBIdvFN(e? zzVXG<#L{2M<|Hr;ih)J|+B@KL>s;-|j}+Ujo*yq6JM+*FO1s>dG4F3_2C5}xk!<9D zler$*?b@Gv;QO2DBru}9Ychm=-9i4fRt39FF}6<9qA)z4^Zrdb%UslK?<2Q@Hjx;T z%6S8dW89^$S|zRH#;_ss{!t?J_vWixyyZ57{9KmpR`myVyK8-fWMzvUfBMw*wksLJ zjC$gb0^C{;lI_Z_;5Px9&eV;mzLq;fAV-rR$l1@ZsV`7m1GTv(pbArlTpsM)(_*%w z*;+h>!Y>GZW+#e!tA!;7a19@8nmBy8z{5A@YHMql6-qcAa7jJQBDFKp>QA-c9IJ82 zt0_DpV)Hiu@C_~PrL1H3at3B3@N-q(HYgWwKg1{zqiYgsQa!riLk_HZnz?DF&8J!w z*jo*UC)TLiTE-D2X-devF4f9h<70bgLa7Kpd5Glu`qd_tV~;EjNi@k@mAsOwR|MqqpGvZBr?-H4 z5i)`Ds~&4N`%dHSo==cjSpDqP>mBpP(UXG5_=P>nE;aPz`H?v*j4(ZONxI$t0N4Db zj!R?mrd+};qR5kh_poWkz)uW!5ZrRdG6osr+t!YNG6Mv`qMVd*-P#&SWUlec_N8QUUNrWt2x5B%JY_){U*3B(b}pJQ7iEIyQ`7{B3(33^#Byp!xwl()jKz{q z4e9GqPTpFxM#pjVJ*o*Ld1F}ovyy&dO;`&UF56Xs&s@~WZpy609y$+N65Gp+F>Zp0 z<)3j<4oKpG>c_;gv?Y#kyb?`12&A|KB)fK>tv=KwMV8@rD-L<+ew8iaM7w5(a3Jx6 z!JtDVks@MG4YYzY*EO8w+I_tV>zciAl3j(^xo$_x$*3@qXL6S31b59fxb7@a1&eX# z`_%asKP<@{g!+nu?Nf6WNY4xhdRU}6BLwr!4{;0SsdFReAm^{8S({h3l?Rzq@Z41|

9GJo6p7jA%m@4jk(js*A5wFYI#hw68Q!@)Ge8;-WwS|%V*qV9 z^AA=%Nc^!-J+q~hmph3Yv7Gfa4ZfvxlD)Zo(eB7o)Eb&iSW6JMbyZa)e-Pk?IFD8I;2SLF->IYvsFWsl{aB6AdNgFxI9jTE43Xtaw+dj2P zh;l^Gr_46vxuK_)M%v1Ak6Ln>E>y-?5C>dxYD;Jn%kw4&A#gsu={?8Ltfa=tl%`nvv1)5&her(Ia1wfc^7RC)y@e0{{SWSZDI2EM%AL>VR$^e44WznSnOEfrvbnJbfu& zQl6!2h~k1gi9vFH=p8>AsT0N}+aPAh*Y8MQj>VU>F2{g#LVhIZ| z5)Mqter5;gbm<}?) zny(>92HfEKpIWJO`{n9#e)qZcq~4(J!hf{R9i7H^c0DPH5Faz}2d{dR#v@T8lgQ^L zk+!(Q4El4;JKTGZ7t%(h5rD|SCadyE-g0~7(x02=+rgxeWR0j!ed$|KH*vBBQM-0? zQa}*fo#;z5j1f?}NDdeg>r>cDv1iQBs3)~B+_x-GYA~ZNI-h!DNtkl#PI}blds(9M zm@pvVp1##y>IZX}GF0{Fr5Ct8$RdbJg$j|+2Rzi5i)t<7HgY*7e|)d}E$!+mEWBi> zB>U4{!+T`)pa5;-XgM8CN|Lt!05CRCMoaCN! zQH*UW2P2+p)7$x(bjSp8lg(3{hWS&ktpMG`^A%21_3O_{a=G9l^XZzdr+XIKbDEMl zK5Sz?`R0bM1nYKF<|~qV@li>5*BK|LZ+ddZ8=j>0G{=i)&ELIB+%6*Hp*i=b1yFe8 z_3KfnW+woi=bDuXJy)sgO{<02_?6Z3$@nDHWI***9W<&mA3=f zp82Rz89#_;xv5q_5UCl@Q%FgJ%20$}bJWrnJdN4)6xC6Z+0J{O)Z&a2cpXnBvI^B$S1rAi!z9^}wvlXgydJmRw@-sJN{LbDtkAIhK~ zE>Ck(9n3cu>%&sXu>7E8`sTC+xfx@O5P9oPjxfg|O)r+gI&+?CO@=TJX7{P;9NLH3 zPz}n*H4`BVoc!76)~3k>jOU)Yq%4FT{NtrJs7a7F5`4q)pxqQ3aga~1G|7oPC?sdC zH#?3=pfzZ*6M4TW9eVRpO1MyS&w8f2v()7K(s`0@DZf1RG;S7VgbLC~iwNboCYI$| zIX23qHhIaa*7q$B&aLwfaw=)BrMW<|az`7x{&e;e+=}W}*itvndi&LLw64R(dYW{Z zFS&;~^`u}J5#PN-+-qG2#3Ct}`KKzdaC`O6YCA^?N|11R8n!l+$Zlz-3vseLl))VT z01-83OSFpdC-WvzRWLpP15lh9%pcJLaPd zW{kcvd*-U(4T5qz_sv$2v2G6^T9QL37;22;EJjJrIjI*>6aanjd(+4aqa0(cMY}xb zKBk_hBiEV+7zaFL({c|uJo?kfJ%PccWCsoobJBnWR>tgSAoHG;b4b({;!@d{{U+=1;@Jc<_7xI)_zOw-RZ$SY5;JV#(mFv zSRH{oPIJ`z&|7*EToqDsrzGI!t1!qT8Ryogk<6n#PrX7EuMT?9B*l$_=Oey<8b@~o zkl#^C#c)Uj^G!W@1n0d1=s9rF{IAJ9O-pSeBa-Bt^*N{r3xc5Jj&tiv8)d*JpX*FU zi{X=upL6R}W{?JCJm=K(sb#oq0<1c8^{TD&w*7}BdeQ;PI)TmydTfdrG7eZCNi?E2 zRseEoTX-06#83nWEOv$CH7qJR5sdXFl^|9gVgsDniNvX>Q znE2kuY7@ynT5H`jbBl=`-3dImI0yMw_LHr6OT+fx+ctW1vT8||N0fq#{(97E#$=cZKpGxNSO>|&(q}CAC#3}2L!6Wqae=$kioR}U z(-u3;B~I;xe)p13_*8fCUg#RU`h4&xc$ldUx#O{@e$ge+JI^O0fr0-3)~5Aj>Weno z)$XYyJhA-hGQ@YQmY14L=wQ{6_xYSK8LC!rHK`Lu%gOnVueBxUo5+&l1kT1Afb`El zN*gIVo6~EFs$5BE(gu#*c3b0vx3y2CXbm;YcRKC6+uJhl0Gw|g$MmeLR1#@sb^YAi zvz+u5J@1OOJxL-H2`3+{{TPAkV&*=YcMKmlN&W610nKqa&UTPoo5o+zn^oL zk8sLopL&`LC@!@RvCk_;<@1xs&!@jN9n_4B)_`b!syY*8%Q#K zOdo!gM&CzmP|GsL{&8ShLP5{BG~FT+H7IS&CPK%Z%b)Ywt$5kSldZz~Y`8-Y??QA9 zKsH{yveKdO)bi#~1oyxlfBN-nNbrMN=(mz;vi!bUy|87=5`BKZ{d!xF^<|9IFA&>N8Hzr2fTwh^50vCK-804;#gBp zeLfs)mB=hQ6YW<#Yhj|>Y1WoDIG$M3{UT&@!6$${N4;hEe?-+aTZXZ-gtDR*`HxQY zfX+4-Hg|}j9gwgaz|CrShfloH??krpvbhS!V8#I*$Ky}7)*8k+Eu^$Vf_`Q>A-zRG zr)oEMw+(HrhTP*RIp>dhyXYF+vEloB&05vo#UyK(<0L3tl5>jabk7lK_E4-oEYa5X zMMA2Me($(GwCz7du<(VM*R8d;S#A)tZ4-6K#t8cGMROPM&3$yYjV9?;%exYCMhN_B zZrEy1;!Sk;g6T>mV1(NoQAdCVPC9j}`X-0uN$2YLL6}{{XF97M>hQd=kDT zw7HLPnUUi@i`3?++<2E#)$QT5xrqpgLdTB4bfAu=RmGE8Uq~8#v6%@QSmQq4wWDP{ z?u}sD-I@b$=7g14=XN>9JJtOhX^>w_Z{n**Rg8=*gM*%Pp0xh}6Ki@@77d{2HiycR zLh?n#@b@|YU1DfI_+*&LHp*3xlHuWdJ5^GQhR$VeFbb} zck|W${{T!@R*FnI!a}jMf=sN3cMG3f)u1jeoXZllj(b+OhTzdfrNojVt=t4QBNP24;BtLWO{xzN5-1H$B8uP?rp8vq7vdnkGFn*&uVq+U3lvB z3u|)IT3c|6axv5Ju9x9o!u$KCys+_oq?Y#g5V0~y#R9fCZ_b9sa2B>!5y-boD{o#* zZadX|a^bZpp6)VtC*A|vnW-DSD^R$$hEFwNxM;@V_kcLgef?_CX*4LU_2;z{Lo*R7 z6v-c21|AQyeR>%pRxLDf@)v>|u>7-DY`k5pX;3zd#T@}ECPVWtsI4*Mbe6-)(X_~8 zX;*8=r~yzt`ufy%J_bHAh_-<|ZFIjh+h!flKaC+A{q4I+ICd_;jP7prqit`c=zFD^ z)^I@D0^|}$=s~WV#(osgbl(kW+7+^&w?Pa2wq|_!gq)0eeLbs&(Cw}*uA5Dk^Y?QS z6LwC01}Gmwc=Sy|OXae)9xcz9Wvu2pK4^<`)c!nCVaM1c9D!4VkA$9n(v4Vnr+;KzB7_I^r^J_n@5^B zuTn7loy;<8Pr=>+)1{U@R&7Q%idH5~rV30*;csIfBd`}JYozREC5)9xTwV&Y&T}N1kNn|f1_VBPfyW_v5 zSg`nkd8GlRBBDy`wTt!j;+ZYj+wtnhp!m7(d@C%V%N|@P;9v}zuDXV`<2@<0g}k0o zX1KUzVsVp!n$NfKChO>+)}+{HWBcMa1yjM#>-_7xn)~7RfIJa(XQ26a4X7@{QU2-R zmOaO>(*=jl>i z_-jzQuo{+?Z6u544YC2iJ$jnk*E~I-TKFp3Sjm@Ek^caGV*UU+f;$=qcF|8sveUdb zVLbN^CF-o?mRx%Kb*vlsZX%7Z3>l5a-~y0a+|-(0qjRWAG)V27e|IuxdFTh^YhOv# z>~u+_(|l)TCKZZ+e)1F39@R@$2(Npj!E>O&<7;`EH$?Jek2u~}ryjJ+A0BF2)w=1x zvb0Nt!T!B{YeUA`{j^$adRCKeuW!NmSD`=sYRAyLFn-%5{7ig@BaYSsc4s|x4LZUsG?d#S~(bQeB_UvT*-y-C$Jr8b_xB86wt1Z>+Az8;9^`@P~ z-OSl<@AblqIeA$R-2|~M_|%$h_L*q|rlK8Rej_AixBNS#L!v0u1=K{&hl9%kGwaj; z0IgiUv8UX%{PIB{kqc!{LQi@m#?5!tBAS2QfTe*6#{g8m7SOKkbyd_RSiH3)md@;h z)O%GM4L0^oDQ_im3~hzar7|6M^Gt#5Lo>rGeB_=+I}b{h?jpyGE-o8fFxcA|QNS_C zy$`Ku!=%rwXcFoccHh3!m^7u2EIohEwLxX5TE{$An*6cB6yH29>A0f$@z8y1q`K66 z58$gy$uzr`yq@wfud%WiV}t45nl9!nUl5V(B8KBn%&ImdyYM-z>-c}PZj5)Uv~I%; z<07fa;cY`+u*Q{bBdR|IM&dd4=~`YP(<8LeY~=eulIP6w=S3$fM^Dm*Le-N&q}lj# z{#FDxnxH!?=Z|Apn#P$jF}_$CqhfYpPwPpi&v@G6Y4>xn^N!e=k01WO@UDW(#Jbmk zFO9v}7Z%1(-a_$=ueNF}((-hqZaUBKob~BMJeFaQU(5DwO^w)o*PQ#_DcK z8IyhvYkvO##lyswOB5_b10+Nj0F&H~^^G@&zqA&Mc%y)O4b@O6#U9v#=@yq0$b%+Y~`#{(UYCqA{y>n1Xh!4l?3hCJTj z{{T+)m7wX@X?E7L+!gyn7gjkvIH9+2NV%=)x~=Sx8yQTXKI1COf*Ys=cddUCS=?Iq zejQ4FZuVkOM^p7bg=4<0b>N%UH_X zBG=yf))j_T+?gSp*8qPy#`u|IXR2!#ciIs$g_OKqn;pO(ofj6Vnv)^DWwwa}!y&^m zFA6ISd7mWNb_A(BW`@VpQl6Rd2HQtj;gd~&HCW-# zU_JZvtMYiF+gFq%kt)21HV5vfu08#$3T-0iP_=ZlfXgDOJRV2*R<5I`+FSTe-KVua zaE}X-$nV$dif>jZoiB&HJ!z(Sy3AKe<+`1b0tn!Gf1Z`cYZn4riD8D-zIG&&(4WGn z+Fxoi-$!Y2cK%B+2&nw$rcdMit9>H9v%GsfXHP-pJ{8cMxc8wHWG$-0r!C_!`Mz9w zdehjm%Nv4DN2PR100u$%zI3f)O}NvvunO&F3&G7-VO^(xy*R zOmn16x8`*}yO8|FM z(k`WIsFQ#8Ng;*$d9R#-+)}%^Jp?!A=9If zhXaxFk8w@&wL85%?=B?s5;*~tP~?N#J?nnvI~&W`n^BBN_ysrj&-wi-&b6di_-9U+ zPL2ceXS2rZnX?HSPHt5y)A2A#s@+(H~;#K*W+D8uNCk(40$GGOSZDG>9Q8>20mMeEu z`F!Elh6Y<(BpOs&vSpFnt2emCXj|&K1ZuNbEUPOg?|~qYM`7*ttxa~vOSRD#Nz*Qo z%v|jpu73*RCWiRjD$Q%=F>DVdae?)wg>L6tap83FEQe70RFk`HSfY)7?mcQx5O_9C zKf|X@)ILCR(MKkC6W$o!p=XoleX8Nf03Munu8KR`y$ZtGOZ4-^%mb?@Q|nhO;jp>X z8ro2efylr9p3Ojpe*R4uU;O)?@tazJ8nh&#Sx?_o6JWC_= z2Rs4mS(X|U+VrgjkIyJ!xi{eBzBAUJX`VqEHyKcB5CcIu@{Iie+KDcgxUs z{VIvOY}~Z)lWE#5#+uPAatQ$duH&Bn0Mf1K5Xo~Cnl8C@B1p@KN{k5G(SfdN9~A5N zx9xV*fWfkvIUoIM)YH6CY*Euzw_iF(BuSj-(~qr7+)nK7ZM-Y2cpFgDd_y+1WhJCs z%p$nnc^JS153O_G@R#WxC)PCx{Dx$C3cC(DJmgk(rFnnitt|bUP?vHZVBNu9m>;L* zPw=;gUei!nB(-?$r3#Yo7$ZGuOGD0pC%;>pSr#jJ7!vszvZUY^&BO{vF?Pn(Vm6f+=eQUn^QtpTaim(L(H4n9n71oMEY=e~ovT^-Os9h&$smph z_NGsBZ0;>$xKK|6JJB56q`A1VzYMvf{_(!6YAoGH4D!JW}$rZC@;(Lz{-Y~U_-r{9X-U;K~&u|Ip zO}p2wHHc)A`qd(cHb6n#`}$Qj7uLrirlga~50-Z@#!n=BR-K*fI(^Z%zKOz=JJcLw z@vRinETbn-wPF+UkD4N|JlrbT;w`xhSC%T25R#<^n z9Bvf-658hd=7(&B)weSr^X*gke)1Fwx3O=GWHO$=TIg-AG+Qk^v@$3%4B&E3eSIpM zBKI)_x;B@O?OQ2ceYsMnDt#)H31g|-i;Ja`b20f<@wfc*TGzT__>%4=umz+=JZGry zRhvY((;$^Eq5@FB4tkGTO|aalXQbL$-HGFo{IW*>05P+UYJFcqyVCA3Y?&i<-4mX7 z4{Ua*cRZ1_8Ei4h=cyIZXdW)owCyBC;vG6^%vW)r-I2OT`Vr4hbMHcII+@-VhDmhU z-^~)D&NCl5$n-t&N<3vPy{xu&_9Qo$*pg08I&cT$SA1RL9UD>ABDB%14Xw0lRy9=s z@^kZgn$2x5?JQ)5*`x{8lxJ>x)452esOkRzX?U7QgF7FUi2Y46>fYMUf3k0n%qnoI z*%fD9ytqqK3VrnfQE~d#RkF)H%EmrtX2wrZMeZ_KnmZxovjjpuP)|=^t!vn768L@r zs@mR3xkoXn;en{FrqN<)H2r08=-=x@agqG#HHj_nWOP6XZg?C6^rHHM*pBqjwOMq?WMxJn#@5@|9=Y|a8eV~K;tPboGbzgahz@XSd(ARU zJ>O4PK=N?C`qcu}5bF|X@#rh4M|UW?Y1AY_A+h5>c;~1ikFI-Ss1FS4dcw(lrrOVP z-^Pe}9AunQU1_&gHy>lVTwvhtIjgr?-PVb0t)#I#h8!{V;M3|(?5(C)&ueS4<`P+t zIpm6D8lCI8(}m%NCU4%x&BI{vky6|1E2+)DaZ;=UE_okH*0%88nW|mOD&IjIP4cmq zhCZK49;L0yMCw*ZvP&9yPT5x+^y^!9H$M%0L1_WF)TOZ0A%kts0WsWTp&0w8^sF6n z($h@2HWnI+&N>qt5&<7y=~)*RNg)$W(Fq{u9MVqWk<@s{Pw>S0Esd6e9HfoQEc>&# z_Rc@gHOn=WmeHF_r7G%vW1h94r^$DvTSs|r?z@R9nd(U7)?~Mjsb24H| z_YyQ-RQoW65qGaV4r-H$CXh1@r9$LMGDCf9aUY()x@ehsaC)Ef>sqaU;oAt_ z(s<;1jhkC6HlyeD;*nb1p(7YM-bN2x^HN%BAL(CenS9p_2?xLDPV#M;SvMYj>u0T4 z(yZgtVUcfQ^BI^G;0*r&g#vn-_O>1s*EI=mHL1Ly*38~X;D`Pbk?&a6w#|Dd*_Ud7 zaHWqGYJCe(zJvQiN3fbzJyaZ@Q}|NBY;=ow=DSu8HVH%3J*isZZAveuUfNwO@e-&Q zi5w2KcJovI&C|xar?aep>;^X=vpqvS5?GK zaUg3scVG!SFXBxa48n$M%}VIqN()GHo!pi^$u%LiLBzi)2b}hMNz2b`CFGI;nYMiG)b-6bsIKlsaj}@hvFAMxy+ABy10piV zxT)=2hVrB*aT&>^xxblFpji6#Vb-N5a;`QjyQ@joOLf4WMYDWZX_4o@uvXJxv`OUC_n5Lwjb@EV)^g&JN#E zkIt&uUg&;feTimAXULjX;~hFySCJx26+s~SRFXyIKv@*F%FynR3V^Z`f6+Pkrll5>ttMSVOTSczi=m+uwGMJHn%5;-(58x^#35Kx|+pGqdNcykbwaC5j0`Kac3BOkj37<4A3OZje<45fFV zz-)7hL2{hGXhwM6G7fQ)IL$U2pD5+ub1CEh0M%CRZU{zMkKR7DTEZAL2bBfVBPwx` zoRdImUYAvh_AvWECj*LSkk@$fl#)0&>zZ|)lf@6&-p|ZcIr9fm>q~igCBK-qV`y%; z>Tykh2{I&&5mHzlgj7YR6P>KvSo-iO@ZCt|OpHrfu8>W;XoE6wT;f@$0OFIg^Z!# zM_u4`Zl~6&%Ee&`Z;_5MRin6?JAo_4SmzJUC<5iX$Zi@L70C6?U5;q2p$tjs&-hd( zNT2udXB)uhl5%m)Pbvb<8Rr@Hs!UhZt#^AHu#*|j1R4wiawHB9BcZ8=l``11GHvFe}Fd7OyfvF|ecs<^DUMCljrK*FDwj!%AS%(vG@&@>W|MmFcSG?wKd z*Q<4FJ6lZyD=*&MdioJmWs!EWxFw0mCa%57m}TYpn0(}%)hXqJ%rJ>V9P%^LhMQo? zE$-rmFz$d1{M=L*7g5bQD;Ww~13dmd)itCkEK&x^{A#OOn?Z)oPjlXyRt-y5aY+{W z3J^DSG*5W4DTFUhkDmUYjZ!yq?lJj?1oO>0HhEkw+;jQU5g(Os&OFic91)Rqi$@KR1p@AKm0vbKNdYYaqW{9{Ef^)%YRo!UfM~sY|^HUkt zSK6*dM?Ta6N_O)b0P&uEY0-I6OO|%|k0Y&3EtuS8X;%xLJJorEZ4Zok8UVF(a|Gcd zRN)5Eigur7S!8lCx3l-E@JE&;sRuo3?Yl!9OgY@goyYR2lhmfz$FzUGoUVUf)Wba1 z@`y3GF#Fl*PkY(nKfA_AINUNS1iDuXAsbys%ugdZ?LypIv`o>XE@WJSa&mjsJIUAP zkNAP-)qRajW{?thXFj!FcwDyg(2u+aPw}b{(8a=&$*29GhUYyx`c!g*ZP14NXjxih z-y;m?)0|KOyt7UFwc$bFC%EfPFijlBP733URFUN+D=6>BtvYLg9Ayge>z-=SBnwZCObvGU2niPd|+|z>~Rp| zPdTMg8^R=Dayl{ZM&nzRmM4szhva7Yf#@ldOg>_y^}?yE4|b0tz*hmA8@lsT-{~m@ z%Uc-$G2{*EMHUfi1{*=T;p)hN#Jx`LIc<2JR|2 z&Ima*DzS<(!<_L@qA@$LPdwDp779L03L6GU_f8|&r;$-$6Y~yFW8R31Fe$k1_oSb2 z00482x#o?@*5JWZ0p5shgAzwm-jY9>MFbLAv7cJM6igrGi$C7atpg)le=H33JxxP% zxDBkJWcBr}89wIb>(dod<}CAr{@1kwxl%urk&I+xns(9A4rwKTtfONRJA2dc+xB1v zKb;MT3?*aSdekT7=y~vjyySgpCvYCuw;~f0%19@nrXvzKW7m>t z@I)tUAHCZ>>19WRv|wbD%_gqK?jxjXnG8#MQ%`VD(w*fJcLASTBpXf#T+-?x2t4u6 ztsZM*VL8F?>r$#YUG3Ak>raBr-OII48RYs^mYCQg3~<9AT5ZCrt{3kQz0D%X*3%Sb z&JHowmS}{I(ytuyJJdbKLmXyS-JYD{o=04alljsttqX1)d*s!L?k(nw5D0KEc*cLm zhVCaKumPK9ezfRd$Ijh7DIvFMW%i%tu{$5;gc;=Y0tYj6>UUOOkY?!VToS($g9gZ=R&wSLo)>O(aPkhvE7Ba<;)4eHN zHyR{Sjl-OC%?=bd%O@R9YCc(r9P`xEqF{JopU15v+Z$unEzlAa_NbXS8Bpy2@!FmBBKnu)mNl}C0^yE#A5&F) z`5{7flb$}5$gc>D$13HC`A&LMW|^)S1}7NnPjGs%iVKp!cgZv{`FLE^f+k*#ob;z6 zInURUXxJ&g$-`8MF^-mIbwW2qE9z=z2!cs&kDH0ic8ZjDG8sUudA$RmT)=8{O=diwRG z0}$Zke>z_^Un{qf+|oGOdUNZVV8}hF0bTJK&pGc^%V(g?Nh%ftZb|P^0fUDpuQUMY z!3}^3&02yzi@0Ru^Uq4G6@lxMp0#q)WsHQ|klYez8+R3BAmu?h@99jJ<|N|)9%^|e zMN*Oa^s4fbGQ?+|O#%c7AZ^2tJJ1Luk~!`vHgaqF}s|d8<$5yaW-*JcCr^a*S85dYS-3AxO&sk_|E2 z%=t!r@zhd-y-8NV>`#BC2(m}>W2e0Xx!Cxo+Ur8`71odEsfynUj)R9d$s^a&sYMON z+%0sAyaR*&e>%AaiEDEiZ9?vIHNeZ6T$WE`>T2XEWu`>-I&`lrecjJqC^PCNlW%LL zO1Dd9&XPpio&Il|+cmYPX^{Bp+xsc(_G%3v7Oa@dp5xxR+i0Y+mtyW~cRZ*(rFMQ3 zxA4b@H4Q(;H=ZefGQwdzaIOPxQ=DVprfQqMgiCV|Q1ITR;l!WA{v);9aIKBF`Lo-t za`$EI=X*(GWGZ+Jdi^VN;(v{Az9jhS_r{;Bo+C+qNm%UYzji zw$jW8mamuH>GY}u?84j2xI|S}3|B3lNj}x1Zy}ruc2*b|JC91KsKTjs_cmv0?a}SiCm5;YRkDZS-I54n+==FWRqywk6dP|>$Z3L9;YR<@q>p7 zJw5x@jNT#hblBsP*&@0Jcbyr-W6=6l26L#~;`T;kBXwh(nvqGdx>dmDt4Tmv_q%+@7_2i&o03Bv68~H_E3B+30IUZFMaQXs&PU{{YdaP0Czj zc6xnlM@O*m&ZPvasw9e35*RQUVO6|Sum1qTPdpaZk;q}Rg=2|H;{&H2)TOz55KD6$ z$b6u?9PkHW=}&z&;#f`HvMv%;3>fwA{VFdH={H)9#LFW9k@Cchao_Q(@ZH?o-%k`* zh2Zlrih6_fsRyaM;!A(D?2|*Y9&eYrRtJ!9Gm5~{w9CC>>+JBmw74hB>494omoh*F z!%Mn1;Db_M>i!>s8+u)~ecxXk=Jx*BWchV=R~|aFTai_Nv!E zCECTR2^h|IBNqIz{uH;`FWb@n%rPv7hWGcYx`vH!KZd;P)-58pmljVsAIgNm*@cug z8fv_eM(ia*Lhkvudi^`pZRRK~y9`GswrZA*{i2TgY|vrD0HmCjACF4kRbLLt70-w? z<2+K0$eXZDH?XenV(<1(nc>yfmhdw#$tDI!Bip}9iXB?-#8ck6V;2*H5>RMx>Y?W*_Ct z9(oMa9yZjpEq72lMwA-fBhlq-?anX(6yFdP@dm4NtLX~M6id6yBb@Ze6;d4^`%_Ap zr}<}$hK%mzsW!!Db5p{857ac>ZaaIc8E!P|sgW+6oG1sO2lA}163HHwtK7k$%>GJB z%AMWvsov9?FN)OMu&0F zY}VKOCO#ju(->I8GTccSL$$_9JA0DJM9|9n@4v9VWKg%e8ZezgVX#gE62Vhy7A_% z=HABp093b#byWi&hct#!)I3-dzIW=Yro9!O*Xl?GDW0^;qfRW7tYUYo`9Xj7qyN(+vL6SH? zmQCGQ4!-eFsYN zZe)xsvb2De&rrRNk)*&_q#kN&-LI^=C@JKfn%OU8PS;#2A=&!}EoYDuQd?Xq5xoZu1Owv4d&R_Z&Q zXGTki@806(p5T!0!6g}s)xWzp^wCgPz0 zKQJ6;HCE0Md#9VfD90pHWCFt@{Nk96?+<7qFA&8H(Xz!O402B${{Tvx#UcD7;&%T4 zgm&qnV#JUSRs1Thnd7}m%E@Dr*h@T86CBNrzTy5-;L3~}p0M1TF_)s*-HQScfujRjr*#VM6g+b7J zR-cD_A#rt~Twm%t;CR>fV2^&j{{a1Zn)gk)@a~yw;z**)%I9EZ=tet!HEQ?9dY-WY z*+UY?<_t@>zZA!G%pE(#8jg>9HI2{ip_(>b%6J{Kp5Og?)QZ8pI&Egupk}vs01$Jy zbtfXP=w2CzQ;A`=Xl8Q5c1}VJfs>xx)V~p8u(G#r3+dO3b#Vp2-!yIVbQ~z{OK~@; zCZ*y}4QbHIo)fY$i<61n##v9OBcS!JZu3HyQr&ZDZ@NH!{xjd#wN-)#O=2A=7FU_V z?NOe*R`uA{yd8ZliHRjZa;H7Nr9H@&<$n}twzhf|y~Hv%+9ZsmjKKBBUuwtjos`;* z%V}2^Eb?Ftj(VJS&1+uXPp;a%-1gGAg}zda!k=+dSYGK6+YL_6*b9L8kV({^U-Rig zS1A?ry-~b2(rKE6lD)BTb{9BO54WXg!XL{z)xF1=Y_owQ6OaRO%~5Mt)$THcw2~9@ zsLv+4{{RK}YE3)CI)$dc07aHO4eO1B5!c_R=RlKeNW2T+$@SY^XZw0Ru+6=rLxR{G zjyqOok8Je+02p|IwLLD^h)MHaR?9cO2jTw!*Q^_;wVw`Z>Xyvy1dK>dqaFC|S~og{ z{{V*;4RcP6lyF()BO^Zl0O3M-8^-o*>sWVP^&40mfYi8@+mUu6D~spH^3ESPMSV(tzCO zr+&3$$=sgTQL?nVj$6w{k}cp$r26*!tD}cn@UMn!Ui(1(- zT5yW)#i5cXIB7Zgv)-3m)uq)QD=ASi04lO==O145HE?5_SDR6ZU<#%+A9N0$hPAva z;X6+eO!m`XK$}OH1m^&e+OoVmqTEZUDwbV@62XURv8=$l4zVm24(gIem3{p^g-hHG zzZUo%VYN0#%8=~La$8Cd=l&JP*xRz}FzM6oF|fp}7cGI`@U4Zl*R`!4TiaWYGwkOM zBP0{`s~SIzJUtvhYIfMTUzB1omOoR{gx$w;0$qPs@J6dD={Jt7Nd-4`9jLwW1>U=I zmp9G1+m=|yGJU|N>hfCaTC~#`Lgn#;&IfAK()0*)S)DK0e8Ms^dZ`&7r8xrJv!uU< zZcJwRvvY3S<3gjaQOT<}7Pk89%@d~fbGU;j$j?LWE1xhKB#<A}r%`kc~f#2p!( zA>K*Db@w8#r;RneehBn39~%zo6yTG_DKz=?*>0al)P@Xip1*jGqdv5yxLV{;S>NiG z5^B&glt&(G=L8e#I@RmX6=@c6!wee?j8rr291+Gnzxvg2X43S?ZY*r$VlEglS73Hy zp!%Q7isp6A8b!Sl*urHccWqVvERIQ`>QSMuTCa&NC3~4SNh1Yj1MjHm&)_Rx!u|xa z(mWHXM}Mj>?}jXf-00i&;`_L~ zIjP-68DLGnls2e6dG+R{?mOyZX?m8VnX`R-Vi^jwGN;`=IQrtWZ|`5jcaZpkDLnD! zVS`7N`g7Nczo0{)YFD!9db%uZ?n}ub0B&FZ099Pp?)*7zr^BH^aIz!HM6xj_XB`0i z2kA_QcFg<#03PYurL_8WhMf((l8n5NCel0OJ?lQg!YypBpE*01Ic)Rmnp-~txYX_L zt>q@&$s`=`Gm5X`eJX1!`JTo`!j=)T9Bric1#FJ^v$VD?BR)w3=D^~)trpT- zJ#nIyoylFKxa;Yfv*DdXRMainNlcPS5*^t7FH@gd+0nd7;fQS7TdR40v^>wKtcxJP}kaREcz74ES5bHs?@F@{`8F-3h@M_p81ojtyS*+8msK z5Ch2pK>n4^UcA~>{KC!rv;;6xxX2>6tp(dyF0&Acgg`We^NjSs?@H*RPlvu9{{V^V zwxMF;KfJv3IQ9PkJ!+$Cde)DtT-u2r$_0=x<2kEW{yn;~@~t%JLAVF!k-a@}QC{0W zicw9o2D*_jz_vt(Jx)8CY224;pjcxYJaG~_{Yyux0$5GUCUTzXF76msZS zFi&n(QrzSyZ16{8p5IDMU5UG#_la~lpIw{m&i?>3gb3ywfu1r&B59Y__J%u@Qp=H* zsDHY?D4`i7(|l9sfJb^ewiTGHy*3~qbo?H`D`$)w;PAA=~Ba~ zM?$+c>~zOw0f`_pb^ic9mDl)d#5Nj6w`m`VH20Fgu-;<(zt>az!1 zxW|^^w=)jMp!KW%GLTxzoHaYa^}7s}?h7 zgU$)=M+5M#D^l<^wz+@y?JZqSa!{TLtPyIEc*eph)k;XuY1W54)U6XESgS=BX|V7$1J za3Lzd^N&N#bo2O^Sk-jo@g#X6bLYdiDt(9RT6VfGgzpi2O+L95q=9huv7sEEdQ=p0 z&8se*tWBnBRjscY7vOIe_Jqlak8W5#l5-GMiP#m)82!^T;G zKuLBzYUYvR9Xi7Hc=hc)oDk{+34%D_=hnIlJ1+)lHeP+5_>$nmmyKo4ThMxc`shKq`w`X8}>UZ_}R0i%DnJukDeczNYBk<<6H2qaHdx%$2$}Efrr{kK2?!|KDwu^bB z_##ba$>f6UOSC^xjC0np@BSj|*IGkbz>)dt!}rJAwkxC8d`)4f#=0h!SzwG7mvP;= zpIXG!JUMT9WgY#LO&n_5Wh2+P;*m<`qD610SVO2^LlBbYJ@!Ch*o)3d@9+Nr)~KwlBk@*;{gY)Od#grZn@KEq<0HR06=UGukG!wA`|&vXG8N6bI;&0S(f_UpNX8?vo*R(=VHh*I6k#rV_KN@`o5v9UyEXL zL`2}8zvEVIFSNIjW7Ua9wuOW4Zbo^l@c1<7H;t=3!U&=ap~C_OI`TT#FXAZIQoU_f z7~HF_6L;}}+Om?~? zgT&fq$&%%cQfu5$TYL6d^lgzrhNaczku#$KHbO2UW#+5X>jImlHy0aGE!}Aq> z)5EK4qKRFO_asQ6j()kM^<%TR+YbxsT4{$;ytUY}N0oNy!1o5J&wX*FYEL{Da)oko z3!1b400}O+{hbz*=H@76{m7i|IL}Jdy|L5gWo=4yjJkk@PypO#2h`IWDCyoIxQ5ba zh9ffv$;r;)?djI0k6G~iS~}h}i5D#Y0KLm|pKtTpv^5mb^vxDK8!O>G&CEgMNWc#m z^goC5tO<16)m9epnHUU;0KZ{0H*C|#Zo)}VA^9Lyu9OUxc&_OYdnq<_5|nTOu|eQQ3;!}eEu4*h?Jk9fo^SYPO=!Qr#R(Au+boj;GL6-%!2ESGr`{RG($I!D2sz@G6Tctk@Bk zIofc4FXv6Lo_ni_Bx3!4<*RhZY<8*bFYTq4c&Ah5MJCo&Jm#sg3$U@$ZiVg`GASE( zbpHSzm0JG*#8=wI;JUeSgU|)X=}9!LbPm(NIRmNsQ=-x(hy5bv-PIgr9gu)eaZ=l1 zZA%(pvYzJ>&9t(f2Xj~Md_Q9}CF0g0CNySX4?GOwxxGf-SZ@~U07Pt<1&>_y#WD#l zgv`QIu#6tYn%rwraFN_y0OgfgcL&8;O?y<(qcB=rvnhSSSPUNA)CsFcYNitkG3;NG zKN@@K@2e{Pm4}{N8#|w2PpEYv)9!CIOSvYRG~}Jb8SDQ5>#ZN`y&}ff?ZV|Fl@CIB z{Asp2CZVLMhVlkODcl*mDYk{xEUs?U>NfG26T1Py zH8zu{++5nT-Jy&t5UziV(BiMGR~{Ph`b%?ouAXqr0S-Vuqrd+EUZJ?v?OtH8!tEGs zzq=g|7|uFVdy4lnlTx@#X0~iG4nQZbU(%kJP~L>L5SJhyGd+l{>)!^QY{RPQmiu>q ztSHCL6co3ov*Hwedg+9l_+rPe)n(J)_{=^wQCDh z3dFGc<-68Z<=oTEFwccz6-mhEwXXHQ?MuJ2i1w?Kuo2pjcx?GEc5Z=1JF+_FfizU| zB8oP9un0y9dFfVd6HhlS3~sV{pK;vaCVP=o^+cX~GPeH!F;kX4zf)DT>pO|021nX+ zl_2z>SJc(I@Rp=6mMt>QYlzRyBcR|6@O`RZ5jEX_M3w^+stgT)G3}F%wO-rCI<|#% zEwW4IotRm75Jf?6c>1*7eg5f5$R*p~IjNT5L}a|Yl@-BuJx=P9Gi$uZc@aBF1ZSG) zE&L%ar=T5gPK)KWoGhR>KHO9qwt=Y&JH1LxC89{c=0S{}_@{jeZ00T|x0d2qEo96= zzEFF5X0B*D6~vcM2)>0wKwOd! z@T8uicWjboz0od~OGub0ATp`spI`IoPnPB9z57cB+)4Qvvx?HT@g=O5F6*a8^FJ}l zgo2cI|&Sc z?l~U4F-_F8OSs?{ZdBy$Vb>?Is5Ge38-m43J0F>sgFyE+Yv)-;mQ5SUKh#l%{nIMf2EP#SPAEg4-m7iS#2`!C*4)60Z zZV$afY_``hJ2U?9J~ovWGCnXz6<$qJ`gbwUGjiLx?N=lTcY;P z9y+T~46O*1%(D5@tH#-FvYZ;ZapD(QJkbiX9t$wZKaZ_C_U}!5P_VcXFA`$2-WIqY zx?KHe+?Mnm73*Bg$kBigLQPnT+I2>mVmn7x#Y1ZXK_ORCeREKW<_|5qT{3SwNzWLd zNsE6I%8Mi=kIlvpT5YAOLwWX=LI@ZqcUrwQj22=v8iL6gQGz<>-l2B0 z=eoI7=Phj%c_MLlSN|&tF<~#A>n$h~qqg zNfCs}N1NzRt!2R?8*s9( z%)E7}%i%zZX{!bnB*KL_&)3)Ssuq^g-R_cHB95E8pK3_- zTfIit&BHnMJ-8gG^C2nZex%ZEJ69X_T#l@-9-5j1>D5* zww(TWk)d}v-OtUMUo=E_KO}btttQwIHMt)=iM0Fw0AG5vx{c40Ez0Fc=tnga(noZp zjPQMWRPaKV3^4n!Ici`vBQl`{cDFp9^y6u59l9cCeh%i(e_DCBVtlZyN%S;{X&{u^ z-R=Vbp2sx6f$ZHtbYOQl1Y@Nu+s6g-DU>!2N55*nayaPNjU3OW`ap0^J4|M;AW(FqJ{N zF>Qf6#zO)LBCK3$a>H&Rh9GwwFe8$EbJ~%nQh|2z4=2`{C|T4xuF;-3puI=w?qp(@ z5dcW}iK(6lH3+H&Z|q?V;GfvYe628d>hlSzVdWaZ_DPE*P>74|QP30vE&B(!;Wf`M$F^o$?ie^bu*K5 zBQIUtu*XABj4A@S{{Z#XfRV`1a)6L}XRSwhdnDt_U``KTN|46q57$1usz{(#1-R$e zzr6yvUMLn!5sdfEN##oc+T$2KL8X-hdB-Olk?T>bNpP8zo(>L809vt_MRcIYzBYfK zo=Ekr29rQh*O`XC(E-axW`=esu51@-zG3~>xzyt&J0gaxrxi}}OC!L5;E!c2gMQhxaL z_B9*A9e3k`cr?VBMtBL%IL~^iAd)rOK|FTtSFYs46vsKLGfd4RD@VZYC=RBS5Z+u` z#$kCwFs5%F;-8 zudWF^lT%wHGs1kuA;aXcJn=>DBJ)8Xm$}YCZg6RrR~GXmpJj-EqlHtRJ!%VyPJE~v z@h81S3rhsvVjKg*53Mi@L`#=hBbR{Uv~`Iip5{rdq$QsmIL0yQRYb^(y#NII)E9RX z$~SlJY8VyuTe&2Y?28z{BXeV`R1xjZJk+-l#>7g*=e~NAOtwaOvfKh{z?C91u^?mX zQb}PmpxYUCCxO=$Hl1xWaXFNNSoFs@t0jDuC0hr+<4RW>cQNIPSR^6TsRy0iYAGaV zr4m;+S|uC@9S6ex3jpkb24UcFaX);26*@FP)7t;F&LzmVJAF$RGVS3 zw-BQp$Agpl(w4*3jl5|2iDuw-&w9^z9Jy{u`qc8P@+59^%`~iqLyx9Cs#6ghB%+rC zuk)!@p5aWS9=%AXBsc|02R*7;ts2~L27B!}8LC?9Hts_a2&Gu(J!%HYl;OMa-lUMo z!z&r&^HH1w$6WWK+K9JozadsabJv=Jck<*uQ*}515kc<01XPh;%N|ApXM#_yMCe?d ztBiJ{<)Sdew&vviwIq3n7TSJef^$Pc5d$jnPdTR|u|hC;{V7WK7}ke+63352L}?kP`5i2fn!5E}`46q-K9$7)SvH6d!6C?0LW|vUhVjb(f zM}D-Y3UUG9_4TAsS3LLUG}e)lOoQH~yRyq-S)GozF2&)jrlWhvwB=eI^ zy|jBt%na(;8QczP#L9&H+ko#+S27X1Jo=g%F}ME!uS`NywnutQmALt&V8vIF^{W~d zlP;}%ZPPeJX(V&eR1BJ`CRx63L*BPM39Q=bTD#ld@fF-gCvnsRP+6R^#Xcl~gDV`X z4)kAIi28l*?ntah)rc0BbyY2O?i7pM?k2AUZ-npQMxlv&| zvz+?sIT+8@mw<8)=Tb(VR#sjEbUno| zDkv@2@ucqAce^5xqcLE^JqZ-wG%4erqcloEa6tTO84Cv5PkwVl0zoS|=RaK1ZQ-{9 zcY2y!FguginwMtiSf9X}Kv#8Apf^L>n5fP3Y&gyhO0kvWc1i121b$t<6m_awffcNK zRk_JMGfLoFj4{9`uf0CqkqIr+9FtY%XK8TUuUaLzUs7=E7{f19)}(0EuQ@s9t8Z3J zl5lzY6{6V%ms<178{dy`2k^Z=9T z?LY*QVVGxd^#+A;oG9du=e;#l{opgtG~l^B4*lpE+1mJ4PZfAt+g0$@v&nT5xVeQ! zcLfFq&x z7>AyRIlXswa`jq7q!@L(i>27-=LbbIGf*Kvys6*!QFYOKwjY zFj7d6M(n5tTRnLH0P9svA{q7Bf3wzAHwZJgp>Of3*4MGT5}P!6wn_sz$r$wKuhzEg zlTOn7tyafsT!kT-xn;*cm-tZkGZ0H2g%tWWp=zx155CyS5ziHmbq&Sl3{fi~I4noM zHEQ-tjcRtkX-tk;i#a_>>sR!hJ~?zLkf>S9e7`Y2UO%ln-IFV}Wm{;iZtZ%{`?Va# z#{`;(Pq@=lj!;3`J!<8bSq$Dh)(hMTG1#~uESXK^7% z=ga3GT-3L5NUdzwl1ZC`nH!cl=QV#$*CW$!3*Xs`gb}dX-E)o&ORo(Y-r5VeUCrZ1 zws^V_N4;fElHNws+a^K#>dnZZYAFoVH4Q^ik$l1moZ(9J{3=ULDXigLL~2oI%o&7^ zYW0SnV{fL5VoAx!6+=w3svEfEK4O#vX9wP(v9!Fo zw|o003Sk?!6T$6QMXb79k=pC=84^_+RsR5qPfQwo(%ag`))sMvi#+=MGgB?bRJQRn z`l(wBq*$d*!CekF@!!^=j@>6LmljV8m(KQXzWjBo-|&Z}%SEcBg(C+I^K?IjH(HBN zTZI14S1b?-ShjM1rAXMVa(=)x*obWZ0Lw<_9@RqMOKUA&2lJ(2oVHh}Baz2i(9^WJ zwLoEqC*>rLgnQJ}>ycT`wpVd(dv(GPJ9sw8+vC?lKn)2c{WIKqCKyi+G)f0P{AkzFda*L_! zmn{Xww3&G01Lwft{{Z#tCtcJV#+s~aX$UJEY#{@JK&;(%;Oe@hx22meAqc^-*R^T? z0AqQ|URu=5f2?Z+eQN$eMQCh$&;EVh?+`kJamDsEX=e8@*yuO;->I*hQ~+@C5& z#7x6(K;UpH!g!7EVOZ_#+s;Ud8+tkP8UFx0(&>@uzAKS*?M6k4;y8&_emNZDH8(2v z9X6#lgKn~UY{@<{0PR*aO=`;G(IV09_J%>`Gj-2XP1WbHZ8FzHv6swc46N>RkELhm z8djxotQ|Fxq>pyl9R@-iX9xMwyBOFsQ*!AvR|r><(e-X>FA%k+Ji7@tw%x2$@(njv zp5sQiX=PR@7={Xegz!oHYO=&7xBG3fvnNxL)1LHzO#;_a)UM=6Vl67+Ks{KWO5L~D zZZss8)>uQbkC|lTk74Oi$aH%cTUgTMVK8(n4mSco3UE0EsWQ5;N@_mISi+@KHEeZSA?#o)L09N zWW+&F-6N>QV;j4xsRS=8;~#UTC|iiHcWtQJNSF2+Y?52tAG`?p8~*^W&*fXzULU!= z@U)&LxLFZlkN}FqErZG9r#yZYQrc6eI@{k{yP-K7iO1Hh>mDt&)0QnWPe~TWbs|OI zf*aV=>NH7b@fzn=w*JDN6^+%1Nz?$$2S7;bcxc{+W#i+n?uVaX)Yy9UYiy-QB; z--O#ov%QJl;qVzo;yA#`^{zJ7-trAr*}S%4Gws}a5JR zbBfZ@JV_0Pp)4A`y0S$f@_C%ge_bvFO-FS9!K9t`q%ekZ5_j}eno+6U@L3s`rH$`HZO)M*&oH{5@*)J_Wzh;<&ojEfl9^;|gfF#@S!# zI{a^{TuTIZOE8b@#9^cdlFEAyKD<_i{+VUs$nM3}_(fQNg<@Zufx`WL>yeV&g45dt z+GNNedRC2um$Am$oEHR_Y`ZpF2i}`(F79l6D)@i>p!&6zu9Cun5A;tlhF!-v8Sjeb zqVW~RjdO9Mn~Q~sT;*NQByrNO4~iGhDAS|U=Z;|9&9@uJs5P^o+UOo7iG|I?G2E9d zvP*&nI_}Rw)AgwXoYr(nmde}2x}CJqTipKutKNF!u=Mq%jbMs16{2oO7+`U`n(V$L z>N-?D8-v5JU&nDGK2>An5&nOzdF7R~u{L-a4jpOq*S6r&(cu2VPYI_MD7~;8I6T+AJrjsSfQCyfkEY%{SbKcFym^9vQLl9)qZ_h;=(D&Cv!JkesSxEKYhH`d6LmvrZYeAxd0J!=;GQjb!&jyw?>0p*W>df4#3hka=@ zejJH?u0c3va8OM8gRGDzSKJCAx#i5@Z1uWcgm zw}$Ovcx*w2OP#BSV}rpRYnJiLvT8D0T3m?LFu?ns$NXz6OH18C#(gR_AjaNrn7_sA zQnwtK#d8m3?5>Q!`n|nKyB}oKOc-d8}Ia*^Fj1TZUoeG3p8HpK9(t z5BwnUwV#S2)VxQgHKv6e#jh^O43d((4E7Ds*9yKCxtqhMP}*X>iGksc)$yL*cvj)4Wd$-9#JrY|pv5IRu{dv#$KjFu>0OQLb9R0=)-+;aniY6dfKkwHPkn6I2ts8V-!?lUQ^vyd@(zNfiCB(L`zdQE^!Q-bNg;Ufb?q2Zjy`fn}?%mzH z)&BqnXct=4cRE|H5r$%tVUS4dKO<9iSnVUhw(uCW8(5xb$qbtgdCy9#@dnDxJVesl zF2^zNkPbd>2Q?fXGS$2tb8}}TjV-Zq1MR_H*&dZ8&6`i;_>S%6xrX%SJAkC^_0DK* zv0XM|X^p5{>P@Cu!7I+oyExsPb-=B!4tTRw@Ys^t^41xnlkcs{^zFx|{{UL9w0Z`K zw^_ z;6Tkq!{xUN)q%fwa* zC9F)yu}$(Mgn_p>=}CdF@fy-G;v0**OHIhbYb;C7?#Bc9)<=i*tBq4ixJI{ejFmDD zLC?SAS{@;rP|$Tm)#WWD7bvXDoP`IR4AkH7k!bfI{e(!8Ny_JrJJWALy-aIA73!K@ zvs(FT+euKzwkmd+9s_qWHtAC&VAn+4N1nRI5YAFTE z$tD%Vpb|P{oK|&)zk6?}4K8CKjraq1>t2DXc!N#VtRlD2wBseH8%RGk+@C|p#%m@I z16k@?;lHxGR#?HpZVXqs;)d=WN)TM=zuTw7{v$FKmmXY^^&S5J9+kbR>RuYt^q71- zrO5Jm@iK|n4a5VJyB!U4UOCd1OYiLsIc1z73nQxXorZDNt9W7|eXJcG^h+Gc84T9*|XUDUl=!Zw~Ux&t^(Ku-CJp?#MgYMIKK}sHlfB8k&cDZ=KD79!cOIb?g2a}<#)ZyLJYXOGwP$#L z!h1D4d-(0zNXjr$>`D>zK8CTh9XZXN+OOY4J5U^SH1zRisi)blo?2CKxKM{Xe+n&f zi8bpx*!7pPw^5PR<5H{7r>$whr%L(~-dgI(B(a=I9Zo?#z3JLcrEa>7xAvrOD3buB zgALDocCLd`x6*XKKTXm}b-iyfQ#mR}Kn*UXH*|B4;!QT$Tl-qn`LU^3+#m-y_3h1Q z>DE3S_=GJa)b)t;`|zGzW!E9cKp56fi#!+Nc>F&clUTRS%&G?`J@f7UH6E{{UFp6a z)BHiDE0rZglIM?Oim*uSbsq^?{5a4rw5#n}E2DF?hKzB?Kr{OEuR7Jm#`j5MZzCa; zhU@jI8^rpR{phjO;hyJn{E`RAQ|>EcL%PuB)aKB2`(v@A9&G2NeEN6%Jt-kw+|CnC zrs*Q~HuI1+9Ym5QA`yGeb%PI4dIcGkj&{mg-=J4L3r%R>yatYmSr)bU2 z{{WHr2Oxbbm(z4Rt9#{qjiBJObpzU&dzYutwCzH3G$DwQfNZu0H0@tPiqArA9_~QT z6B4*NBC2X{dt*2dPRyVX!?64+wT7p2d8->3!%Fh5;yRV}Joc#SCMJgziqXY8Hqvl% zPIKD2Ekeg#(WZh~Z6C>Uzc5Vjd8TO>ehf>=tToYf8^w&U#u(#{yw$yL#ZhXpE|I2P zvs)tVM`M;BkLOwn@2NJesaxObi)*FW$k~T^Awk9ma6cM!9uu+gZJ*mT_|zaxvPA8I zAHUL{;VmLh4{DHWw+tkLSlD2;bK9KOe!b#LrPODKN=1bjWRw^VeR!z*Lek>v*7jOO zkNhL?-bpmVJbnE6XV8D1_08MZUd?p_q!VruuI6Fezv)&if3$Ag-P_0>;x^ps?UP*w zk>gD|D`staB#aaxum(2{hyMVtReeR&U1-hWolz&Wj6UdrM-7pZIR>j)YFhrUB-fA> z+X`Yxz}&~VKb2@{t)+OW+S>d^1bJwgPs~6akEi%nmxa75b>WRwwdn3vduyw9D<0oa zN8|qh)}+|kTSm}4J+4{_;npoI7$A{lol_XS>LP2%=!~4V9r>G{8uQ!EnwEa5M z#g?|dWu?q!DfXS-RD;&8X>qXdrnw@84IFCGDded*>-GMXo#6ifig%t6)7HX0J}IrP zZj;Z3;BHnK66Q1B?d_#!xC~6 zBQ=92nk;t`vP$gvGX~+0ustaWtCz3k)b)GExt{H3ntz%`#xi|tPfF3RE$r6P`rbup zqb!Y%a5K}^vvn(5{UY8A$ab>30{H94Z)(uhd}Vp6>e_q^RXmGx5(Vhp&)1r;If*WA zQDlHmI8Eo|GM-30aBD@RyZaJc&7Up4>0$RzTvtN}hx|3JyWL+;9lhF|c}(3g(9-ye zKo>ABl@+_8PD5lBe{qU0aY*N2)vb)F2*@XbTc&GH-@?~=xRLBFOzj>U3Vl!O(x_?v z8`LM(#+e?OBkjw1n|K)K@Tsl!ZD+$e!IBxagkhNXaDBM#K|8Zv=E8e>SoDaV7rR$s zDiQm|U_R*|t#avce%g=N=D!=uV_;9ZYf{t2c2}C~YTAW^HPX2w%UowY>slGK9a8E| zMrfiFgYyUAli1YrYFS?UQj^0vj4v!gSlt5QhaEbf)|+SI%R9|a>@wO%9Bf9`&j5Pj zr12G`eloO2f?$$Eg;J7WHab>ziQ)UpJLx98v6(`q1gtU-xT*uEi{VDC;ymiwIawol z0E~HMuyK>PFWnyIu6$MS%o?4`CNgOOTvtzG0{{SYZ@b;;wUPRYg%gb`?-@cbWGoG0_>V4=LKZdl?tZP>GQ?QTB zU6IP%08cvMqTAa!)~x*DBLy!Z&JV3^#ed+KEn;0Z z)=}mXvJo~LargfKJt#wyx);M=5?@0}G<{;#e7+ULstDu1u6^rV&EWl0OT3FtwVrFw zG#Hv@3WvT_eF@`^_0L^+MBfz}K8<%fPUPl77-V~nze86bg>;P|CDz|PUxsht_B5Nj z1caZ$*4j3pFYaPl1D)V6Aw5SuYn#^WZhy7@)RA#<$OyQ>2N>h6Tx~~FzPV<()Qp=P zsrk4W>}vj*t&I~=5o;Pbng&s^vC5Os^VCy!VrjN#!D8`RJ>|u_D-IQb5LJaB@NXX(W!vbzI2itGiN;i07{Gw9k$T2L0`@FSbJ^!HJ0kzb8Lhxu@#8CIBqT zn@>}N$mAN9)4>{~o)(wHD}!$pz*BHe0Oyg8{kf_drlWHuzu7I`DPvaq(T-U5IsEDZ zPf@eqomk1GfLNCpB=kLLY;5ipQwegLWE+Vb9=-nn&swQxqux3DTQ1T;BXQ)?Sop^J z%v|ZSL$O(k`I0W;r`PG;sa>*eu5?L#sc8|j>CV`5h8e*B06i-<&s#dg*AJ+`UA7({ zk{tKux(^23_+wSj-%gU{VYr6q`!4gxpv3L3`aQ-jTg(TrGAnmkRX>Q8o;cJ>l9GvU10M;XuE$nWh} zG-&T^w2PfWc%(xdp>|5VpTe0kENGf!aG`t1)tG~fe~o7NmsqxnZ?b8u%&gdByMld% zNvL?y?_pd(u@E>Ym>~Wgsx&s&r6QjKZQ$}i1R4W!rje*!!lKv)W#97`UZd0BwP@a5 zSuUR(k;1Diq@Fr~o|yjtJt?}3OKEgcSyhBb^>LC#t&hgZ!x6E>xSu zI_{kFS)0=f7u@K-cO#+2X+MZHm(rlS)Zfhxhby?8_UT*JmtGXr?-Toe6^}8nW5VTt z;OG4Hu4_@aw!OM*OEv)me1V&%)|1#7R^wUI#BsrAW>t%AE=Dj9{{UX5J{-Efk~UOn z5&r)Gl-a=^zvsPYohsyAnB@u)+--8$!K>$AzVPgxRhbNOR#FZ_5IA6ZQd^a~EKLvD z*T`7NbYA0;R3Ox)hW$n2N)^sQX2yGG6gI1UcYZYnmvo1M8<0o1t;i$r#;FL7;a({M z1syi->-EJ@Ey!n)ENve8G8swBEAyT){Hqk|X*8k0+F0^O>07hG2#4(DdllsV6_7Mk zg5zo-KBGDOy(k&bX<9h(EKb(b+oaKeThGrv^vz#Nm|H%XHN;cMPH_mv&^u$l;aInN zl=@%|rpD~-K72Mt2d!AL@n)&#t>CqU1py95So`Gv0G=ql!uKlL{^sbwA>72{k&+E> z=$<{+JQFiLrOUFre5&dnY5Z!C*R*AhZ$8wC%%VhP#sCAoQ4G46X&zm{&OxThmg4xo z#oDKgwa3;qQVAmfCbQv z2l`SIOuusktgULxi6cFILG7BO2xXhgk~4zGlS^YI$|{zXpBytDO=?@-X@?EK3vu7TM?<*;#t(XImj!>Cy&O9 zy%JqHWcy94Y#eVRzg&YsSGjf@9X9$8I>J?1m|&xFPCHe5ohkJlDW1X1j|+h+cTZF9 zJ?kb#B4xNqSwjr&2O0kW8qv{jB-3VlxN^b44Ib~k>qD>+X|_|w(%vjg(PRB!UNO@q zqPPr=y(1zbakz|Tx+`BAyZxg`7AqVQ#!3={T1Z&HF> zNh27NLKJbsbgQvzb{cdlZQFE*Dnkt5(s+W-!qF|$O$&rlCSch)?UUc@S(Dot6CpmQ z{3r(XC6;8qX(Uu2lg>R&D_Bixe94z-3(ie0npNYH-bmR`@UZo$UglFXMI)BUz@TIo z_d8%|03}J|2B3yVk(G&Haq}G2{{SKx;%H`wl=U?g(pcIq(Xwku<_p z)<)hDfH}u{l`jUZ`=xs0M6n&M@a(FBY}0mgVWJI5`VFx|k>Gja29O#|vl zEu8lD;zx|_Vg9o{O=X=vRK46%OuJ`$4i6m#Txd*j`EKq&JO*?AO}=y%bqLIc zH{7mI3h@pWJWUz*J!lr?ZBEu3Ti-4fVq9)*-1YaM%PpEAi8_Olc@?DB_T@3CNDB1# z;;1}!?|N4xgYu&8^KicK&_ZRepX!THbW zRqiCYnFs)qI<{y8wI-F|y`0*i02U>8{{T9wEo2O1lz$)1QN;@+;zl_mpfzcv+)j8s z$)KXq)qcYc$f5+d@pHvPW2oFM%1%ch<(D05Z88Y&;d^BHqz(6T)Eb&!4~;ekl1V^f zF|#@8{HPGw8s2-RWXSUlc|ARaM>;D8i5Qcd=hCV_m{<{>5Ak=a$c92CRwIrraIQ}>TJsh3ce#v<1HpU`{L?j}V;62uF)Y;r0HEkvcalAz?A`p^V%&n3YI;Wsfq zIbO!5x6|#_jITZqJd>Q$Ww&cDEk;OXB(di;T4^SqYa``H-6Ox!fs?_t+=6&LX<|hi znV9f+Y*7Sm>y;xX?^Jg;tc9&Y;AfIR{U{<^nil%q#-AHoSWCm4u*Y0CfZaohWUv#sGr$#+evoU z_>_$KILf9V_0KJt13YL&IT zv9VW+p~2&tp3#lFETb7ylisY}Ym(fLCRh`ny2^kOJDLE6Fq}fn2{|V{DsZnfB2sc0 z$jR&PRqZ^vOTj7I0Sk=&UFZUqlcL*Q%=@I;5;DwK^a8Tw z3-;&oiDd_u=qp!OwYam=n&KH#&ybY}t(*bft0LNWctlq_Oxu27v=W}AcMIhmpk$Nl z+L+AdH&~klbj?dV%MoayRXG@9+>ue*m5{Or87wnQyRxmj&E{^&`@}I7dQU1)WD*RH z25NhY1s3~}aC?kZX0?!8w4n|+V4l3v0vC}9F@uxsO)697#{~Bk8>^)5oMI5r2MnhnexcXCDXj07F=NSjRBv%g;ZVpQ?@q1DN)HckowUqLD`qM6NB(;>Z zWP&{nO+Se(8X%8iOE(!)-`1LoZjsYMfZXko7!iy*-yLq(aU-f_T<5NSK9IzdDJE?#NR8`@y z#+B|i9pLfE6)oNL(#Q5iL{(MBLh@=69Fkj#yZ~cz(F~FdB<9@l)B7OC(iu0xD`$)ywj4TXT3O2HMGT3B=jV8!K+M{sItZ) zQ_oC}y*;XvDPw|jlbV&1P5V$WPvT;7XeARZw}af!1TZ_SyQ6YB>S}w7xg=JNKZtWx z-I?XU1Cx?7LuCEHai3ZME#X#tIpB9SZYYv7o#28ydeujn!eI10ezfaC?GGfJKN&O( zv=-(ozbac<0V|N+qNZ!OW5uyT*&i_Ur$v7hz(nj!%9~e?ywo5knq|)7#|PSzZsWDe z+NJN>W-N#XIKr+l2Ndf)Iz2^EEl1BF;J0(>QcYw*GAw6<&Oe=3khn~vFvq1aJ;>G; zftncr$l(2cw9^}H+qaV3;+vLf430_3&P`s4tu9bNCRK32~C&5(K!zE<-O)X%@;Tty*iD`8=Qi^HwaiPxJ&!m`PxMQN}>`Kdn~0)RyvR zwMD|A!km6T;aQYq-AU$2@*{mHhF6W$hVRa5%#aufXK=**(@ZNHDdQ)-Xd_0-;wSk} z1myB+RgNbNf%42a=Q%Z-6E;Gr&Uh!%r56U!oE(sP&@q=blEB_w!;{8yRBol--zntv zsqZxltJztkVnZH+nQa(;OK>{p)`rA3)i$;}p1C!lZ>WoS+CMOz&6D-2uNdZK!OuZV zZF?9Dh2J z+2UwV%gJu%rB-I%*}*=X)P8h|aJ|lI1X-E3usHA5n)gMpGICGN{xuAfd8#tqJ?T@* zlaerd^Hi7;gBlN>Pu8Q8Yc^4T4@!-dgPdgN)Y9^qJF1@GNC7`8jQi8feqIZbb4-ga z{{Xwrd*-d8ys-S^b{hk|OTRH_f<@Zfl#}c2O%ebwTRhav*e7~@ed?pP%vg0Mn_|U|X~I$nQ;h!rDq?B2Jj=(HIVY`6Z5R!|IUNbAtGGxc z_vWT{qRW7ZcT6Xq4XQ}3np?wI{5;}f$BQ!!hgO(jlDgfO&`qV}_I_y6+CfKgV zHW|qZdFxDQoPtQ_H8EC=Hv!kVG}J0{=8WXhTC%x>f_98x1J}J-lH^X_W5@Gj1digX zY(mFqCqBNk=^0gUuan=}nD+;hs;K1mH4_XT{b})ne?IiD8!5pCo79DN$iziP;6D>h zk(o~5dCyZ;l}KF3c{Lo72RlgWdgik&v57SEIP+Ms>GN~>RjAYsPH=jffnq?I1D)MZ zYL+%TLWaoCdI^zY6M$C(uO^n@GN$l)Re!W?f0SeKqG_16(%IeA`_(2Tt0QZtXTxOk zQwgH-$;Mdq%~bNfZgM%~p0xddt`{c|lgBkPp*$~K^Yx~( z@wWr5K}3-7fD_Z!hI7z!NghbUbM-X-0qK*Tv;ZIgVMaOR_03y`TVWR2QHeeCRZ&Pe z9=#1sFDyX(d8%80+o@5q6>ut?tm7;J^yZ|U)mVT@_o$2KsQqY`;G68fRZ`PEQBk zlK?Xb20zB7fl;E`8=>p(O_*(B#rse)0s^rFJ?S`RDsp-CsTMY4wEECH zXj{|gWJWBbk=N3lF(hXhrb2{9H!gl|hqWx*hs;>u_00s4fC4GM1AY zyUWf=1hZ(1G_?#rASkwI8|xdut1 zq_?*MMs7O*bBbih18?3)Zk1X>$1LN6-@a;8x-Os)3C#`I30gdY_~+D`hCqZJhn(}8 zddg1+*OQu#;v&vYGoJpGoV5eB(R6!zXlB!{wFu?8xF$do<=T2+kMOK}qkW^?q)#!H zNoNtRK_dj?IG{&9yL2bDiBX`4259mbh&@U5u9HUbK+|;Rx4Y4ms1kTEot6+Mdy?ND{Uoz zI@Z&*Jv%Ih$W1DfhgZQLooDHH0ti2|@s%x=&r?=q(REUbb*4bOw*p8wIPafYY}283 zIODvKqbXlCus5E0>0JUw-U!fTgLHy-IS5}k6%MiC*k8hSx`c2rxr#mWs;~!>fPH@& z%Coo{wc4(!G>jdB?{_&TwsZ8X$GL-gmlryby>M{nFO$)|g-egIymzSC!O4uA?)ug3 zUdgme`K+%-NFkThgUG5&ac^;TFhtkqi-9?LHj&HH}1hkTaQ{KQ!VNmtLV3L={7R?kYt?aARnbwK^?m$p!s1deB`$@ znnGIZtOHIUnSn0ScgH|0Q(K=-vC__tfnMO??`Iu5V0|h8lkM70md_rJva3#|yw{UB z{3@-^pDwLwCDdv>*uXMm{pQXwSvJx}m$6#R#QBE{p4F<%{v5uM1cb(0EU%x}Ju1_< zg8N0EP153?>L&A~JvxfTy10;E3unj7q=V{fLr~T(Z`hqG!bxLB`M!RG@uY?e4N`MH zqCB-aq`_*;SbsaiMEG)HR!<8;Fawx7a0xEkf)|cuhysW<+YZdquS~a#~`}_MGnUw`#!vIB8fC4NcYkP{FUkpR z$CKI4F|!aOVp!Na?)=P=H!JQ)QN1C%T&>HC5rkR zh>V9JxXTRotVr|$t3A!!m)=o*%@#&?cOOd0hwTvRRqTWuiSuappm zRyizB`TlgxXT?`~rL=ZVh$D^HaK}7!t6FBQWpi*Y($?K%w*^L265Ml=f6o*Zt;+2S zY4>TTU&K*l`Ictj1?o;ceX8}vt+k_lj@I-v3`R-}bC5^9(7J&edX~(@+ z@ZX11QPx@GICaX&Jgi7xu4oRX-G_x+S=5kO!hER4=_ZrzfIC&M7n+54)`2@`sw;Nh#tc6F7;Ag+U*(!RzQAZ)2~Cv zU#$UlV%u11TJp&6>0ZI$o9Gdo3Oe0x;5n zkxrWV^`N?wrW~;i8D0VQ^{8!a&bNH9Ncoai`|jO+GHa;tpMmAmtfqs+7hyI-G_9q*UrcYnSpod9^QdYHFm03aI8}+7U zw<}r9uwN|NrKy!CLK;UMd*-&}w(#DGZgmK!K50RKqk*2^N{dl8i=ahhvj>}VEA#n% zD~cC(Js94sea*Bw-KE@!#yfgVwUIb@#p0W&QMKeovAY0WP=7DVsZXftIxXzhdUVeMdAR^J zOLu07>;5R|{u0%1MwO+>wtINMb}h@cIU^mq3d8U|lwVjL9J|xZs@BAYcKfV)XZ5UE zrPac>ieVB;>iBsH$RAPude-)x@h(AZt}I|_kc==*q@TmJ0jnDK6ZkVw0>(+>8;ZbFV=9GAvKZvg{q!ikxV>F9H3)5qk*5Yi?~n+=BOjGF z@e8S;;J*jz2TK0Tx{ds`4DE0R6gN;a*0D8)ywkN4AeRvUM&xcraqIZjiu_IS9ngIs zO0lLlG6fkPoj)3fOq$D6yBcn(B9$_3l2=TT^r~TfO-oDBqv@7+=tQ>iyDh>s3q)1oIa(8o*8>p)jSWlt&T|7GzW?3Au zQsnJ!g949=J`9J!8jZA;vl!;Q2OYl3P*n9Cu4C(UyCBNQ}7+$E`it8<={O zdW2Vux`eEy~TO+#6Z%Ts6a<&y(rU<`Ep zKgPNZGfD8*j+ndoA&&$(*e<55gu9JOXu0gio z`58FeIcm9QCDy;AT-{ltNj-~fkVwBUBa(f`_|jV7 ztwPm^zjdkH_)}I}+c~o80Yzo`Nh2gx39t3Nddhu9@tWmTvm%!Jgr38<;q6*hlH2P@ z$$r2~D9#D_bB@(}PVnS99+P}#kf++=0o7#OGCzpp`O#+B7}{Ouho-fTT}n0kTF3!V zz-0%h>}z9F@YU~&Vt*6b+kopJjo}A@9tH^Yt{U#c%8bZSh&jXKlg)KL7uNN!4e60z z*vJpeGP07&f8G=@Ha;5oUi97Tnp@f_S!|D$y|Ks{I6s%y6~J3+TK9ynrqdD@C1fig zFN4nq2E9V(_KQeXUk~Yi5U{klnM!@KFj>hx$UU=~ zXR1Rdmbi$<*(CaYRmEKC_o}d6m|8%~!5sZ)6V$hVqrtBEcQ!2QU4G`@;`&zRo#73A zJ`r9fp{GqBk$k2Q;2$?RKPW$sF-)L z*4l0Byq9+1kT9jXA9}lU3TigLVOavE%ma+#i)uo>%)8BY%Jx<|Y(^s{)-CPct&JZ^ z*KIe!d_>}0$e?m@*93H`7QPeIV7rNJ?4+4YOl6gNCOvcO?Nzlq{a3@fqYW|4PRo*v zIaAz!pITG=1kt*`Gj z29j&P-pCGL0G*)w`cfx&RS?+)o+nQ7y#VxzOo<6fd+*LR(F0*{&fN+Tdre zW17@Y6li`pv7b+!mfF@CGRY|9XQK>u=D5?Q+-lc|mhy#Sc7<=^9+k1-&kkxj9QvZ_ z_CyJ-0z;4DAmq%t`s=W1Q!$G$xsQ!1@NF?RbtP zw<0Y0lKs|iYONoOuWZ?&mc}Vva)wZP^TEe@=x#h?r2UH8J5eU`LQ12No}8NI@4PiM zQoMHauJ&TUb(-uDs#XamuF2t-ERFZHy8pBOi>F-+J8EzpNaITwF#xwVz*Xi zkN^Nd|Gsdi<{9;-+1g7Up7Vp{G7_wZv8&Nue`iYz--1EGVtNtWA9|l$*Q~DASaiKT zVG_%|!yKQ(6-|=e%Hzg&Nu}HAD!aU^o^7_%D*|WTfIYpd0d%P@ z%QOxHfs@T?>sq9@J{6MQF)H07qQ&L6-co*INA>>z8U$?J_)kyp<;U9@mQ|H8gnap( zf5y1&Q$@aLX0~VvCQp_;WROofTBk=CMZhS+fiZnu1-Xp!Yw9_TkjBp6V zYmjnsbJTU`zrATEiI>FMfwi#Hg|(~zyo$qdW89Ngv|kHoy1Txiq}-<1!I8r@cDGz8 z?MhpXkm%Ps7M*iFzlnFWY=3s(^lpP0?ONKs_l0zOHqtaZ`7Yp1$j+sXEI*(hI?NaUYfR%e8KKdoH&p3qBeAdLL7wBN()^rnP~E_^L4w(b3$D>Jvu z5yww#)t?slcGk;7wY#x+yyYq-MI^p+oR9O`uiac-=sNt-7(Cceu;k#8&q|WpR<_kN z`R`@4+XgY253foksaH9hdG7A@WKhiV$lFgmo;V-MwJh%ReKz`7_02uxb;bvn2G%Ev z+tD;14nwAY!a*LQs$!e~in(z2u6tRwwAS^zi|rvVkLVdG*aNhAg#7p}M-aHw;t{nn^MN9G(wK ze}{FACg)2!PP-$h5{449p1)s8-PW~V3iy9Z7IwOjTCM8D1kats1CG?)Z^LTWV&cwrXqavzsBHDy=~k|^ zw6pNscQ)@T%I|@-@Gw0^GJerMo#9EX44(!dE+UnLx4BYN>jx&|6 zi%Wt_JqkPN(3N&OcaEc|80;z9a@j{PI*fax=W*-XgG;1d=-R~dX){XVFst z0pHxzK0FTQ-|Ti_mK?@i7n6_w09{Vx>Q;kBwYl*9&9$Yf%Q#R|9088?nQ3HXxr*9r zX=5H>+{wXH_3c`o0*>{yDP^>g*+P8q=R6-z$NceK8*3UapB2Tt_Q=ySWrKi6*EC$F z?qk8P-*^{M*$nc?vZ3D@ei(K?U+}7};_-E=CHRHJLO{~M!*FbUYE5c5HPX7AQX^+@ zBm63ru7@;CB#@Ae`qE=NJr*Adc#3PSR?tCgo?h#Rb{JPY3=YDo-*{(I)udK)0g5!k zN*|04gdX+A>e^lEA&xu85f&%p;)@7%VWqw<<}m^bks-%k0rlpaU`r;Qcr`H*Qop?A zyI>m7*7fWACwqN0nHK?FjJd-PrDxiBf+1|eK-uSRdm7i#?KDkmOp?!5gtU`Nxp<`7 zN9Wp?P%tcSCNj%$G|e-sZk3s{&0bsI4P09dLMWvBNphwpOa;eN&1>K3`gezPE6aOG z(-S&~o=#Zc=Q;c)ByUed23Ta}Tm+C?V~#y}_5wC;4_1Z{UDkTFh*6Os>lSGzP$!Dr%2T^b1BzELbJ zM(E3N_|=8hygR9CKiLvq5H1PW7=7x&)ikMfnAi!nmqNs-u3q@hsN5eq z6>lZmBRqb!SGb(6Y~6Swdp$+&k#}3CAa%tzS(IAa{iN7NHUdG%PsW*l;tvpLsrGQK zmt1B409rH|pIOpwt>h}wG-QIy#z$HOcViOL@@tvI&&hF;bDk>j)NO5F7YM|$z$24a zzp^x&8z`Sr)@{~BgJf~Xg3SGJNUYhd+Gv=^9`*-;nl4G9qg`p*jr!SL-X*fj(peCY zKLP%Et?4`u_G>wBZA!FeRU5+Olk9)bHP7f;9n80iFB{88A1iFmJ;AG5)~T)OcC%^r zbGu;w0IPG6o|~~n?pCFL8(Lb&IFC+gPmoAE@J@dU=d4-ULeY|>pP3I_*Fg(SCW6yG zH#DC)#!hO4$q8WFzG69SQ1uJnazyby%VVaSeb1lug>!@TH4JRGk-D(tH{Lxe7Sbn{ zb}2gG@^k$SThi>zc5c_%4j6?|`XBS_QqvvZLIi{C&E^)!?rAUV?sk2m5bcwm81?PN zB=T>Rs}Z$ZY3-kC>3lgolg751#L>pP3mL%90O&DJ<1*~EEk&#Wy@2_ucp%{8@$XD; z5JoLbGFlhO>OS|UCbc|6t@wW8)+ls)c26%peZ+)jM$hOgB`tlL>NvLvQu2b`(yYUDT8 zcH1s5qB2I2Fv}iS=~2(ES?b9T*{uXBskG;oW9n%G)sSl1^s+%6ls2Gj&iOmFQiyrD zX*lG9eSWp44xJ98Ka~(W$j2xA;;Y}FE;&^1b5rrdhB>w=1`Sh&~A3(Xjj#=WiB~z@Sx}Q&a0Kcb6X?GM6>oIwD z=^hkda6b=zYAtGgH|+u~CKM2$+8qveA5&39X>n+R2cOUDyC1<(;c^s=sW{CbY(Z;q z+Ms;1*DQP%_&oYMmx7*N}78}l58V#E=n=yngpJujdxwP zxVT^Jm;#{Vdqgq7{c1R^;?&UGDGJCxdQVm-`BPxirCVTUT&ZlWHu+u!c@MEgd+ixym0vE*Gl7ozu5u<4xq+XKfaa~o18oEj0sQ{7 z1ByH-Vv_SQ?=9X1$wgK@YCTdmc*~=@jE*x+~=>cKl zX(8UI8=M*}?eOkq!i;cA@(Arkt>bCn$ch_1RmO8t-s+HQ7SVYy1SEd_cH?RK{!|j( z$~Sk?yR>@_%=+~{v{^)wadN~4Zd=l)YbfNyAtxP8R*_6{Ng8Ja@Ms!$EndZ>Df3~u zQ0g(YNy~j|skbu71X*I;M|{*MB=a4Wc|FLhmQ$n*E*3!h56%~;KA+ZtucZLVc)#hGF-CKDC`5ojjUc`ocy8Yr;Do zr@z*l5lSf07Y)>RKD8^y3gE{u2R!Av)l$+gA9$0~G}x@~3$r{<2t7?A9_5RRIcAYw z795P{)}odvkwzSoy9b{2a?eD;sNPh7^M>cRs`s|?Nd9zblnat^Oh}t{Nb1=q)YXL4KE?`p zW!U$|K%i18Bgk1>%K+meaO!<(A$M~$`)82jY0vbj?k;7!oJlN77%noS1M;Q&5%NUc z2YuAR429M;@}!NJdv>OlK-gd6Jx6+Ukq@|AoN{yCt1^X<#=xIaI~rEIxXX}RPSbC; zR(zgtGmmPS+Grcek|Vry`qXwB{l=XW+pH*Y{7uwUg6qwHv|*Y0voYsBv;k7&%6?~+ ziS7dt&(f!}%0x_{oKxlU+!K$O^(LaXM%}S_=b8W{l|kO4qhp$u^Gb?$j_S_iD#Pa+ zxd$GV4Az$j?998Aw;1dxu}w53iZ?wu6agqhYTJ8`^o@Ug8Ww$FX+)3CUd{ZU8Mq1oR6vuUS<`Q$CPpw09J*u-bZITWS4|-+TdudwH zmktljp4CNQkQZqiBYtt;@uW11&u{1a!AU33^{HPLtF@!~^Niz~i%-<1g4v)Ehvbl0 zbTw*AnD6bQxk9E;cFzzT=iBh0qP!QIRpl&;%Dz>C0?hDR(zO%6QMcW=Q~@ zfhus_Pjgo9(*@L@x-!GsqO{oY`LPnfjv9bua{lB1x#zZNVv<{Mq$wf$6G+ThjHx{H zSHw_U!RBLVBYJP00xaksgkgF-$ zI-l{TGjaRVjGhi^Z#6CxEg%ON89$v>xY-rawUR-(MtaZ#1I>!S7PXYa&G( zXLD`Kk=WH_hvh7*$77ngX?X>(CSc8h!so33wI`@5+bZf4#K zleam=TD;T^?1h9%st-jyswTIDra&3&GtzDnUyoQzI|yWw~{!pxg-v`pf7RT<7e9#8271dEsk1c z86@+ORpPcPL4Krq@@n<0;t66686lqxI`C^T+$C03AG_rL02XSbw*<-=RFmB2t!--2 zT1R-+uxAI9-ROH(b=`!b)XKQv{KFYFOL04uQ_JX8hV0~)?@Zg~5;MT)Pk(xip=m%U z=OFaWN{49-PVZ`~hOCUMqnCJ_mcVY_^&3nhBo3M9y-#y^B|{bus1*Q3ljZJDGyvsL zTXdN@9V*w9KFIe;ji`C&`Fhj^cBo!XPbbo%n8+L=slh+310_cca&y<9;MTINX3Sg= zOP3*t>OC|1)-pT@r{^a`PKxUm_NOwCnM|@(ERCXYP)~C|tduxT4 zfLEN6oX{bBwUXy^=W%Xx>r!1y_H^>V1+m_z`$e`z)N%%AC%1a9aRW;+l@R2Qo6u60 zr8ja2jFT?%Mh8>tLq^1cideDZ+KAQBOlg9BO)a@%2yjLlmd{gD)XDBF>E*}~WCxGV znkHz}wt4*O>D29;V7!1f#4ETAAO5{jmO&(?p++itXW!PWw1=CPWEs!bG}UHlMmnCP z(@Zi&8Ibquo@zN|kwNe1N#>%?<0iaz{{U9pPhQJ!@k~g-kKy~$Q=IwI#SdgAob{QppF(r4*7uCltO+hAqf4M;M?AflhWSWM`=~!mQ-8 zM~oh|WJa6L=Hv=-!lvMy;{cxY2!VG1c7Q$T5UaUt9-T!#D2rqS%MW^%G+~ut&eMub z42l~+IwmECQ1HFY5Zf@^(ni?}oF3m=PchD@|_6hy;->d*XCB_;I?@Ds$?|E*Je&-FUE28s{CZJ&PQ6b!AoZZsQadybg`r* zkwIo|w4LrJt17#M<%d(jrBV+8cM@rQlw<+MPI;yxu$vt*REs6pc9tHzikc7<5=q=f zIW->9oDRL|@e_hs`}H(Cu{*W`HY`-}gZxIDGqUAC$j?6YB!WGKm5<))d(>{biEf~t zO))odiuqHF_2!&O1Acm*)PWdu9ZyKb?Z{B&BjLT9!GprWr%sN<&r@;QQn^v zY_aEWC%L91YF}xqFk84F!pRsQ1Ju@K+y>G^5g@m7QrO+x!){Tk09_0)}kX5}q(xjnI06lxsDIGidnhNCPntC=&o}nv-A&yC;M&8^5$E^>Lq>gDJ zGDu4*;0`ir>2O1zfw$MG%?QT_9Mj6G_Tzv@ zum}{G&phP*l%&Spi7n&byMv$SOCqu#x^hoE(*%r%F_V$aS#!N|!>G<`1DjEhx`oW0 z2U2@`RBbAPUnG;pO+BLyq=TM&Rd(QQ1oC;OafHWl2N}k$ap{V43xE*?$C2_$je;NTYa5%~Jq!+kDAxwqoO3_Im&iZ;nW6nwE zxupkd2)Q8Vcw+T_6}@cM;BN zDJM5P4&6QJ_c51k%g8*FRfA!+spq*g39+jiE3jdodKdt56!qw8U4UmV$>q7G$e^fT zdG(+~PI{fElgTvbNsWmZB=x6l+rjR7nhJ#-a&v>t108_U2|*os&otn{9HOA-uQZ7s zrpHgTW*ngU_KG58e&%I9+i?eV%nxJycllQ87dx0BmamReq>Pae{ z@)zTS(3)h744Dnid(uqcimfpn%7I}4U3h6A0EmANIo399Ncen#h}O-RHH5P3A+ z*ldyBkPG()+!LNMYFNPj5y|dNMDjCz=E&`un$!Z|F~)n7Ko(&{X!!)3cco~NK`OZQ zsh$TczE~u%$;Cq}1&x5@_vVzC5J@3P0dLNn9m(gXtwEKSD(AmibYO_YTfrptr0&=q zj+b|9p-e8cmnLZwGc#a}^TrS1Q$+>k`bj92O9Q)}`Sz?UOKZD%1ae!E9ycck*B1?FSpbrF9s20$Ag+?r`!4ZD;s^!L1)Cr>Q`DH3DT`qIg4 zSbpH(1JoYA)g|J#nRO8La1NH~#Aw$-w^r3YWQeH9T{#KZx~K)aEQ?&NhSo6`7~2NfS+l-mT7g>+ejE zGDaN}AoUchax?NS1AXtUHMpBCKC^gHZKsu(4i!&DHD^qg+*~cDqPr2)<->E2@v0)( zXCs1rPHJsT!#hW11{slXTyyh!W|Mk_?rLk=?vEaj{vFZOGB^RGX8E|TPJ3pKP{|o1 zscdmuULMm8^s-rA#j%O`6t5uXgGsB~OQqgPZiLAiKGNH8bM7g&#$(*a3Lo@&2{`Jk zMk?Kvgx9j}fpJ1&9cDrzMkU6QR@fM|a$z>$6vIxqc z-N5$$06w*2N1hlESZW8JsO&Ox>6%v5O_KeF-Yb9YcmR3#?U_jD6)Dv1?pfB+w>Mk? zj%c4#fWsw%1q|ngCp|qX9Un@)wbUX=)2mtK!`{Z3^$~_9ORqDkS-EPVe*ls-B+(=AjeM z401HlWUPnj(x&5m#`qsiH@+&g(C=-e@|+d8!t$dXfBN*g-R`IG*Iu~L?XP2+Hkh#3 zI}GC@we(*cL1L1bp-8n9UJE975!g4O^{#7HkodOdZC364tDV^ShI5{26?>L%d|#~i zs!@4jm^sOx#+eomQJxlFn$xY0p0zn;zrBu_cEp0SeEiNNhFCWUsu6hhs zU7V)IZzg6SHV0FIKoV;Z+-e$>xAsF0|Xz z2@K+BenL1S9l5A_v66VQ=Rmg6g`SMjZflL(MmtJp2Ygvtk?v!EJo9g zpNI6Q;g?wP71xp#r6Ef*vB~4!lFs@U3w1KH#?Ar9QC(Jso*B?*ZC2JvF|oYZ=3oMx z908B>%^^!;o6_X6NnTsn&zTr1p@%s&J_9y+ znhNH)+c9-DxVeczEO;z<M~ev^&rb@D*1uO2l`iQrt8)g9u92+ z`$n|5mS+-qlEzDd1_1~B^cBqbw?bVl&{$2k%tbQoKQgukMr$)oI+ehl>fY!x+b;>b zKQD5BALmV%c57Kpt7{%0dsfJbQ@3)yHjH3ZEpX~y0@fPV;Z(3rfbQ$a{*|@i9}{?X z!p(-aYRz=OvKYu2z~ecrKM`t;;;lk`PH23;F|p<6IL8AN?gcx)5?$)}p6&i!*$e&L z^{$J-`hJCOuxmFuq-SRB%t07G-Q&Gv={^}UX>#0889q{SduFf^?sVs6fWMa`{nq{y z-jmgXIzJHJTI#p2duD(s2P4pWn$hrYhOYHJE?2t55kjT1-AE^lS3jp+K7)G(p)Hfi zZ!EcR{DJR^?Jhh|ZzZzoI#ETpa7(b^R1ToyiWw=H>*AeTNt9?Z7|b{-j;qc;#+sAc zDUuu5TLwigN$=R!o|~du{7BPIx28nOY=Dwdi-5{bGJQK#-XxA0ZQ#;0yI8?7ZIVwf z=Fh1==cNOdB0YdI6r*zoGa~O_uF-?HcMQOm8aZ zET`yteQ5*KXx02amrbB*a0f!$q%uc?p4cbzt#1+PdKL6zMuOb^g`>nJfDBt5aw`wU zT2a<7#-*gFn<=(M3zlZ@j8gbt!}_)7hOX`BwVK`SAIyy04mjX}`u zu3KHDtiD*zD_I7ZLev?y3%ij?WNp!$UiER+ltKinc#WtV76Nu$Xa0_ zZOGqnj(NxV;-&5eCcUVu5xy@YDJSlB!G1Ts&M%@c}OmT)d_3uZE zv=~N_Yp66a{i(Q+gP+GWgKOdo`&~*6BH>wMe1RjJ;QC^n^afkH(wXcoZevGL8Nu}= z=b)qeD*A5?$E;c*ArvFIVUpbS_Q!g?X?re_B&n!clMT*84cTG-6{T-*Kai*exryQb02*D}Y_YnXluR}xp>kJ>Y-tVNqO)GkV^(s7&p9W#t*s~F z<;JyT{kveTZf$2qP|=4DbCNji$4^Yr@i)RE@-)?G}XIpk4f<390N3ZC*FNgHz zj>l73#ma`+7h*c@`d1fu;rqM0sPDAZX4*19Y;m6AwtOk3-RoDf_ii84X1+(rb6s~jH zRo0jGfo0;GCNd}?E)noR9{&L6r7ov&r_XLJEG-x&?3b2IwN2vfKTgy&8L#b-gi*8- z{Ryf%6@~TIg>xj)ppq#9#UVd<9-sYsYjPH>p2xzL@tE(Lc-l97y2tmA)~oo7OG|5l z`hM-Kxm7>o-l#+34QEZAtW2vAFNR!_KLhDk=D(KM$9Jd}kq!e4=L6cQy8#A_1kBbM zBIU;Yxg>%(>s=RzelqI*64Ry9C$w2abLJ^F0_Zv(eJUM4R`9l!JKyP+(@S$EMkOjh z^v9(_bcX6MFG__F8wTg9i$5=*sSQa^WqFa|ny zHKU?wo*wZ1?Z&fjtU}iF!-;`xu{}V?ZhxI~`nHh`zl567^-*LO0mx2Rf!CkFS3eZj zFw7bkkcK-%Wd8t4XkAPGCXU-ux{~)zfPJ0D;r-)tEQ2qED^s|RN6>Bp0w`zgLgR1GsK#< zmWgi-+gq&C09H(q*BvXOxV^Q~uVZapKG77%4J>Q4bJG;-ZwcD?wpbTTVdg~>7e~V3 zf%QG>W5rrEgx(akw6(prp6(p1{$3bFbMmhpPkj4|O)}QQP49)RndH+!-qDqK#F^YN z-yQwymX}DrzLBr3wt0KLXi52pryc4~59u0|y3g3;v=N+s@H$Jo;A6$BT}wYvg^E zqJ|^7L5$?~`h97(UK_R4lGjv*MOMRkp~ot@>yM>TH`Jj8-L0D>7tGHK5xKjM&bmdP z!Z&(cwstyyg{zkHVRpGl!sEx*vbhE5%74iH;_Z1I=d@*%)m$sL1NF7@+ zF_7aJ^r@A~E=%Bx)8bezVbe{_UBscY~4Ijk%j+~5OxpNGM=UnsC)YZLF4(7J4qg>iN)9Iz& z6?{7~pTvyQd=qc>{W|{u{UNu+S)kp{anygGdR<$@I@X!x+T6<|wwDMcC$8Q*)`p#| z={ju4mKQOGxsQ>b*N*hoz^=wyc<<~wJSZVAJWq)bFC3n7dhzX4d}6lOy0E^U-0quh z$pP9J5I;ZuwQN6vG_88-H-i31rIGfsC*7APuRrIlUHHSJ!KCRHdJUqep41(}Ma!~| zIia$zsg^V|IU*E!-J4NYMJ{iz&4lMHsr&w6%|tY6P_1*yB_ zVO3;oDwFH!U4Xf=)1`OT;L5rcDt>NHrhht8)dSq+bu6cc;??cu#G8qY<0I~=z|Z7p zJ~r0;MA5-w^-HBOk zZ!P5$d4Wp>9SG}KdKuJpEmq>v2%NcX!AQtI=M>X-80hrdiFGe9#0)9}86$2BcF3&_ zQLlVIsz)?}GDul4$9GYjRXa_5X*aITZbNRvEAlh#?M9(Iy1cH-Fv&chZ>3Xu6qUx! zt!g^nu3FOBBG^o!5qAayllXmV-G#S?b*DFa)N;WnT)<*b70LDY`qaJ=@V>KcuN%P< z$qbf^>Pg1a$iY48w}|vh{Wex+j3P@ORmmlHXB}un&qi$chR!{D#wF9>P>>)~xDD;J za&cKc4DgKlkBTF)ythAPnH~Po&eQ|5d)1u`YBnAme-T4)9$N+}AkKI0;PK!80IypK z@pnhJ@bm#K3^xjVwvWpP*PcGKJxF&r{{RqLE`zB{2Ag~5L{88{XO5zy(6xeZPV0UC zP~O1vh3}fVHlty8b2av@I!3Zexn>@x13%}rbT&Q|(>ynL_ZEamml6EtPD6$rN1&!k zcPVLO!Pl=Yt9v%(67EDy79XElZnOk7bzyU5e%97D zG85*ORYmMS`qi}-r0b`Pxou2u)v^Xj6s~C!O%K8vo{gwZHDzHNfOo{)Fz1TMxYRD} zbz8Xf%i|iffl7gl`&Erk;tr#zg|%szgC@pyM4f#3YH8A=rPlu>*-lf;>~wfyoL*j z^AU)QAtbhWB>R8$={Iyy9pJXM`1L>l(1 z{{RU7&5Jx`iEhMq{{TLS=J3 zpWIssaOz0s%*Y7jV*Y|K~$h#VFj&$U9_dy+?~Cxow-!e~j9@H!-+@iitGh6a_etl-l-o~DCz_?-u+#Ns3tjiw<-9>dJ&Aq1R%48g4 z0Q=RpOWf1Z{4J#F?JlWp4&+Hy({p4GV@&a+E38~3ws5P6hS^GvV?C>#it6IdNg}bh zk#{!M0OVt*PSw5P8SW#~WiJpgO(+c`^4T2H^C+R=zYkw(da7H;YbcSGwgOHG9N=f^ zRc>$ZG@Vu8k`$1TOBpkt{{UTj5Bw!Q9`L=d+w{w`_M;Iy89$4m!R$z`4^+2pO^wsH z?;Xp6$Cb}GC%;-(cN2P&X&S4`=N(2B+R23=ecyc7LucXd4_oOgsM}q}XHpKvZccyC z>s~|4AQyN=AC4iVlGJtPfziz&kor< zdgRcf1S@D*0=t0#_C2d+-&VZStf8Lr6q?>y2$?32B#!+4HD>F?o))uRx^1tQAdhbK z9Rcl;^`Ytvg}iO5Sz8-;VCQK7x#{>+ejV4L(IackN(Z_Zrz{Ekr0{W?lSZdg67e5xb3cFEa*vDlkMpg(uI65jFZ>tS$CrTIoYJNWN`Q@Kqk6fH^kbOgKlIqhDIToU%m&e zOGRGfw%!+-%RriO12e=34USf@A6A-cAjVDY5!zL{lb)yEx~(h5H+uE_x_t3$j!5@8 zQ;q&;UF{9IT*SU(@Sl=47DnlvY=Bw&yrvo*X zmmp{vzCr9dS9Rhq58YnbE6W^8E#ShssLul!t`AGnuWmJHw7Fqq-!9Q9=|=2lFRJMC zTd{bQvH*Aknj@Cy`#D{Yl>2%PqrPi*#a9X8t#;!|l2n#0*c-+&dxMI=OV6~slAkGV zR-4>?NCvO1&2+ZK{M?`8Il$@oRjoSw>H4yrK?@)yT~A|L`eu`+%L?BK;wL7dEc0Ev`S+Z7Ki*<*Lu1O>=22?W`{%Msmb23OZAKEp?;K zbM_5xM~*Vw$Ojd(;!hsvnof=ViQwB=i^!!eo_6Xpjxp^_n`&{_NWpi*F*s~xX>_Zb zn_Hi?C(XuAPDwQD8B#&9x#yq8td+HazjrFJU~&QPK&82<2aPmjwUsA=Smkqquk&{6 zP(bkbh65A@+DO}hxA4zDU*}k@X!G5uh!-w#^sWB@4#j__$34KaXOTftSFjYG!rhLs z(kIbh?9%+je*WRssQ8L&+kPTvVIlj&(zNvrPf@}v74(e7aun#jOB z{I~=W-|3#zmaMkyV(FG8|FFV-k(P$5HK6MecO^e}*)l8d%2_wy2i(DsUQC$ZS=A5co#dz#0tt zedmZR-dmv3AdL=HRN$_Alhl0;W@jceK@$;@r<3_rtIJC{ z?H1Ea<(q(UoN+_cZ&p{6Ub-y zwG;y2IVZ|&@z~c7ErrH5xGaCY>ML#yUs~277kaeJ@&of_vVNH~$t1UzbaP50$?8v2 z=}e0=KG#!yE@hkS(acls$1>y-{&}fq@gr(ipKQ6aL?`}PMmQeSjb|OiWkYfg88rgw zbK3y(M!=RJ9C5`Bh3;=?ejd@hMv`1XsawSO%+OBwG5V3}DhafhZfBCp*~7%AX5ewx zy5ilMRA)%LwK3f^PGe$n_4eF3W)G%X-`nsveCl6o4qdEKdRdY-if;gOrp z3Q1#$Fmu%Z07^r>$i;=sQ>#l3k~Sx@)2 zk}`XJY8%aRDb!iOSTbZhnD1M@AJY$sB2~6^k)liixMZH>j`*Nb6}&@zsA&dSETcyR zD{Md+xax6P^Iutgl?$;FH#<9n=xbA6(?8)I5k$_)m4#e~8K`q?W zw36FbvnrP=vLoYWIVAV17g`>rrN#D%?HI?9rbXyU>GZ5PB%00s(E|l_ImsPA#;jfV zgIm9eVY|PP(Oj@i*vbC@Kg`fFTg!Q&#OuPA!*R%ON`16&`HOg)yyCc(nB+IUDAVp4 zqA=Vz3Qm4*e_C6)tz*1Yvy*aTGBNCZDY0r?x7Fg7BX1J?${XeGYO+q3E66c3a>7sH z_cXTFR~I)cac^qQNF%4Odb6oXBG|`icWM$`5Jub_bJmNLwj{gJ^w@N-?GLu9w$Q7S z_t^F6(EC;{qYE{;R5=*sf&Ft$oHUAUrJ2NR*>b&ov7VIaZ)32w!D3KweN7?U%X@H$ z%oy$*jP*3jO-}AJ_J1=Ys}tDN_fy9)c8ngl_o!^N^DK^1QIMw@?r0M&rPAE~=0wKd zx^vc|xQ!rXRd1N`1w<|GLl|Uq+g)|m?T8+CjU2(q`>HuIB`N$P5Y7nz1n z`^P_(N|$Rql1Iqr87Hj|XvxaAIp}C95=5}O1(EjT@@Uemq`H<{cp-UZl1#>t@=qOw zL3j#5zjjV>v{jud>&OD;+j5hJ-=0bKq*kq+M}hoLsQ7O~Z68?hd|Hi{oMaH*F72#F zGlSEN{#9Gz7mxK15Jd%!o1xli))vu~`!pklI3F>`Po+{{6iF0sZjcs|KzF-yobYO# z8*XFr@rKXwAMv0bP}=KDcn zUytsOpzTm2H`>6);rvaWm2%3!-Rz2Jn`=py!N&rxUg@^Cvb^?h1P6iTw;2PE&VVY% z_7y_2u?^4_8RnsA4azbBpK(h)nTlPJk2LPaRODuo+c1_47)Ti9eP{=$$Ze#7e|Vm> z+qfl>00f_#I0mjX?D3eD0bn?y8+JS%zghsxRbc-BG-t0I`c;dI{Vn1tI|OpWcLO=8 zF5y5(ZogX3yt54Zk#`b%4rsWW6h|DTf*$?HQC46_iAX`$j%pa$P+$X)IrYt0)2>a{ zLFzdbUf%jk*k*!A%w&u%dz{ci zZJD9oRuV~{fAiX;QyOFwl6q&YXf}^=X2;Kr0koW!87CZZS&~5Rz#-}mea!`u#Sfgt zONGMcujy0RK`bxl00s_D?)1o^@}yAQw{m(^mlv%ZzE;v1`}Uw>UtHW?hH0J12P11^ zY4*h=cekNb2Wbhw%W{7@TXbgyG55NYQu%S%uJGgK=n2gOZse_V4U|%wh}67^w6R=~ zlT{bXW-5dbIuI(tSj($vt#cpxz}X`lpFvPbVRvM9+{d@&&hP&KT>{jI>GDEE;W7#1 zoby8&PUy(mGnP^7K-6Z0`Al*N>P05jmQq2^PFUuF5lFjSO0V+<$g77?g;06X^26rm zCnvpBxGGo`ZNRq~sZF7g2bP4Mq<_o%EPXK0m;h~)KDS|b#;)l9Ou7kbn{0hA}iO{q?#3ha|>sZIrXaXMmKK<=I@#= z1OCbxK3=D`decp(ksb->1fDsmzS1M)a&uLtx}IqXQZ|ponlEu(vS_4951bxJtqYe( z7it`w_w8Bpypm32al0oZ&>~A?=9U2Ax{rFWtOy1A_s4pQ+(fbE8yN0rWLb$Ji8$cU z7bCfN9!2ukKBW573~Vw~9=&LaGbFoIa(nvKnL?q%lkY&tJlD=aB8r_ULfh7Fi)iu$~Ew}#wuT8y1RG#Z23-f2KLZr7WGoI$AM8qI6 z{xuUEowO%*4cv5SRSPM}9qL85l2!Mp(ZaXPIt+8(uh~3vN~N*@Q@B$R8pVJEFU^m= zp7e!@QjhaDPET5z=a(uwjAo@4ir_h3Lh+g#9n8Nh$(1Y6)HezcrqBtMd$T2$(+i>;MKb&20m9T zdI|{=2~iZ|h8;81(wl`NJ30FELdKHZkQV@Oa(SnlWO8;lUW2^=?nC7)gde+rPFkaA z%yRW4`qa#lES^aKZ5)7U6eNt>kIW7^sA|C32~xQPo=>mmL{32~xbl5!(Skj@$1R>g zsCHPx@<^e9!Xxzd6~J z-N;cLvX~_9?^L44)VyPtLj-N`b&Q4WRKDA#22(&n(O}MD%-iOE-ez~fmcHg)$>+4gqG39wJ z)aIV-QXLrpl1F}~oiiW226NV$B;0@%>E4>MERy+Zcmj)pBu2uv(SqGcsQ$~r+sPe8 z46+FVBC{4a7#-@*me#GYl<)7J)eYU0R1x#@Ap~`$nlm3HaCsGCUn`S>Ph94h>>dK4 zv+qrAL%qo0jbu@tN$Jlftu33|BO@*jdK1a2)+Ju%C<6Zg%Rosrx2;&`QhB136lDZ$ zJ?XaY7c(V+(mmc@;qT8iLGoK@j~O+kb0`@==cgq1HAZC#md_`ggG_0W$`u<2gZ*hU zf%6(tRq>I|I@3yE;AH2i%}kxbPUGQ5k%3dsdbBO&Ve&>;16A#tY@S)_{WDq?Gshc! zoz7X03=c|>WqH@^#3&y#jQuK{-~xAX?^{}gx=gmhX=W=pZKo%#WaFOIvwWTV)S5I9NaRcj`3@O+gW9B69yQ*|!;W*-q`TawaS4p)Jd;(n zA2V=0`Ky;@Ujqj{jYO%jNXTrSMF1A@t7XW|J=cS^a&w+)Pbne_70=Ddq;T69j@>;? z07i^u&hY>PLHxd+di&6@a>s8U&X^`a^EY!+@7}mxzghrhH!cr6_n{d<@7Mg|owT9D zA9Kw$fX?66fFqj)%V)MZsiCx)ZH>@Rmv#xwLjJvKdpo$}jjiR$S3J-H0$ZWT?n$Px z!1+f*$tI+hNL5dkd-0lq+&YrKc=gQ#7jkE5Q~A=PD1Hh4rmK=ea>F^}+NF+7&9z53 zdY?*;(${(!TO?+rwR?#rTQLSf9s5?DrfRNn6EtXS4uR1 zhUw{1JR1h>z4@lM5fYq?mHP8eS$5=+oSu}^A!10N1t5~%`JwItNjCGjR{(?fR3PUE zCpqMkQWVb_=bU@fcJaXKdr|@L?~{yjIr`MGF-OkRoYYZnOgf*()~1YyDhTV|fHk6+ z!(fExdxM{B)lakU4q^@R{p0ENsdVXJmQim$?YsVCp4Deqmfprzv(s3JSnhTsllf59 zz{Hd^GY}64p0v`>obKn|tlb6jgYEokqZ1j(Jo?ZFVQqPC6{mf&%=j$IdSlzQN{;uk z86tqYo)s6V&taN}SOe|S+zqBTl$hBf*fGcO_N&urR_mlgsKI?CbED+1W)wHBhBmQt z!@5lNX>oI`+akpzWNnl9PBlbq++ z8iwY{mPEUb1~EGj%mK!Jze>{L6(ECB$d@Y1+?*5m_p6ra8M8KqU%cS^XWpr5wt)mw zEX2OUy+;^1;*B5A)UTIIPxa0+hdoDnQen9=iF9p8&RB5Ve8af*^{pLbiwgw0fUzvQ zn4Y=sO}5mM(huytS56X$@~G@iM>*;$rn9ciH0y3H0Em#WbJN_^^)Ah_tSvU7AP~kI zg3=80>_@dfPi;NqDX1AZZ=1_FKTc{#)0eMNL$9MjtGR7*`;OPOIKGRVV% zKiM^B#8+Mn&}}8L@ZG$#Nh_G}(u^;1aqaynJ;_a(HrnhLHi>;T#`}?wSyv6sHb|{C z8^p5H;P=H1m$`ED zLtQ6En#){+%eWxDt9AMPG2j0Htz3NdK-i^Ced=v9^W#c?YWjP?SgtLSBGIz`hwnvI-Wsr> z(z5i;HZ3|RwPm@ESf(ciHpvILAoQx*-Hca-@2xEvhH}_B#Wb$QncVoM{{X|@FTS_Y zE#Jt75Z`2!zdbr<@ck(GfAFuw(tJX(y4EdL4LTWw_cObG>>`8tpKkTdcu&Flj=kZX zLhBa>S;(v0t0#zD*)$gDo@+1-AnE|^RPA)wHOrqO z?rggrOCEDkU+I^2SCOO)7?Lr8klcTTYIwg$n@YO3zqBDl@GCOo8%{DsCI!=Pr&?%p zX_5q13P@(_>HMpnvwyJ6wmOB&G*U1DG}~G3wP^+?caQ?RPgC@+hr;@Ygxc0b z*L2yw)V#+d5Jo}tq3#Wrt)`d29w4{6RA3Uc%pN6<;80o z$XLY~+MwstwtCeKdsvfJ)g2nzHH+@TvEu-G0ot=P-67Xj-rJ45pn|yQeW?)JZ0N6i zJ!j%*qr8G>BG|*ue4G*M?Nq#D29}d8wWZ5Q@Sp|Depts^#Fpmn!g+1soyOvL>4Dpd znm@IH{iLuNwkY#K8OW+?!`9+xRu;PR%WXS6MR{2>)b}6GwC((Bf8h%md@}^B(C_ma zM?9(MG5B|rrKHCf~?nVlz$sF{pJxfEl({v~`!8!98 zh9Sp5I+ItLHt@arF0p9)Wl^1{Bxj*M)qZ_;+UDF^OLVeZugV!va69|w-`bGxa}EuE z`%s%vH}7Ok$eF-@dZz?-w^J;45~{gxpXTUmrT+khcvA8mWi=SZpd%Zxo=+ncgX23b zF?G4$MwCkmHWEcW`{J(YiRxa|q_nxUTR0=gP*-s|EmeFJw;F$lhKqdwn#S$F(h@~D z$Fb~cos3tKTNz^~YGXa|`PWIKd_%Z}t@Q0z$tbGGlNfvGEY6G}hFGpR_Z_O$>{3`jw~+#O0NLx!1go3A74XHrptlfST&z1So?kKB3y?Z!947(Tv~-o)9nvX&cv6l%ZOme~?0Dx|(QFdPB( zruZMix}~nOsOkPAw|J1|GFkcHb>rTsYdU7Jr|6d6I@2UiE_MNcIADEo{cA@|_^#8+ zkw}2u7}*Jxa%3cR`qU20rE}uH5%?}ibbS+0%1%Qtlw>d?f;~OHE4wW8Sgt6I6%^x%r}9LW}bX6!NR{{YsmM&{gG z%^kI(#1u{X-2T$|GbP>s~=$7lO>E%J4Gqy#~PC9lpht$@K!LnI+M&{2`F)YQm zTO{M=2u?;l^IRlWnwF0`Ni_28X~3AQr*6`E{{Wv_+dee$4~W(6ucrmqh{BHDI#s_9 zU-(-4Pm@pAEM&L5ihq_?m*rqNKPl^;IiNLc%+_PO(x>xX8SN$*9%0Tg{c7>?=8bWq zu7zb1MSx4b-mC{1$6lYMS@FKRp=!}v==KrqNOI;!IWBmt9V^4F9<3eq({6{ON3*Va zVx9F4rMpXAJH@ujm*GUgaS%icxM!%TbxTbvP193HwP$8+#r)%(4!~6%YfiS(bq1SC znf$-*6Uv`@uNBPq%JM1~DsWF+Q;`xYS?QM$Y7wKtOlO_o@_)j#ZX&zUZ#>BNBRb@^ zdj2&Prl`=blQdzNHZl%-)$$)%4A&%rI862w&|gxNT9xLilE{I`fsR>Er&{QIH*w*O zPT4MQP^9+boG{www;$)FY4`)c`pvDRn!ypr0gGu_;?CfI#0uhdeJ<}z*Cu%3^5T|# zskfcpxW`Ny5VvP?@#)&Lu)P~nMJpQi1n?^>Oz@4)o2iLzV?J681S9f4N`f0(`Sb&Q zZEzZBB>9=n0qh1oqx|N!w2vP}ec|NOwHVQE)P+f;U%j3<=cnsQvDoFct0{avs9l{l z{#9pS%7Jo7Jw|Gtn|*UOn|FO`r_7FgvMX@JV}tmAD(VE#UTQLEx|ORza6!XJM}CA= z{RhJOe}**MsqgLJ*>w1L<&+GA(C4)~+@l{t@$ZMGwP~*HQahNV*mp48r~D7}s`oJ2 z_^RYh8b%}|9uc;k#`l24^`ms(=9l0KiOGb>2l2t5z@RQ~{HytcKDVum&i@-XTM zp)hRf{3YP4#I>EgMQ;_Xb4F4pB!UM#``0I^{{U%tZ&7HXzmwz#FOCX>$KZdhT%X2% zE4>K>`izpQ3XKV5 z_Ojew}D?yBwQFuznp$$C)YhbwUy$j^rz65!&*U1BX zxGJaA5!SKO!n)FHsi?aRlCWtSH6JeQ;BOPjccWj+4Bvksk+&kG(mpV2+P&Mg z?&(@4KPxtOXRrD8qoFc-8Je6rmA;`Jl<|ly)$+i(E=c>h$8Yeg{{S0BsMy}ca^-?t z9l$Wo?|%o33zFYqQ5xh3gq%LL>xKris$1@~FUOu(W>) z-_NP}R!-BBcQi;oU;xQKfaa^VtKtnB^5)Lg_2VGml^84Dv<=SB#@Z>1N3+t@>}U}A znEwEleJ~ASczV#<_=4Qe2K97gE^&nfa&cMK+LgwkWY@PhvPkHDcWDX9>T9vlJZa$= z^l&8|-dT=A!*t`?x-sIr%Y7azn1mi&tQK}f$@jX*7l+90sJ+viJ=htPV~&G(M2?hxrVx@tri49cVu-<4-t=@z=Z-`Q>yVn9?gdiMHJ zeL=lTIzFu=wkmJjKTyu&p*?G^@aB`D>Hc1;9H9yju4UEN7I;M38S7TL9}cyn0Qr@7Rvu2xog zH@J>3l{48#VNHiYyt44wyOofKj8nSUcXt_k<9$lkO%^WEgjC4GZ6u1=(|mPfdbYYRj5N=* z*(JVIt`&oNXT4<2;q41kx;L5~>P!_rXxTv^_X4B4G2JT16{`noZ=e1zR zt7{gQap{s?PItIi!RJ2Ntz+V=?MmVAH5+}yA9$Qpm-jk0rKZDpge37zoX5dnKl=FQ zi|R6zk3FT_=TMU)8Dkme@uu2p+IFgj-piHf8P89xQ`aJ&S9Ovpm;y3(o=F^YQE1vN z*PdYtKY1PsjO6?A(v!O>7k}Xi)pa|_pHGHN5;r!?r~{k|lg4^#X|k*&gDL^$I2>;6 z#X|>-b-fZtvA3RS5cH4C=s(Ban{$0F_u1{ihcn!&%;wcAMN(j(R8TVA0SLPig#`R`C)Q(MP27B{{o zn)g6JVT=TFr@jVA{ONQZ5_hwg?221p+)Ex*k6MRAyLj}d+i7UsFy!@N*P79P7HDup z(P{HC+_G(8IaBI$^rvvtsGk5U6 zcXFMkmt`&3w79}FhYrQ|pU$`M{1G39i`i)xse2LHz+1NMxf$g8^N+1$d_mDItoB*M z^13qSMLFC@U#B^$8ZN1SX?t%PT}ZB^`EC8v-=5UbZIgJn!q>ztxK{H?4TTvv>(Z*~ z_A8|6(cC*=fI$bTBD$sV-JXpc{{Y&s`SLpc@yiYEo;WpvH6`(kuJgnrfp}JOJ9Pe3 zrE(j)Ff6aHUr>m|ttR&SfOQqs+oy(auG-I8RkFM6(zeuZ#W0W;IWS<^X*h8EeLgkCx`CixYI5h zdvLO$$6mZsG;bPfl3l!7h4hz%oPjsY19mv|t5#pwI=!)){7n5!Wg8ejO21{`>uozn zJ|&9rLP+~SX5_b^%>ec@EHw=cuBL5SWNVH4nMwIU9XP9|-%i&p2)B?jhuX*(Wl5|J zcE;)DH&*C2{{R=MH2n`vxlJBvriw_*P6`m+$2^YR1u<&Q*H6)`JT8;Vc3;bq9uGMT zI@SiY}kdEo6T%6(qaPcru1fr{Q$ z=O>}ACPAg?vPfV?4K#x|eB|`aLvyEnr+mInWM%9C<_KVtN7F@ve(b@tpb`uNzxOByy*hv4&e8U#~S* z@=YOafQib?8;Y9+P-jli-IoDtiaY!=#Gl(K6A zFvU4l-O{Mo*gl^WlU&%=GyE8$Ni6~<7ZNp)*2fhVT zNOmi4IBuLD^O^y(avd>avio98y!H$a=UMu`pM7m8GF!LL%BWoaRZhjsi1xQR85lr} zcq65B+GJOn6`WVs?zc9lm03q1pMUexfVt0FZ)nMqGL76Gzx`^8&kM0ff2j1T^`T^|h^TerW`mI*A}7kAzRDea$n30sw*I$PTh zv`!XgJRuptKD7nSv@qbsE=fK8Dt$vv(X5zST1sS}ZX`R209JTbGKi#n7C2H(18jWW z9t|W{P38c@KPz#Z)q`r*(K{qNoGHgOb3yR}cw15zw|5J78zBJ{U;~Z_By}BgLtiGT zD4uj!_mlS!4!)puph=h~Th#6?ge@ZkJnUoKR?d}wq~EN1ZoV8sIT9k|gYW1m?cnLJ zo#fN4^CsLK%sJ;d$M{tXjV}5C=_Q<~5wJ@RwD%L-xpQ%&TL-Ywm4;uE`0vuQ1&cv^ zsS@sK4t-90{c070K;hRve3C~WT-K$Zi0^cZ(2{9BbWVp7t_IWTpISk3Q*UKzjQ3C$ zag`xX4r?7|YqxodZ3@^6y0kBDX1Td}WPy+pNy+CO>U$j`(n}fUiJ~9G`=6dUN|?hyuPuLUnfqF3!R`2PrY2dmP?4fQ=Sgm%eS|i%raa=K*wD7 zq3$(tt6*4{Az3V<(xosrEa!*>jqTjR1-)My8zlgOP zgtZ|_ZrXp|Ts+R~bG+vUq?+B*s@Lt>qkqU(zVS3WR3~Wd5Mq8k&aK&+FbXMxu1z(-q=91#= zUVJO}$N=-23PyveRw@bh%~y^_c?uOcUZ*_KYvwpF<`I+5O!{$)EG-}%*DF1HDyT$+Gg_M`LcV~lvXmqXp%N|I`C-< zOuhDdS>R;z0`N}k@+&TQl0+-!?io4erjRmzKDcM&eJ zSrkmWWp^G7WMIX^WyqD!1PenQ{_Lc_TEVNce965Q%G^A9R0$l&fd>Gi5p z0QfNE=dnD|G%s%`iJ~VbsG-!Vu|b@A_NFIx$3*9qBj#Fa-N@4UQXv@XNvP4}kcQk# z6UnUvwzqTkhU!VC`z1_vk0LKFW$EhpLYv12#x>R!9Xt1y| zC{}4sai2lju-#^9yy@HT9OFGG6IW%6DdxL{qqzB&*kzv6pxDw|CxT5$q1f89B$}QOgvOxsnh^ zJbP6*{KJQ3BQ4&n8@Z4wi1It+^q@tD)THGXB;zHIb5xG$*^I8llad(c@~aBY(h^W} z)Z;X=z^Xr7ngG3|>XvZIjdFl0V>^2P0QIWIp{eQf&LXzA0F#p-C!f#!@mTOivqa3l zcpiDDz=w9n$USqKU|kV5=9g(KdVA9&o@!yp&{k~+0YAQ3`Xs3*Dpg1R3Pcz)i%!{=Qm%W4~Xt}~I5n!_-( z+D+8b=XaO#0CV53`Sqquw=(6sNiR1DzFosP?^m?lGA5at*CC~pV<&|K;F`*eIv`g@ zB!TlWtCsq;)}JCce0gV~9eJctxvglIdUfmC2Qmd5N{B!{wS{wJ@ozGcShh|NdhI3g zZk2te$8D)h=_8NcNEahLzt6p3>kp>LJg8+>020nS`cMyJ8rI?~ck^al!AT?@^{K2) zrrq0H&80*Tx@Kh0-9KLSkda3-It-70O1hq66(DDhPAP-bY_>5-OXae8>(Zg}q*;8B zbKf26Tf2u?S<#O@PAZ~Ba`Q)X9K3_bW62bZ#7{aY97ycpnPJZdlNA?Ne%N5)h z{s4Q@Nk7?;ga?6vgFwZ0lHOk^Hz0i2Ip(20XPcH*_mWOu>A)1~b>5v_Fv~gq*N$i|cf&hT4=O_-I`h1aG>toXzDak`)bAjR4unXc{L=? z*PCtt%JlZC5$%x451EE6c4xTFXla%%BWy}ranC)m)`D*CNo^EFe)cfL_dTk-@ImLa zXyo9WFsYr${p@S<{)EtCX$Y7O4^il7F83;k11Su|ijGJU6Y{@N$*ZsSaHUTyPp?Xb zNEPyVC)2%5hr21i&GUoLwLaR>WRRmTEToQm)9!6dYNjPWF~RSTT21~>&7Om~YtisWn}0+V57l)Sd6mDpV1HY%%q`SF$Ta>qZcEp1! zf4l`s)r_)tLOkY8+gs)yqxw}F`}w3+muOWdjlC(Cmv>4w0@!Ye>*-aemRV4%r_J0N zuw+n6AKF$|xY)bFe{tMa`PBS0Y~xvAJnnE;t9zQ}rzz84)=QcqgttwNc<`820teShlxf*mI9u`qO82cQLr+aZF`q49|qlKE0}28H7^d zmm{NQrG_IUilZcs4k`MI*~fe#wFCykI47Z`OWcqPAc9z??*!s{ix)zIR*^b}nZNSEGN8YMVBeV6MGelb%Iq>aiqOvLs3Z2EfB-@uK$z z?#Xodc1p6NoxpRGTOaU~Lzzvrq;X7F0g-TV-mu}kOL+NrGzZMze;PDh_kWt4Y2_ z4YNPqtmH{rMKO{Id=@pO72lQus_yx*oKW4^*$vj!1tL!T{c5;~o<}5}YVFZ!r7oyJ zJ$UMBKP;&^Q;<16v?r*DL}|Npk_?_PQdqQUsBL0M2Wc7oDhVa|a=+f|O(ze#oR-fO zV01rYvH;0)g|L3+{AQ}naptRwO}mtnwC4lbs>gm?IXNeRnib4)WtTbQceN(n#!Y^K z7CGh{S=1I$>rGhg9d}$4w1bu$9Q#z-j8WcMg%glL1xO#ARh>e^u(&OZb57t@1WBE$ zaC&F0IdKeUdVTKZnHXr?ZqDCdN_EVnkbw#JphB$SA|fG=T8r?3JWqDPyLN0fDAM^a`yCGAIl5@pav6<}|usCD$ zPz0$Kf7GbwC9~SA-bpe=xf`Eazb({0TO=_2@6hKt9muTdIFDh@M^l;ripoV-GD@Y1 z>P=ko?VU?UC(X2yGt#O$v}7nb$>Tlg@~fyN!3Vz-Jy?l^u=ypt-=94yu5#tux$jZ2 zAa$q2QdTj$a(m{02A#`eCp3&Q*eC0gnw4Qq*gTc}ed)6U8xTfvdeGR`qa;XCaLx03 zR0tVH?4Ov@%YtwTsV%@GE_!s&dRMr09|&E;c<ebOjplP8?h6ydN=edKtvv@NNHoco;|yRp+({jVd8RypK4o58sj1wejzPfZp0x*G?VG`==T8K09;4% zfZYv9Cvpu&(c+wyLde|?DzvTT93ykwRFhv`TmX_xN{)(8N{wY-Gjy?T+z9^w>(y$6 z(`;%ij0}-CKHY0d3-&hq6-i_3RJ@}bkQV^2V^(5g4Un#Z7Z_zCttCueCx+f4s!w->o%v3A>SoBku5V*V3AjjC0g=G^_~VWaRbq zsLlp*Pr0ex6w_?5-!TOCG}ALD8?s3`G)And0)ldRrMQiPg(=MoRw)q)8b>Bp-Op1} z#-xmaoch#ijh;t6>g|AzUDop9OAZ^q9Mh8)V@v&0mY^A3CSP%oCOLuZahG^Lq`1oi4@*_O^ezO>lMR^dqf z=5kNo_Ne5zK;OFu2a0Pq@aF@XjX`1+x|809KB554J_qBPm06dzO)A95K6USkQxWO! z-jIj4+|L<3O-F+7<&trOnvfCt^G}fzf}os^v;kb8+k)9RrbM~UI*#V13xaq#^)%NC zj#*cphJY)|t{;)q=QP%mLU1`5j5q`YXyMW1I-;DRD-IN?C#%K`(pi`V4eQ9G{DP!N>oWK;` znd?HHHP4$;~4ZwBb)avdlfCM)Oku zHUl3207?LLwqqg5vTKlZSG`kV%eZmg=r>isrMDDtK1P_ zFV<8Rx!N65uRL_CmwHu?i>;pX!?s>^{44~PF!f-2sIH&kXTvMm4xw}7&j{S<`b034 zbiaM1NLS@$1F+}u9qB#Ewucd6WoB>f?+jjQI*}pHHlCo>t!@Z)DZbZba3Lx%>VJ>0 z{A(snM(<6ydsmh@P|9{X@r4JswMeF4mPmM9a9r>?=qkFB)y)qJcz03pKB9EUBaPf4 zB@1mNf!jTPl|9swXxeqgoQ}si$l&rR@2lyya|!g<+bg1m5w|0bf2~C(mUV>NWLe~r zPE&RcPp9ElL)^p>++8qimMxsQ?rV10JUij|?eDG2+}+F+%vXS(qX+Q(s=d{{?uRVe zE#;=!k^W_oa8!09)O*%;%b9N@f#n++v5+cTY?$8Wt{@{r|K8K@Quaxt9c}sbNq?0A8+&ZsP68swaaL(wBQRyPue2`=K%B4k~VKs zF4B9Mr;66-<(y!!=O0SDZycINq^&z`QIRI^L+Of_PP@^kfrZR$lE;#aMycjKOL(@7;Qn=X!$H)1OBuY zCYd3fAZ6aT`OEQvQ(Z|uk8< zORJWNvy@W2k7^1uE%YmUcvkxA?G;)g$^?O!p4kJXW86T+hycjjzVPquil<>Dt3C_K zlhiwN{{YslDW**|RNewS*8z8T2fYIpJ6|(ROX!rB^GdQGK}#CF#DySJmd*hjkEK|W z^Uj<{8-k$xyGI7DC5`J&x{Z`U6fewCFanMbPwQF<>Sl{bZE;!D9@y8~yA&N<)-dircdFG`y*fRE$1jA4fk+~ZgZ1z3t zq15bO!*`!+vVq&>8@D&|#Zb~bQEM%_*-2o&Vy@M8UY@+xj-RX9-OTn^Q-dU!K&{Ei z@00j`v^`lYs=D^8fA))#cS24jjDmReKj*b?>DNCG0WuMM|mNR^2zrA%+4b`sNKibq;|SG9~o=+9}+xJ z*X?hU9DYr*r&R-;zUQq=;eQ5LT4=h2`s&LRu69Qo_1t=3RV&>N1ESjM&|j3eXZhk~ z!N}wskHVem0;OPayjGrbNSKYU3Tj5MWkFz{!~DSj_hq8g*73qS<+wp zRf_h~?@iJ)t7|yqI~`$7q%Wp3`ukTWr+7bE*5aOh2Tt>hB<^2D{`M-jgLF%qy?#3- ziR5YJz+!W|{{XLBI){m_JP~_!rD*ej>=|wtAD9uIF^=ppKY6zu{RLTo)okW##2@fN_vH?M-{j?WukyX^?@HBRJ1`)`Is>NWR*#0B{Hx z>q}dXEP^i*T``OGUKE!^zmH$MmKT9nAS(h!eaGQg`tw?gsc!9I z*oDaor!^YtFKIN8U$Z0x?U7iYkox^9XOb;4;x)QY-P|wk*o@?Qid{jlwbDPdbXoOr zGa|sD*tcFzG3`ukeTBN(MJq8MH<(8>{bN;yteZ-(hiq=ce4Du-bmFVqXcFC`T%3r> z`^t0p9CWHyVrXT#x74mhyup-nmSe#lp4FoBTIlu%Pivo=W&j~{)R)lcEi_G2NYzpcR##iK+$0Ao4tiFPi_-H>xrtCT zazvxgj5#{HHL%5q{2(S5zEdrR>pnZ7rAjk;X33`it7Vm_v# z#TcbML|KbxXdIf>)7wUH+Ptm;_ry2Q$rz9@f&zYC zLF5kgiGB=G{iwvwp>h>R-X63&+)Wy{^IG`YG`h2bNM%^g*k9&iK;szvD?`8@5W3VY zW`o3fWR~Ej;UtmZaC-CCy>s$GH}++>igc!QWEn25KvE4|)jln2I=!@3k8nX)%9$HK zsP9KWR~h16Z&0(;Ke8dW5!=L!gXQW8{{Zz@Js^%fjw3!>oRf~V)%agV(|lKM#%rfH zESM_!1+qHx(xmZ|X_0BRo*dHj@NO+&A&3Kk-zJ*eQrzb3?<}lASpeGk7!BY2ik2@3 zUU-HjmqoOac&3nz$lRgG`H1WVV=c2os(Et8cQ!x`TK3xi0Es*esk~Zji@B36xa16u z)ZU>Vi0S-$;CqcX!gg1t`qD|@aFQaf9OI@tL`~)L_di3p5pAc&L z#k_Zy(uo}(FS)am-n!2V_#Z&jtzz*F*NZITFEI@Z$~Ptkc^!s-old2_S&aszVQVLm ztidFzTbCS=e;Q8_UFg>D7>mq?(pCry9P`JgO6k0Jq-lE5O<%*-$k8pZ6QoBua5+DK z9c!NOABX1g{f+mB<8_KOLo!^NxYhM(=hRf@=4`X>_3!-arm&Mu z@aBdLlw-Wn>-w2 ztxSZjKI+d>nLg183`jpBt`Fr;ywRqP($8A9XY(YFbB)*lgM*P;9v`~3wAV$ptqVsA zNAtT9cVuTEf1b5$-&kLxK#~cRF;of0JTm5nvH1D;OBq~4=@Nwu*db8mh z?FHIT59^Sw%Z6gt5`W7w0l_D(J!zJn1=cNmL2ayBz{wXO&O3VJ(yI9KDdE1AEiJ(e z%YcA%@6v^+S(+Xv)O;MT2SDymM@5E?N+0 zRpSqVntz8RzwvgPJ%#nl2M`enb~z*-qO;`IZjw*!U0(CgHxK*7EAs+J>BV%~5%7kE zZT|oX6fxY%bkPuzG`I(ja49>C%J4q7Z>4#h>R0+oBr;st$YSSc zJb*{HdcwZ&?f(FZtS62JwMI3w~!w?Rr!>! zBpO09to|SUy6)S>&`Tw~tnBWxO1~oo9Dqmp=D7a=A3&39uYF??`#Y|86UlGFw){im z{bt_jbSsJ8IAaB4RXbD+^MF3Ttz_zRTj`fkOK@eD8<+d&ykpxm4U}}Kmg>>1uuPcD zY#Wh|Ye!1)ZmFh7%Pi?IZWWzD*;eAz{3oZz*EV*tNi@S?h{wv4-|6pEAH&xg#KzM} zwP__PN+Na0=M?&eq~}!r)4qG{S7sQ6G8Nh}=ml(PnlFa*`zz_5_=jZ;1V}e4H@WRh z@gIhSo)*%@)u)$yva-t>3>Ngydd1RjEiF7kx<07V1(HpfFP*K9IP}kYLVE5h=rg>H zEvzNkn7K^k`qs;MQvQDl#jdndTQ+`mey5*mWOq7_iLEopk@--!0go&ye--%u08{Y| z#l6GqhSu6Wh6siR3C|Xddn)JHY9a)4fP*a{mCt1d~lVdq!b-<&E;Lgh)UD=RLbu5_LUB z{^{+em76RB2Xn{M*1I1Qc)wHeUY)0S$Lx^{i(QiVsmyvpzf>7Qfz{&i*>_%$dMWh6qaxtJc5{aa76)K8Ni z4Uw}A$v=%t;afYHCYn2|PcSMKf51AgnwzmbWK+@pP{Ja2ZrlrJ8TCH3()dr}knsJV_9u#=Nm$ALiy=?qCybw8^WL)( z>eAt(`%jk<=tA+Iaf-dBcpm4({%kfk2`lnqKnCDPBRT0s+bO#my40F|;ay8nkV!1e zc1By0PrYGU=<98C(!zr+ob5e-3g|zuwV1prZFP$kS*@3Sxy}gy@}&1CoK|$#K31S! zYbUi5C_uAwu~=Z1^y^QdDVg_>J8hfDShM9{&LSdRGSSb9#S^ z?(}_E%d@drqmUL36XpXL!9VAvXI&%*Qa3j;V9e(syZ$D%zu^{J>xreX-ch9c%5k&` zXT%*YLH1CZd!%g^<#Ia?D#dn&TH9&DC$_e5vC4jI4wap(cv9-Vhg;=zcZl+C+Yrs zQ1=UHKFh(^GU}GnF6C1w-#=ZTcNKfb8WP!Frl+UO&$ypBhB?ntc%-oSihML~Ew3yi zxFaSqM?wBIv*4|FOV(w&y73W6ZEvG>f>s(i0enU=g0epHoP($Hcu~NAZ%rr=g;VRHSgg$~Q)U zVR8pvhnnX!T?@#&Q*ouuCA)%TXopO7sqCzzO%Cqn&IU;BNNJ*84hg_N$W(H8#_=p0 zO0}G!-GV?o1JqL0hU~6y2Aw^{u8_>Iv;E`4Rh@!>U-Zs|!LnIb=s`AJV zGI5jjqwJO+ZWdl3kL;y)2QGn#J+b~Y6{FC@vzq1$5qE6G(Hsd-`hSsIcm64`n%XqB zw-89@4%@o>3ZGO>F5gWWexEOxyyeGYYnjxvtJ29dBhO91NrCr0^G2df+k--vU6MO{ zBnD?07+^RbTG$>IPY?J)czi-hnpstXlemC0_dx6QtX6xSHsTpY+Tf=SqX&-FK8NB9 z?QZbLa5n-AM#|ag$9|L)^(kD*b8j2Su}Kth9Br>pUdgI3hpoR-LBPzH~-9|{H^(8fP6T}}8^$Um_ zPcWovt~W9-Py?ymqE*eiF2`yMpsiye}J#(tM>8HLT%j_WQ7h6@4}~6%WmgUu4uYdriCYltY=odkQP=<5}C;3)9LiC zM^EtG)OQi3y}LJ%!a29{Sa%@$k9uc@B)PWLp7ExVS4Iw@+awXvyR9SQPlBy<8{2Jn zLNVTw8VR>!bLX7;jD9>*kX++DUu^yn@c@d(;kGn+DE#fn?tN;$f#RJ)Em0-9wigg? zK4fjr2affl;#xrb7`AMv8s8hV}Gr-Zx(;!QSP zOHS4!hs$8|MLeY)2t0s2J?fu|?Jf10*3(PAMvl>lh=Y*B>;8J0X$E;lGM);ueVHp4;LEOG}3^VytdLcc{EfY)BY_6hhLdH)bL@gtZiakbZqj=X* z(Jk(*t!FBMkIY6$=QR7j8A)>#7IVs7cVSq62>11;-*|8BVP(_o9!X_kx;1Q<91MyR zx+ATKp}TEf$NNs=@tDbt?87|z`+xPSKO=>k{@^8{dt~OiJvYHR2a97{{R>fsW!sCr!zMW~ z(EFe1PVvTy(fAa2b}uej;v)e*Q^!s_fA#AyZsr4ci$K%U?HXXW5;*I|tEpBNm{s8BxhsUZi{1IdgZZU(X!UhA6Uj7QV{KmAR=M0 z#z(Is{Pn4=q0z3QH#avvUpOeK)DPuArE-APtss>bP=$nka4n90y?fN!pNlkediK@` z-b2gssr0P_h`jp0YDnVv$ zpW{a3O66rY6WzrNhr>2{;~4d>f-9Er)$Bs;QspI&e&E?0_U5G0H2o?|E34f~@)#$A zP$Q03!DS;ksjsvvjczfl*~aok5Fos1l_!jSK9q-jN9c1!;zyRn6Uz<>{o%mkqSJmF z_@d)d`#qnBt_(>gB)u|z=y&RUfvVmW&^0TeJ-~9Yz^tLiR(`;G{d#dpW#iu%Xg5=7 zsi~FPyBS~RP%(}_ALmnMG2Sk*f<1P7TNWSa&$XjMPTY=0Pg=zh$S>9@m~U`!r1F0{ z+|%sur?&pkF*LiM63RK`k;(k)S5?07zMG_C>q#wh$Pd35`ch;)SV}p_S)R!?df4(cg1K$R-Z!TI`C4`;>^UpaQD|1M^(=8^peR?S#*@$P_PFZ{O z=~P9+&dzCc*{Tg7-J)UtZ!l3nRBMT zoi)X@BoDOktgoD7{Qk8C^oyt2Gcx8_*o=k7)84Z!rc7=|&Di`VEWvTk#_&x*A@M4=YT8Be-YV}H~N*RZni_N=hX_e~tCp{Gd{C?~!- z=CUtzvmRt)z&!KKR@93K0>Vpf;O*`8q&D?BnCwOLpJ3CoDCC9}nGKc17s+WN?+Oa+ zR^N;W@h{GV-IXN5?_|P`fGWEFa zw42!Fw7PFHNd9=;KTq@PS;?rGuECbsU0Ol8N2hwfd2YI^o7pm~%fN1VsshG%q#;v* z)w@ze?#{Lk2zV!5w|!dA#SmLS(kx^!+0FZvM@vT9shf zV&1u__3cXIL)9eG^y{Vx<>84ve*s+=h<+ejNuf&x#+?bC=4j+C8UpK#gUIMV!m14t z-^RLfv<({E#=%l0;zB(=^Zh8+;9=<+$%g33J)+J`L^k#7pQUS9&*4{`U#XcVRAus+ zRgqZn-~91YUHEEG3TW73AMFklmctdv>-yFft)xq1Hf-3)9~me({eRCi5boKdb!%a* z=@Z9hP)f(;X6=q}1wp6iclI`ke|iqa`FCTD-jz}b?yYUo;?emem)+D=m-vaQK7w>c zwuO+kRP7zGN7L~9`JigoAl2l#f_?ExBxpz9Nqd@~O}xInW`=u-Cm71_ImRfoyt38; zTgnzUZ@wizDxCHA6}59|rRkE5I>!DxnIs??A#O5ygX`Gy`BM`{drjf{YX-Z#w{sxi zAZ(J}n5^k66H|mjT&Cl>La`fr;-$RMe#>yi))TuYb2DU<)}@LINT7~kyh;=Iq2HnO zp@>a3*6T@?UsJX7BR?oE4>h0SeNesPrJQRNZcoa*aZ>7@D4yzHvd9RH2SR$EYO5Zn zV{lg2QMG1_9!h=V?Vi*OWu?JPjhWA{Lrbh`T2e(EcFO*6T*@)U8kg8DW@t3yx-#D> zEs{SUYR=Sb=07|rTX5)U4LhL?M_&q;T)QoG`qbtc{8gAZhn5X1-o2Y!VGLg ziaufwB+?y5_IEPgGf3S}J%2g{A(wXBr`-9^vHn!$u~^{W8?zAE0G^%eO47>K_BWDQ z!ZI#-s&6a+;wR%c89dW>3*05tCAffxRb~e{Bb@zeOC*Z&-AvHzBw>LZ)KJ=7PR{YE zVbmVxr--11fFwBe??@DaTXlnMaW_%V{{UL5NvAQG+%8G)&S}MN4B$xHaCirw$!DP5ovcW*-aa z@cPCcdy9+~{{U8`e4zb%&`h;s;ncL~2}|2{D~y7@>Tj~x-9`4zBOXaPa_lmv-`26F z613h}!x5fGdVQR6>@i%iSo4-3_3uqLaMs6fqxeS0Sx3`!i-R=l2Kj(q2Xl{K{{UTN z-)Pqs8jDz^oRO?YdfemXVm&+K{{XK>pRL^JcWJ0;mv6aFHxtVbdau2U48mU>uQ; zy+TaP!bOmszWr)@Sc3#v)z&+Zf%*spGej+Ez)>h5!xO{V7~Ub828s=tn21q@T}{ zJWAgv;NasXrMR0eU?_2%W}R-!8#TSkZ$r-J{gm6OScHEO=aVpK5!JGfG=4R*j*Z)!CT*-k(az)HKDl z!^U!?V0(UbHmh+YN#qm@GPXAp%~78I^cI3vAQ921dxLtAPP;J5GxKtNs?C{CINY)p z!*o8L;-ZUV;5z4?d8ySxU`PCCkN&+NxM~-0&5f4ohn$rieJUGxqnQFTeBH)*A6k}6 zH%vJULxX~9G=-3{R>S-AK#L{;1bbp)+;UHPt#Z}kPkID2=|K^FkN>b2b@(uF}VpQ4nAxiX`=rCaR_DIgM~TI;YgLa(OY=U z1*o;xbi;Drbz}^4fz$H-m0Ep8Ci!9lIGE#L^sJ?vD`w%j>6&T00!`{ipftu>yS=q8 z(8mGZr-tQa)qIqz0qe$gp{-Dw4_d#z(zS zxv`cjNB~^B3_E(&oi|gxmffw&#=rxHBbB6fXA1Ma6*GkQ* zX_%uJz{>-U4>Y#Yw5Mp!LC4b+120V&k}v?sCz3kTo+LyY6oN-_%{JBKMh0F-aw^QS zL8vfwahTT`9Z%Mn5lgHzHUm#FBXP@>bix*L0_TS7nwA-(Q;VatXO<^4 zFk|7CSRc$ShR0PsDqEdoviVX#zc9gJ-lDuVo?1<7DSo?{Q!edy6_Om3JdS#MQV}J& zxAXQ*xR*aE9<`$`o%SmcVPg1s97!>AkjI{x_No?l<4;^kY^BNhT0x)6pKIsIap$y; zjB%6KGzIk%;>Ktq&ziC^Cp$R)bp&`u^9z!Clj~XxLIPOlEl^!70uv}X%W`M}3H4cK zw;FoFg?Db=e)rSqNpEYpe70<{_o6!~3w(-CH2IQCW&wfZ{rvT&EpBOO{v*{qJq)^B zma6tsf@F$B$PPL%^gjOekF4tLsJPgn0B%$cwCVL*{j8G9kTM1ZRfYtcCgJcKr>!od z8yEsXAvtcm_O6e^I`EDubla;S$ts`TkO^G-)-2FPknkL@Lsqowc|_aeJBjCt2xa?i zFiCK>sUTI6uuBdFRMsH=&$)Qxe1#-uvBga_(}oI3+AyzUG zi3aTV89A#CoyT&R9i5)S!{p^ZmmKtLtra|jdO_E9|!8B?GS@yyLjORYI+gWC|n9UTVWb!k? zq>5@gc ziP@a;X_hmEQxwB+$0YWmZA1vnF|P#j)Y!&{GG-{a^*QF8mhqu!8>v5gJ!-wQtkaSg zgTSp=?I38#^ZsC@l|1Hyih0T|_<^zj?KZIX#U^(SoEE=Q+z&drV0DKO|tDwKEA6l$o*H zaisSeBbXsL9Fx|WZ*OsRdlWGML6inK?cSRqR|Jfco~DQ{(%MCvX**6xQ`hNKA=heR zznxazJe>8< zN|7UmSu(_sIT)ym#lI28K|S+Lj$bG}VS$xPopa+l>BVtIp;n+z%zNNvYda ziZQSuW&~iKgWKMz+)ih5%(?ndCQWa0j!&H!Kiy;7+MLpf2k!RI-vdtgfQWRp7$Y_oV$U=V{(`A%=!4D&olhU6x_)yW2f_vhr$ChG_qkM?1K|Qow=929BgV+_F;Hi!@FM1CK#fJh_?K z(OHLjYRqFk%z4dC_N4%#3}=FK&(@eR7V2O&vt@nhsK#8gws3oOKJ>wp!*hdz)goJ* z84%>J-X4?)acIPB@1obs) z*oKcQ^AF+~>qzn8K41^my-8u@p=jTOjIK{7o@f%)iGZ$1WAk;XSOw0-2iA+Yumh;) zsmbP`GBM&*b&*vX5?=XFJZjhvIsPR|$wjFU_w%pDK44^ojN6H5fXYBn3x8g$Z2 za>iL?V%g^{nu(dhlX>NBpmbYQd-`u z3*@o}`Gy>d9qdfED@_DVAD&opc>wjOofOBE=hSzpbyF|e-c7)(9H!CItH&#;$j82W z(6$EkB9LK=5NVum!Ks)X#12WON~AXmeT^%WTChmn$&)1GkF6xA!S{4I=QPVL;z9Fr zeN9huETNlvjmN*OG!IfMrH9N`f%B1;2Au+PyCDjT!zPbIodBTQ$UAYgAC#!+ZS&Ge?RUmQ|TXFr}?lNa0NXZAOs7pwP59`*PrvQ>T^{C`x`6JVcKuHsM%vqN{`KO}D$2rY1 z+!)4pXY1=)7I7re1&%Yg=O;br0+S(AoYP$a`G9SanI#ZPBJmKobqTMrFljM z-gECznJ{th)YOv)-Hv(gY7MR!t~1Xx?AVE!gZ$gO`qQFPIXvgNrik!4=zUF10v9>S zKD5{jb==#CIqpSE9ELS42|4O>O?a6boRiv+fUCjJu4!&II%&5s-P1e__okLI43WX_ zQ8PQf_Q>X(7^%oS(h&BLEG0{4p0trMX?79QuhyFFypp3Qy*|t%T%=OSS26%epbaIn zh6t73HUzFnCac`rx0G0p`8fWye^&-M!bIDZR0VJEe~ndn2HeO$5kM6qMn=E~k&M)` zynzayao(9LlElPLJJc^T4a#}#!Jr3c%=u4#rlXeJMe}-|X@*t@fygx=o6TLWr`@O> z#+cc-9N^PTc_*ATSc?iW8>zsiI;x+X=RN2V9@ZlY*g36j0WIZcwZBqQMIZs#_CK9a zk$3#Voc{niyJ?uv200_C6ajIdg3d820LmKx5;Ivkmga9USB5=@O1*fiD&)6Ak?&My zm6=NQ&lCXy!htiMd(&1oW-Q$LdsT$9xe$5e4UNDg^{D)&k09h^^`H*Q&O7f1{g=eH zdXgK-quk;mN0-k}bH`e@s(eZDr;7^<{ZePhC4;x>KsAkj=g8saEP+!VQ?^PB=Li1) ztx`p#NeheRyagD2-J~9W&-1C~ZHc~vw4FUKEuC(z3rL&??m??FY>pMS44^9EMmp6y zi9DBS5lHnQ(lw>U`iq!kaf6i^>+g>BS0YynTRVi*2biV&*%bnz<#XRP+4#Fc*1QBQ zHA^MkZr2Eg?7j?djQro-2JL5EL2@RB?d9WGeo$J+ufSY-Ql@7BD^ zQzwV@M$x=WXZCCRS0Z?2`^rJV7(Yt--{8N5e`e3wG@k3YfrG#Zt_TilskPU#G;9{m*jb7zzsS{n6J6E{a zs~{zxfHUfSJ?lqI@Mf#wpA$T~jExj3yWU~S$Oy;)ipNW7BeX0R%pp1+^!M=%%(j-^ z8q{v)jU{ZM^Dtax)#k3>?%paAF|pGDljOw7D&3K4gr+ zbGeyuyU^6u=^R)>a2RJa?V&f+xofNKvli`cpz?QjH4VMQSJRtaNe9kyvS;pnX(qF~ zxO`e$xjmb1N9R-cn#HZG?CznJ8e3rLlR1c+;uh3YG^e54SYRvH1e2ED~C3g(q(}e1rfA`TJyNpr~7lS0uI3 z^()z#wA%@1Wl~tj{3EAI>u!qaei62|o(NX@Kt!d}YlGW8!2|i%I@fo;4b;-+Ifw*d zwl?4i>6$eiR&9FtUf)Xj+({Y81km21Lngb_wM(BW_U1=yZ4u<;M%(%>I3;aUu`ATR){z6IW+bso3eB6!6K@ zTp2E|Hjv6e7#$8Pmb$_K*e$ua8R`BNHLcCowK`hN`=tI7dBLI!n|o;y7hs%Bsg1 z&T@axYO`bEtKC%p0BBjv2vNFN`7ANtK9wQV#?tg1Nb57$-y^R3jv0=4_VlLDtB(z8 z6IndYp(G%ZIB!bV)wSh@*(?;I%8pyjQS&kUd8(0H9}+3G)Gky=?~!oo3kE*EzO`r> zTJ~8dvySX3Wp~-rhAL4eP%0d!z52B7Yf*V?pBk1f%X%2g^z!#k<@PjBT} zI(DU~X;QtbUlPbl?@lrgS{9(Lbbcq-+fUS*-%3SRQN*e-*QnyL-d#^yj!S~?=S45? zFyDE5f1i3}atUQH&nWqr4eje)Exm@HpxRu^4Z=+=(gl;|;CuUhXj_e#dS0nuA{nvF z!FKMD=bZ9+{{TExH!~epieb7~j^mtu*-$cFYpgBObKKb&Uf3B(U)|pCU1gD3u!+9Vs@)b2{@>*8DlBTkCpk zQiyJqW!Whtd-3VV>s?l%@#kFD{50AopQS-(B-1P0$ql##)Mt$LtQ~puYt*#UH0zs! zE!4)1E9W5l4%J`7-Ws0H;N_gDeoJ-~m|VLIT5pSIj@lcTttKi=lTY()9)wko8EJZT z-iv8xVS2Y$mg*XRD15Ka8+|+1HLchUD_EcVFlF+0Tp`H8?N&T5dvmJAJht*WT*jx& zMI_@H=8#>B;hjG5^>DIT7~?O4Gkn_zy+2)RzYXgW&8FQsnIy`#2s=+h#dMw&@$ZH7 z{UKu1?HTX)9g+@&cgXeWS-vT{ZEIU?O7=A^G)kg4z!+YD@l=)A?#$2lNqj*&{{U)T zO)Rd12h8N4^yj5)XgZIEudUZsy|qZ^2R}H;cJ;?$MvLKjbgOHqwHUD*Mf_!Aef6RK$d6VLykR5W&*Ni8t>Log(d>;C}PrmhHm<@CBm zfwr=hqK|RT4l~ynsB~WtYI=8>s~edlkw8$aNemBCX{~?uzcWkKHVHS92pxUD&!tac z{f`ZVnr`+cNcOX5j=A7>?fTPNlYLFiGsk)~@G-VAt=0%_kztsy=O@2vT_P_I__}EH zEqKXoD;_RUhUP!uD~*dqk##tQvmB_&kFM`dMRdM1@ccSzSzp^vhj>G}EBxr)`1JIp zEym?@vY*3RSAu*ueWdyDvNHbY+>OlH>yJ*gB5NVz!F4pjXBb9#iV?U_tLSnPAoWobM(QUQpA|Y}DyNuPH zAII}cX8JVHBy&k2CScsK?gydkT{nuad?~H?UOhL$)0u5!atO%CBe}vVp+DSX0tPcZ*He_fdJ&3 zbm#G`pAqQ;P1O@n)0fFJLz$O%%CE*isr)Slr{XKgtgVcbN|EjuT=Up|lw2H{&*?Vs zKBk&}s#+7~WV3pT>9u_;QSfJqA@L=J(G5QCN7F!FJO$UM$mQOUrr6 zh71UE*pE(?Q^nfMUML3o(k6xpM#xY<-BleusSSI}Q)|M%9gWrh0NJ&@A~sH>I|Gc4 ze-H2#)ajZ}g8nG#5o+3Yu#jxs_J}Sp0VBBeuPg9Jf@WL8E#(rMzedIn6Ulzd=%HC*OlE9M~Jr6y-{p&frNIaO{IGcX_mR9HTrj^IJ zv8!qm_EwL)s=AERoX3OZV9pJcgP#c!QNsuytJ zpK;UPs_GUOUL(6Va3XmEGNp*aGj_qOnJhe|*(5CzIp#L&TI+484IV3-IUFo6l~QmH z4u8m`_9gBf8}Rsrt}OLv4$Yq~{EWY-^{l(CV(KA1v=X#sPQ$gaf(>k4_|E56z1eU- ze#b88n^d=aR*r*fW8w(zw4F)qQh7)_{I8!qvU`8}s8=2C%)3i#yhUGV*egW*Q-)E5#@cFwPq8@cRH`R`tFtm*RF z>re~n$}F=gNTp6xo(COyKh~x0QL8V5ul!MT^J&-6tFo3bxxs98$E|cWz8i~5@MXQW zr8Jg1PjQa9!Til?*?6Y+#1VgQT^3@a zY^nglH=z8f3fh^gu4@*0yn27yE<`e&uz-$PwKvM#(bEbcW8AY4Gi2QJ+E{YPKZ z)~smW8Sqz&WRFtSH8zgs-ay0ywN5$wIRdSGWz=nTn=2a)67nhIvx$U(4#LDPs^g&uV7xcNsx$G`NhZa)wGx$zWi>{~2B>GiEo3+T6+mFil} zWhk~~{{UJCZ&B-2?(a2k4Qf|*HgjS&jH)!L$sL>5@}{>Ar5$17w+jh``Irhw9JgVQ zO3sGkW~mjdsm~yx1hV((TUOHF-dT-DP`FEpVoDy5c4?34mm$gGguZrBi3~IC$zBCC530?sz^az zwR=m{E@y{+r*LEgqeya10ewTlnhf{TPaoM`JjlTtkEcWJRxI@&?1*N9^3+SuAR5}T z@mGcP>sZTJk#tmv8-7PU2Nj!hp+?s7Y7YKvap;y{5zdUC>Y71MIzm?>k;T_4(Pv=?|o*&Z>3+AvbfDD-cp465)5?WecEYO|o z50kX=ITcS+MXD_6mKJa@zA=5^Rf`{LrQ#hj=J80ii_A>tVIMX@^{OyjPyL^7eHoSh zW*8?Vl{i0I-Iq|a(`89@05&!Wjhh95>G%Ht@Q=-@DcB+)7+CVT_U}s` zuX#Lh$G000hG1}i3NAIZ)jZo>Ew)DTZE(jY6?;tZHO`?ci+kmgHHb9Qu5hQWGx^g5 ziFE07EluOowX3FuRAxyTcsci}u5WxXVP_|YJU^)uHN!XWPb-1#RQz9QrwiDiuyZQK z7TwFy@ILXZO*$zq@6sz&9msRVD{3dWE}N*@MCN-5TtfJeqUVme7_PTkw$*$%wz|Hb ze8~P#J0(2<9mP}7{C78ozS#lOl4(cx#$0-1>rc`AR(xi^+8!W7}clN5;glk z5JN1OG7J)MdVUqic&@}xbt|fu9%kkCB7l0Ev+H^evi|_tw(I6XGqx@=Pi)m|Ukcw? z?Yoapl)z6atJCOfBg1x&0y}vdS&r?;sUOm|?EWWsiWpl>kypt^DlmD+)`2bTQt=em zdTr8O=(9_1=jC%0g-7F$^UXiQT8^=+>J4>$sYvW(3P?Hp$6u+a?(XgGkC%3h7-s}C zbU%%5=(;tQfvCr=S?YH3##a(3Zg(Crj`Tf7-HkhM6L@n>Mr)X5GZVG8;|K8kt2b4S z)5Kz1%j6I(+M-aTSPorDEWQ9q1WOgPJ z)b!5~+^lh+wTvnGRxA=vt~%2d_0(S&{8_)$^z_qgG)S#C$%ine(sSOa-01fDlESMp zM>m&=9mi68`&MqJp-VGFBX^e)3_7k3+~+6qt6m_~TThF1supH{zl>mgC}VA!`d`{K z3rV!7FLNU)2vu?m5yu_*tUH)>HoEflODUwB$(a<6hrLnLwLMB*RvVaZotb3GP2GJ- zuS3v0ZQ)q<%dKd$U0fl;i(CS6>JPmqu$Hnpe-v5E;md_t)%QxF!ZKi}BRKtQ2Io*~ zi-2GX7dT*gitIdHuG)CQ?&n!xQHziSgAJTuaa?qE0!x8(sKr>+t;@NlmO6dher%pz zNE?9SrJBYo#1KI+Ra}7W&*8;TxVDNZT4=XMN#uk1W~}&rIc_c`f)`R$WT`z5dZHRF zXcpEYQ>9-#qs}E+;#}doR{iITEW8^MHlHNd8)4WB^#`FflG@6{qzhQ>)hCWaxya7^ z9=YvNMQ3NL*pV_aH0(sgwfpFNfDl@1vu1d)JgJm`7wQi={{RZd&^0}C!q(5JTkxz(v}ET8 z>GZ3&zY?U?H1DuWr@V#6T0(fIa51khmee#h(grdiT(I0o&%e^ETf%NFoXH7rz^N)R zw;r{ynpoB3hD$hrnRtBnA4-zy-U}@{<40R!XMT5~$rOX3l`XZkywJ#zs5=H&ai8#} z-S}fsTf;V;Xw3|Vcycq6eJYHLcFYw~*-K!8I(Ds%H^o}VhvoYthL#d?G0p}#6agf5 z#yf8*(E3(uUeB}a({lU1Vts2`FB92nTB1DmEfh}UbA#8{j@7%Zd_1?;wKTfZ zu0q<%!MSc!ejVyn?_jyjSRFXO{7mKBv~%lPUQdTw_il%MBYA;CteD{UJb$0cv%GT# zo|?1S+e(vpM`xRENM1k2u&mPN-f=6!!M75u4N&iMZ(Q)?b~@n@H1Zw9`Kz6uL-nsES;)&L@Un10^`!p*g^8+Z7DhPj(&Hx#l6s!`_RUb!d`)w8c=KLc#3MMs&)xOU zwE=w!iK*(>Oe4G0Cvz0L2xF0+*{-S|5J9FuuxfVaW0Ff89C7ba+vu7kkjZ}caI-M; z3dX~ztjpM!RI~Fen|sE`U`ikt3Y8E<+NfaFe@;dQJ{{RTSW_N;6RE&Ul`r@l; zmUF`Xd&GJ9NYC9P*Yl}$OZ)LBkq+fo0J9U+^dleVtsq;F-dV*82~~(?&pF0Ds)VtZ zb&N>jNaf*!ky|6ad-bed_L!XW^YqW&Pm$MtBT+_U4-0wysa7c(NON zQDdpvhZ}L`6&d{M2raDjJK0`6Rxc@6J6K@z*n8DeX%*tjX<)51{$|wJatZ#lk!aVp z5KDJ?6GJMDDmszR*S!thlCH{{uZ1;8E==(#C|{oc0F6?)Q4lc!^JnqRSpMAAE#zxu zxg{8Kq-O`|P=|TuXK}l6$zwpB%CJW%ZKP+fTE85@6fB?-`qio7uv;HCHYK*??K}hC zsbEVVAd{XAArX`k%-rKW>GA0@NtKJ92_&Ah;EI5U&U4AA?(SxZ2b40W)}KnX=4BIjw6;riK<q5LYw zkF8zl%+DO7YklzJwFR11Xz-zVqc{hUNAjwdm-C5;G7?$1%~{kuX&vlF!Ab@k;3f$^ zneSQ}Cx)#&O>VwMqYN_!{otG)GshmZ65gf|5`pI6=XF>bmAczWV$h+ILAWs-f;xbG zIjs*6=_A9*KAhU*7er67OejYlqdjY$`y{I{ZH0;q1~m6$+}g7r+A*kxGGt+eP!C?h zuH5L_j+1gN?=9UKlW;6Qm0)SQjn$OREv)Svfx73RtNNFVb-U}umP^)u+?8W7+(9Qi zdQkObiK#Wsy~@QThifKOdQ?!w5RK%N<$dYVUPFM|v@I#=8Q+2`z5VLXGe-n_P!2ik zNG>*6En9bz=hS3kqBi11SrC%P-;+_aCN=xJi*uThZiGJ~43!>Q^cWbjQ&x|5~noh*C)e6@8kctc9o?S<@`{1!2^ig!ORj{g8Cr*ZRYbMacOpz~$> ztJRNcmRsE#;@<&e^D~Xeau20Ls6%V*Z8%_Z9tY*TPN=Wiyh-Of?UK_EVdHA!b!r!uk@B~N+;M-n?R za2S#LR>hvZHk$y*4Yoi=?xWWgQW@iT!>Iw7@-st#P=K4VY2160U203H-nG$;^2a3q z06KtOK(!!()uWI1kCVH#OxIs#gzf;6I004~f)(40cFkxVD#;>pg$W#~1ZN}L)~HWlVw{i< zJf3NM$uYDOjPstNfa`J6tk2He`idg9l0^}fDm!MSj5@h;*&V>EO)aVb7C>JeC;@F` zeLWgaE;$Gs2ILA=5YIXlWoD3bw>ZzWM1f{k!)`5*Y0C}eqG2Lqk@Ao-ay{rB%hp;% zk;5&lat9k&(g9dzq!1Syv^0P&rwp!rs3OgB#(1Cy4LT`E zoPbE@uS$mI5E#W0?gZeRcc)r;gK<_MbU8IM+Zlinl1Xf^6z(E~cG9Nc{WF2jUwWS3 zQ5Hxck}_3C&5!9)Ou`vV44C!JS9lgi*a#Up$>xv?b#%AVt79WPdkVX1(F`jd4_uyV z6tHMY%Htq|$g0;KYbzM{08Rx2t<4FOe4i|vl$>?xO%WnS#np-qpmiSf%U$gXG^c3; znzL=FBur!SJi_hQkCuXjS)SI|{gHAQW9H_oPV(L&%P-zhjs`PYOa!jVMtD83gH?@% z&8mFoIp}f0pbV*_E~j&M6&mow0#7)}^{X0#Hva%Gu1_b_)nOPMo}^)yGy@-N8$`|f z!L^QEed_&&uymY4II3t7Fs@;Z_Or(%mx#ztihBuVV%2nGVKSS$GwzevB zA>4c7gbXAsTenkFM>|RkJAQm{2YLt8Y_lSB8E#L^Gt!?u+5YJa!;)$^ZAckqC)SI^ zU{1lo>rJ-6VKK@G=-I$Dw&=eyKP3ME5j;~(yl}=GmL#0#H7qa|%$Uwcb4UjLofjor zKAzQ9VYW@coRgF8D*USyh){!-=dD*L8MD{s>U+>3EUonw z0senlqK&Yg*f_|mHaD_H?5X#d6*>2y23=UlyIti39*fB%p7jGlu@k#Io}~4w*mA$W za7Z6`Rcot@DNs5&-JU?{KoxErtZco{QAuSR-6_}(2P|pQ2|DLFJn=~f+97s{F^4(9 z9Vi=jCS(u{Z3EoXBb38+zi~P0b4cXQ{#hij>yuJP8buc7A)7ckG%&fN1eO9{Hb!RL zq^hfPnq|e)T$WXU02_xrMOA}vkTP~0=e=A107p>H!12^)ue~eW7{|9Pzc9-hVcQ!B z{^`y-^HIyGI!0xQdhmOis~pov`EI%HX@^qTidp3`tiK>9zj}?M5rzT&Z%kH>jJ8Z9 zjz>@>ATVQ$8iMW^A(4tWAQCrv)W3)sOuTS7xOO0pr?@q0@f!0wfQYdx$zMvS@rH$@ z1CVjgdPwyfn^?#|*gpMgfs(}WLZzIXw`!>zQ0-{r95kDlWZ<7#o+e3d6i<*FuhyiM zZQ??aFeH1?xDl<)cG14%ByRh-=9wk%YzWr?=bTh?x=3~t$vhfq1~kN&B=JBesN#f- zgT^VjI4P6$%_j4VvtvHAv7N28*C6#B{{T7xjo8}=BxgCvrH>KdvY&ox$buV$$`D&T z5NUqEV?nzN#k(GYf$oR|q{+gMo259$$&@|LbL&%F+TeoA--h(4?heebBa_$Cp=!w^ zc%2oPXBp}ZO4lngZIQE%0rjTA<=iPlA;xktd8Lt2Lj_#=996wXagNd8fyw&RDus#p zPvgx#NSQEq1D$mqy$Em2+2?^d~;PcHU-NxAXm1Ix7l6eQc z8yN;qC(@EMF~~~so^W}jW@5xQQhU=89GjKA#Zo;@NQDu@w921Gf>BBcmG45ROL%`565kAMb283z@A!>O`k zgTwUA8qIhI>It9(M^%S=9D&Ke=}^lwGM&iWo~P?X zf=IIxx%cLvVYHS$ywEkPEiqXzB2%2kbrXB`qZ-B#VaTg zox`a;={6T*WO*@zCRk@R60qPg;P#Mt*Q`O-e1$sCLIAIn60< zChkdRF}8idvyqL!^ZC@$O>rx{(oW?Va5L7ad4a)G#yB;0-qDSy@|d~jCX;NmEwpM? zqK-Yx{qfM%E0HC%{#3|Shg{Ug;^NSWa#hDn)oZ)iZ)OcM62h-=5>^r}cOISU7*UJh z@;m3XO^ApqoKs>^xb@C>G@isac1J3CYrgiLT)4HE&OXIU;x3 z%<6N;YN|s9+1Hw}X>j&48RHoxaKMU|g5`m8G)v`f&U)vuq*)|I%v_Mv*>8O4_Pk23 z>a|nNk~6qIIl-ohW&7K^sgymyAU6khtw*M6lW5wUI<1;TB#^$~E+P(x*s0*vTdV0M zMOj?2UWA@TX(1uUU(dZZu2Cb%_Y=LuilL--W(Y6=Cp-?rnrortg{K9^aEAoh_;uqrCW&qXZcac|>O0k0R{(-DTKc)t+{ZqijH+Y( z_CA%BakLYR=RGM6yOks(J4arb#WTxL*in*CB-7ksv$%at2;}t#uUfrQC9+szS0_3A zO)Edyr2$lPO9P^?-On`pg^B)j{CVqBdyTStiIUS}r8+D_e$f>E4myJmtFf^sB-Tv00?IBN9#b5b|;BQM3)a=3J6G zgGgl~fDd2srtiTFr@tWfsV4;vKsl%zBcRW&Xai0g=$u=wS4o?&;%uLGoGfU zf+-mfCZ_U6?Cm(mT6{5(4l|r&^FS5UjO{9Ndi`nAGGh*e`W*GAN+L!W2PEYEYB?kY zcJ%cofFy-lQ@w^gIjf1YZa02rs6{^BH)J1f^s>rPgNaGwr7$gBTqGl$li%K>vHK;( z^l;sl2+#9{^s0|DNOw8O?MSjphs=wpIXN_d*1x#>E~P!WwlN~U*j2~g1a+#~oxjDfSBoS#~~t?M^8HpwgznC#|a$+%+Px{0skbk9KYd99kB4qi%B}wGxxToH=&xLhkFa_b15Po5v zcm)1cSl!PdGr(g{vu8NS+Homm};`_T#OzDd8uyfYUH-F84F5)GUp_FcdgrNPYr99abHIyDLuTP9Iwm= z^Zx+#RWAu?D>clp>UPoyV_n{7Z>DP(UzhtURi1ATTDXCqFTC@Qtx_rKbY38MwcS8y z_AhS2AWF6{%zq#A#bjuDeEPnonr*aLVn}j%3yPs{cOfF_Q)@4CTbf6VA=1>%3?NKY zg4=VDcsx}Jw{ao5*1REoKA9!?JHZL^a1VORxr%9=%_)(~H$3Mb{c76Nttat(hR;uo z&2c7vV%TuGH6 z;4tcX(`VG+xR1$-HdYx7N&b~%Qf0oCZSA=%q@4BQtLYjI-R;v``De@@c}e!q<4p?s zjkU0zX+(+0SHrM1xq9PCRFh6+M>u>d9Q6MHKc!?xe%1(JR5;#oo}l!r)<$-_Gv7kX zykI*Hx!_X2yf(`=*VlTqrhAt%NUO^dNj|l1&s^~I7L6vYq2~4{#1jU}k=T%X{*_|E zAn@(Tx)Y?R(4XCrka9X!Mem2Tood1L?G`nfD9H1o9LT3UVMivG?1tMT)~AW&~!NT%X!A9H$(Cf@BFJ@QSfy7PlTe@F7DJ`34r@K>dHoQk8kT-%(|uB=~!I+ zstS?n-t;}nLQiy3cJih9GC*ZG;;p`&b8Vx+t)K=O=6Lp}QMbSANu^1k+0Q+5-@!QuTnDALy6Uy@LAUp;bZ6{{jT{P!Aa>Cr@~gUbPeMtjq& zv|DX>vVEh=Xd5of+&8r)o`Z26$-b2fMjIQuXTLq_eOBwpSuOO?VvU>^0CLA2b3l!q zLMXL63w<8aH2XwZC`0}1^dINeq3|AqbFFyQJR^B!F6ZWGlyzV41Jl1+=WXG>ky`TH zyD|>#&!%gz(mperIa4H;l9kp8|N2Zx7DNA&Nq~(X*c8 z9=ujWs$7}OM1p=|1zNh*F1%@~HTI`+e94B@cRApF-hWEo(zNFA*M#n^yg;h&ImCZB z&rE~sili4g+ej^}W*V#)2)G9Yy@xp!m#9d(fO!`H43I+S=Ix5FcDFW+%CbC)&yJny z_LS4v6}h;K5s*M5sO?M}yC>58IdIx6x`o*aQFovtp+Cy1$9*zDk(-R3j8x#a<6GMA zj8|CGBD9{uD49^@ZN}X98TIt6i#ro?ziWiYlx%Ev0R98LOS21_-W;Dxzm@cTdPfl4 zjJp*-E;<~JocH&u8qTIXHw6A4&~6K6Ag?13cVPOCpZ#j7EOwKS);4mif-=tP{CZ;_ z=dDt(S+#fjJ4kmZA)6ep{{UMwm=tcU4cqyO%u0+g&QHHJYFHA?r<5B60?bcF9MwkD z*G!zn<(Ub6t&`By?Rjw^D=Esk&eA$lObJQ2)C`ibF4^2R_7v+M>=c$rb+nad9Kd+S zeGO=MVnoocf3)V6TshhST!4gNj2@@nvbAU?mE^sKCz1g845`r4dw~1DChp+K20#T= zXSGA1>66(_CAi$s$U#`;{t?^*S{grxHC;!;lk4kj=#WjZ*@iLhb5L08S2tFpM!cB2 zB!yyZ^zYZxtx^Lz=HmNJzTc)!sHR|9S#!l|>H5aArK8+h%KlW6a!!6+^#Zfx^3wCl zzRKab+%b__t0v0>V+6mtl|_Gvk%hrw^BnzstC_jBH**-& zGrMz)a!p%%39TlI^UKU~{G{|enwML(xzO(wis?!$7}4VrZE`ca_3?W%X;|6pgr-c4+yW}jw_ydf^R0|S%2dgfPVBZa zN%Zen(ds&$l3F+~%EDWi+c+k-EOpuRrY!MB@kpS#kYpa`ii^9A?l;1^Y`!P9y0V3o z&1Y<61RlWjKD<;hYae5>8g<3Aa&Ed0y^c!uuAfi%h2WhsDPK&pjw_QE)3gQu06o9R ztO+Vq$m(&5L2{m>bhg*m7B{k=CV5AfBMkms$NvCct?0Je9+PQs zvt5?+A94bD-Hz3#;vWdao)5B~dvYehEX>@KTyC9xG}o_fCIBBG1o98~RVSz#Gi7J{ zL}0bx9=C<0`<7kLYpF6`iFE{{Rq8G!tAfmf9lijze+M zx(mz0EV10&ozHNlR@^hR=e=Gd!}=Yu)a+zIE!tqLTxf*(xnZ9W9MjIV|wSPtM z{o&GtdX=Y_D3E1?^Se030@miIg?tHXqwA6DHxAHR-9*SGci~14IX%U5I_8t-LvwDk zw4o$9m=3t^f0bDAUyF4uJ5h&6zPFj-b_o_Z1QU$o{Pe9wytVM$>uahiJ-Gl%0l_|_ zo`#m}F3wKJOu3&-Guy)I&>RB)0L)e24|vw;qTcK-km?6s-kc<$p<=9BzNPXzuS%CaoH zPpbGYR*p7lS{;OW2f6nZp?~onUl25tTv~Z?vlVp6+5!InXSd;0t`{+4*R`qc;I~j_ zByHHlk_V{zR_>kR4KQl+__O9zY!8 zo8xOV@ipDjX={~@M#s+ME&$1((E*IrqdXwAy`cz5kJ2qan@Xe*o)H;=(vkF^022zebc*y7vzxnT3$>F=-6y981 zSW6z{h?GKhhXcK4Y8RJQTWu}p3fr(UbH!h6S61-EQEMq+E&(}p-HeWe8Z6lEXm}&T zT9v+^sMyV9M6<5=iY{@3$|j?~{C=_tE53h@f@be=p9}TPW|AXZ5c`@ZO#9 z^GJz2M`@|%_IQAQDtsUWVC8==@@vmEZ9Z#12TNzD+XZ%uv0kjWcz78LuSahiUQq1mUy+uc%7;#*>Tw%gm= z@T#{rcfK3eBZ$rRi+LghGCxpykLg<)cf<=_QbFN~EhCQIzFAYt;HS6eMx|_ya^JFb z@yJp;6YErdGk>A-| zY4+D-f2b{u(VTTBzpXOH_^(Q~veER(H0kF1M7F`@l&Mp{sn5MZ;eB7kUMkcf@UEe% zo$fZ;i9d;lO0l5p(|FZmx7A}sjBY5(amYPA`2Mx&Uk`p2X=!t7;%hq=-wfc#G_92m z4tO5CaqmN6spdC&$$-Xx1svwIyf6;%h3*C77@g=~Cn zu+=<8+knR)`z;VeP`0wdhzYla5*R?CnD)6FVs(}BFgPT7R;9extd{zW_RS}les)rDJ-MJRu0`<2S=M9Mr?izm({mzmB)L3} z2hz3d{9mHm-n`x-vx-?v*^|scoxt%|bUUvMcu`{2RyA4fOBF#NE~nJjKjKdbYWj;w ztZ6n3_Oi>FoDuRic<0>IviB_fLDQ~2AzqIXSxXp^QvwKAYK0ta$797OgS->2X#Rcu z<(#W+ozoUlP#)E*2ZwcAZ-#gJrS+_q^IGkA*p3J%70u~hHu1IWu=q;rQj_~Sb$Mg} z6P63#>G@Jyh^6979Z7Gl9s)!&bA{na9XPB09DP?z(pOn9BeWrdMC*{i_0Qp2_A_YO zaF0Xr91f^cK75BD=bVpE{FURp?BZE#&+-Qo|ZBc0YL|*eKTC_cKTh&U=;$7bY$I<=DEx5(swkNM)L8zIu~ zFKldV^;inAFp(lkIurhXTCsWKtwQ?S`zmm%e9`5~vEu{#ze=q&#CnrUY?9_2l>tu+ z>_0l1FAv>LiE-ttCgF!Yc=e&~2u(9fv(~SToURp$9*fY`i=8$dD$ZLLkz{kmJwF^442xR3=0|U{UvY^{jh4*=CvTq+-%Y=U~oB_M|6!l?)QiBEam(;PB&~ocHTp zRGuNc@Xdvl<+qnGXBkQ3+yNbOD=I6!IwH$*hjMcuVS~rkv}_`<*49gTBO!CTB6?zh zH>uTWzZ)mHbNHK&K|d`)s~;V7-;9mgZ{s=f>OV-)(V zTAkhG5yb*D*kRapQhombOx6y!CDd}st!Q&JFiW^1o=$x#9^l(-=)7^^y(Fww7S~>L z+M=lezGgj6G1O+cA*W8aLSO9JN||uV&BzC@HPie()3whK*)`(8P2Z83OLbsBk*$lL z5ox+7gf1+#?FmKe+^LC`d5jJY>~mC*w`T);r>&N(uN3kCSNBL;j+w?iYTcc^&a0@4 zyIX`C8y68V9E@Ne#+O)@(@~Kw`&v0MAc@uoeF?=O-Nwee0#r-@{s>NczI!;0>~@gk^m(>M>bfIF{9t zJs(IWNDvSYWmDKv12WUa8e|bbEp*05k+#g!oROd9Q(bsi>JpT&w~)N5NND-~RgWEo z!(9dPcgA{?`c~Dii8X%>&X>Au_>okFR^$SGyVKmAZd%p!SuJ!Z^xaC|Gb~v?VdM~h z$*z9N#%XS?00HZHKn|*Fm2FCvtxid9QUfwcxO;cCA_}2XycWBP)PoCi6YZHFQoXDi`(5|CS1(3 zKiqF)`5x4|j-MM`8ykCo#Umm%9ODDEX1&y!6wPY{?5g0FLVou(Z%XkUvfE92YZ!Z# zVe&Hd9S=0ixqy~eRvO%E_J=Ro@snHEUMs%v#n6WEteG2rbu4muAB9MsH_+6yx4LU2 z=%i#I!6vHQ3ttjOT#c^nm0(B8Pu7sz62ruI8obiYHM*jc^8BNp!l$*+^sPeTT~W$I zOn;MA^lLUt$?)sOEr%2*adC)$5G8#mqomgE};Z$ zB>SVwY!=V+u4+fTMv><8oA%(3ymKzjVKG7m5c?iPC&!Owr`PMIr zJR@XoUro~IH*SFWjTy-&)KW>@r*giG_P2IFX1cK|(;j7Gl9=m?*SE6p-icz?_Lqw^ zk{oYF<373n06i-;yb-J}go4_ArE+AAl$JQ@&rw+Vd{h4bYDcBVly#8rR_YIWVl*|) zD&cSZn^h$w0~z)9sHKlk(X`c98M#7u&m2>(JVkY&>MdtAxM)Xr83c})6x-huF0FMS zmdLWoKn*Xyvz0 z-gC-yKHil=*x6}vo0)dt51hU@{{TH|g(llHR_j8(npa3nQv>scJv}PD#+ME9$cS=V zAxJ&y9cx6mwbfHu2<;WzDpF9qeKS^}4;`c2hf%YlvFkwvD-8oufT)timO?j^5z!oCc5%-Lap}vhK8- z$nI7bgyp#;cA!jH>_4>Q-6|H(8?(-7$3>S;W-`BCFvGv4UDK`MwzOzWt!*dXgz(fo#?5)WZveHH-L&;#oG9D+=j&2w(&>IAvRg~Y&Aq^U zqXGB5tDBnM`WwRwTb7Kj;^&|>bsF(4mA51(8I#QFhtrBcw>k;5`+YXvbwQ7pBg|k= zO6H-4Wx6t|6=|D~@Tv4kHEl(1ZRKW_ok(6e?0Bs`Cf?aEt=Ci-jLf1#onUV_cn9uP-+Gf6sNFwd7J3afhK(y}i{_MOC+(Zp02&M}YjC>^yWxVX00 z^%*arb)PA&%OfwCNN~LVb}fwz5kY@0_SznJjaI!Jy5RwRoI3jDmKI4r-!E;AL2r&&};t zW6Y8?^Er@{(Ek7`MtfYa9JYEdtpK$x+uzx3RlCCcxX2miqnz3sljJs3{{S94)juhu z8OM5*FP1hjB%bu$#yaCpA~P&fWalJ*T8zfxF#cl@8|6E@)Y0lP+sm+kP^k^LXRTO= z64=TdCzZHmezbw~GaJoQ=g6lS#{U3c{=Ha-SrEeUC_ga9Gv1R?k_jUTY%+24WcR9{ zWtF4f8RT~LpsSNYhCu4^kaNj31SlIf)e5;A)*sUo&XR1yj9 zbK0Hu0@4SJaKkJ~%Dzi-~B@mI% zG{}P}=OmWS<~b&$Op<7sqzp$-;yjv~+TuMvCS-_{&jY!pE#z5clxI9sWMS4Ya%d41 z)}Y#fSw73K^EO{VOD0d8j_MDz`K=mZMgh3&I zI6XO2o_#1wIZFam`sKUTdrVw8Q7|mWvvPiwryS8*Mv%EA;~6J3`h$9mhcNJ`B=^N5 zYI9tJ5G(SNz#N{`lDq<3r&H>4Ne-7JYGzOXW9viQO^XyJQd#kkes6jvSrSad&6ePR zYG|WAUzCUD9Axv8>rIY1ic>=p`=S~l{d?9b{vj*B-QONYEKi$gr76+;*qTO$+)S-HOmaDDGWLi zX|d|rt#xl|#ip}$0hr|rWrb(z7Uo-|jy3zJPD%Z0y`#+&l33)N`qr(cvu|Y#l1T!* zgmBUf{m_Z#TF0q{5w=aFSW*9 zK{)7p&>ck6e7%fjm=*`6Txr%cF9g{;dWxWk(Vr(D#gpq(*sai>RcMGn3gw4f&>{m!$N3UwPZ46fAKG0V=*gKkv`%{AIe?Q2_zd^+SD@;lIFyx+# z{Hh^_eY1iTp1A2!3o&zcw=**-+rS4ON@d(kE{rHiILU9V09m_+*jgpkw7c?3vYw#T zZ?ee8M0;-L50``83gsFHddJ1Dfvs6 z2b>-%OE{)YN*n8W2qTV}AO5PY@Jy}psciFFI$Vut12X3aJkh%mJo3qIvcfG>e21Aj zpTpDt0IgZ`F((-Lh~$&fwWQRXx5gU=@Hgbj{|ftnuRLv0Pbu^&2Y zFyst%rJ14xtTJP;%~y=d`F;Dkcqy*@ol3llR*!83ce8Q`hB=AV5 zM|A=mIPL-Bq!LEW!Ol+^pnH)>S@n|U4i>q+Fc^1(^%Us4VJod(r||ju*_h8_4_F>s5n!kL9!By-#Xv(lY(W z<2=*hg$^bSo<3}v8vXmtdP5Kp~N zy>Nd*2CV(#AF<$TdNKuF2YPr{Q|V`U>NyBN`U9darcR=sa22v!G; z+|>7LXKw!hxty}&?-PnGW0@uM5;OC4CYHAcavO_gw}ng0tWQ&eoYZe^GQ=cd_q`7_ zqSkGEtr^K+GR^2I7@=*10?N1p@TVpQXOx|G;QEtFuA2&#%XZK8tJ6S(%aI08@n@w) zJWGab4`b_0S7l)VkyVRzJ?YPDBChZW8S9!7P#138AFVYdn6@O(prAzdQIm2N5BtFN ztAzwk&FP*^8ePSdH!)nYWzg~)oc(Inp*@_J4J1b>eR%rRx2W|fHS0dr+nf(h^$T0Q z(fJ4j^(|6djqG0CJ@M!%(Qf<9a77B>NA^X6Pc6ADdeJ02u|19rUu#b_BX~{&E`Dxu zYHP~~Euz}jDEpHnsmaf!R;)%Q<&Hq9*|^=vrDhJ>+d0qP9SuB)S&A{_cc!#XgLhC* zG@H~3g_1KYiUDH0ur*fiF*#ECCzH3eSZO6lOT@$+b0Qc|Omh44SAXzdydQ$leOB46Hk?&5DGC4t> zXpV0!PVhWMdj-M5N&Aju)Bh3w_5>zvkP&dVE2eo%yl-g;E^8@w$O4jgNmndJ;ey&FnJj0YP-fzhOGrqz?D5u&681GvMsrdlx{8e zg-T*8ZHKa*$KS0<5;S<<86ch+yVOndN5JPbU>DjGuYPL4S*^~`J7LNDz*B9~LXEI; zLB>r=*H;P%Vh&CY2lT1*yC`lO?D9wyHc2dd{b?(KnfKeAl6d-4Cro^$c=h$IYrQbp zz%GQS#IXfI$rYP%8yOD(`{s-4S|PWOEX21s=zGpdsSU*#sPJbIRdO| z;Ny}{e)UFRSLWTm`?6J=p>KMYnOz8P;#}=nK|cMel#%T}HhTUw zYV9S7+s=%uD-gu@^fd#pnD0T;u4>1vh}&pl$PNuXNma+MN_0{Ak^nm|G@DN1yRv$E(YhOLkW0NzGfNth zcRT+8jXAR*^AAvZ9<=CyWhzvkR->TPWN{F)fu1we)!E~XiD8`gKD7osj9QyN8v54oYYa<@eR3o{T# zYFlWr%jX&66!8Geme+8Oc7hrMMETk|ZGjB#&B#=XuEkob#H|3Z7TUytiMi zS7-rEtJIUtAZ((l&%qsesRRhCmgc3F)WicfQ_V%@V~q8ydkN}7`Efu4D(3a$&W&U#WSsa{?)k>9;mkwI^l zKKZLtgCl&ez%^P!hCeAZ0LYHV`C6`ribN8QPpwt*TsK3L?^YvL^6*DEpaaa@2nTWR zQQ-O6+HiWBaEmNMXEiGTIrX3i#q*3SgO7T(W-UTFxO_1f0;$9{fNb`|B(vuY zng+@5P$}aFJdD)|ALZIt=FeK1OUIOq@t#RF6JwrvKJ)`4K+bXjKJ@1K8|KNWEPxr$ zaZ)v|QKTp4&#eM0?Bgmvy!50&&$oVh)TDM%)N_+aLr3#4&P@P27zE>}r$P~9$&c|g zD--+%oq*X;KRN*HtZX$49avl3>F3Ct@7XcjQ2HO%s%s)NxRBYd#NWb-u^)*(+X6<= z$g;-dNM&!BlkLz_O6xM1ri}7=$m>n+VxFZ-NUmMP=);!zv)k6T?eFwa8M%%j_Nd!% zAKvYX&YJGiNwWUTODEka$YeZ^{(G-+qLj#%bjEmZu0dYaMkCCpaVkqB*&%2#M0b5@FK>=xXWg zGzS-!CB)8{``nC<)ka-*`^15*#r*14T(YxdupPh8tv$6=l5uk&Mn>rQ$jI$ibge8{ z#QL-`C`WC%&T@UKQxe#z7ujY_vMFqT3!I)lwOao3O}(8Uj5<2xHtttG)uF6J*D$>6 z7%X|o9Z#+WX8!oN`GyC(?zhD7m2MGPS{Z>iDMsON7Z@r&hdWxcKEH*cBS)0gI zFky^il{IQ7jT_F50!g%aj60lSlInQu?W3~Or}M)%?`6g|ob)vU+g)5({!=pr#*dfQQTYog2-5hZ!t*AGiUTYD}Ai4bes4N zpB#%jz#3#cXF1A`%k{2$`c}QVlt9Kt-JF5QG?uJVAhf!9uLZ30jGh5*KN{7wyw$t~ ztP7c#EKmNhDb7Ln^sMU}+b9{9>rH2w2g=}qgGKc7>+<oDP6gm#Id}#8=j~;?aY7OTbn+ z=RTEoCtHb93x-(C*ah3)`PE45ZFMy_@wrwFh6~Y%{#DTF)>j>Nm&`y*BjhlyO7_ZW@0RToXdv%)Il!)~!#DR*c%tJ+)L>+2 z6sRBb&>l0-YO&(|Jv=`Ydc;rxX)|n-<;jJ+78v1AtpLts+5Mi{JF8p%UASKU{8hKO zmtR7QB#k6bw=CqA`clgF_Yx}H$+bg_GLKs5JT>9F4I5K$5r1hX*+XtIvFgB8-$1#8 zqG@kDQvU#GO&kI|oJrK`xz)t$sq8$vwcDE#m{8XI;d?&Xa$!w+Gu{yG`Tq157Uaw+i2Gf1>W^(8;K7hl4DNhzMDI37jYI`DLaAS z3b!5Joo540WoC%d09=Aj&R&4RLz656~Iw(DUluJ-$ z4NFd2*O6mGx!9I(e`?vX@O04lR!u`uop*@>#*BGv`c(S9k8z;G7NSdj_AtsZ)cSMv z{c8^AS-rW8M=5n&?%TSBxDC_qp2_~nI=idB0B+c=D?hY&e^QEjg)MDxGU&%3jOXw? zRZS-2M!B2L)S0&@BWGOIybi%BhLU1f)zFqQ50sx={WC@F#--uia^@>* zp|f#7%6kt(LSk&u_^IKY4^Ns49Wrk+bc=k1$++(H{{ZV6!|?orQ-r0wWU>#P3FLpA zQ={J{*V~O$vVOHz{{T$2O&Z(HKyF|JGausgH61{cO3?Jp zGFKYB>{VhWJU)3Nfz4qv!V5Gpzmyo9Zpk#;Tj}K(m549N$*U1uBvO5r;?H2tcaF7J zyBl{TxYN=IVbrbU0ptheC;8T$wz+Yu!fhsx%aw7JAH)FbRp)zBs}2J%RpG>}};KK>q+aJ?dL)yYCO`Ej^vgs;|!7 z*v4vGE6A38vj)x%?DYrIlTq1mFb=>o3L>p=u9v zXU94wm96R}Y*dJm_-)&X!0u~T;h%$U^?NN}NYu2*-T`J_&G+t+Nb9wGbn9Hw++D+J znzgmgNNln=)9zjSaZh3jD1gX7@P$RJN8jI<>#{UDp>b?D5FQA+mZJ(w;jTO%hKI%H+z& z{b631@9kBnXwhoV#J&_D4L?nHd6bKVgzdu*ZlrtHJo;^?i?1a*Lw$E4C?mw_(^4zJzN%u}iI0LOPSGl!!rP^q|7fVHd zI_q&8*z$PCAEk0}NNpS~%<^oJfGSTzSFG-}9cxXR?pe%|+OA|s^Yah${b~y>OT*W! zv+5pUkp_IQJNg;|_hc56PvOmV_s=83KYIw=aC6QF^R4@j8cD4q%M2k^u)qV*)g4Dv zvGFPdYX(UoAS;8nkD#kw8t~P`T7XF-ce0U)X;m|hG6z1V>p-_FtN4G#8~*^cC6r8u zAlT8hB{}bHNA7= zNc2Kp;>~5ANf&7<3vTE+r%idK_=ZU|>(yxPCb?M)H#zmGe-T!>9+odPttwq!X+vxZ zN~Z@0+O@nrb>Y;MO{-e~;DC~ULtB0X@Xnp#nQtc5XA`x+D;>EQ>*zhJo!0yft>4Qn z=79>dGchWx{Gs&%q-#PC7sVd0tjlomMz0{uVOOHy4F3Qsui>8u-LaqTx?{_1>dm=G z$>WSx{{V}$i1hye1zFw+#m1p)086%jU^hITYm&3^Z1)b9Yb3{Wd2t=*fsS+SR)qE< z5neKlJUgICc9vty4?$a&8f2Ox+v`nwkbxlq3ClkmjC<8YySJA4v<+>ru$+mNc^UT= zyY`(5T{!6)Nm&keNpN{1{5pP=HpyF+Z~SYfS=~)Gm#1x=l=+Ntou{q`YNuxhhJ0et z#`8VA$l-@MG7nE`&$-dBt^87&)M&EXIoQ#Wl1b=(gS{Rt(jLQFdz(F|pnTp@z>Tre zgzji}nvRGxe+*n&>S+Y4G<)sVDMK8LV1}VSXwms`+(ru_ zkem_Jd({}Vm)F``TWMUIRsHI#5y17WTY;eX(!xtUGW2=y&VJ1tml($w0FQd!_9(O88)M#>y2>*(+H-C8CN+V_s^#}tCk)&yV2FG zwH-7uRNJ&D2iTgcbA1-413mhzY(XgHvOb*D^#`jNqS>_m+B#!+UMBbYue}^MX5jd)9TGo#cKH zi&@0Gyt5>kUVtChHPHBf;+~##q|xuAf+u7lu4Ioa7t?X+NtEwm3%?ln%fYZ*S$Jnx zk_^Cd!SkH->D+qOUXkKo5#H!)aephzJIA-}6LL@E{!Mg}-FRZ=!0ETvtt7XqVn$cm z$`5?{{{W3uXf3oI8N4-Y;iGmYIOXK0(EhaUL%Sf*HGLjm^lO>Co2>DZ%N%6jRZUYz zw7gkwEp9i;{5)iJ2D2}GIjX@lR|{zK6_@8Djw^TKCx)Z&<&Kx)Eh^x~)4)r>*(Dft z1-<_Or9H|iXr2+hi%+?R?(fTV!&~_$1y{KG_5A91Ja^;kdr_v^_=e&r6qMTMo;c2a zm0Lye<;JV5$8B?BgU#fG>^)6%T68`U@ipnvqPm9C>PbST=`cwLkaN?$CiNZ6+YMjD zejI4^_qg+7auPu4kl*85ULg3X;lz@~>@1)cOKMB(i*D@o0;TwcKA++3BmNOv3wZ9y zoln|QaLX72C*S;<^KDKk(_CBF?EJ{tcD_z_`;1VSp2un7uM6qEIMm+DL@+{UW?&vo zyK~(C0EKSdctU>#_%r?y(IL9HTazg~o=;E-?Ou3pwLcHf2BD-(B0g^MhQ?1|eS6nS zXYpUfejrEw(vBsR{nk;EanlsY)tEjn(=V6AR`E-0@jIu>@*Jq{eXD-c#u~SS;fBer zWSZFsI~jiVJ#)=h(L6$RnXXTXUE-eEf8**ym6sZyL!qg*Bg(V(Yb(xdpv<0meN$jM8X-31{&GGw51%<;RlhzHAOl zMw!Xrbu~-EUIx|eJXVi&Y+BuhAAWhj=jl$=JWb+%2J094e~0y3i$M4Q#Bqi6J&#Hd zUgt~kufox2_j+Zmq{}0HkO`lu`FI_A@Bq)KHRn1WovFj)fRdvucOXVC2;dX^jcw>d z#J83*>Nl72>RVjAl3dM~Vb|{ylUw#aIrw3xh}!+8g6SbRe;P8bt7agEFOgInu+LV{`RPpYHm1HbyZ-=$ ziq6&T=bA7iR^&cAf!ehE8{oT$^!-NjU4l;}L;Ht3eBPY>C=1-BqWC5lE@IWhrIIGd zX%F7VsPEhJtX~|Z#M-%?RY=Fq$T;SzYC`_T;Kg%wJW9-2PnvpX*0nVQC-y8?7qK{Y zBg}Rjo}8Ls^(c5s$Y0zYH*c2MKRXUH+O(flmq534apoP^WhWy()pp-i@WMkCu9zLJ z3aOB=AAEGcto!{C>Y>tU7`wSF0q5|c?gUAxO@1Yk<{==s=tl(yx20%!f5R|as%p_( zK@m{QzH5TR9ml6i9v`r1A7uM82R&X+_~~5hO?=ajv`Zcf5yKu&r64S78fD$x)89a? zD09j5KU&n%G|fui!?NAS30s(D0TE7eJ-sRgywo%~Qbv$$AQna(5PMa`*X(sG@uj`k zMUadY`9bb_P(!#kkM+cWSxJbCA1#UQ4;ZAj)$Mdh&XqiCEHcO$+D=F#jQ;>S*|qpb zHmPP#k*Il*q^q{+`3T*46(7Y-5)TdOwid5EGTq4TE?pZuV~#rt8oL|Z=rtX0z}iK; zH(nOFmPVc+jfd#n7%n>Exr^-|S=4XjxSAQBHyBaoZpM1%xm^bM*z1In57!k-Ow=!-wYHG~ zXngIYO_PFs{{ULKf8vYHVlO5=@<5UUCc(!Yjdgwv@YajsTPvw$y2M+SR*en}Y%ziD z{{Yvgbi=8Od#Iz_8y!ndkx~!>NDc=hIOempy-vdFKkWNdI8lZ!22Oo(T}O-1YC4QI z5L+~f41mC(b?uYuoC@VFyel=&ik8)FSyEE@b!@0TvQNDtlQJjpCZ#rs8B$aXlM8x5}TkBS|zZJ=hCXa@k4oA;BA3ILK`s(GmIfe+`3ec`Qt4g_ zv9_{{QI}k|GFp<~BV@Sg&~uup;$IHFyzchy3zY|G_M}fW$!#y&#s2_o)ZR5%gvSw} z0~`*3bQJv;L9o-c1lO7Z!z5vjaNeSmz+Mr1TP;cNxrK=>j4KTOMw-Go5KPdq-nmjwBp-g&)_AAEjjUNkd1>}`k8kg=zcRLY9Dk8i zH4O(wvGBdbcP}bVj69W7l1HX}ed?3D6I$qG=ysZIgx-C@c{lOH{ohW$_^T3GYE7uc zBoP;mUzLa*{e7zzN#>YFRU|}>$KLKw0=pjzc%#EsR}ksmIk38hGU0c?Fw5?L&w2#z zjeQfs_V=C`zqHgIOKX@A{hnty+&i2O{{Z1sHGdI2ejK*7j@8MBi2-h`I-K|a0PEMF z>Ygn4K>#5^-oC5&UHm=Z`P$y?W>iayxdU#G9nXGikko!1iD8#b(KTpw93#EMTDIXC z9R3*V_|PvS56{yzYm0kZ+Yht5CIpga03U9@ja0qT?DY8Nx3_d@HQuUf}tc%D_#BLT_Yar)7s_*(W)-$P_r2jr;8J?Wkz7XeT$xB-bSr;J~ zOx0~av+Z{>i1{bJd*ZP5OG`uvEwPs)gSclumr9$$&3bPx))gv5OSGOcbL&VI?nPzc z3;RzMTTNvH$!wDT`Llqdoc{oydere<<;I7571os4R*}S%hH0j#z zC;tFl7~!$lj`YhtddtQw4X&XKY33#*J{UdgzaS$=R&U+G1MhR1{9{?NO9&4LR*q3l1#xQ%*Q{@Bx;Dl;B~o_cdn zahJ8Z?ls##?Kn{-F|Z7bM(q0Hp|$Ys(2MPI28@*@OO6Sq*hV0MWD*{moHzOZ06D3( z-x=IqTgPISrIs<%IHdJtl_1u&jX(ER+KVVEK`F*-TSUK}aRTbMrs^dhzl@GS2aNjh zR1-^r_^fbBR1uJRsEfT;qUEVE_)ru=LE40oyYL$Rqpgj3o(j4%bd1Xk~>te_=i;RgNv;>8P$}d zLfFC2etJ~fQmk3O(lre~MB8^0?(+FL{x9?WYn!%vm$ot7Z)O03U#I^7Ua#JGmsIfu z(3dldYZlv@tuZ+Z*6CiaIM&PtZ1}5=&mJeh+OS_ z1}nzjtps%|{{X@}ERK%?w42nD*~rML8atai5n~V$%`z6<=}ddejVPZYW3``!&mT&+ zrrqA%G}jZ`t0-J17n~75%sYm>`$&#?nb{L=;&KnYYv~>*lSoCL?l`3R{%4hQ(x}Nb ztFB!LVBN=Bp2JMF)gh4K!{_&C21^FR?8@TI_Pg=_U#k z>yFhz%F(>$m4_Wkt6F@L&n6sY4tElI8ql~F5nB1`KyCXC1$`?%WB)U|hR*fVr z$IhA0Y*bR4dz*)IZg!T*MBp#5rcbAv%TKh%!z7%c89#+sw6VF0A?9016py^?j@^0Y zq)O%8Cr^sXX5gFfb7?OVu*{rLJc^m~$>x|^? zKhmG4s9;Yd=La+tNVbc52b~!i=vefr<<&2=i#v@KzRxnVZVapQf$nQw%HK-Vbk&y5 zLM|aYWmykg`VY#gYaSVz72NjaCE74gR`CR;aQZofNy z@G^fs)luxtwacxo@;q9j@e_A^M5KtTT*$T^8*8rRPslyB3qdy^AwU) zdiSe2jHweb`H0*>=xSTb{V`#Z%G9Ry$IXIR(?H6puUy!|(S=70FfyQ#S=Q6}lCnz> zCPG0Zbg8C^u1?6)E#DO!R<8>cAeKL+A)?%xc(aFeDS{W~=lWGchh{!eIsSCZhMGAF zGZEL4div9$E&w6(&w2*f!ScD>r>_*38im|vY-~q7W~>W~dzkhlBr-@tl5>;mNq1)R z$GERrs*CwpoJ(Q6dOZ?^V7pLh|;kPk1%z#fNiK%WaXL)i?bB;iu z1xs-$1z$Mhinkq>scSKRv9{ds%sN$nJlm%c^PYVwX#81wC8@J`fdRYnPvbzo(Cemn z*fqu50?Y}Ee+SUwpKcK4m%U44J)WZLJ>(@^4yDIm@To4W?d@kCO~4{WCu*FIJ?I&i zsN5>ZNctaIbXJLUnE)$eJk--z8JVUF&wPrFM2nq+oOPg%q#+u~Sg;$I5zjx3Rq~|s zh8ORi=dD?cnMsaJO8*F$f52_cPqzY*KQf*3-bJ`M55~1*O1@v%u;cal5~-N^45?*=!O!*G86l zblzp0^6YrNX(c^B3e78KZ6$&M%#HIq9G*Xw0&TM#&eKk>ASGP$ft-D6b7cY?hCKDF ziK0z!65JFePw|fb0F^;?6GpybAv@cxXoYdGKqky^w;&UOdsV9yjsdmf2Y4d|d;3%t z5>07zE+Qi%s9}*?Rz5K@+8+S`B`*2>A_m06@_&*x3-7cCtg%@$jSxGIEhjSJ)Q ztd64t%WUAQ2~_8CJq1>OCUQ-=&peQFO*SUN95l=v zf53%4MPDeEJt+xTQyWhsoch!^Ib*pq%^=)1Z&J9)?d?kn%8~rtbInHt-b+=#dX3oXb5!Jvi8)iW^&_{n z1HH|tH2V;XC^5)+BWdV8>V@PC*(?~hY#wOQRbtg*ca6Ng-@HxTt7Ww7Rg}#Y!C*nk zw{zPR_Ys8U<5eD0XB-kK*1DAGB3r-RImtb0{;MokXpu*5cf`CtX)W~N)?(pDEO`WS zDGEZxC-01#O9Co+W`&~1n7i|g1IenAnIc0FC;tGiReyW-oxpwXy`J>JY+GhmQVI6S z^{ATJBl)KVh&cork}?s@jQ;?Erq6OFVG4dfN<#_QWt3>E$dRu_E8mS6@l-8 zl6~kIn%0teV3o)x@ub?260vi-7{HD$M2bSar=$W|sE~(6Br6obK&Zo+*CNv5%XK znw}XR-cK-`HhHJidzUUOZY`85sUf?L)kf`F1qMP$W9h|hFNx6EeVXDGcI?FRYNfsG zT8w2DBf}6;$3KM(MpO{(khVB(#L;yeO1azfdi&L_M%q{>{n1hnP!33{S0^Olzuq)} zY)Tt)vhv5jYI1`M<&%$7+LAD&4W#ZPjL>Bl3S-aAyq|gpa%GX6;W9z$eQIkrRA$Ry z7WJqgOvDQ0@$1r<^2oVR4o_o1Zp|H1D-!@QU7=!mKu&T!YbJP#unB@X<2=(2cH&Ll za-_9QZzZ|_!3lhFNuWYoT}N*xnX_;MfO=-8lTeoY6?~s;^r)Emj!KWxrh?t$8F6`Jc$@G5rzkleUEBlF6tU>-iLW5jr0aLUIyX_BODRx z4P?1yVvNOuH|16??yoO_lIB)+Cx&LpsA5>y6nFW(=o`3@TuTh`+(wGdkGKgJD!_V< z^?LhM`%U4QAQ1@|9gEOs)7q*<35(_fbAK`GRu%sMbGyq2k~rpvsM|I4n;Gt*l~bRP zupr|#n3kknN|Gdr<$dCN`UEMcH4 z6fDdS0evc!%G{)G(#F6qay!yPt=(J7L_`qB4Nkb2>aY;b!H21*xV0#xt0V4^l34SK zv>}CKIgkU+GtMfMu}K4!^y`CCqq+Y8Sbl>v43^z~T!WMKr!ZofBa&V&@v8a~dM;wqlHKcPXBh$F3Zfwjz zBp`czYWI+~)1A-&<%lE>MM0?&*B(&&n8{*0(@nNERYM>k6+4OKnwn`g$f>h}FiAas z8idNRxKO9%IVFu+n(s@vz>ZK{{{RR*DuZ@1c0@wEP6_3WMGdmeyXMI!IW+s_m84Q5 zJ3`>&x$J2omE$Di2N=x(t;Wr4LYF{(QS)F`HppVY{KvVgZ6iPKciZ1%y{Xx;L6{M^&0xQ6Ct zxw$M_L-QQ+O;nIB;$u_vCYMsLb~@)!Ssw`+j@wjrrpAjeARapBwK7QeNF+%5^#Yud z7+9+E&Ffb6CESz;Josmn~z#+677(A_o<;^6s&^>uQdX=*cDldp;!M%Wn&^+qO_QQ`V=_8Xva-a`=hH3m@S=wM^O_hF8yC^eM?rCBzQ-Z3Qiru9NkQPIZIPOyMk zv%;v!HEAPDk%)=Talz#O0F6na*-v+--riZqx>zytmie%K2YQCm77~bve37~MIbQsp z)eQq=Q`=2%IgF_}95-W8OD~o%Pu@5T_`_rUtr~{vv_a>vg zxOS14O2oTvZOxOKjjm&pY;tw=@0ynGM(mKdDp`~gMhkk?8P-K|8}h3z&f^Gh0jMM` zyXGC~-$4kG5QM2D&|&~(oaZ$yvITy)&%I98=_x5N`GM)&npb1$SAtfCP3kkxIjb*m zWZ4A@mKO>Q@<6p~nsXP;WS1hCr)5qRza?^Hl3$j|Fn6C_Rp6AwuXB|nYqHw<{CoM~EhD?sXN_3XYl8od7 zLfogR6r@JSnrN62xE_bDX(rC%H)o!;6l_2tv5wr)Ex^>Vo)?xlrY9Nv>ip2zd4=Zr zdtrZ_Vp-gkZR0r|>fHBlbizmLjAokL23<;6oSd$B&swD0cOBoJYRt{SAo}M$s*I8y z+0IXV)VFp6(`kL&{xtMrq~xAYb5TbyBMsL%%}yOi&N66QgVeWgtxanIg(Fjw%Mp`R z?&gyEQ*eKXjEacz*zHy#R(MX{Nj%W^5>De_RA(PC9P^r%;y;(=IR~dT3H{rB&i!*! zOL8VfP%uYY20$aqwn51CsCJiZBL4svB#N1*tFn(qfjtLz*^OIIuJ-mU(b4}Qd$Xt?nG=PdI zq*3Mp)~1q8i?;`p*WR9qoRYmd4AMxde};e{P6!yu@0yfjjGX(LjfnFKj$ftS zOIYpg<8vBqmSyAg?tN=AIWBxXJ;t4HcqB)dZBRKuz`?1chUMgTiOh<4+`R|AIT$hp zc`juoiQGD|@9ZkXcgE2+woYo*_MK&G6GGGkHlgHDn)U-W=@z|MPm)w?}E{TYn$k+BZ!buHWQ{{RZkxwxJY zEK|H?Sdcc1aYK58yCl?AZzS_z+6Hs#YW9z%+-ia2lo=-4Ok{V!?^!m*w7aP1y;kxh zUQbWU(zY&jSS@pLXD^n9Af-d(fu7juNEU=Tey#nOrZFK^cRRDr)6$kkc?p(sWCy!< zsUon4UbB(3wP&4M{aUvyeXCvc8yy$n?3enT+;Qq{GKIC3&hnh(2D&4Wc}UzkITIc z+?idQGB%s1cw%dJDD%wY<=d7AvHt+;)>faW-Nkg4iz|5`649~heMhAy+a|s42wanq z*WRvamim3%yY5L+pp|U*6(DNPZfvEF>_|Mb$Pxf^$8p}O>blGt#IOCI7)YG_pe8zT z&{J>bTkkDH97YII4_wncIc2j`Xl~(mhXj$_3{@c}*)Emgscv00C~i?qCoj97#EP%u z*^IZXzU5?-<=-kUIipd!dmTNZ(%MMcNr#xk@IW<8;z@k@7`9t1&EBYQV9~AS)MNWK zsb^+9sOn7+>o{bnM2bcCpp>|QgV*F$Jdek~TfqQkXLvIziR@?!0 z82}#qa78VZochcXEtkrERF|dT1~Uhfoj8&kKq=l+8_E=xIJAxwf%P z?3d;b(wim3@+)82edT|dk3f9|M`R+`uYA2y{Kq7xCUzMl*H1m3k>PC#v{4~pJBb!h z0WRIBVmc29Yo7{r-?H3z##tn`bt^>Nz-0@Le;R96 zHp_N8BTu51xJe_GRB%RW8Kk+>*>u~4m@J%t=Z(Gk^#1_s(;LLsm+V?nP;uXi(9-ow zJyOzD)aCu?llO8sYR%-}iX#YOcAazPYB#_A+jGeqaIW2Rs^;b~#?;y3dG?xv$SAtQs+rAxAtc zV0On&y?ts3d^cd%t$b&YLpaiXp5)NRoy~ne;&VZ#eTK>RO0kvR(T|i7gM(Q*eTwRfExX)5 znSxQzC96Kx$c76V^zB;L7S?ta33CF;=d0zIH&1g>aZ6KK^td!%4M-V5o?H-52R_w; zWR@$4*_f*lz}=6)RPo(jYVGAqaLnp+$?5f~{{Y)Ikff37c5NhrU8&`so`;&yo0FTX zog(3GWV$Z0ADJ6^$u~Zs zaS}t491cry*CM6vS2sck^esB>MZbjSVENZ~H4llcjrG``$S6gR?k`>iP`p;QyYlVs zWmS!tdf+$s)bYzTv|npj%O~-Y*(xb3+-0u}Slw$jQ$mu!UL%04F#K{)y+2Ix1@4m( z)9w%il40{6$2bPGw9Ruz@{GD{5XUG3aVdvBy?tv-!@dZQ$5!uoq|N7Eu{-S5c|qzk z*SAkvw(K@k)V$a(LYNG(`CE+2Hv{kNKD66gjVDX7dzE%(m8ydhXo$ zWY2%bt!la?>*2UBZlV%RzoPZP`gN+UtBn!=0J7z|bMpo&zc(YE)va^l)YM}a9!Xe& z;G+Jt+qlZwKBIZ6$Ni0R#aDQAXKuB7M2YS-Un1hz?M5p8YW?i=ApI!t{{V$F{Zn1F z*P_+L7O|l+$2Z@rOAy3eDr+9lslJ@6haS&t90}qs+p{du#8o!3$>^M#( zB)A<1dQIJ#%W_W@!1^ww5sWvQ+4?A`^qJn~IiQwlWw_b7Q1V#kp!Dld>Ru&|;^8iD z5V|`Y6V3tWwQlK8;hhr2HMn>0nKvwK`=mvw5xKb`qk^t6WCtwu~its3=nnnIPFkF;Jrs((qCQEAk1(~ z7G{iP(Yoi3-6`CL%;mklOND)2CvhqHyu{>H%S}H^$?_&bgfZN_V~I(yO*lWe!*9}f$=D@&a>GdzDZqug}n zo8k>F?mZeQ#k-`zcPn@N>N{Od>%;fbD_j|!RG?6J`jOt9sOvYn?T4Eva$syWGt<(g z-uE?5=53dVJV`yoHuoBvw7zCOX6h?l@#+sqm=8|BPn91i~d>E062?`|w^Wwx7aqCm*3*e&cm zY6NdELoNErDzcF)A@A$>S3xb!t*QvEXG0Sx!-0awvCR@U*&;?m}QLKvCW zMlBxRdiAbG88ryCDC{L>gewpu2dEwEthMomr{PQc38u0aa5y4Jr5O%~uh7%GXqWL0 z@co6o?}l%#@8c;tIZYBT3tV`LX0w5IGBaeb&rW$2qpEo7%T|g+ z&~zB=Vx4xpYTS$-p5mxj_-Y+@P)K2cH{&dO)H1q~MXOj@OBC16VoQe3&s^|${{R~5 zt^6_JPYh_6y8N2-MI}+?Lk1dWX5$-r)(6BJEglOSJvs{(lIjV!wZ`CZpWQzB=kTs# zDIWJzNHrfZqX#jRVmA@oA8LBG1e&LXwI?#%!*Cu(ka=+wIScN88j?K<@*N{>`~%Ke zaD7z$J+WFb>vsMLX&UQHxt8|s=_Rti7Xjdg3=PQ1o>=2K#~;ntzC5wa2_*?c#uYeauz#L~G3da9FvdXtWrs#=M=maRU?e!@AOKx6XA-nFgaj|yoP zH}9+))n$xrBY#ut{(Wikcmm@?@EFwfIGz~@ZJ@7F(;)X8AIiA}*EL%=OH12@L~;Rg zIXLM-I__%tu2XlY&X%dRH;^2TLHe4}@Xd_6pMmaf<8s$JeC4uRXQSZ!5U+0%`Ys( zj!!&Q5j~c%seOlA)QkD(8D&F)4_tFhZvq>f1t`Fp`RKA(+V`y1(6zm=)L!4UF6>yJ@J<8M-eNvPo5;xR{Hn~q68u@>H;YZxE?3BA7+ZAbj-OwxUbVi_b>zC!ZR2?2W+j2- zcLP7ISkOKf>Sp%SR=3ry65bhiO6Rx-J8{onT40$366x1h=GyuNZy*!qxao?i;)(3; ztPYiBbX}wc$3lD7Pls*puceyWIGCzH*kZlM zPH7dnmuq1x*O0{oG9TW6(gnyLTvthct)X3oig08Ha^UA4wOd2@lc@M|&TS&=Opa#` zHq5972OExw^X6KgVKlYyS! zTDhiKX!DTLvdG(hT=DZ~r#&k}MDT8@q3bYe^N5AI#feD60toF~--)#Q@9n9^k{zQf znD!h7Iq5*IUhx!9sb)KSgh3eEMh8LZ{(II|mu`uvCB?$*jw!fj$P!!(pz{M0j-!s9DcnxSF=Kt9UAo)6A7*ul+F1$%xrT5CIuG;Oqp`LB0EB{J zZ)X^38$MdEQ&3;&6H8_$wqM>O{DC^-IUv@>=9{M*3rp{_O>c5z`GAvY3_U>q06bD4 z(D1*8?z}&HG~Oe!0>Wsr7k4bfxb*)3^;H$|9kz_uaClN{m3b7b(nveif7A zFA?gk99A~>GCHc_QzP{zjWXwC%WH8ERUDJhx2fmwr@I4Z!}s1Hx6$2H`KZLJJe;1r zjcICrDAT+#rmeJ4hk4T|?A(HQC)S&1qFY_qW5W8HM)INamf~FC=bQoCtz-C?!pm_a zR$4=Sq2mL4uQ(mfPx<1JOLDE`Hka1YA1n8=j;eZ8dVY;@r`lX4#h{SO83@SPAmgvS zU-1Wqb$trPE5O1y*ynSh$@T)dl+|zVwf_Ji?zXg_>eR2^^`iG2nM%St=`Pj_TbG7q zkZw~qV0wG>uR!qrt$U?j#i+=U$qy}Y<~JaB>+4vSQR$K1No99t@Z7@V%ravwp60Zt zw2xS_mcl8FX&^kOJoXgXDcF-<_^EHJ_?GKR({#py2?!zmdK?4&YJEFE@CL1NTUvtV zDVc(_aW(@tsjMqM0bbo-#d8!J4&k|zP00TdzRMnPyd+Dvh0Uk<$*bYS|nIrjS3CInM`g>Cxu3FNq z9d3Nn!ZMxPM}EXq(`#4$8JJsWld`qQA;@fVjz=AM{#APGRMDW*Vp9N)Wgjsg#06Kj zirZL>?2raz$PPwH^b`YZUk`YS_DhLn)K%pKV4QJX4W);I;4y2{UPrKhtOSg%dsjcD z=ryy)xzr%0|SaNOn7Q0G#tcmgeV(H0ZT?Wd6=pAW6ALs0TGZ zk)y>G>~~9KNn!(S=%$;dG|i^Q?Q$FCJB|S09{%)dJ}!;mi%heLN~3=Dqdby*PkMW@ zZdSSRKCz=*+-cf&qqaPjQI1A<>rmNCEzXl4+PBC6{p76K$v(BIK8tDMXf5rucyn_R z0#JTtBiGzjjb}@=@LrVKP3_w?)x>}@9yXGF4G!#gGVkyFC23^wX;!Y&bTcz;UOMB} zu_v{kP=B-)Kn^%xT9W?&O)GQuNMmQoC3xyPn!R>q)9qH_VMP(AMqW6fhf=PMrp_)U z-wPZsVd>tV2C;c%{ zooCMyTQh08Rm@YdUzMV6t@Q0&mEzsUeig&4t0q}k3OLNni&ptc<7qq^LM^?tcCZMLIFJvYJ&jh<<(7Mh z+wEIR3~i9`-~D=y&t1ILZf3c=!7P6EdU|tOx_yqBs>G7D#A`fK;ZVK_Kh~YbX=%QA? zfz?6~j29%fG6&~LyN$b}F5gJIi!&{>hB2QqP`m?GbqFp9E}M$u1m>;jTBX(8-`TUf zko=WqA0$Vr3f66-!(k&*~NC_Pnhhud~7E>anm@emmU$mnrW`BBF0Wi{{VFRdWsB( zTN`~&(+(76#zT(&)pkuHT^2ch)X5)DDm?P}6_s~jV28<2+tlZSgPMV^=bGRNrDA(4 z9Gw3ET6&ec)TydNGfY`e56AhT3b%D?S+BK@0wMF>{7TN zd>+3~N_{|{cH(??~0%)JV~@U^8$}zAER4 zwIzx~oBLWpI^n#cH*W`~eJSI`)^_OUQHLz30%bF}`c`(icOI>NX4tFyumDrry)v7- zAk;NEbxW@{XXhN1=zse4Zre=LwF{V1IfAY*(#yv`g;bA7ltR}L#8?5lpHFIv>Q)e} z$V%guY~!DLM17-EQME{B@~wzvQ;d%O;;KHHp^0@Nk3U~}pHGSAi_R>~g&8NcX=(SC z2*heI7EOe5c{%PW-Gh4SbJNV0?z2L+4yOMF@34oD9X7 z(^yY*0pWf^N3R*I+emKK-6n~Fn6JuNbNPw~AdbRl7_vvZamx<>09s|(nlxvGsqi&Y^o)j z0Y(F4)fCgp#T=3`SN=xJ;g?{nL zsWkOuMKh?}%s>az+wi5mx{@|%!8=bLzn7%~zNJD*QgTW5HGa&`ac;3TOR40ZwO+zG zL1&sG10DhXe${<$tnICtV+YJ`54AC;anWjX*!kAr2J;tdk~4wr=}BcBq}lsK!FN6Z z?^0=+9p<)BVggzRy$+(W5vocs0lLt{g_DN zk}Xa$f_f}G* zWw9V0zPX@JVIaM@k(*0cHiCp9bJyur^(`jmH;&qJQ6uCoGo11{u7+O^>6g?00BT$6 z;cb8nQ)neeTFCJxy0hwSZ)VEsBFC7+BhY@8rNz0EsI9P+3u1C`2|l%4LoK!HsY2NY z=IRHrr>(2pk-kH?9$y`5+guAq$$Yo3_|!{qxXX=IjgW=RWU0pGOdrOx{P}JP`LXrw zQ_PQjamsSXqKtDx={FYf3zL$r*bVA^1wC2|>PTGA5EC#hfyo|~UUg7G11wJ>rNHvZ zCm24w)PfH)bC}55GD)f2vXQsgu1M?GH5_t1yo|8)Bc3Yt!y?2>@(-!1D&eGYw4h}m zXPh3?3QT)U(ja0w_a>}aqzpG+7Uz@fDc1TW>sdgNLen`M?Z+Pf0O!_=oi|Xnwrh*~ zc11Y=cVj>&bWwQWYooD=Qgf5n6=p5b{QFNeS|wv3RR<*S#;?hD3XCMRe~3 zyVpN^o^eq%{K$WF*dP<1N^ri9%f{l_G|Lx`JB*w%kVnn$Ky(&1lH$%gy}2?BkUJW) zW2eV+a)Mcw6k+?ven75=P4FG=x8XT;{QwDG+T&x}BYfLP>yJ*n))uK@s_9mZJ^q^U zNI~0f$L0Wa&%H8{T3AP$wNC)|J!&7bH=Vj9JC9l;Z#;)CNds`)Dfb%Ft1OWss6&D_ z=QPr|nHzbEa90PM(px{DBSnG=p8kfFwGXyjmXbf1_FgMW-p67Dk(4uY&j*@Jok?`D zZJgci`BZ>G>G)JSoEB5GO>cMz##vo(NvRUps>nA63&B!))h0s`%c%gKGI~-f?1tvZ zth?bIM}Jy~&R}2Ob?5-A&vTeOxWH~d8qWlocRx(`pjT~z$v2!@cP+Sa&q~+P&YZ9m z)SZf_%^2!)>6))&4UNQwZl&_u_*nF(v?~Pd2H?OR4rngq+Lg_e(M0evjOROlgnA!( zrKo9g$oaN~im)5l_BiWJM=`Uj!pcJsLG|{i8&IAQcDciU4rnFbGQZgA-j7TyMe_B@ZFI1SM z#>T^7@(nHZw3gGgw8I2-6ls=GmSUp>p1A&W5-r`Rn;9q5byJ%b;Hey*gr0u2tg}Z5 z^4SaHB;fLDhNU`OOtVF75q5mTy#|JHcA31g#Dm*4Zr)UA#Bwe@53NMD-eZv(5ynFk z(wIR~%H)&mXbz*Vm02*XGrO*PR92BZauxub9vYajafiwWFu#H@Xa?-c(cA1?u*Tk`)k}ttdBXSSnz?XO(9}}7kOBvO^9Ca`;PvUn zS8tiX3{HFh0F6xnDJaJsxu8Xjo?rUWfKO68)UwLkww&|Eb5O~4!#svL=h~lbA(k9C zQ*yE$19wk2YQZWo#zC}fCtU>qW1z6wlk?1 z$DjRC{`Fa=Cm~Ria88MQcqRL`cmJ?31yAjEsT5Azlcnu6~){vQ4RwF8HlA< z{qE3no}`+#mcnNUtDl#@tygAsqL-^`4Mt^ znyy?g%=ynwwB?bPg=`Rh^#O3b^CV(6p0wzpK!Bd(2Q>L3Ge#v|bNp11D-cn#N%!eM zhaw2!XFQ$M_>d%+Z{j^OPgu~~;2|x%WxD6^rI%zNxg@bT=d}PoD-#{c3j^~LRVH&g zmI_BS8CklYr6EnDaAWn&0M*^bvSjZ)bJsnpNg3ss#}MESbJsOpTil!;r`Daj=kr4x zo})AYHLPA*Gc-h!*d(5vYU=ClYYIgbnlnUB*ZwYj>Ig1kF%?iUO+@jw;x^#5Ot&9W z-1l-zbhipO20u8@dY146zPr)V4Uo^eZ19BRA75H)adjw@wRWoVc)=B2E!tVxiu2t2)u>R- z87QQaQOrDs$D8wKCpAS&VmG(vZqvt8?@TePsSHlnm^SVPWvrc%|6jk76@y7kYcPb5*zZlq2) zC(K-bBUL45fsCa&&w7e$xn+mz)Ju14yrHG93J!@X>7{-%m)}@{|Bs-pe zKT6J;Ki`tegVP|;oyn0}RUl!3IqQlHX|Q1awI#$Zi;>XNM%}s2M|xzA)Abv@Cg5Gz z$Q8l)gMSriUwGG5)Lm6zf)o)HaTIvnp7oAoQ_5s>&P@o8&GPY+njWLwkJx2?a#-~y zq8|rH)3EF~uI^oE|z<^J*PRth|*Y1oKRkgPf@(4!-p}#kKY` zA9{{x4sw}3`Ri0#BZY}<^}zM%R-g+jo#*d+)p)*AKt1`vr(o>kCQZ%Mbu{~_i=5>0DUi;9ZD2A_2AU%Jllg?;5y_%ZhZrATY1ZnEE*PFqdRgLV z$!ui(-jt=diL~ez=HX)K8~BZ0zqA$-v~nM@fcMk@KpI5fb_MmZk!YVt*uyzeXmPdM*Ts%JU;>RY%y zO3rfGCIVU`lYG`HL3cFDv?8KPoC!b2GDu->ur+TUs66+n>LMtW zx|QcVRH{n$!S7A-*l_v!gG#t3JE;L7&Nm+8nsQxzoxWgBPg;&CiyewT8kyq~$Fw*c z^grjC0CUJCZNa*pl*h^fpmXa&#L*0Asq0EniT-)2=nau=eCO2nq0pA(^PWBGM=HD% z^d_v>?2=SL>MKHRE;%L)ODgxks9qhM0DAQ_17rjs za9K$`t4B_^xVk<~tOiD5&N_;uP&XLlQ?2eMw{awLm1SFP+W<+ixB=IR3*jyAPd z7sof6R+p#$0A|mbGQ_iY2S2Sv;;Wgo;<}HAqX8UlIOl`gjRYW`vcI*5OuN(X?f1{-k0b{B znCqH@Pr0zUomWw~Fe?%ZFvuR6#U#Sx=8<(ZG1@^WVm@^TIQ&ItYC1%B5|(FZ$T%zf z>!a}Rgf8`axc>mNgP6^)E7e zMYKR1CrHjJzMXA7w8l5LY#yP8a!(ZN4K1}bl0d_H4CKE*{c4`e#Z96B0YU!0M%Gsv zaGQK&GwcjO&Uio8hkJo$?%LzRmx|U>D?3CQK$3mk-nCLo{X27s7 zwK>i8%u5?dj)SH+t2QV5F-^$5gsg<(GC3W&q&tyX$!udg_N$Ei&Cg1wbo1TJ7kMf; zP-<(tWo7eWWy2qv=FZdURz{qk*~@U?9P=3r4l(K}rE+DdZ^8OK!d;7OEoe zlgr6HvRm@2Eo~ecZ`kf5V>g;e!R=A%wsTn`IE|F!JF$`U{#46JZnc@51$L4p8}aE> z4&_UGc9QiiQZn9QBd<8CGwD)za{mA;BeF7{r=h9g*Al|j?f%Y^Fn^W2ygK8ydenBZ z$*jvHQ}X>(vv&Ug8qJcf*x`S9du+}2RbVnk4=hDZqS@(pte28VJkKo1$jyuq&Ihe& z=^8rdFf{je;|d5=ex#pn_0QcTw%11R#en6pvp7(B$21mbc$()=*X{!ph;N2uesVj0U4E6F2Ay`c(CSNp1epuF)K;hbB$o@PwUvZR^5AX* z<|pe_s2dvp0K|LkJHtc5_F8+|#U;y0aOCpKjjA#|Ip^NF$e>4;&eSJnh+{l<^dINe zjXnqQGQELe9m?d9A^A=_R;-B{czO>G?+q+hf-8;pe7Vy5Gae3j` znB7YY1``q7VDa>>A|p1XC!LiA&&;E>U9-@&AMJBvEtG}O;ba)v`Tmuo;@t}4!S|^a zp>O3h>+&4uoO4L4m^T2!9@TS@PEVya*6#A`eV!deGe+F`hjIKpD%H)rtrwc9xR2r$ z6{~5M!|k^%wnD%LEC4^_K%LDU4%*KBo*h!)D`4%&?@gX~<(J8jWfuW}{^<0oI)O9Y z8|ziiIodk6`$O1zRsAZ(uJn0z8PQ{JJ7YARNEyZ|)x`BHMWcCFO(&Rqh9!X~C+Y1@ zxrxe&W9X+m)qAVy{KE>#z!)6zO;2Yyxs)yDLbd}Az)>r(dj$43R~ClqA-IfWgX>l_ z-x*0~WcDyZH!JfdI-l!TwQWa2(kx#{u)Jp%D}u;*+n)ZF%(j~{M8d%gfn@<*dSLgZ zYm&7_gi=ed&E&~CM&$g=`~h2b-WZ2O(k^aqHE0>|85BM<)AOvIZ%dxa+S+e0NhIm} z=1gG^YNpdodA8nZ8d8Jh40E5to7iZJbjy76$u8q5`H1K~m7x*8u#qnGqx>pKNz4 zXc0G(e(xC0PvwD8Y1$5_HN;Fd`4=cyN;%@QFCqTSnrWhuia7xM{1eicZjPJcY!@A+%ToxUPKD^czk!N>v3;n2NgY6@!&rmZ=)1KY_|3Y)3M$Z44i?}-lk6MNtr8iJ*>tiDw#NZjGz9sUAMh4lOQ=pTy9~Uim0A$ zu_-MWTZbgLZ(7-~wz{;^B-7{9%gh)sW9)l-Qq_cs?ey&@PlW0VF8LgRCew}p>yRQyOe)2laY~>mnq{WVz%)MYb#@nMG(gr9A}DWh5R$4>6c&HH<#+LNUgVP zjpsc8^sY-=(&iWKa}br0XBaENAfD&&rPQvay;n)M)h-qkhB)O&!jJax!2Esvs>g-A z6R%u6@XMzajwL62hp$`@=Sz0@9G^Aob2<4U@W*~UX)ZDQQxze+li z-PwCn@Rqfw_$6<(OA!pRxe!G&0NZ_sY}O8fx1(0Kvs-fN7v|()0RI3usVy}--w+_Z zzM4jO7{-e7mf8XAJ65ipsA$Q0P2^%3!5R5S%)A3mMGe2x^(_a);^GbK69FKMju;_M>_>G#k%S7Mz7?O=2y zjB`!wGFuzJ40x=>Z!cA3jN(TJ1dp$3lg8HCZoa9aX!DSeN9Au<$&t?^2mC9VmMC<) znLo2Fc7Vq+V;LR!t(ztnJ{lU$uy<&u+dOJAK%ii{h*A1nY06O?e=-EMk!d%l`lZBf0lAqo{bHv{}ZNa|w=VPT?~Ea;Lt1ed`NX zw}$UslE+$Riboj}NHf==_4J_3?KF=K>stI0YgTs!p^Ss(#^8~k?|OQEHDBV6vEgfr z%UR+q*VYlLDzfK)9=~7fRrH81{14&DAx&L8i=YVF_}puf)$H{t++X=F@)tQHoag+H z&ZV};si&nyr+BV8KG}T*h1wx=<^p%0?t|)0S@5Nm?v-VG1gz3UGO=VUz&*Z|&uaFv z+f541Wi_qmvAnJa*Xj=7S@(m={2692$pGtk1p? zE^V#vVYb!`!5TmsR2>K7Ry;FreXCthr^n{W=Ehw&1C??7KhB}F@dcfowDwx`11m5I zCeirjlhkrM&0_PyKM$5oOHbB?+ud6Lw)xHp9r28Ri1e>Mo5Xt7s?yDO3G$+R?M#LF zxa4#v{3=~iRo0{pHsu`~PBBKKC}_G~i>X}smoFKS zGBQBRXSn|WJ*joeJ8R2TwM((OH*KS@9^RFUslu^Z%L7OS!~~ZyNyx$Hk=Cd1<+aW3 zjW)Rz!dwe}#E{{zG^vRr))0&gSSK4B>-DVi$TloeZ6Yl;a`HD+cGX@L&M^>;~ z*G6g8NtMaSW<9{G5o@|t+!0N$4YOMh-6VJgg=x>FSXt@r*0O^RFbrqErE&VToxo!v zA%1YU=BaK?^)2ffq#hu>FBAqP$H)o%D^I|B7ua>^udnUQuuT~Z?;cf0p$ENXE}t*< zDoYD_5-2KKRqxuZS!?=|+Q*`56H6>CaKAPPKZoP_(XGlS*K7r>ms*aUb+H~#nIYhP zc;J01&xWn9ZoD-R+Drsv%PIbJ>uK!axLq$+jKr?nx5@WUzrA%)N8#-!Nqg9? zzSJ(Q$W?{59Gs3pr??n;CyqQPYo~+dd3TIToRHc701C*|($81AFS&Ql{ET%yjb-0> zM(!z=I~!(iG?H0{SRbuuc=txX(lm`9SdERdTt-n;<2!-t#Q@6*pxfylAG)=;Uouj0 zoN!olE1$x-bT_GV7CVP5SRW{ygIDaejdxgKX?rtHNWdsDl0B1(Pw`zlFoL9 z+tB@JcW4Pj*LqLc^>YSQn;Y}SM<vMGj@B;fbS$K(1`TxjF&d^r`tXrn+F zG2k9?>r(5wRn4!4)+8B}zW5VwETiuq!;j9l{vq2z;lCK&#o?w#jfvSToRN;acC5`E z?|eh2Uo3`Oo0~=q7Bh?~&U5X-pxD^ExXKYW2qI?-8Qx0y+S!{u36)C z42(uIS3EO+;WaI&YC$8BIgNJkNaGppT6Zx20K$JPrb$s5BOhc!-+#8z{(DtiPVCOJ z@pb2nFLA30C5-?cSaZfZ0b1H0!>Pb@Sc%ev+<-kGJ- z_04x&((kpcUN*Rz)d`YNqYMX52Se-YRxeB*C%m2wM)eZ#+z%}n{KR(^)M?%c(tID` zT}I_Don_SHDpjQ?EIZ@rN$f35y%v86Tv$coE1ga&c?Q;wKIMq%?b5Qf*`LNYFC<8O z$(xX)mLq|I+PPC4cJUbHm+niovT@(jwRD=EpRf39PqXnppJOK5rOHdYBjxoTpIQ@a zMXwC_Z&}myE9cfU(22hhyJK-b=lNG1d9L`AOZ!E#O2Q){2u?s9t9oCE`rfs4mRIr; z8FD~5`M<4QglU@BnGN(?VRj7WRslnU$K#%qChubOu@qX<>AF3Y?d6A=426h>0l$XhbNoRwmGdwbQ$^rZg)ghF|*E#-o1c`}IS8_#iC zTGj2>!~I=sQ5~I;TWJ}{AQ6%H)_wPguY65?B)4#Ydw_of{HX(XGY5)%M&wyTe8`vq zV=PDm>08=fuWDq99YM-D>c{xK#X)7GS=^Q}#Hk=3Jd!s-QtQ!2CA1O3@yRS3hn#~u zf#R62b7x!e4wSZ6Iv$^I9D+v-W>d&GRQya<(ExTszjl_{(U>vWGye+*4#X=GDrX= ziO4+T>HZaHc$ZSu3mL7WjYEy07v&zK)Yj*R4xG_VaeSMIR@&&nNMq3cf69gp+?PYv zBGGOhO=n3nN0GM;w*$XgE;XBdeHdCeSXHu|a=(vyuQim~rOKdeZy*rl3=_t4+LFh^ zGilf5qKvYmBhGF6a0W={7^d5>(swbm(c&v@Tt{kHd9Q#o!1b=H zPVueBf;GdZ_=3vX;UWet7=WB_?tuVc9{3KmkwczI}%06QhmEtC8vNbq}HSH1&*l; z1%2B}{<*H%t3?I##T28EPkz-% zO`E?Gt$fcBHm#@4_Kp@gS|P_Aap_ZdPeZx5(&h55L{h6~ED6Sag;LOUb8DkV7ONY} z3(BSE+m}K*{XwqQKNI*S=T3?b4=mA2vhJQqfE)V`J*u0zIv97JIl8gY7fbU}Q*ORn z%d_Sr9{BqHl|^nXZlM<%lni{r3csQJYUS^NwXItA=G#eSD=9MJBFQHlocdy`_^Q-c z_+;s~ARCKl_K}Pn_2>D}1)XC@u<*$HLY>WV8j~BIIph#NmBebAUF7%jUA3`gcjFx6 z@T*q$cX!@gQe3mMARAe-N%pOK8@9GX70e_yP6Ok$16q{y-5&DSLl<_puL)9FqjB=_ z-}zP9J}r2oP`9`6^oBBkh_P)L4o7lGT8EX-F0 z$So#5>Hh%hS4-o~J(BG$^!w=U#2+aUCI|p>PI~t_t~gm-+-eOJfTO?69G~!^-Gg@) zZ8~eLTsoWv#&h~q%>aVcr2`U1q^Zw(k|<}>TV>WAN6ZF#pIVbc)@?6mbdygE03q0% z{zLrqp^H{iv)Au0ZsN9*?D=lkbDrX~%0(U8L8~s%&BUXoPfBf@wGVLJnljwzvO8(^uCh8I+!gyCD?Tp>YI=qvvPWYcH@Yv~ z=ZxdEN1*BU&hI4dVvvky9246$sSm^p?+WNwS|oApxH6A6PVNr? z{u0&|H2dd*;yBcqE#%~9jA!$#%bj0e)Go!wuc=FQCUcp_TODu>NhJ4Li#^rx5|?a) z?b@tErX;Z~-=3hW;Emrmw-omp)YLqNW}Th^W5l`40$et537JHLk4-|;ZjXPqu$ zA9gY@PyYa~y>r&uWuBVeY}X3JurZ918$PvDSkvNZU7|p$&6US*Y6YpMtlVh+Bf6N{ zHXDDaLlCBS@YL-1;Rk*eLBvHD?Bs`w< z4Kl6u#m%m*6wt$%B>7B=-TR8v(4y23O7Yx=Qhrr$;RNULsN=KyN=7bm&wacDOQv1V z1=xnrZf9(5JpBy?b81Pi>u}ovZem#_cEM=C&*xZo*3WTrmhnZFVtCI_YS7iZEw77~ ziDGjjIWjSiJ2~_nDSSz%eU=+(A!72NAjq6!9dalc1*V(!K;CF!xMQzR!l+ATlTYR0 zo8~2Q-1>@^+UoK~5qYLUTaCxHZ0WIBU0mYutWHPYBnkjsD^QZsH8-(&paHpLeBk|i z)Ae5)8<}nGmep3=OU!YuGEY)XQI(>YnBii>aRKvD+geQ00)%BB=PWx=7dmTChLh`e zsjOJtz>&Lrxu538Q;<4TzBGdB(i3T;UIL8ccqezwk8ktMVBKokg@xQp4DTArxcsMz zmfFWs)@Gqn4X5M z*!kuroTD-?SEtD=2seS$7IQvMaIf;cy=aVw5*7+XXga+DvkZ2 zb|&3hVPY32laE@^ktKKX!yb5L&-u+u1(~!>q=v?FM?GqmtRChKq%Rf5@<;>TwNu7g zhMxekhA5>%x%t>ZNjEO!OuL^<Z>+}E_0-diai%2h*x86COEsxzdrkqRMZBb*NPo8k4@dvU!VEZA_z_^YS6 zipxb2T>YcWX(J5ae-F1b1@$?3AZTV{$&=9LuUPokThf5FLB2I??3WCu@HIT1u2^##)|KGbc+!*iIWC#>ZukgYC@ZEyBrkxZ+cGi|s`HP$eK9p|BmgKswtE65;i#C|)Dfza>Mgbka zm1FHm*oIP1Uz^sfYET;sk|mH6j1OO}SCZo0K4oIfx90XVJ;7?n7GyZbU(YnfNerKN zcH`8FiW`=aPER@N#sptutx0jS<_#u>t24CJhVsLs18T#S0>fcHhYz`%N~CdNKbMNL8HC^+?*8{ z%bLi#MS^1v?ZBR(@lDmPC%z8SGd52knvU29!Ih4C&ioR&Yedcv;SPRqB0tH1)ZH=2r>1K_4kCpTdEw+^=_WadJSKLPDN1LaYzF%=%>d z)R)?G+r%zJyzapb)ce#yQQ$K(f=gp<0+ZB4wMh|?O^F1CxQ>P1J=Z&UB8fGJ<*MUc0M`B(ugH?#{l}AR9aAq>vV1ibN3E= z)}-1M&9%5=ls___ew32iIdH=#2b|LRuM)9V zIV>`?o4Wy!O?hJ{o3kgLPc;YIFD@p;u}XKz>-yD;y=Lax)z-WT;wu&r*lE9N zh_*b)r3^hzO=IgL?D7j}ZNm9!iFxX2N!+XIVqaTFa~j74Y|Xskvsw2EBrmdHGyF^s zO4OP~f-47;5UPrrJM z;U*A?*L@Fks<5#$uJt$>`M<)IKG6;QiE$GuAixKl=eowDsc&ws5Ky9K$v@M-o@3GQ_Otm+Qd?O58jxu)NLqQMpdxjDvvQAw!9 zEb#_r-mEe*b6IU|<;%wd&>obVyB*s!w985EA%C$z(rrIB(akpU&U<)|pAk||@eXRF z7t-0t3{toR{M$`WKEHK$ZY?h5EOV02$^7Z+#Ga)a=_PU%9Iri(H7%XXL`3DuY-5w! zoW51a2+$0q}~ zS`}|4x{0mF&ATk!Xdt(73Z>J;tXPtHCz^us3}~w9@{yd?yZuDTbY^=+R*Y;saC_E! z+`Y_uC;P|H^q{ucUTI1pDo#B!M4M#^ELp){oF1UmzEW&uVsW0QwLvBo_aV=24-^k@ zEeb@+g#6z195&_Du*VJFo)dhkt;z0teJWuPidMp(#(*S>Cr4Igz{XD*tC8#1_VWCb zl7%s}^Hk)&DIPq$e@aOI0DXpK$30JK1uaXH%LMX0%$FNOa(8w;>ZG$HNJ1UMvwG97 zqmm-218xoaR1XxQK&UcDUN{s28#TL05qQ*+4^H&S1orE((>!Eu>sFTbG{}(-;5p4f zHlp{4E#!ewcN4pIO#*G)rtTH8*~#rrwz-Zl@l2%Ueq8o7Bw;+$svtZ4E<`8?+tyva5hddqyRr@>JyDLhkCpp_wLaf>H(38}h(i&u! zHvpVQxFF!wX}4g8=hSDM`_#DeTp(Z%Rvw0|Tw22;Z`=VrK|Sc!(wLk1`}Bv&f-y86rj5>_=L)8<@s$I&+@2 zUf{I1jdA7|FVr_UG^KDWr=B@^OSXA6JTDo2>3-y~v01%Ty;Q%qg1%@|yk?deWv3?p_A3Ukdd>O~?e3^^SA?E2Mt2$YZrK8z}9 zNhyfW;%XO+BX3?#eqs+pKsMN_p-}D_JYafNt6R2SoqZ}5nml6}C*GvBgi6d4CGt<< zK-P`MIdDq0EORnDzCm5$%kU6!Lk^ z07ooR+pb+1LFt-<&6I@<+@DVMe)mv&%T={vGKcSXs>vQmBxl&rJCQ0Wa~zGF^q{GX zVC0fG=B0EQ;jzyI_oQoipCy3@9Wy`(jz-qTaoqJaDVu8sJnlXFR8R%M7$o-QmO@%Q zqUWA{s$>^s%{7|!+QnaMKL?(twkl(zc@O1DE(-PC-m6$!O6*!CC7k0u{*`ZK)YAD5 zTX8)5P{F&Dbr@3KU6IJHdyz~K?#50@>+ESRq2D{?@`neW^!O!2PzlaOH0~GBaS;Z|j?z}Pxk5+E4(JJ@y$>@$mVBeQzCLdT9Oxd@c79-w6Xa? ze|n^heeCm2fJEdv=NbCc%W=1%G_tg*mQ(k7lSC1MH#1~okb4?@mU1iZkR7ZE&U#gO zTg%K~;Ch-%adi@RjXvg2BoA7z%`Krnd*HC$_q4 zC(5RBq-}0$t@YfuQ*R2Ydw^=hvKeIx7y*tr=}}D!WU)?9aaDasCOb}bhVBsLVdM(? zy$Qjs-w}8hP|>gDOGEa{VnZ#&O_e};0^RGJRE?Czz?}QlxV4Q+J5TKY0JX|tJyUtd z6%D(RKK&wT7C4k;7w0R`p7h0>f>luS(x8$}oPtljdeF0yPIxD|6;D!-+nHFg=Omw- z-|0<>OBQl?{u)NNl5FL<1AOW1_FZ8Q7!Od6_M({KZ$p;{V+*A^;%34$NW`yn{vH3?Ucb~5ec;c_|r>Jeuu zuvrJ>YQx*CwxLw~+2m9`#NMSjZ5+tp9FF81)lJw13uJT2?OH^Akr~5$+38u=S8=c< z2h2}B>iPn0m7L=s9GuePe>@ZP*X4Nu=1rIsEEY<9iNeX%L*8o|LH&+m~FQ ztvtglaV*W8K6am9T4FN-LmZl`+=5lygb;8+88u>Q+Un^uXZxx7Y(=rkK!JNqjY3l%BPdor?zI2eUAJS$uvy6 zOML$TE^(U7rdD-sbDZ}EfV=W~W2vXyM!|QM&QDQGZv#rXPPy)CmgZz3M$&fUnq!GS zjP~N4J1~8tj(MpI375)M`Mv6f-O9=ianD|JRvw_jS*zY8tFK&q~Qf&lI+7;IIrC2`MBQ&0sDEHi>dHfX%F&T>zB zzVJj`#H3_Zdx+VWgU)fupa^0`Ab>D)PK$p1nCHDZDDb6=at}2;P6rG=@au{Ih1pB( zW14%q95D6fqLMrk=yG$8X%WZ=JYGB3|?es3)9rpk-&EEY~whlSjx#R(tcJP zBHG;8y7bQW(bBW7}}zl~DW z^>`ZT-Wy=Cv*aS5l{x9nP0B1kjP>}LG}|pe+o3{(2N@oj9@URE#G>(h_{@RW`Gzys z-lmlz)Gm$g0vv!4pV$2Q)2~~6Uu6n2&I*o)>q6CoWRLb6Me{7;Dy*1RW7pTERJziW zQMHcd-a?Z{8QuqeYArs_SuU0d6qCCPmi6z=Ubv237gKUrI0FTJMGtY6Ci4U;rv-@_ z_r+9uX=IaRxh?d{BPgN!lH>rviK{iQf*WII+ptJ^$qY5GO5g_=9m4jF+c2RQTw znrubQKf{pf{{ZP8a;jUEb}c9elgIx6ul#BLD3Z=wB=N-EGQ39rwBU=&*vu<78aY;U@EK?A%DUFnpSahg&xi@K-E<8hht4ke~ z^E8TjbKG>I?iXNfuE9k@cLp^sjilPl$*p~%c7vA%zo%-0#Lq3(u|3_Z2ZuOT51wfi z)IQS!B3fHW-dG>Tax+YO8^@eWZ3~#p$_$a72Y!D_i%+#n`)MVF7j-x#K|GpzYnNJO zn6>%XN5E2hdscw%P2xLAv~5A|w`_JN%qNb$G3iuN?)pc>Q)G3|TzzWPw;GPGJ}*(& zN~44MW8SY>Xj)d2Y}0C6$Qzv(-yXh|GIto39w+huGF>xC8T`no^{*3Oc)wC7TFV23 zjlD21K9zwyEpaipw~dG=j1IW1+usad!Qj}uUYAJ>iF6W6X;&;k=tepZbLu@QZX25G zqFPyLlS6b!Xp;)Xx}0Pmek+WgILwSx9fglxa(%0IZC396WLaeU&EK~esup&ZQd}E* z*C-i>J8}X1C|ic?i%vJ1UFwE`f-u}2&jeP^p{qlC2#(GZ93z}(IVZohQkvq@Z zB?iz*rP4I3tJ}4*iAh&%7cIj5YQ$62boRYy-U%?#wnrU)wEbd9BZ3u-465McwOG{P zn@^fZ1c90-D*Js!QoFaimJz7OHt9sEQ#Sd)QNOB)@`caI&^?8R3k4C4ddx=jniI$gBs z;%V>0lAknWea7d%e&4NTY5E*1q+H2%-c!SXo4eLznuYD6J-NJXkATHTZ>24438P2E znq{rUq`HQl@jcOzwy5b<^czSn^!K>FS$xz_m<|RH{{UaTShTg4%tt#hF~~R!KJol% zbuSPfvbBJS6!icM^V5&52%TFYo5T}b*~MWTn2sP-HjEYek=Ck0<;z=Mo#EseByxS~ zYm0xi%#uME3xT+QT7I)>3~|RYN}*Q(=YmZ#^*3y7v`bwU>rRA)k(e-SV1^&$*FAd; z=AU^L#e^)*T$LbXum_w}+8xW^+(~FaGH)A6`hSgW>3%8G^cgO!^{p}~q>qUF&zPYH zus;6)%AxKumyL9pyft-iuG&P-ZlhTlhhljnnyqi+Enf5)=TW(MMI(Vni~M1!_={B$ zULi|#`B7D}pq_9)TAxJHKE*O#xkrtTOl&jL+N$~z-lgk%Zw`2nL#S&v#?;39q)`?_ z`hK*YER#vpwHS_tcEKQzZh0He(zAR|WvDHznwFTqD#?wAIY3TG`c!&f+ix_#?MI6W zqnvfesik(kqaWbMdaJ5KE{}M(F~HH6Oe+a8 zHxZN5r9*4t4P~X@CBc-I~tuMz1(NYS+Ag5Fq4T_|8%o^U_LvNTNxQl8~5^f}>3R$Z(dbBuKURg>XA4#lYJ zQrQB?(kLY703LtBou}PudOiHY2PQUsyV3Z_J#k14pA)2paR!%b1J4Nk`$RGn_svr9 zv|6UWE!-EkDqa3~&fYlfS2gH$jVc&CM=4Z}@rK7M!;!(xI{yG#xu@znOc1TbyB*>( zcBex9sjbP8o2U4u4FzVk)NR-hz->OhwI;Qx+Rr3N?+)Hvj2gBC3H*KyZ%yU~ZWF&li zs#F&KH4)cniPp5ISc^df$P?} zEhFuz;s(-<%caDqa2)b+&VSFXJB#8yGsoTox7T$2Cf`ccW18g<$#}zo82%L>r(b+l zC&a%FrLEPoCE7jD*%c-WmK+W_{{TI!k+Shui1b^lkouvzX2>fkIM4L1jkTF?^!Rlt z>{*sX0fM$jZutKIJ*hWgS=^!F>nZgeLJb=F>w8W|knS^v&kN7LKmMxMWF8m1dp%ep z%M%dl$L{mg3fR!BvD38F~Dzs z*0+2Q9j}P2?ji9Ftk7%);+&i-5Dy=vWa=xgXcn>RlS;Q1xAF;C0iK?x*ZgZp{6O%t zSuD2d=3Rt&E5_FPRSB^){{V>dcyztGNRoWzKpf>y8L4fxk)g!*>1@+OESZ&iob^7( zn$58A#Qr2>bz>3&=u0VJ4tU@W_0xaCHGiS_MWVaY@gqt$vvP8JaY?i-ZdJu)l1C-CnYm(>K7YXVsp9d|U)YOiY|_*(%Pt0Y9Q4n8_N%&2hb+8CKHC&( z_ZI93k3TRUN_&YKGkB9hx|r#4_-P@Dz-x~F>r>m$ zp<+cK7DQ4^eDl(@{w4T(>|PhJyt%b?dv`JJU{{V0BC)OfS>lfb-!0yoeXz#G83E*j z(B`JQ@piT28O`3aJ2E%S+utA)?dpAKNLSFp(;8_DTim>YIY}Z^l>DTQGAdQRn@_rH zY2}V!pb+EN*0=QE3wUx#4yk`R^J0@~L?t)?_27OLo8k>J!tY#{?2-VdLribmId&n(VDAyru) zjydDfwd{3$a{I$6smuG-mCD>KZIFXKMtSGg>snqNPX^tk_7xazq#pkOts39Bj2{HtHY z9wzYI)@Mx6tPvJ<{rxIxIx44$o0ggC> z1t2#Ao`hz%wl#9E@*UAEsiZjq#J#AiAD z>#Vf+593`&Qnel!@b%r*k(p#$xR8>FPC!1V>7JF*e$XEYSHiv>(1r1dwHu!_%WfMo zMxz)lj+x`~pH>u_Afw7E`GoH1=>OKhZ7MH5X)>d-g#T>X< z!spxrT7D1GZpVl}vM-F0qZ}i!;2zxn0G^er2aEO30p49h9BU>CB!=gX1~XPkOUL>V z(zNRxK?B>$k*Az_+;TbhtQ|X5*4tW!KkYl0RmSf+Li>R2&2H-cGd?Vf?b@=qI6H$6 zyN^*;JU!qjd_iiOlV1yPptxo32l@WAF`61Kr|_Qs@=y3pA$xg5W&Fs=A(>885IN0L z_=V$ZFBQG5rh^35x^1pR8{7qQdXk|1D+|TiHkog8Hl<=@XyW-CWikrb7n8hR}YH5B0)aH}>Rh!I@?}Hj11yu3GNA0nFj@9`%Pn)JWB;%>8Iv2!0 z5@^ZxD-A`2OBs$tBP_!?{6$5q>g_VbbsJ`RWd)Jf00EwIDLuf>@M9IZyp91Qy5Wz_ z)cbz5+<0rnejbBQo_$i?V=+h^#jt(h_euO~FG&_UMx|}4#__CD$^*tmPC)e?J#$ca zqeg2TS&BH4%Jc_-uLCEX1N!2vyAI{w9a>p>Oq01s-$(EK{q z7jj(P4>~Q`&Tw(*T|4+d+ z2XeoOcExbf+d}&pNptN|_;$u=G&ygfiQi3*4BP)(udlTC?JtoS1S$d&vs!{ zF4<-D^r^Ky5v`b*E(E}h{Wt)e^Hw#zJ#6&2b$F03n&ny|F|>i6J%1WNRkhM$xA~n2 zS0TP*){^&6l_PUxra>gTf(9djigur`O+CumUB(K6HjHvdrh8XMq+My6#q?{eN%m8X zk%v#ZzlZ1Vng?sLE^@v&~-Sdx^%8;G`&m-2CL;amRZ*h4OTuTgANj~4SoSn*g;E$pF z>K^4JakmE3#8)FlvR(H`!5K)wAo}N}Z}?sfH^OqIt>&j}hj2;aU%I2$HP3up(rm2! zZ+&g29lk`H14Q^d0o0EE^~UJYYEo-bNb|^DxK(_fed?aTw>=-nUK#Nxhde_%S=n6O zuB3u{TZo6q>zsV2xBmdHxBe<|yXv#t#b{xa}NAZE+9*gm~m`IU}Ck^HTgz_~YQeiryQOz&{UsJl2|Bt=F8A%0YG` zI0va8%Ylq^sRqv+xbb(0b!isIP$2WjDafcUtYf`DXSpRnkTKouT@{albqk$B()uXN zOXmFO5S_#D>;C}jte+Waw-&x7xG}~RM7UBLAdGb$+4ZX-|rqxv(kA7*~8zk`Og>)TLN}E@= zzJ@t1r2*k*`LcN*{;I30HTI)4@Y_OJBH+lwmNn1n^2=v-{zbze+)3DQbMI2yY8sdJ zRF{h}e=Llh+_&NR(hKTMqG^Qc5i0Nr=12ZVy>t)a&1XZ^=Z8zwTgjdsk~VYKsUDSw zr}&phw~WcCMKc*s@UI}B=UUeGHahI_TVS+ubGSAb9P~fuwLYPCOQr}OIV76x<^h3b z_0L{=)|J$L4QmM^Mt`;BG)fzum^t;S29+;{beroxvLi-w_Ggs!9-#HE0xPTQi_4$1 zAdRDb8QZAGLG44_H8&eYI=l@%wbWz<+8=iyp2SpI4~DPwi@DCG;US7LC3Rf&9B0y^ zMYXll?R7g?}DHE8PMqm`uMhkPXavBC>8Yxvj4x(GtsI(nchU zC3PYjk)$6t5QoSuL00>>&T}h7{ONKBcKMktFI61 z+EwYXn?{(tQe&P0$6RNvC#VDHYjtrOLZr#Csb6}YEi~I{uWNA_B~^oQkMe)Ulf)W@ z){m)M>NZ2mEOOB{KNIcJs6UFXZ*?y=@(sx`1fqWl??8%gcW}i~PwyjjKp1+SwY7CM z-k#56t=g=NT=}3b8}saH?wx1hdyDvQ?^VoxQ7j{nef;ow^fh0_SIw?pN}6TALKYz0 z@8cbM&>OOa*0CO|IfB~ITR=z(jN6aDHGfU;rRB=Bv56lGoFK>p)~KHjY8qSY)a`a2 z8C$M<C-;y$nI_QbcAes7zB?@rXx8J%ZUBhdPjRPC;=Ei-Qv ztPzjSx#0R%&Xp~q+o#*@OD^s*-`0h>S2JzHIdhP9o=EknQ(28IEpK_@=ta(z zsY1ysF5sgqJMrmU+*{Vr!s*3|C_zz$Lrlw6xu8PL36C5wwgHVMJV$*mb6BH`+byh&0FqafHAGuS?5PO3lyAC+6Njtx@UJ6RY4RE90;Gv2AkrumFvf-T(S1sTRFTYE_a zEYZfnbA#KHNJW`#QrRBJ?JFXU!CN`!sPwGMy(-~l@?(t1%n1s-oDQb1*~ZT}wukqO zGF+3Ke_FgX3r#(7b8C{hQp_=&`_K%fq&3Bzw5ZR*E^wot!xbXy6I@FhTq~DjfH!kh z^!;*cEm%(-qDYaxWLNpO4@%uLcyiWhHG3U3+Tod*Vv(|mpFu!wQPd)V@58;u#0iaz zIot=eEvYv$BnS!IdWy@`?ryG$xtJd?H$3oulF!%oeCc4XSh#npqTPCBVp}3G>G7bxN$?cluq`!k$ zxtT8_*%K3h4?{z3lw=#(<&$yTLms5`#agp4OSnsb>&mm$$-FfczLI6M5<7+7ichCr zYdULUR6i^VTwOo@%b zAiMFfb*&ry9vw0dH_lAI-HdfnSU2|NN#&}O)V56IJcOfj{&=Bcn%I|(8P2H96HCX`K>A9Tz%tn8mQW#=)j=q&* z>fT8mQ52AK^LkO_yd(#YcJ70{4&k`LXz3Z9m59eUp>6?>%8gD~=QSjkQo>5Z#_@tF zw&9a9NI}m|d8FOg*5j>_l0{(0JmiB`Zna?qVkpVXgn~-+s?*Bnvfe$C6$~j=kEjGtvJB;z^jrQJgFSjD~O!RLji&~q%=`3yKBrqdXp zEjTUi4|;0az{`-t^v@hr@W6^$7pI}1nQld>%LKABLP#FsnLUl$E3j z@)OTF$^Mm6eX+6F2qukKHp=MCq$-vKbv-g_<(8u)EXLu9R^#Sw1y+JHEWy|udgip@ z(yXRNhUz`(B9L&{BO|e+sI_JIXVdMie5verOyh8J=cm%M=61T5%xHoR`0Y~Z_K6Gv z#?~is9D7M-+)g{@q>9CsE#+9QGD)HCQYp!2E3}i06$dJN)B;KH(ZePPImkSUv2{Bk zDuV+*HAQQMn6VkpdM+lLW`>`uc!moPvPG)Su``w~Bucj!^8n?&YMc?XyBQ8ukKQ$-laMdx=9W~lnE<+YE;X*fh&XPDc`EjAgAOdsx)v2s!3K*#+zV_D5MLn#x;5)`w zcS30nS7bK!@<61FoyVN^KA!bpTuZg0jkf2nG#T8&psssUV2xZ5+1z{jQy^?!ZvOx^ z-{u{2R_!%w8*ehs;?y5Ff33$L{c0PXsTSlviK@?P@+!(uzUJi7yD2AgMk^>o21zmq zJZ7v(;r&8gA$2QAeE8jnEQ)?oI)ZAbjqTA=bRZr{Ca!7zCe^g4-%qlCGTuY}szOMi zY7*S3_6fz5lRUd59Z|V8M%ffe_MQtVI47-XU278EsE%7jgsw-+&g}O!LgiHe!}CtW zmLB5OVj#BXBj)eVrBfRO#eHf&CSNak2L-dZjQ({zAg|8oNjzqgLn3`W2`;3AjPXqo zPM;we9OEC#tPeTg1aN!Q-ceS;UV3v#E>X7+9Z;WhcG!qK+w1 ze|4R$v~D@|G{=fJQ0m+texCIpwIyW1&JW%n&Vg*vC(RyxXaZ|ZEoZiCrG2V9zwsYx zg67o2F4EhHJP}qeH7k{YQbz(b$I1r+p4P@dmmX@kb;`1yCYm?2-?UEN z-GuQdxmDn|B~E(NZJv1H^4+)N_?Ywct1o?UEy_p8Zn+%O9IjBArB*R^>?*nzY=fS? zYUGMb7sp->X@)qMFgQ8s%_4``JVAoYz53Mm%eqf8-*YZT;-kJfs%dR84JHX(_4ldm zwcGtJGYzQ&dw%n9$^0|Z>rbc;lS{csBx3_J4uJ8Gtyh3JC0n;bXgZD2e>d$C9JX60 zuhyQ9!MApP`RPa=qn2<15(AEby(wq8w}wA4mD#-%j()XeY~pzG{rY6p2e%T)U{(d0 zxS%g_9$2M)$G>`m$$3izOyei7YMw|um0M}z2MkW}+wrDchVw&PXAQyh7^l>kUgQZI zxn}8(#-z7h;~n$&sZoyotcR~!m|MnTX%&ckboHZATuDlL4cX+Hg(Cu8(U#qgd8tGX zDET>T^WPMXKF;GSlDr)Cq*7rdPaJ-7MoVMn_V=jdS*4H61T!AKzgn>Oa{BY501j@;zc%X^3wPC*`~p_u?1gqh7S#>(FzAB_ShYySW+GNeb7 zjPX&2dw+0w$mbMVvaFb52|Qw?cMPUA>z=edMnW%TSHgzFb^6u2Nfj4ttDVOtpKYfl z?Y=S<*N}g`QBQ9+o&g$M-Y{u=2oCD9jWV}JJB0@>%bIoXYZd*$o zQbg1@`Ey5x3V#A?M^LixzK6Q&N_M#Ua~PIDLw!J?4sKZ9-SSk1_02{uXO#TpjCJ~& zx2tLot6mGy2#I$5(XUL@DQztBtWd;R-0f8ZJBgroA%;Mb;$`Z2;-{JjBnDWUYLoM4 zy)sA)PGNo-atJ3h+chI_{_r^&pgNGfur0bQ@Oc#^Z6t07L(_0H;)!mpA!0^)9<-ak zdJ6o+o+-C>43J3kW)gFcezhzS6&s|_Ui2-xGaN{yllQay=@M5AQb*mMp7fj4X_H(F zc~F>}b{hxUqBd$ff0IyJ+&f$baC-Awl4zIuhM2cjs;#m9We1#nC=E==?c-QWFwRE} zRpE)6O^dmQbJXUpy}6Ffqm}Xr=zAY(sF61O@^EkfJep2GDTPyXn@MBpDmKm-@_m2$ z)qZ(n+7?C0D}YsSUv+r8;Zdi}Q_zAXm983o%Ab zhd)|hv`cPFMEtK)QZ47oE*2fE%ltN$SEvS?p)>!NG1fo`6)hR?$Sv zkxZ?QtOYgfB(jrylB0shX;#h$y)x}YlOv0%3&O6h* z#B;V0md`aE!#66%sXLXjBbnSOW^uyJ<=k;f#O zmf)+%OE3iW_NyP+l1Tu#jAcl}bI|sqpf_@qY+a9DJt?!c<;F65^HyiK`x>6?$C7`- zsu2ER13h`kp$4Ro+Zi1cDfycX&0mVa?PdAYt1lTf9+9X+X?U_r2$6S;4?~*R)GwCu zUp&O4CqGk7>NLt4fpt(ze9g~Vt!;BOV`Dfcsbg2K;Ac}TXCoY%%D4qbmip%xK$o?(J4lj>ZlT(xD}ThS|HGNHo^E4LwMB+coQ~(#lGc5s`J0$y7^IYu znA<;zrC5Mm=jv(nD(=Oha3xZ)Cpg7NJbN%$9QP-^Non%N?~kdaHnTP-BO#QWpI@at z#k&#+PV&s09_E{HV^jAAN4+U$L<(4rKPq7nnDe!{KQ>KfIWie#GAYMTrAGzRTS@YB z&pE4Q6YRvsFvRygst1lC6LIrrf-2Dgc=q!JF(D27K>E{BaIE<|iSJP$`GJrTj`ci} z42Dymsirr$s=jAlzV&-~`x=?s=HPo)U)ot)uRgVEJxaB$&{{UK=d&Tn-?kkB^)2GkgrMBIMXiKK* zF|?Ch+8E(S!#O{D=~8bbN%j8#K9x%1@&|L|A=(Mr2c<)DJoy#(a-f4q(e5Be)cR9) zVSA2AG6CC=Pky4OQl)mDf4ah}rs&B={u*qj2x0`7RK!L_2!n`f$Njh6V%WtHfT>G%slBB zmL!amQAHYO?`PLNslRDbg)!Ir)I~W{p1z>dxi@zropzF-bM>eLE=rM-I@QaG5P%gh zeREV_aLWe-lg$rt+1#GqcF1AV-ldvMF>~LxYQ2gX7^&wy4NWwE!e1mFd8APu;N+dW zp4A{epp)FwN+?{G{b-B~6W={apn9;mW3vM$tL2C|Vo#-5lsj_}@5t{^ZDf8&CV>q@ zpT5;@8`xId!s)n?!xK{YXIIno9bNS;Pr}xkv`uR)KWDs>MGDS^LjsJaBOvwd-;im; zZo5DV7azjBf$h&~1oaop^KfGw2U>C_8|FNJT51Ek<>%MyQanckg4sM!Gox3Md0u$- z$iu90;9wJh`qi(pTHIQ_)x?G=pS=9ZStSt8Kn?sxtXx3_tbRht zlhkGNinKSW9Cz{C+oJ>`a7gnCO0k) zLKtnzn{dyT-Mw3Z`SVKG5;rt!70{O9wI>B-O@Kwo`M;O{09vT(`eojoWA?qI0LSED za(%v-{{TGJRGO}#b0gbJF$W`NPa_qtW2(%zAvK1QV9oo&=QyFUi>P>h8&3|~YY%-M zXa4|}T}T46&aWhLq!!8da!a3nFRev$j<75S^-nr{nllJvT(2`QG9B3 z=b%3HfQH)DFPw|HM0xwWk9wYHg`@`1kij|{xvksVSk6}6)qso=SC9@mXT4U^^x5_D z(6X~ia#s(Ilz^=sl`GD-3CeE6o!oQnQ(wmv4onNT>T^v^RB2ZK0NKEaCP&K`IRo0G zhV%PE;iHl>x<>g3=qLfu`SJ$xgEM0|1Dc;rx3pz9?4aBda<2SwR`kmm^etI_)i_iK z5@(P>ti4A`X)oowhz5+30xN!btpUABZwz{YS)*gS7^`}2l7hnFujEm{DY$gcYHi+$ zHI1Bi5M4%|Vkr@~C-kbo^;9QVwy`ijPcx zB6X3$Fv|Y`F(6DRnDy&Bmc^ zrV~De8^$FYURH0sPg;r{27eG1irGw)KW|_Dy=Cc3EPAp-sP21rU=XL?L!a0BS5Q|; z@OHT->*tYG)uG#vc^TwWdW?RdrX4p&OJq^@yLk%8pp4^;eNJ=v3dph4ZX}hg^;ULC z&J=CvNyZ87QptaLb8{}C0=s5S?ycABU1x+N_(^-ApAz`C{#frJy5cP0J~;pZ*El}a z1JvTK;k)p4?3QxciIvDGWM17z(DbHiUM0TPf@zW3u!y$yK-^$so-3sIljG6xGgu=| z(PDzuAS1JlVfp0rB#-hd6Grfptd2FQ{FzcRsf_Yz+*i4OLGYFSs}I|(f|!;}OvLb~ zuQiwB8SP=dk{va`;~ST!r{PXrUsuqTEvy!3)V4BPhWtC#U2fj;JEWRb-5iKnn;H4N zDv%>48%HB}cF7-mJpOgJrue7q8gpr_9_D9O-WQNZ117VU5Wp~Rz1N3$!>d{MOs6tXllPNJH3_I1f(lmW5L3x`{j$4P52?~thb;&=MO9Ee=p>evY z>4Mu=WwyMR%P)=1gT-&?z7~S&=<0F9B+xI)W8KfG$K%?wbzLgr-%xvtFy<0=l_Qlt zw28YLtjreTJu1rauhYksl1@FhDb9VNZR+@}^hQymcDLDh!9@Vd@Ks2kEudNZ*Ie_0AAI~3+ zE!a1yYfsbTzSCXi!jOJl!=4Q-rl8_@?EIHf=YgE_+a8q5e-^Sgm;Ic9a(83BYUtJ$ zdi~^gcG9E{<1EuR3VI4nlH0hiZ+)R(d0M5Z^5sFfUHyHkVRkI7Crv6_V;7dn>d}L= zx7WW~*zv`shN~T(k861wUql(ifq^VKa%-9JzlZN5)TPpIEFHY^S>-K|57*L{P}Ir$ z3fxm=Eb_V)Fw*BT2;rmnB{<%`L17(Rb_p2OdzT8Wy+Ryt3Jt)x{0 z5ilREPpoRb9kuYi)`MX0DoZl%kWeZhRz7;NgZjub`y6yzY=&;`#a%$wq7K;gf!A4TMgNL!~4G3#(uTu5a>6MYH`LP zd~C>k_4WEx*E+|Fd_`*4cQ@@jS`uOf^VhBi`RP}DJ>tzZ(ni*m)>J|Zp2ySt`q6iA zZs^O_7U#kG%Fkp&2{7Kg_3urS#24C}likfEjpj?4RP@iaX!wDxd`UXyRYeG;eqM9X z8fS()IUE<#3-Xdc**Ww$z^dF=E_hZC4C;{sJ*a|c-v#6&2iFy$@f%9Dzmh#OMYu^B5_lt@V^Znj!&}r-MmlmuadPHAHSh*Ur~d$3nrm?8V=b?5 zZgeYu61-B#@<)QDzVEF|9rlT*EIO>pP5h*T9Hi$m3tYgy%io;eOwh0hgTLvfSnHhQ`^nG!eU*n{7-TF`V)?2Qsg zr@6>-O782y>?!^}(I(L0()FnCV}@DcBVip#^{Sdqx2Wrri(B?ql>N=40FP>x?#HP^ zQPFic?jxQTnc;W&oVhpyo|V6NM^_r+ik{O|8aQ|6FN!5P~aA6n4x*TPQ|Up2Rhbc>aHWVk^t26*a0$F)@W zyQ4{Q;|XHYu9iZv^?;qrfs>qd>7T-mgAW`>rd#N?+8XLHv*Q~S@SdMu)xulomo^v1 zJIHdyj@L!uly?=Y;hi#FZX1hzPUrnH1H26-ZGjihq;<*lwn5Wwa>EET~|_vUBCNf z>~VQA#6lz^3>)}KJ+b|3Tj96E%|lP|0$(l4!)&eQ+|FMi0O~>Le+qWH8fC`4)9x(f zwAa9jMVJyKJcIbxBjW3e4OVoL1d>IJ?NY7AM;N2x6}`ppjV~`W*Gr387|CwovRQlO zdm4*G)BOJc37tu8$dp|3+XJEEsH>TfX?Jj608|7Z?+x4W?N-z6dUb%Kf(=@BFu^%#w^~Y+~(LNd6XgU?_8h~(IZjMvN zILJ)>l*H-ZKsRr-+OG8lMCUJ%37n5yYVa#fu~wW7n%t3 zgAAX{`&Pz{p;&8b_F9Noq$~1o95C)Z&!McJ5d1yy9O54k>9!(IHGmAGIUw{n=zY4> zek^@YOTAXHyJ%%t!x*#o8v{7cKZQ52w`XL0D{tX10P0$3K^so%BqYdWpgsQ}dUmJ1mey*mqR8+x2@2iMl1 z)x1^UU2fQYi%{|-g@J_~@=kq6uW!Pc;7=3ytHORGZC6BrCev>)F6}0dG03?Y1Rj6= zbkn&>ohQPd3h93oH0$pMEYL`g13IuH$Dw7|2M0gJ>t0piSTzfXF3Zg!XDuYs zNaU6$uRo13buQcJ+TZr(yy9Z zcubH6!%K{*$nT!DXH>DBtp}7?11K)5#_oNnmf~8Mb^ECG_VeJ8yuT}Iv25fDhvCPE zFNcd38Ew;S&@$wnc?b2QPq(~H5BpldQG#4~Y>qNl9Q5!10M@N0_@Uw3J2kbiv?ln( zRL;xtZ#;32{8$)wZ#G2<(^VNa3;mR-R)C*=pHIi%PACHVgU#h!umY0#~_eh5WjU07DiReV1Fv*f8in2 zrqmIwlgYJ-j9WtxTod0Mcl4?3d~2*~+QfFu>HGC63LT`M>&0}Q8}O%xHMN#2{azbc z0|r%df?L1;0IGt~&1%c4_-jy0%Si;5l5(o+m2CCvTJ~Nmu+`@pWLA+|83tHHxZFn= zt-l`W-V&cokHc1)j_II;x0<6R++&V7b4NePvYVs(pW{YNa zpkaarIM4a*R5bNF&lmpC+AqWp4=;s0E8(x~eKam-1h39>kTKg8$w%O6JYhP;q+Cbk zMS|V3bCZwr+OxbR;eARiVno#J!Gyofx0AuD+U~ol=vu^(>9?`KuH=Z0atE&+DjOTQ zvGEhb$z^=HLR|jx6d*9_a5K-Lu1i5_-(HVGisDvz8*i3Qa(|_5dAi)bA8!*~Tbq!x ze)dEpjGmb%*0rs?PvE$0;qeWng2i;I5!rFU>C>;{?afkmM45VDh~L86yz$>y#c}3! zG7OZ*81^6GMfZ$tyjuID3aZ5AAVIJnrBJ_DzVmND-6OHxk?Ycz!<+;Hfnfd;qBI=tc^ljl(mUtV)9DgD`Sz<{{Yp^Uh#&RcX1E)j)@MNOD64%5x&K#(b@)wRtq}AA8Q!Xu1?qve>26ey=0ne{FE&Di$2~C;aqY zj`s4*hs|sPs!yQp_)}LQyO$*R>*M=dWP?syTW7a-5zLm`UuR5bzkklMCAyPW5y`4f z43`odN~ar%$tT{dT`!?pxle*-5WWsKs?~k1~e~E0N!^Kb3Vi z{vcfn^&3!yN=TrMr#TdA27Ed-&YPf3EPkt>HiN+< zBR-S?oo%dZ7rLdq63EiR=WLtg&N%Dq=~u14vd*q;byZ=2M1&82TGE3=)7mxF;DI*) zTg?akTOaFKJ|wrfy}UOG8v?^?%v<~@Y&SRci?0vsx@2~G6!=IaCk(hG^gqh5pFq5l z-deE?_rGa z52ZIovzlFF%xjlBl(Lx^pO|*7i+{7rYL^ZgjX-9I=9)vgCX1sp!7cViLO{eZX^~z zAPs;}kx-8jYwCgvo3pn&Ukq@0$2BYxh~y^dGsMyj#g9UG6o7|BpHj4ym|o$dU{oL> zl=|nrcRFv!T_P~nULexacSI`gk!8z)@{&*M{{YsmYVr$v7coI15^`8|Kb>cr7M@li ze@Y6~qD^O3z42bKbuB?-h|9ZTEO^?zxF3a9veK?J`|)!-p@U-qD=$OaH8e3zZdpMH z%s%sTwzF<^4N66d)?1%3V-I6Q|8mIrPNEu5h%cK!uKCfZ{<>IDHW>-mO|x5c;Jc_;6)yhE{M~_ z=mWXI%V!w(tgS?X9bDjN=Fb`b01B}k?3dD(VA&0fGgY*P&BktJ3UiN`bu{EX%*Y$} zDsN|UlV4xQ#?^WxUvk`rYKfmT42SLSDx6y+Zk}@^{oR;ZQn-MjwvNU0w zuIA_7t;A!&wl9V%c8unz$up)FHOU-jzt*MGuF-GMEM?pRSd;f^$Ez!)h4i;3CW1ob z{q|npg-L!cG?~mKE0kT#`?#&?{7GqR2y+oGcsRyKt_@&K<=ov%aHz-4;D>DI6+Fy3 zlG|#}cIr-^`&8yqrvvb*zQF~=ZE+z?Gi6ksYOT4sR@j&ToG|T9yon&4Tu5^u=otS1 zpZ@?|NllKrvKJ7CRRky@Pk;WsQjRB$_PlI4{vlfy7VIG`8!9_D^rBn6CTm-VlX014 z!WZKM>E4~#oy_<(2h-#sT!MHw>VF#0)3rcYU0+%USDXwZW928G=TxsOt*4F~h-cfppC@Rj#wR0+wH}M{|X6idDXu`tz z^~vi^TVE$I46!+?vd1u$mO+$bz&&w5iQF`SLjM2|$@VlRH?m5nD%tlwl(zQ~+Da}Z zLcvZ*CzDa#c#0XN7gAb?k^1q|>qeuv{{Za`NVyT+{D(M4^ zeZ?CmpTtzsUp(@8g?{&`=~6=+Q@Cj)3fcLKp0sWOZz4ed0KUhTM<9>Nr1Pz}2{h@X zM&vjruVeUB6GnG5O53)#2eGK4g`;43``yw+X`=9f?%CB@TCAd#OTuy-HjQi&x{1dx)W(A2jw+B9Nd`-$g) z(DuzyS)OKZn2%hVYj7WXByT7-+xZ;s?@~vmPE}-XtUghmYNOM@JdvJ=;MrqE;7nKE!s6*p;= zuX3%-l1ABto__XehG&>5Ae{F#JI0db0Vkdf7WOwWt2noIj2>ji8K&LIdx;E0t3L-P z=FL-rH2Yo%I1STvUc7c&Swvui+a9$}$ywXXJ#)$QsT$mLmQwkKOLYykxl-KLgmI<3 z1V~J?e3zHm^4Eyeq8pay9pW43!9AGPOZ4H$S0a?v8pih zoD7WpYo~oKSTq&CcvKl(v-0GCG0tn9xwe(sNgY7}zC+%NJA&Mx&Np@M&pj#s0NL%d z+<9a#_p!!l>uL%4&S{=*ltT7kovcQ1dx~tQsR@crjDVgSwOO!+c@?Gz!)xL~ z!>OzK9i%f^$rR9&D!(pz0scsIfKuImzT_*V37%vIR0kO1hje-PWq)y@pF+J)}y~#LMVN>roB+ z!7P2b?^mX~xVnTvET?EcD$xWB5X6K7o@!gW7Q^(ju$dg5nA|%Wx2tN_)^O0a;8(T=$ z;N%1Mf$LJqDT*V2Guod&y^!nq_ixjJI0R(dV>D zw>TUF^ECiP46htdz;+By39FtX*R*|7IFd_a1BD7f#sC9~OPHQIbO()1dNFLeAg=$tTvFvOr4wa5llW45l%2@) zvtxHEraR+2`qc|oX4$ew6D#gJ&RZw0J-bxa(7o7Yg%2Mw&!tq3K=(TiO5><*wXdey z%k~S4t9HnT23E#!53Njww;Q9`E!Fy4%1#?4h+N|pE~}#6*xlg8BSg!&81@wfzNaX- zceRupDFm+=%~844b!i~-rn_gsz$Lm2)QhOMR{mAMjaznpY-f>De20>}jo+$*TgeJ}D!2%%gZ0fvZxj~+ zP%uEp_>D@|%`syd?md7NPk47|isJy}5y+-mdY3PB=F?8uI1D~k_3y{wOu4t7*I{_i z?*`yO^`^zBy~Uu@uJ;y=_eAV-f#@qjE4ZAjw}dm}13r`pr38i}kV#U0VV?CP>Ilcn zE7P?k(pt35_GKYAWCKxo1tiFicsV4F)Rg7;-#z_myiPZqg4sChR_AES25je{2en1z z$LFFVXLDelw8-iUGOzBQ+?@OLsZ;lnm4sslkWDQ1vq5yo@sN8GwBYTq4Y+LMlh%ve zy~wT+isK(zu5FmgHu488%}pG)6Uy+;Ivx#Mg3dU{n(|=u$E_eML=C)~WQ~Y!#kr>4 z8+oB<;kPQ;Ye@{et`y|vkx3J2=>^@=Tg>}+ zxEqhHMR<}$c`dAm=8ekKD>FdiXnyiGK|Zx^ePHUd8>y_qkN_o5-afPpt+GM779@Ha zSccKEX6M&D)T7FoOR}8jr9~t}vD#<>(N7c?QOFlDyKQldoO+K+u=Zv-S}_v?f@^tO)+%~ zi-+@NkTbCPpVZPyT!Q7f`qFGdv%-)2+=4+IcH*FpI1ufDjxmgr{b?lzL^4U92PZt! ztl~!*3d5cWH1!zoCAk5<=;Zs;Q_qluh@W%sQ(UCWKa>;|+6ZCmQ(2%3wB#J~p7d%W z4!3-$g&agx77Ef z`O(7xo;WSdNE8jk9(s^_Q(Z&m}LGm zTm)%|lQB6PNg3%xcT-ME$tO(GWu*NZ()nIs`A6}A$;AKx<4_B?W5_i!EDsh- zk8*u}wGHe(MCKv$DEp?Lrv-h`geP|;iRtvAuv)S6+TD4vJWi~Pgp=>ufi#h^$t1TY zKDDD~Ev1#Yc;VjY2EfN7Be|^Gwt0z|jFQzlxdyix=e&;D{{Y8_`OP$-!7hK`ROVM@ zDh5w>&myBu(la9|JoTyu5v*YRp#0~Yp7h&`OU9LvBWF>_Am)`xB$6}FTy!;RO-9~0 z0VKPh;Rm1BwH-jM519&&F&P;21am=^oh1nD>0Z9G=;wg^-=Ht~ucQ z)Xy@;x!aTL=|bF6)XrfbX2yNREL=z!WqIV(u1R)wKX~;t$%2A@YP;NnL7oV$gy`Y% z!-LdjphsCH`8{~gdZG!(bCbym?PgXmYPtMFj;GB=fuGw5&+S@W)%m(kg zt}|6W(6XJ%oSwMvRw9rXwgZfwrkHNVT*zi|Gn~i=Adl%)cWicc3zB#Ou3dzUK32<~ zGwoE?HINd`gP!yQY!N~+W(SkTD(qKkU@I?SkbUY0iV}k?NylT<)NH|2dV88pgC@AY znc^{r(-)wsSc3XR6xH~sE=QP(+z$lE7oMx?B+R1Tl(yQ(&27BU} z6|~IaKQJqnz}_lk%PXDXwh^?0$jw_ziNt}R$qr=Yr%K^VIX&2*~Z^>M-Vr(WBbt2;&^RMwr;E;2o!yK9to!8<0so%>!DMJl{Nr{ha`?^sLF>dr?0J8m2esO zAoU})R+REac^&;JbtPPT1v!y;$*74xk?Y=_l986q@2w;;@7pF04I12XxByC$8?RbS zaf8l%YQtNnETkW)rYvEyr|*4w(v|Kq5-_2={b>|2ZOWx`aZf5&Cuult^w6waI6qv} zkloodb}q_DVBb^Pg$k|4a(dIEc?;|;er|`}pXDTZE_pqv^aZ&DqznVoHC|oWBOGR} zN##lmU}UdS4@#`#leF=kw2Lihv58sXSvIj=Gt~Q2q_uUGmPNr_?j+SH-OaBH$@(p48Z*Jdn8OlbV#}kLj8M<6Nj2=O^BwlLd+E zlh>_WnmF7p!JauaT79vMusvu3C&=Ivl5#3*Vp&EH*Pd#ugzhIbHLIXvGID#+4Vt=K zRgNIZgj)3ocuV{zt3&jfp#e76lNGZFJK??9V2E;UaOYH}^D z+>LG{=VN{I?Vj~4Qb7^&)78b9f|=$z{(!D|%InEjm}03&#)S7Aqu*YMr=eguIE8$BgzBJ&onn ziW@OAY<9|mbB}5s;d_=fIDF$YmeMOabnJb&?^JB!n&wX_keM5|a8IpTjgOnX&j+dX z`qh(pZvhTKExRT_IS1aUJqAz=_U`Z%Iaa||9ExK}=4WJQ9S&;6(_7w#lHP2}Tjt2` zQrJgm&`TBECnh!lnDsrnP$D}GG7)iYs57ZZi|7gAl>Y7hVtB2hySdG0E;!rka{ zzKSLW8B{Vzr-FL}^sT|-J6nw;$rYMMaAO#8{6oD_wbP>4ZPwm3Gh9gIAXWL4{{UZK z!j`OkOvY*KN*mH}{T+q@KGmsjt?1envq=$iaUyL{7p8sc#<3oor+8ocD(Pmpy7My3 z2+E$A=aK8hb5_>3Np`llyeX&)n>?~T>`%ZcGG;#n?^Ph83>$gy9mu^xWq~r1Ts~6fW zYMPz3%y3x29%G3H$0L)TdekZ5cDr+}c}}pz;7rk-xhLPh)}qrSE(+f$WQg;Tj1Nk) ztN7XF(vFL!M5>47kwW|AP$_O^YjO)&q?1atJ9ntuPFQw5I@92=zwrTegXW!7u>cJ4 zI*=)JnL)gWUPrn%kO_Nyy~zD*pwm1@;qL_|qc)dfW}fOVE(?M|0Cza;#Q>yYTI)00 zY3pG;Q8bA8^Md@@^vw-*E}?SsUa@9=tldpfk~Eb0(e5nmxQ}yN5$Nyzk}s9OV1DZy z54dxO{9R-$=Y zZ1_?cn;~=5)`jwEIz`MHfVUEme|IKuPizrX9!qU$BvpyG$WO=)2>MVvlgWD^Uo#)4 zLsQvV*vSCZ^;uRp$2eB`iS+*f8nL4Ib@aVHX?5vBMIti_R1D$=5>#Ra;!(*;B)I&Y_DXqK#r{FKoSDnk@YoFOG{hKGqD>O93NkC zSK26b2@=A_Z#wC@D2L`05NN@uS=(6M$!Bn}nPdnZfZPT-takADmUX_fyPi}BEYTmo z?f!jfnq7p}x}>^2^m0WgIP%}y-~9fSc6H#tgZa@C2z)7ZQo#S$Her3->IOFoIeQRFTwP~Zic|jup2007sfmI;4hAW|{+uS2z z&zTut;-F=X5BnlpvHh;kcHW^1 z;Qp1NWvtmr0Md20jUt%gr6X<$>CG!@Htx$77Ln@OdTZ(=Ss_jL4AS)MFnu}~wsh+F2!_4Mml8g<>x)xz1_PSPvzo7*HGN})TnL1S|iFBBZ@ zknB(oLU^sKiyQ9=qKQ+YvhojH{urxT-l3*= zn&qImX;8xuSl>M{(>~eFE9w!mA)Cdw+LoK;MGuz~eAs2l`cw4H9xX-`)YvIxZd9CL z`;V-CeFt?ebLnah5;2J*BXxj0?xG=>$Y#M(r z3|Qv5&kWjqvRU+N_jGyx0D7}I$Su$h^XXH&-K)i_3zw7^`PI%=w{)FvNYc^fi%yDZ z<&5oSxPpBQMUR`e1^-#;Bx=PW1tYQd1hj0G1 zVcO{D#S%N|awFWxa>&iMC(u@$_AjUDG7Uy2j^IcmQq7f9(*xJFR<|Zay$eRuG%q($ zd(~yS3`A<#Ap8FScCha>+rurz{k6H8E*e0Ck=vhYiDJE5jsu4k|&N$%?x}71FlDEtE%YQ4~Xw#xYO=@f`s{MpkqJQns*-LP;=q5QRS1<)30IPw>AF&6L?M!4P4sjR)=)pO6(hgdyn(S6`SK954GNv6!vqAmbOqN zNXW|lMP+z9L%O@x(#Gs;TejGW!y$OQO!t)Sfifd^lfXV3a5LiOI!Y@$%{^ zVFdOzhtER0$UKAJAI_;=HU9vF^}Ee73#l^{m^_}Ko<5ZMH62Usach?`vm@j>vEU!Z zjc!QK0$=M_8jHbua?TDxNgH=0XD2nWrTFgi!@89Ax}C9_HONsZ;W^{qALoj_pvmFZ zk~`Pi6s^fs7!^mvULG2~^xB4(03pA%XHUG_A5tV?5GE? zuYbm*tK4sh?KBS#2)sw9Do1gsz&y)fGqXG#dJ5q69|>LFO(or&#e+6jo=DGXY#OY$ zekrwGUg%2O)EE_x=+xjID^pdARq!8(-rY=-+7{n~%X7%<^{3Q_xmxeTmlpmNdz*_{ z3o4#di~+_?a{5lUtlC9urLLiO96niA4JjaJ{{XH0tFV{FR~{<1lEB(Law#p0{pH6( zKhIjmva^QnGTvINqiqY3!1Tpf(7Fx&rQzL7U+)DQgXb>)0GGdBpZ$8>v(@x(6wh*z z-oXOJClHm|%)N3ws~+~oX_Q;T^I^X8b*5>$H2QYCYdzJQG;%8_kPL1oj-Heit&MLI zcsk!wu!ieKiI_y=e2T{`KMJ#|>2u$Bc`j|V={H14Q6T6${VSgmYrYw}p3cVhRRovv z+aw?Gsq}vnc&-T7#4Q<;COpRZD&L(1GRJ}1#`DE?x_#6fPK=U}dLF~@t*v8OPXJwN zT2=O&9r%&A;$_Pm3(;oxAKpRZ{cihS z+|e!A9#nChYy+a^i|P+^4_xsxYnLYOSlLiVw{KuE)0(~EUkpcj@M}7C^8~ga&cOTh z1L@YG(mX2>)2<{gNKu^1PecCz>a3VGtBo$z?c$l@N6NQs&^tRFSBxR^b(hq%`HYB8 zWtkh0*!^o$;p*w0CbyGLy$$B5-S&w|Dx;n=_*MpytmMmg9ON4-tG_x$y4I1HwZB2??5KJzLw>xZCd$ zPj__J`kl)&&mm@MTR$=6;Qn=X<4JvK%(i$l7x|YN-JbcWulywro8fzw)?|oIl7R{l z&%IGPk!aR-cVF=_O+h079s>0~#;Iy?Nh3AQva_>w%XRmxgp%ggINSzMjh0nB8sDBq zw6u>>njrDYz?k#Ycc7%*$uz$U++69C$rZD2P#gzeoXws z9CM%L?OPY|9|zyOb43-x#_PlO&$oa6wP)XWrrX4h@I;_2c?Kop1Jrv^E4GSuJ}tSh zo*ghTWpj;(kTdOC^6OJyUVmijvqfgP3Z_NjzJyh6Gs0SatnlivOm{^1aoK_C?mzu> z?;YCd_j5x9o=YIZu^H*;YGt@9+_!TkpJk_iW!X>W24c*~{9g6XL!iku+KXs+lP2J< zINg`ndQTmhYYoJY9*Iv`+)ST~MOk)SqouK$fPSo!| zwj{W>fJ1=j>~oJ{{c4b;%F$|4X_7sMi4jn`ZjB3MmF@hhwxOx%`n(ar2niB`pmrHP zl_!n#`wQ7k)w1&uCg@y4YSMu& z70_^*;C{7N;vRvj>Dq!u?&ZsV`J;RgPpw?A@wT;~X;+#afp`p&-6K3#G4rr;NIic) zr7od&eW_Rv6Y39NFpT z@}<0Kd8b7r_Rdick&XTG-lyK5;q7a~S_Y_#Xi1Vt%CiB%IOKnyO0z%1TfJs6d*Rp% zEcwC%mfSlU2GJI@(0HUgn#`MCcMfD65WsW?(zSdi;Oh?y=~A`K>Q?UE%Dj!#rsDoekn$BS?^?tESTz0I5BCb^6s_;nd0h0ELk|tU7C3@JNr%RmVQ0j=a^| zogjFHV$-!T0hUAZ{Gc%z_3c@!@WS&|2y}avdwlMfpPD=z@%-~vek5tocuwld`%2VW zN0Q%bWap4SPhZBN?o&EjogI8D;T!E5`r;@=m{_*1RA6Lr*R^=@X^u!%Az0&+$T~H# zMy7?LXg)5Hp}3dq(ykTD&4Gj5W3T@JTD1IKqhs%_;c`|SUSCBY$N!de1(;ZYF!xbx0NxPS=Ep6_J7Se7C zc_he(cM;Uy>UBNLs&)Z(=D4%JF#9^r&CE!46HeeMVZamS@s*5!*?dwEvq zB0`052j2ANxl4;94cnV^W)8fa*va(8YuQ@f+USv9Tf5^VEK?lg*R30}jm--|zp=S# zZf%T^%Lx&cAH;uJrLEoS+FCW7uuBmNP8&EQ*Zlfa(s;*Kniwr$muZax?^BEqUvpP9 zn|Qob?PIBGF^iJ8iJYE5>_>Az-liUzsWH=;?jqcwxp2Im)wyGL;R{G2ySkQdG111+ z$2j1V{(4h&JtaIp9QqgAQOrl@0C4Tsr%_z|mRB+`GDb#vQ$jCEV3+He-uBb zr?l|&7M7BW$7UHJR34_9W|K*Z3y5K0IR)8r=dT7uQSnnBN4m#BXRu^;5hsrhFpB>PcSj!(?Qbo?u8SB}$D&84725ONXNbs5EE-01V#U9g@t^6`Q1 zMVJW=j@Ne>wuzXxCkH(}sc+!8TN$)@TMY67r)~;UC)C?UbT;xj$`qKwoMVh0oobe! zJ>|siWGwFOxcu3o&4t-DrKH|iRt)SoX8!;h)v{L5uVKEuLh!i$Ed+hY`F0Kt-D^dvOzbP07(e%^r>#*R%Oi_$9J9@ z5{t`tqzs{0$eiFG(z7nL__eFYya5Z!#E_$aK9x@P546c3ie`PylEiiT3QG$o3e6(2 zW2SnVF3L1)tk9{GfJq=@oYg%>;7J=Q#PRuW^GJL9k7{ereQz(71Gpq`LG`9uU4NtE z9A`a#lsBmQ7H_nhrnmcKakHr*P6tY0(>B?>?&s!V$3h3=TN-DG zuk;}-a>|C?lWqk&#ER!#Bi*Ee;d1T8W%rs8th;g78r#!2o~vCiU2eCIW8ZB8p#-rDml zo402_bp3rP4|6vCgb*S{F=pHIvjNxFiiXns$&JeFRQ~`MQ}|JC%c@^bD&ucm#P;+R zYArn#{{VFOC9*Tr&`UP#rMH=cm-7TZQCXq4X37Qi9Jb$-C3J^{XcHMuSRyLp!b_ol2=W0Ar9x z`RP_I^l0rYp7wcGK*#QGq1mzrvxs$}ZFd<+_ja#ag((lW18Kf$!F)uuE&D#IZNc!DH)9Ar-XwjPSE7 z4qFYC>-4Kzt<_JMIVS@iwHddH*K`p(Fx+r^)1uSvB%CNha=FR&;LKj-k3%oxCvx5y+c?AC*+USP{%{w=L^UJxkq)+KH4hv?vKY0KDR(hCRr~an5>E z?KJ0>V&*~9I6cp`KJ5zOe~Gde*FKe3yAcKKlE;rFfH>m@tv#T*wu(a`+(uiT^_zKb zAcV6F9CMRez8t=^mO*cNN)o&|Y3ye*XA>)Ba1URn_*Drs;W@w{=dL?ayDrxtOVpO#qM3IVKb2dKJ40>ui)Y8p zhRMzes_knOPp}Sg^JLUEtbFM$%y08^kU0MUJt!q^UVDE(Y9xPj@<&roA*{&Upptv` zr`tSnK!D755JBD4W2R~a)S$h$4)L&K#?$H2g{vvD}nNgPKTrZv%6Q?u zjYXzjT>k)Q+uiN+3{rwadU{s%++G?-lJ71hxK?7J`}X3ETNJi29${>uRe{eRHz58M zT(mDS5COTHl6%yqIHppPN%uzto=rA8P^bi;Z4JXyWS1}RcOwxb2L zx-Hx!kc2Kv@u9nvw+Vbf8ZtGy3~#~r&#$PV zuH$(mNi9)ZckqG9{*_)WGAYqzNpeR~O}Un2k(S~-9Pp%a2W-&F)HcZk#^-qC5<%xR zR_0c8Aqg2g;L|UzZa0NIf(ZIkY}hFVMJ>)xJ!t`E8J*NfWG9{hH6)j(W+Z`26)U{; z8K~rIcOSalf=J02rwcck6_gSQ=QP3HhMNLP(<>jDlOD99z*(;3a(d(w)}?|uA$$nA zCm6?Ctv%Jm<)e_}=Hi-8=3}IhKeMM_{Z^l@a&btw|)-&fZSaPBL@;Gy`p*ZDB3GXtU3LwOn4CnVH@%nYyqP*x*c* z3Qi9>J!oj;2WkV?oKwD~Ug(}XA@+YdM^a93s@#vSr9`nwc6UUjvELlhhm}gk0U+{D zIjfpvlG?i}9vpNZuQb~17tpO71s9Na6W*nc^{v;15ANNm*B7?y9@rI&## zNTV#obna?In`~o9UTyrGo|U6#qZuS#c#$`&b>gWTN$vTbGPxZHr&?djWqBRJa?6dZ z3F(tTLvCYlIglKj_w7!!4v>Mj=L|?;S1zrz=q?P9+=p2{VyCGz(LJ$@>^zgu^`M7) zl1<`Btu%&)^1&pKIK-hzZ2DGQm(O{3=em5yl6q8^FXutFBe>_+oYiRq+Na(5iR7PJ z3%$!xX_MWfybe^3NzGY}41>vVbKle&p=+tlZg#sI9=m(ilu|_zX|izLDX<~BM70|w zf0?t$IRdN9>X3r}05Q+6HEMbE+2g}0X;HeXbOxY_V0CDneR(IPAnH^@6HY@6aZ*cd zzc0W(rkgFlluH#P6UV(oW|cluoM*Y9Qe!4k10FJakxYq8kC>eH$*I*&-gSXM*U<6XBR(lg|gWNMjNqS5u7l9ML2p2q>8cr#&g$W8zP< zD+r`K^U2TW>q#NFxLv{29DsA~X&A^H1_z}&3B`>5b~g-X28It-K30y}QjUILbC#m= zb&3-y2b$NH#$Fe_(gI82)LZ43b6m0N0O^jO=e1#6+_t9QX%L0aMP7oC%8^F0$+A*H zmh0(LwV=0*m5?x`3KWHP{w}oHo>0#NAmQ=DXEX?laRVxFLFAKDLo9o@Z_9Tkk`;|t z1PterO(Vw~Yz!o}Pbc1(ZdjiFG*c95hGC5Q`u!@jD9*T6=RK-XYFzR;>crEFn58~k zLq4>JaV4~SXqHAs8@e$aX&xrrH*=osdQT1Hq%D!uL&S|pF^0%14p2V7<+^)09IP&LmRZdqYfNI=-XO0GKz_A_bw3f-s z@8q9aOL#I^6S;z%^FZ6U=4jQGql+UPliHF^I`VchTSCq`+;N;zMUO3m1D}6dwP~*0 zMQ!$2c*AG>Y1|vRogU!cRI!p@u4-ShJaAx)^U2ROB*oryJ0Rz$6sxh2#0e)k>(-Eo z;Fc7R7iqmV{KWMFvUN!!&-ybn4De4=SHLrAw^AycDs60@MNnu6w+yS1&@mJNS|~T2 z#fV~R#Bs2Uv}(D+A@0%qNbAHYG;g}T0{+>oDu1s^gY3j zw6>62U=qhXWK@z_NU-_La5rF*dCg0r$t}&(Sfb~C0_0W8n8PdEbt>O5$3skJaEwXN z^dFTv*jl8AY=p7v?N%-<&9$kRV773>(y7O6;r!s=c;tX+dW{y67I!NKPpBvPQ7j7* z{NIT_wAH*8?~p)a#(nA1-L%MKQIg}S?e9w3iKf{_*cn_BNGCbXNoLVOapugXMd-_( zv@N^F*kgg$o@tj3snm><$rQ;SboOYuS0z}S2H@3X+UbBYbN8v!s_~eai9IP&28SV( zuRYBGHIb7ft^sZdt8vWeyN?@z6&pevgh?;1~Vb6L`QLV_fg&WwCeQGH{ zl0)T!NgtJ6y0MPjq6gke0&&`&H1!egi^)VbrGtZL?`S6V{(33`XxPfu5(< zo)$p+B87?m=oN8qf3zX;ZXycN4sbdjd{S@TF6MM^F?`D*Iqgd%C>we|9=WN~Br^aT z2Rzg7t>H{GStRx6`cikfH@ho&F-sUQp~yWy#*xucNGf{rb5FE%6FxyW1a;|27KSiWX8`bMH>QwKmrVHs_4|rlYqj6vW8HaBu;p9ZBL-jsfda#hsv&)83#* z5&ho2rkrMyP7iLl?LgTil1SjMeDyS05bQ&y2dyj$_av$>-5li8?QKjBeo}MqnoWuB zI$#?S3j zke#uf=BH*I2g5wD&AoC?2&NfXCEgh1{o_p_oXV%SBQ&WSkQlFia%%SxVlOp=796*H z*MDKB=^qe6Ytnd*+RAHdSmL~b;DGKBU@`;uiN<)Y3exRu(BuXL@()^q=KgtPWfI|E z`=b<-19!z*?S;AxA4GW`C3C)M6KRn5Ca~@8WSJUf`=hC2)YC{)7VYJT^*O1m<#b>e ze5cliJ=q$KstN<^xQcv{y~oUmcOP!`c1w3~b_|2l>rs81%Z1o(G7g{|)ZD9TE`g~! zNcM9Bhx_>NinFLc*=`+HHUy~J)dV_h@ml??#8fj73H7I-Hyc(~&fW<$1<7I6rCS+b zdwAP(*R?Py&&!@EH({;I zh>Ij-iFwX2YBKv<%j!X>3l(yqiO)ltLe8zY^v@=Q?l-Y^Py(IYk~)(~Xogulpx|U> zn2h3`3oXP%0nl})X)ytQk!R+R?F61V;*te&z1_OUHW2Q~9D!O7qd(Y|;wM(*6NAs? zQC#VFcGry+#k(qpAiErc?@}b}4#*u93%EeZat=;- z?^*LU?laW&H0~ts5V=wVfOG3t5*8>zo(axRTBB|kcw^7w-n1c~${3Y$N&Ko?cNpoR zQ6Bc-pIWCZha+m|Jq~K^)QHiXf z=bpXk$sX>xO_f(U;=Ybkrbh9WP?{M zWLRy^*!P)N*ZksuDXtN4&COK2R%4ato@+`}4ZDN>6-s=lB{<#Gp7iboHbxm6dr==u z@(yuQWHwF@UU{Q}NMJhmqz>e;xd0FeKJ_TLV%y2d^{7>X9;ZIEs@(E=&_=B3wLMa6 ze=g823rX`}a5L{qs8}_zTgc~fBnRa_zJjJk)9=z&)FxppL=nbIH(^og+KJQ~?D4tU z0Qr0Uf1OtBi|A2%*vRv?6(7Zu{uOe;V!vM@`PwqOdWwTe)2N*iKv6UC5EE=^I?qn}K=FeeT8QDb`{sH4cbD{ zynD6@Mh-`DRVEkI*3dj7tfsvc%t*~|F;J1`a)3R14)u4(@h670lW(I=v6dTvjGf7z zqduK$GfVi5;%yG!?7DL-WIi{z3`ptHss8|IT@3ni1_{X|P!88FU4)L>B(|K%BCqdATx8a5vn1C)W-pu{x=%G?%4^BuN!19) z-Z<-B7lz>Q^pU;Jp~c*9xHAEcJw18mf?nr48NSgk*_AC_sgrja+}X3z#Oie@AW`KeWIMWJoQgFl z6J58JpuP<#8}b|GbKHGRQaY{1iyW|B+sg_wh2J;b$@i(!!1!2N_?=^Up=q#UP6K^? zezhg;U+@ltt!n8sHr7rj$=wNGm;sE|mxz2%;$H(_u92qdCLt_&bzU+^@ARnR_`j&> zv&(U$>Gq2p$~P83TsKa=x|+_^uJ1f+s7C2$iRxs1rsCgJd;9CV zi5~7>-$>7#`sTN62ZcPVjkhvJ(_k#G^BxCZZ~nbi@rIwIX}WxRY;%^pVtn1Noxat| z!y2r>xP8NgJBh0kxu>pNG4d=d5=m8Avm*@S{PFtHai+^_Zx*2i$P-}XDEp`0qSL3l zvAxWSBti%|>}vE^(89%}PceVG)HWrtQ0n@Iw{V(W?5@B?)STl7Baf{`06^~r+T<@# zI{iJXZ%)x;`+DCygo)6S+qoX1s(7-;N|#V;jURU1p1kM10G^{Hy^iM9EsGtIu?P=Q zQQBJn0Hkglg1vqHDhqg|lG%LVRIfl!G=FQ!Z0%@(Wsl$Pze*bhI`(ORY!CqBfz3AG z&qo)QvN}5Ry?7#$<&?a5=; zob~?z>k4~C7m0LpgnYaM(NcR4M?>({?S_eSH;5wgwnPkIj!P4a3gxwCp3d=EB-%@ zEG-&VSr{BP-ak5SlcnCzt;MILW;Swv)+xgeuj@dG>@=IJ(9>HWAvrmzue7DQ)6(7T zzkIKm8#&MT5zRAL^K=W@ZQ-1BJkdj{{Y&FrcSpwDz0W2 zAdF`iu72{ueOg)Wtl=kfoG5L?4%~iUoexkgU3k9c`&mo1BZ5@|9)dj=aD)RpPjilJubN!4Q0FE0dZa7lBX^HbX2CW(1%7l@*e z+DO1S-ScfHoL1%5wVUTGL)qY;35{&g3Lt*@;j zA`!M!0Gx~-Jt^MoYerhVv$E0rC39pEZX8HeUNgo|IUkL3ky+gvh&MvO7UcSlm1jnl z=J!szwrO0;=k5fawJw*f!DNqXtSe?V`{$3;(R;FoYu3r2YS7)-t1k2oj2!i;Z1rh% zi(_vjSt$7goYfsySxr*$Jk;45L_}os&t7X|!g^uT9vw;W=-J4B2}2iCd$cTJy4)-Ntr!{w5F!Ib4r zIuYsr0M@PJq>mB!S{;5VflFC2E?+0qe_Dp_$<(3Y-w&G&Vr#2|m|8giXrG|QIjlFh zzwq;u9Bk17afa#7@~xHEom=ckygqj@6%=1(xtX-hN;V1A8$C}@F;uT`xHbN%VQ$*A z5)&yPO9+#O80azI{41;Q$HWUACekb08z}BB6}aAjormvoe(4|Q70t{2onfacLwV*) zCU+waSL^Fp7WNmh&M!3h*pU3=oMiN;dxqyhc?7;W*6(g%m@L;5?f?v)JrAY@YeA*l zSon75OVnWx6lyUuft}g){A(Y@ur`JEEn391cOF2-*T+7-^+GQcYMvp0=~wqI6^M1g zJ4+9x0QVJi+wjo+o-v1z70Y|$HKpPg)qF311*8`&vICilj1D_? z*z4Z2bgd^y*F=!&Bg>Ukgbq35*0j7Q@c#f=&~pG!EDo99iTbf-s6f*Tu$uS*L-0QhOcfD!Zy-d zODsx;MmT&C&N0&`KZkn4(|l8ZeWx~~HN28bWce(|C-D61TEfpp*S6W~7eXLdJaeA- z=(+7(E{*WBO7NS%i8U`1>F;H688br-z;`M~7++dVYAsAZ4E#axt=Vhq-wRqL)w|%! zKi_Qi_Qzk+srZjvPZ(-)U*6vghYFFS_B;XYT*kF;5^7R4m5ha2M(Vl9&j%H&VPU5~ zh9lMdkF#AY%p_(Q&JQ2w-k!obKLhw@{t?Y-Z|~!hc&3P-DGwog@_N<}i1b5iuH9Yf zdStO#yP0BVZ2YPoUt}1+RE6HIc70<&s8I^0O0%?nXLhjY@2{Z*ZEK@^wj)OPP_B zZ+v8*Pv=&&%^H6X*c(f`SCl&7VX~|Kc&PkYqf23;Son=J#*N4;@^x*v1mJpStz_t$ zzOk!osizxhn+xJh_f?H&owWRjn=?!i|09fOv<68Q^hi-J=4{5$5g5e!6nEvfK z*@4D?&uY=qH49B9^5aDDR2z(Q1Z!-K&WEc5(*yj9e~Y#KGhY#DIvt>kPqS~_ayTdH z_*AE;?z)&a-Y?Z}trtZ$@kWan4DqN0fm!g_#eE=OAxjRs`)0N5{1>e1TAXs}T70)1 zoFL9JdgIu0RlHxLjU!NdTMM?3WNmPm=f7GTe8mv!43KE6r^kGanHzLKX9RRVO280b zCEDzRa$_v&bNFJf>GpTJjB+_v43ZEPy3=j-TUZ)FEa;^h4j3H&0Q#xDMJrgbyx+jM2V%L`8p=lv@0l#;^{CnoE zYZjWdhlnmG(FsL?ODf0P13Y4`Xde_V?d_MtdbEoU*D;HaAP{}qy=z4_oR^cnx2VKY zMJp49;j%HB1$NoS_;1A8j-ZlUPjxh`gtkfKS54u66} z;kJ<$Z! ziD9fN7#`!LcD@sz;J=RbFZiwg(Q7Ta26u{Gf==A$ z`c(_|cTpm)gjp|Km%T4}y9iI(cy z{V2DYz9$Ry2OVkNBDd15{3g0!gc%Ww!veMr{{W?9c*Z-86IaHSHprPq06p=NNBQkk zEpIRN2~FM6X(Svf_oDX=N}Ff~(M@_jtbHSl(|Y%@3|y>M#z@g|dJ12>ZGKEl{7f=vT=u|9$E z7U#jXw>o{)UT)WAEW?qW1tga`KCNP!<;}o+_SdsZg1;nhi5 zt<(j<3^VCbU)}1KcgV0xV?|-e87I_pSFZ2p)12L2zTqc#833MY(8@YBys_I#iEHws za=>RE^)L3-{hWa&jbtY$o=NoN*0=m67Pm3IhMv)Y+e_jwGgTz;?asCPjXn}xf-43o z?`^M@9ZLsbqqqdp8?k%)3hMRAibMx#Bsk|IoK@W(*8c!c(?#6u5@^`65V&FZ)2;61 z&@~e?kjw|lugLo2@z3E_@BC|JsXEHaV~2qI6m#px`TS|U$tr9A01$k2dthxZb*r10 zWpHF&#{drJ{P(Kj;^xC`!rOU~IsWer(z*>h!}?E(tre^_2%*{pjI%Z~AFtDbDZVbZ z(vsROCrrIr6%^*?G$3cG;-=b;`juw!C8X$WE$z|~Ali0-2=Bq|O}p^&>J}elvw`Le zFn58FN4;lQX%bJUc@oB~gABx;N4;-0v321K#=VOY4Z&6u_ju`_TGW@TDr)`}n#aQn zx;9A_PU3$`tk=KGSHX5&} zrQW{jb8g;Zwn_PN4t*)QK9i_gT-;gQ+Au z-Ct@q`qA^J^BW^9{IMsmHPC5SUK5TtPY|Wqkr#n)jAOWO{N0u3B75vCKCyh!q+7{{W3t)wLVlK2JGD{{S*kyF3hcHPU~=TWs1d*={Wnk$^kZ zKqU6gX^EVzrKQZUNd>tts9=ZBacW@Z%1;mQal2il!ohdv&RcD z40jyk^R1ij0_*p&TZo}@q?s}kJPx%>Rnn%mEDAF+ee4>R+Y?t~w3|7t8cSn^5)6zC zoQ{>A*3%^WZp+T4iyz(6Ti)DBbfq^L6}TDc^{$2;Z%DPeNOhThW>R+g(q$!LnnIA< zi=3RY<&cg?_|<0AJnQolK+3q|pG;Pp-|2U^QVl$hDOZBWtyW7=Cfs8@9=@W7xa>`) zY4K~CoK|u^&y<59ILCU;)b!h3HRaPHx)Z!|<0^WBYVN71Y(j10Sf8=#MPtU-5?pzW z%O0fnp^1{mX)3A5%t7y4T7IXfX+wRK?73c4@;?DoEVWw{%H77>v7Ccebo<+Dg^{Pg z!vMMDwtvs*MZ_9!h&4;?cIy85Wb)-9g101)F~_BDrh{X1Vs#tKg^ltUqmHLNt2%2G zx07^mAD4`S*EL60v6fQ|u-!@b36?f;az{)K+)};9Ub)j?xSC8#te`NA<2|~2)^3lc zPA4B}u$zIwMjKo3sWgeT8(;L;A|+9BRmN*>FCWaX`D|LS z7RUnQg~mv!JTZH97NW>*va_~XU3YcsQi#s4b~i|?EY3;a!t>XfTT3g=7f^4u81O~` zR?Sml+}xA<7E52XeY)Q(Azv&f%zZjnIpPag=7rie$t34!?NMsh653w*u~|;>IvFk* z4;#B5%CARiEvzDyr1Linkta|(^GjAzxq)LGaLCBksgSWj{u#VpK6}-erF@j&7b4{T(S<~<2wvS}02yurFGtaeX$*O6(do9dq z<_zb{JY(Le>QJ?;0@_=M+52tvtf!LYjKM@qjvD3VX3!%}e{J??evS1x`WeNUdTm z=7JWv2NAJ2-OoL0<&Kl2-5)Y*za%1(+t;o=>YV8guWrw8asr0i@{WH>I)mKD)PBs< zlWYzN&%P=cH27}bMg*ou-fnVg&-P0GL7mSb$jJ5fs`uAM))t=GxFhE7DwsXZ z8!Kq+Y{k8#XMTAsa!IB|KB04eFp^xkIb8MkH3os=Ypa{GCQ%}&05Kf@0QKu)O-I8v z@Vu)l8?0~%1N5ZEn{3e1u5BibZS^Umj7BnLBe!wKT7$*+z88@aFArTL@4GX~GspWN ze_H3KyO#cGCEjw&^BiCw!m8UVY4{SMA)5$u*Yl|r$uF#4M&4Tmx&v0?vil{tNwTes zfWsO0syBC+QIhZs9oWnBTUKsow2a&V_jZ!p=ClJk)-!WE1Ppn}Vy76bD_uqOhBpYP z(6zI+w9==zl67WS$Q!?lJaPVgYn!!><5;(r53|4S!QYQe;2-5vATLh< z_CN{0tHY~H=NJ(WLLvYU(yF$Ovs??PPkgpcL8XIFHZlC?EsS)iZ>}$`BvTCRs^Es!v)a|9%yA*f^*v2K zYgz5$5+EdWJAZ`x(DY(%>}IR>86!~~XK)A1$>5(_t*2T?H=M4le|C0rz%?ZByr>Va zR`sc^gfVmG1K87$(|01Yv=(qIZyqvuKAyE>?NFq0N3`TO4W887`Rx3hI6J}0VE3w# zxsx%W-BLTgqRTqS+oK$3Et;Ov>dk&xCECM*x}%od#~7K)jmPeh$*MEKIX-AYQH}x6 zIjUNrC#j_6E?QJpQUMC28m|VOG+U5H&9voDpr|e6SiWhWV8;cIQ`)T!e(|A8R$=mt z5~CTRJwk1jZk)V^XqkY<38mB8M4S@4yS8fF5&6-Tk$zS@nq~4q0Uk`Ma6Vw8k^VJA z-sZi|t)WS2DgMoq&o~jVljW?nU$h2B&t4jjC7L8%q?Y86X;~w;a)gtU)VE59xDSa1 zq8R}pm>iMYwN|*EP|95HBx5JN3wG~0w{|2Dc_*Q*3mEJk5A@W-1J`#-YjL*On2rEx zTpW*jnKejdg`)CKPXUSPNpfI`{De|>9=@E=ns<{ls}jEt@|NgNwG!MlCAP4J@jRmp zlDQ}DH#w$BY;^Z%#Bv64oI7NSa^6dm93U&HG=cua?+DsSDbs(NdHKAvHrzi4_?0YsiW#5#aU*L%}v?EE=thT z>CnXDd1?VX9kHBdg>aEdTUWG&bn@--GYjDHgqq&ncSx)ULZhF@-l5iXsQfqK z`Rz4$QVXeDF~;NP&u~X?$I_E`V@E3LBP2(hp5*qXiJ#3@DZ89tRM0~m)0j}5$EQ5h zw>mDNWe1-2@?(BJ?;@=V{Yfsg)Ry7pwj-4VOP+dF7LIiyHj|ya;f(rIR0|Yw-OW9f=t$mMkRvh=H&WR@XI7P$Lq~&TT7+LzhIK!L{I$m9Z$9^KI+;S z?j(u{yv?jYWvG_p-4IJWlLI4iS-J|GTSYuU)rlj6$0DoRK_f>1tb%~g`tRFKF6WcKM-%x=o9BV>`u?@ne&(3ed58bv*f=JRdbEB^oz zvp!1jSk-9~C<92WM$ymKs;u`imorLETOgCq=iaHXB{099&+meLYG@{w*oh+< zEuL|jY&T*!$d(ph!-n*wNn*%s9(z)5>}XrN>x;+TI}$*_`qM4q-7=X#+FRz&dKxL( zXLtVgbL&yuKbk@-5=TyYd(tS<)pY1BC)sUyk`ve*{sY&wRk(v@3zDaF zqqVUBJgnJZjDJc8&7lpfLg|t|j7|qURh?4C^G;)G{{VP!PI3tA)~F`=F!AnE!z6>y z)YD0ED9bkEo}}iR9muu_oJI-B zRsy}n{E{!1F&SQ@3}%@eX>t62UTN=RJCb5uy?Jq*ny#%h8D6J6k=)df_a@k4a;S$n z?@~v(n+$}UWa6uaneah59<_2Q<3$COmi3^q9l{Tl4oT#ik_il!lg$!>@n9zY5(KVp5T12W#++%POYW7J}+>TyF2(S;j0`@=t_ zYv0(zqD?ZUuN~V)#78tpcDVH!u6d7~{$U3!+?s59+^;NZWjt_C@S3$}x+E*Gf)5n4 zv~d6xKt1@)M`T1QDD%Q;zmt@7{nlEEdATZ?#1_m63p!ISxtgc&7M= zTGH>MlG{tO@&SDEbNN;WmIm{~ zX9wjqEv~J3WobQ>65>0Id8)%BBcaEyy&<`2c|P{W^&S< zgCH7+Mp{oSj!tqr(AO}Hp9yMq+Ge|NaVU>(nxW*B@S{A}MdHach;^p9v$l>`oS6)< zwm|2AMR6$>$ik}RoM%0%>@r?Ftu&J1SPY5>c1w4w+%wN(EGSjaP%%~FzlPr4OIC?V zd|}U3`h98A+gk=Q0!b$%lT_zm%vT-y_n?>@6$CkrNoHE8#_oRJE?3_W3OhLm;gD>NF8d4mESR<{K|PbttpU}-cMdh?@eoD z+*v>*ig&pKY;WzNUn=QN?Zf5+I3Dy|OB9l{>c?w00G!oXal2xkLBS@4o#0YJXP%vT zrF}rSwzo2?3uyOu9<^dSX=0DdxI(YDC)%U%{F8?_GDnqH8RoSmj(KNkj68XM;{e5DSE~UPj`_oYYT0nK>zy z9QUVAiV(D8ob!`W!ZH=rLwnOhyDwXL(yruO`kZyBr;bphBb;`pLnYcy761%nlHk<0 z5xX`^9Fa^LyE21248P1jyiGnBpxwQJ$>fgpW?M^mU{qJ!Zs$L<6!FClg??-+{TjZ`*4?r7>O=qAwT89DD#t0-I)$sfc`BycoR>~qgKrxlPW+sQwd ztv;Yh4163ZKJ-l!C?%Nj`csgz9mR%fDWzijN&55FfEvJXR1@xMH%uMgwD183ll9Fb z?FRt;YIV47$6G9t0;}7tT7nSqi4YeXzVSR%HY+Nzk_gLTNqh|B-`cIgeq}*yR{>6W zAI_YvQ+6#QGPvE)deEXYn32Fd5-Pltys@&YeAwWcG^@0NV)r9<@s4|Y)B|RM+$7fR zXCe}(q21o8-9!`xP{fhg^{Eu3Y*?M!zt2iwnkk}bSU1QB$8+gaOJylo777&e`P382 z`}Tp(dgi6Na=$JcFZEP?BjHpqV_4=eWFXrML5PyFWR4QbtGW$ zM^I_7+{ zDx8Ii6VC_MoozAOoO96C3QpyjpLTXJ$4rw|OBDozxC4w-z~?7FtubR3)fm{u=Leqr*0qa?^z*%jM^?=)rIjQP%CXNsomVNj z{zIC#GbX?Q$Ya5(rJ6anDaSnxIRPI7lHXjKSmtw*hX=Vd>xF4PbR3hCO-6u~+M_K> z;4*i2lRf*IX+r8(CZu@q+~+;>PKF@Ol?U;pM^P;;-q{*LGEcvHu#inGTcbj8o@rxH z&$W*k@jAtjy*}gDjZO z-pQuQADH6=oP&%E)KKaY*~nTX50lZlRC7;*g(Q>wMytsvA91>5hJz_C4F*zjW zmDI4vUVG33LYB$znrf4h2=C5m(e4?^B?8x%ZDnRW`u+pvX zAbAAXXo+LbTvfPD+;ByCac#cVULlhh+y`EJ^F)(GgEoYcxEK>JR*e(^$;hd5>^s4%+W8<}O%FXXjLg=kDUV$0W@gH?A;sFjk+K`C-h z$lvAwb-<}6K-cq6a`tb6tBs^4KU$tcaiKx>yO=j5j1;LE#9uCKl_ zpdXayue~fcb0JuI_3b?k=QlcO)OXcoD`qa!()Q zP{1U)nW2$$+#a4lMzcepqqd(`?ir*ooTJX)+{AmA*6 zj8G4AXI${T#-HJPO?mB!`$IP7^E!dkW*%o+|#S;>Og#u|{DW z2jx;Y!So&J+6|1-YB1eKm`t6_M;NG<;F%tsG~Ob&8a4aFa0H96ZseZXC!ni-I`Io$ zTiSST#wgYsHpGHIyPR@C?t4~NvlN2*?tLQNwx$9`I z;47#@HrCty?$xhnt3In~EvWN+@8j=401!aWiTOU1ghyjd-`{{X9D&N`Z{tE4_`lG--qL&>SvP`a{&+gz}9 z7#UHGq@G8;OCo)lN*H#=*d&41Jq=R0?qyr;xr6sv;~|(1wYR4DqDU+Tl`@tJe|Fq% z-SwterJkG`;ulv!_>`&pr1i}u=71$bf2PgmZ*%ey+;qpK7t}@AV$knyS47q&HuBt& zws|@NdS}z?TDpIQ;qYdieWdCdoHq?Q9!QUz4|0B;s)vcKF5AOecBeJ67mV^p-UrbA zF<6#*t7^(pOO3~Qz%BPrr7+cyYc_Xwmp)wk!b;#P75DTNrzN`SD!Pr8yW&BQnYxkG z@m92*LdqK{Ep_Z%D>(AWY%=>~^sPI+Z^Gs)TMahJqYow=$lhiF>(6mc;ki#p)qk}t zz!xB}%CBa`!&PmA|s$Z z{p&Bqu{NDG)$B7%9EM$mR~RR!Z}ZJAqiM6M@$H|6uhPdu({Ft1?K&bZ-5fBLheMIZ zdj3_D@bV2}4OVMe?4)Fvk@j+TZ}r7;Hgjr`Fp|pnB#QZbuTkmwS8w5uig$W+zD2w? zt$Btq$RooL2RJ^s=Cfdyre?dTYTh5zCA5m)%T{CZgM*&j)^+~?h_wqlh%ax`d{g!F zIqBC1wtmAuk98k1=TV9~fUM`uY-j0H_@73!@b`ja(PR-^Ts-^PnZpl#znDLTH1!Q` zSJPiWB3t`eM2pE>3=jUbb9&u`x~QJw+XX~}Jm;VCDzbT+cB%GzxmqLuoDPKcHK(k@ zsCaVnCWzr~qgNq@S0}1by z$NAQWio9Xr)8Q5H=Y{Od5u=Z^1yRd;k;%vPsvabc&ryoj-qC|g1->Sc#!E2H06&#g z_;sU4;w@RU4M%iXiJ92OLEJe5`Bam;DqDC`;(I$ycTbefxq?p$@>85xTyz|9e@XvwT(&{~4J!&g>WRT!G?&Np& z6;Da?V`1AbfCw5GP@x%$LYcgsO zT`Zvq8>0XR?Vc%`4wo#t1ky@2v_Cr&)j>E1+N|o@RnCbdHz)y$P_2)>)~sCkw!}QP z@G3%+5F`OdZv0gVm$XkF>z1%4kk=k+DFCs4$ERMntH)H={5`LIs_HQ;R|-{@WZViL zO!W3Wc&u#?LDIGP4eQ@Wx*2mClZ^gV)N3|reW%;$?=!u`YkSCmlQ0-y8Y974fCzwv#GNY{(gKLu~|S1JnNi*GLuHGp;mOlfsce>O{&h=I5?G zzY4>jU)F6dQVYw8TWbX|eQQGBTfe?l)uOZw1Os&AwsXKZ{AH4SDR zGEmFsMJsW~W#g~q(xtse)Zq2VZoDPpIIpz;4VBC&(IYR+0U1AD{{WRcTGsS!VnVtV zpi6uW=4mwu5y)+3Z?i(?K5AG7fnE03xi1AQVySd2&rg2s6u5~NjK3!a}nn>jNyuRZ;{b)|&P28#BeJ0{PX{|12c3~(5 zi8%iNJl9ii;%!U87Z-6|#T~>_=gNh~;f!M^-@Rn%{xa~?C@!@t35@b?7>EE%b`;CY zmhl>-)>fARz$#;NpY!-p)Em38qc4pvyhm{y`i!ajsLWz8pel~z0KkyRn8lt5sDF z!J;7c>P2q<0K#2mbr?WAnK74j;}tzeqb|+yQeO;sR`bKY9iIzzc&#PQ!M!n%dF`I( z+pS5Nwyt?AR;iLNc-3@2hp z3!X`(w7I^vzl%-ORLakgk}n~B&1_%Z{{X@#tU)oh6Wd73@)j6xtybhWa-FV;eIA)C ziw@5&JfLRB;3~Ab#-S`rWG9&ru1FGht;?^7cRnG8T_Qa$D3N6tF^=C_hfnau#=m%e z%QCLf^M)9~^`+Dd+X+sCBy-JRZXpesVmy}Y2tB|0)w!i>o*2=IpFyxbe87_O0kwYv z*R5IcPM-uiHMW$K#%`c?^JI*3f;xXHPn z5brtkC$(z$DSSVvOxli5;d+nwa4&FyPSk z6V-;j;%y&X(^p8)^!Vbkk1EG**UwSY{P9^9J_eTR?j24$r}6`jZ;H;bjT&bbMw0LGf4v6vGE!&wA{t3T3UrW!brg5kTH?z zn$GZ@jqUcX)`C|I>z#p#$;Lp(;a6n7ONEN-Q)Zc10L%wMd*l4|uD3z6@IQvM_3?#` z<2BoyG;H`Ft%u^CS^OK~SM z5&O==zD)vd=5CE?B-(AtrK?A=zE)+&Ju1eLoNV1 zmy02kZ!S38eKXd%YrhU$+|Mi9#>~>T6<3lE^q@no^&5>^;9T570+GVwpzJDr14Orv zR82zS;W4!S;ba?+TodV6W$?YlhlE33%d+TX#)W=nu5s?9x4MCoW(&=TaUP)Xe(Elo}^cv3a8)vG0LR zzVSc&CDF)>5ZN0NP$mLr91M1*^ei$MXEECO;Ev8nx+L zp(LvAG82F}=a0&_wcTS)@n($#f7voa9EwLRcWUpc2M&yKqZl~oD!+-X?R7}ZaNvXh;g`3yQ}IA6s7XGYUL}|2 zU^;#kABXL(ZgknDiR5;SWc7aDEBvTDE>2T^%0!?F&TeHmUclJxb}NwYgg$ z(Swkqx%8lyt1N1JwIJ8l(XfgE<;vve1oS8CN#V@`WV%5;n@)rg!RwzouX)7YFO&-;q6r{FRbB)~I27;jqCu(mmkbz5LnADyHhm+Se|w#2s? z;me29wC1^zHh5xgp}83Ktu0f>_SZHIZ>3vec~=t3JAG=tu?~vyOQ-29Oj55dAA(I} zCXU}~@{|^c{p=DANu{oe(vLCBjq`3eJGsq0tsdE#3X`6o)`qaQnp}ct?ZPM+D#xM6 zTFqOjC7Fqta^Emh*ZltgjZ(eAliA5Cjtd@e2c>RkTJ6_{$e!pV*6Q1uDNj#cYKDPz zro|+Ss9pnqJ74U0bk?_ln6cV02cb2a z28gWdZrKjXHUK)4QQBx)irynb8FVd|a&yIQYN;wrmzH-6z$59}pHbgZr`TH56a(g8 zz22x!FJA09h2BMw6d1-wxAUz%3R|g{P`CNzXz?KoGllEMYePiT^c#~Azq?3F@ZMn1ve@f@C98);Z>ED2^KuhN2fuE=JyO?o)vR{%!b=Yxux>qL(4M4r~pP|VmE zURizXBUY5z%^|Xv<{T%@(>}E)fv;~YrJ0?K!K4Ml9G`kMxmuKTeJ1Wp{{XSSm|i)2 zuo-iodQE>#pGdfWH$O9w3GPL9@c5&{R(6t4sac4ncQ`4}Biz?3t=#Ea)Z9F~OBPT^ zL-q8db_QOTc@@%2Ztxc&0S%sqy-97STHLXcL513TF5 zMQZowKl!-z0r$nq{1p^E7iHl0tETRDiW_VQnjhU_NFWm{xYXY9gJbX3Is8So6gi zqUx|}3FVep&UZ%3-#nVXab+BuAipDcGKG;zr1u(nnKqHLH_E>@2GS~M^{bm!Hn$Kd z;Nizy`c<7zS@8UFg}1h7S(BFCl6z;`vr^dIPO*t{UAQeu_hU@5K)O=$0&-4CJ?OrM z#t71Vgf=#lzxq`0+f8n=M=Fe#;{(&s)^@K2)Gi^qf!H@Ej1YQ?864MSW+RrCK1t{1 z^sAN)97(=J0m$GQXo56?Iiq2<*M;t<(xuX*4SlexFmJq)bCNv`AY?z=8kxUuJ|`;e zklE+)t&1!8n0=c3Y$`I2>z|jn6#I=b8P4$;RXmcv=ZdeX0p@IE8+@V$PESf}(F16;n^qU8j|#oXdmzum>mH`~LuiXLx!E65cqxx7-iP%rl>*X|}O< zrK#9P=5BgWG8>y)t7!menM)J5E7r3wH4B+8HcH#nHqn!lp4F#k;s7ok4ZE`l$;s!h zr2|B4JbDIe8e1d_x}JqQ#C7%J5jtk zoHMW4SvNrdJeBF&=~)_`yn3yycF;n-YIQ^NbiiFk&kTpih65Tkha%d_~l1$ z$kmap$+b9cW`UB_77Th0^zACveLmd0_N6{-m2=KTY3Y*1eyt1K2sbuGMXuVdtW9eM zqvkOqo~PcI+8(T{t@zH)I}fq7h{Ub;6a&ZBvtYNf)NQvcg*??hSu3BQre0`rY7(c- zgBqNCx!g~$`R!4QB(b+!RhN)CC$=bhiI0y|W(0Q(K?bF3yQ%Jnn{dpF!jJK* zCgraX>Q{F`AwtN7@RN<8N1TzXADAlsRJv?YPif^!Nt1XVG3!iS9c~nq1hxiE64^LkA$JGt zxjFh&vFOVeuM~NOc6Gxl9-ohTt@bOaFtMRS&N$Wzbb?_EuahA#-i ztV>n&xuMrMM>t-nY~HD&?J}R$~hDwXvN2YYE;9 zpv??V7$o31$^9w2wnN-yoG&{NNF0)P9tADsx6Y;ugp_0Vn+M(eYLv5FZ+O(7m|)=b zsGvqcxG`SDgH|%usbKbsiz4Nl=IfkfRY@7G#z)>YD7#3{Ib+$)ZR5$cBhOw)%}ke2FI#E; zZ|{~O0bGE?Cj+%MWw|Ax1#!2MM>SgGQMp7h!FEamg5dG=q8TUi)dF-UR`c&HeHDrWG|8rJk!mjt&FQ{8;W%U=DL|yI}4331q05oM%~X=nwDw^rJCAyU&YVawF~)y7 z&5w3TBRFFsjGXl+r`DTqbd4ZKkDpx790?g*5(|Co({2{j%63c%_Nq$gax5F^)=?B# zAh+>##E$1b zdVz~?bW%lfyNEpd)w|tx{cdA|IGhKJg8fIWX3FTnokmA$(Nsy1S#o|+`O_il!qyn2 zfuXpU1)CmY9AiCst2dewXx4%=CgYCHk@y;>_YQFipdhvmMKIl5JdX_dDM+SAb8PCIR==JY>npgMnX52&)%Z&63-L~B7-O1J;18roVf*w!NKOJL|1Uk@JD$X?qWxn zqpF%v_>;`e4|D5QVg1~Dh(6%=s*~JDB!r;qY98fuEJZt(ETam3UD@lJwP&We1A3e{ za%nWnN$%&3VYf08H((6(^%bdnliL!Gn^>Qi9>$R*7g}w&v=c%|=NQKyon_tGvRiKs zq_g0uZb0?LX{xh=rI_UO^)*&MCK=?8W(4GclbQ>A8L~j|oumwU(!QrSkRo8Jt{04B z-mhN^E2~!7A@e$}59d`90&omRllP4Tm7rNX*I5Sb`6Y;@dw7B~t7QKGbX3}{ui2es zQg*Q9lgKp$2`c53?hJ4N9Mj#1Q5(x>M0<(c0q3Oz< zdebkiZGw>)JNln$8B21k`je~qMObeBBTk7`u;Ap8lT8+jaDl@d=O;9dh8YMA?MSPV z$b7XWDqCni*9m2EB+pQ4p@rUHvA#j%)vG64NlWf0apyg#7Pl?SWp5R%Yj_`fE_iGc zimP=##>KjAL(_9)pRH|6u4%fBoN@hunpoEbnDiAx?D5~+rMA*HHqnlmGzsctv$1oO z>)cYj1~~k}{rYCCY8o}>m#96R+-$BN0B1QKp!Xj2TKuds3u$D-k(>jbDeg4xhJ+Kt z!jY591I}w&(#lIMAvYtw=j4;e*0Su3QG&N3Mp4-t2Q{~EuUQ*rw~tR`B;?5Q?)KnO zVU+G>eT_6oa5-b1KDCgje9th9hR)-f+|@2-mc?VYx5^m;%8H5hh>M{UKi_qZU|j+1!}fic`#`KsT2N$E%m z#wUR?$&!DI)7qg)?o60m{c~6D=7L*YssP767e+}*$;z+2J~0=Uz0)Kd13;T1j^;=X@W+$L z^{K8dUP$t>kDHUvLr)e~&p4fAVhZ4^9y9)bofmLOvTal+Cm2ycl(icSLTJEz^TA`< zq`K1H#n3qnB^#B2%|{WEJ;|P*d!CddO3j{0&pD}(?n8G5NfHCJalz_+>b0!1#90{b zRXGh>Wl~U8k5il)s_Pm^VnqAhO*9v|a^q5K>vVY`8I5pQ0nJuqc_bwi^v^VTZ5m<* zC)YI`l1PEMB;)UTQvva_LPmPGp{B@$vpG2#>rfe4@Z+z1)r%Lkk^HNfiXl9?8N~#< z^9^|dA#CT=lT~Gqxh;?$_~Br-mFP&ln%Kik3Q84BqXXH zdKRk^Nh+P(kiw%e_6MBzGzenHA96|0JoTwe(X(gD!NxI4BXBXda|6yjDSW6TA+mBu zS{Ms$Zd)lS>*#6oM9{&oIr`L5$ihvDxD0YntxT~>*@=lDj;E-hup*Ktx6{*5nqje@ zXaMuidWDyJ=cotfHL+t9FJyH2&=p?I-mB_1T2`5A9@A1u0sjD6xFM0d4|+-53Nu`W z8v)}T>6SyykVdDI>)N6p=>*9dmHz;HtzFTSE~yUCrHf%hclyxv8#S*yD|HNL)>jZr z3g$v2#?$G~TFbeG?e_e`aU6hiijcMH&n>*g!C8(29^ceabLB9>KQKQvB*bS*xO0`{ zw^K;OeBUl`YQ@d8QEg~0#Hz%Qae_JHBCq%_NrP1JRjs|-uawW0Ryf^?7OV>zJ%^E| z$9W?S&UuLa?0Vv*x|~M=mOT8YoyMD~&i6XK&Fl!mC6L7oWB5Qnr84ew6||7L26q`5 zJt~G~&nw9%nJY2+o-<8ZRFY3a*EERgWXz=V-jYz9h{-G1nww}BcPre@hAfJ5ds9Sm z$q12GJC7iB6t5e3q>+#ZT79j&jVF~GWwxA*(&|*0$zW(yNJ-D9tsCS*N|TSRO(YIM z-bu$ynqeF9f!C-s+qjVEuGLkCmAIaV(yTT8wat`qCAP^1cbcmtMmPBgJoAcX7A?{o z@;Di)5q{D+Wsk{-ZeV(MsW0s(vQ<~k?xYjavh5_eX<4I{gA<;rx}gOEyW) zah}xa5KMuc`6nWr5;R@G^T$d>YjU`nHqE<|K<;|xmPmrzPPnm)bLJwMqqM;+NJkj=o`jcsQl4>2?xDb3|a6=BxeUS)X9}V zJTd04HJnH{qi5+-_Y-7M&n#UIMm|z~D&@5CM{IE!EJ6G#Dd4t>%gqy$Cj4#37^Z!p zULB03PJZ{TQ`kf|n=*MMmg7E^QR5_*Jw0mV$WQLX$~oZTp;(u8(%GP<$t)z2Jjs*O z0OpD2Ym8z40LG+CaM6@Qf_h~2r29V6k_%(6H9u%Cp$KIKm4PJmH26UZNNn*;bSmd& za(d>0mGsj6F%c2Jfz3$;(MSfmD{6$&=@ z?@muql;@0<`3DEyp-9;drck=OL9-GF|fH(detRzUEGOI3YkVRo=2qsh6TL90O{{h2^CbM4Exd~`&;E* z*!857Wick-yK{A>s}c{*%@auPDRMgUX|a!(=dNkJ1eoBvzFhV76xprW2n1vv^xKT?~_Xx$iY{VO%k|`wvW7N0|UtW)B|iu6EB>B&m+>2!jZ>b=QPni@KAO2G`V5H zJf4&R*!Y{omKx{TVbc}khTS$soB0_z`G ztoN(Mto1;v8W0@kG9Fj)6=Df&^xY=!O}}l^Nz5BZPkNG`=28fV+z2N;dy1aX8tP#X z{x$)3cd998bsHpzHw8F+o=?`dFSL7WYZSdzlg>gsw$B`l^zT=F1Wvkj#goYu*Fyted`KEjr#43th@XVSvVa)}!jH9iqhwIU^;&Ip?+oWn9RMB$kNzMtq;bi&VQw zJj-}p#^4(r$9kz0*`s4|W3LagO%aCYa9z?K4u6#-w$u1-;#kRyNyy4KuR~7O{DftK z!VtSuGqK>YBPS-ZqP@7*u9eXqN#Erv+_C&S)Y+2V$tSTTvd$`>N=}lYA^O>;~R^Ad+ATp zp^sQ^?AD7eaU%yfIEjs zEoxUfN@!8(F-;tjCjPPt228N9Y1B#hb4dVfl}r+u4KxRys<Ii9@J6Anw%sO0_N&DQM{{S~pR#nYSE*&idH?hp7K)aZg!C$3U z)#r~^ndXb;4A}*`lj>@e!R*(~VB1*WAFW7JRXbxlW2oJiVBs?+LKpcOLuR3ZMNl=#1|(l`?$w?zPCCKn`(cupkUI*M6Dso8U9sg z#L`+zqg&eA$_pe#cCYaI{VSKZV$&Er&BXeiO&tb{cTp;An@CrGKr!j{4Rlc43 z);70#b&J_1Xwg?4SGnj-7bUlFwrDRAtxPSqpd{kD9}aj1f9-2a`7Ne4Z5dta*gHw? zd)6+IVlOP@3%mD2JBL7O-iPrESFns}v)#9pvJhQc8-K!-wiUb_uAIXi# z4o_^ZHX=u&ljJuBDcgK3Y;w$^~bhFjvMz zL1QepGtF)zCO(Q=sVaJRp$6wGW3F4+9Bj;lWHI~8Oo45@MRxWVZmBfHo$TEYVt*Q? zePO=gWVXr6a!Yg+>*f19o3R*KHep$KG{kxjfV?+8n{9IbCc1_+ipkHE229<62DrUm z_VO#@uN(PAfm5)Y0qgoyDX!{w@JAHavI7{vUDdguc!t7d`wxg@1ItXT!E)Ss=99Zc zrbjM~g!3Rlsii5mVHb^$i=r_I@VwB(Pxj5PtR4$;Q#pl2}gws}S$eAv zJ9^hmr}&4%-X^$hR(!>L4qXmDcI4oG6<1W#*ISlQkb^AGkO}GRGfSwMnRnt_y+|bU zN&ApX1OotZSG+snTX}Bc)Fge0A)kn54|w!KxATQ3>zzvEk)_L%?$LHfRJ z2Ads~iCGyCsFQbA{*^?lUz%{(Dt#+g z!FqP0`lt47-LMf{D;M(z34zoR`O~G=qVVm-)q=z%n>$uk!5n&4WZkAw@eQ5jh_$#_ zB#umFn4jh;G@C77#Nuh~NmFQzw|^)=><4<))3tp+ShWLPww6gI?-wBy@!!9C+VF>f z^uG)0U)nZ0uxnOt^-|5ea)vqA&A&Mv> z677p>rgBfxviw%D-0F9?wo$9VE(k`9boM{3OQ+eq+6rCA42hQcXD6d$kxh3ETRLxt zei9JeUH<@UX{IY-WZR6~ZelpWt~UDJ^tsw=RPxJ8$sr>luud_KwW%kJb?dod(XOv< zTw`Dg++!Hxt=XLxD=9Q>N+*^ke22~%NZ@{5Xm0Wy*_95VeP?O?rvye|938+6DIIfN zTYO;9W>2@z0*lF%nWPbcxyb8|^^L2~;jJ4^drc}?rdxtJYylg6dYa{y-|af82SEN~ z=R0`dcck|c-05t8vbPN%oZyD_ALq4f*!W@%E5p+0J|(q2d6il#h~)dg z9FEyM*E6c=9!JB})oD%zF4 zA$SK~@Wth~h%Pj_B8KKQb&SMAC_cIDdj35skB@W~@XG0)Be8K4-$#)WbzFBpTI-+-82mTfeO@ADJ>LYc+#Ov61$G0_w@fXEw@f!3`HL6=d7z+Z#fNUN39`yCN-kTWq zUlMhRA^Qxd071JW3|rKnO0#8urFe>I7U+3R<}WgFjP?HjKGhbD;e~-s<1w z>;4r(#E~VQsQ&8DrQwsT#pOuK^YQfy2c`W*Nw>0`i!^DgRR1UfR zRi&b8+LgjhBi({XaVCG(8hdw$s+_bvW3~8ImO1 z^0DthEi-RL_@$?Kdqr>U9U|Y&AG?l!FDwo}UbTU#U&Z3BSNlTlOkGVL*4W%1@#nw2 zVfcqh)ZmUurB}3H%vmZdPz%y@PeU1qmTfKz)9-N5py!rk`!6>K5%RbL02Q7Xi8NR;)EE z{{RwSL!wJMgp6&7%KRYff$f?W#Iu^${4qS5U-pHtkh~MAlMBOVpk6tva_MglpJ}UF z+(@k4%KjFLq@iRisuo2509XO%pQTNG;mre4TY+;50q3iEYDmfJoceKAw7-U0)H>CU zth2{0wZvvb2&Chja(f!TodNL#bEA+JE+5yCwF8s5QBG@H6-Wsa-nX$kNWEmvfT9 zS4F7YMSrLZjZu{rPr4XjkH(|WygjFAT9^DJZFK`H?_I9hI3u11YONUCx*ecu-W*0Z zSMC&mR1?!bjXPPo@aKsOOB~@9T~S%M%XA+~t}G}}gG^9!lQG5B__h7S&< z?|>UnOLCVnTq`qYs2JlPjWQFtH-WqzccyDwH7GpmcDUwTaktksldW7`cz;^E`vt?X zie^kk*vJR1Ssp&|1L^+&*fUO{l0uYs2?3 ze`>(yNJ^wpzV;_Q@$^5HaT;_lY_S`Jcqse`~vauZ^Iw>N^2i zUJ&pUme&`0h2^1SoMCV|d=I>9GCeZZeHP1Jwz(=n%b^_@A9^)ki5j9pJU?j;!dD)8 zKh5dWwNXgtZ*6WMmgOP2Xuf7yJf|QLo|V6%U26I+g150jEVeVw5_T`ufXE+)e_Dpk ztRDU=OZh-AgCveR^v-Lnz0mBgyfZG1;rrB@AGLYWm|G)o2h;0GhuSC8{wnxh&rCLU zR*>6Vp&>&bnm|W-=ccsNyiadDmUke@y+H-Doad2QPodqey9MQqkeYa(=LRsu1J^kf zq2kCdd^N1C?Y*wiGAr!HJ9|)ycQ*9>0(~RG7k0X(y2~s>_f5t}(>-g>uJs#$Qg&%D zhhrl*VsV^y>sRCOVvkBs_f{QA>$n@uYI`|SF&3vyd%X-FGb9&?(a zQA!)-(=T9}c+8OJGDe-i_pXe1%EME&`#y_i)`(6V2g&@aBJ4)js{%-@k(Nl=t1OE-XXZZ@Rx|%YfGmj>~$dEax>Dp-7m#{9@BJ* zr|~|N^W2GnKsX-!clEAo#Tv4Bw&-e47|2qfrvT%j$I^f(UHI?BI`CAxxmgXcJ8+m7 zCpE3%_R%$FZChRljTDQuGlA}L_*Nc|qO@-$vMXTZv0lqjIspa55eA9Zn4U)Ax@Y|R zPz>liO?PkOT~_U^)xXhX&8p*uTw@tNpVpb+{{Ri@mlp;zXv$@cixnL}&VP~ot1m>0 zSa`;FZrZ$HW)0ygE#_MJ_y%nGjN>WN*HKwrGBa?2*BBnv zC8vsStzrJsy7Oje#>t{q<%e<4YSp#UEOn`V#3Fw>M8fZzIp?it-1r0g6G^(8Q6tGJ zLdK7Sy#3stWBlo{4DkN|kNgj!+AguD_J%=@uG zqH3wCLeR}?Yx{y*a10oA^y4+i!>DR@(Jh9Zbnd(HAa}?d@_)~zdNO$Yc!mkI>w7=5 zhxwhDa=;FLy(sCjuR2z0r^RM@ImY;4Q^2R1ChPtGZ&WJin()Y_SzITcThB5T9GSA!#O`nW}o6YJRPVsmjz@yauLSvqNwWr zC~p-8fJ3q`Gni2E?TP`J+P{dems5t^T}amMrAJ-9*w0FSr0u5MeVSw>92}2dO4hUR z#2UM*Sz9meqQj)J1CkC#GvE9vz9z6+xks6AwphSd0N@-9RUsA7>6(OcTiH(020$i9 zZ1eb4i+=`O-rGeTo!o9?0J}2evCrvP+696o{m zy2+6x%A%;0BPob)FdABq9A=OI*%kx$&^sD~U6ojF zV%(GUtD4S>sKaWXXDY}@-?P%QZZ&CaoQR}eVi8 z^r?_7jEx4``tJ5?L2ME@(5hr|$FByZO;Rl~=0v?+r4ZyX`^V5${GKN8=8FQ=t8yL9tmLUs(XJqYPmw2N!W zY+HCRgg6F6)K$xQUdl+x$ys>wNXYl5YFeCX3@ITbu?2z1KZRx+vaEX4u(R95?86;Z zyVpbEeM?Q$q4M>F^3~if;(qTu``0ZFp4Ts%ZcK+Byw>QQh69WkLmf)4UX{rqS@)vi>&$HVDk2G6k`Mt-?dM7;_YSa ze#LJGm?C3^TylHyRYtL7le1b*9L9cqry)<$tZ6z9ovu8x&33>`$Vi;8G|ca*UMpF3 zTjqPK2ZbdWEuIwmR-LY$XQvZ2re7`d5$)?xUqCIb($?N76hydZc{5e?m_(QB7uwr= zojzNRCDb<%M)t8j0|| z;D+`eJxgQ((D9ISSRNtQ{{Z12yfeoDh$;C19DkpBFLn&gZC2)F`!Qe&wgY3WS%MpT ziPjs8A>ID5#~$=)_7?NX^O==M$&s_u@bC1g=R}oEaUWpVp{oVSb8m0D&fe}eX&WIF z^&>q$TFknJdr891H#R{iDj1a`)dVe&$O{q&Tvq0v;u{+T`%V3ncNjbu86!Vm!kX0x zW!$k@LNy5BDePXxj1Z?Q_wssGE0DJ?*<0IOhK5Jl zNF`kK_7v+c4qZiY1 z>I>Af;sJA~$nn8&(m^Wxj0P}imiAI=J{OYW?m-;uDB9$5N2mGst#1sSJ6^DeUR;9s zBrA5So-4M~H2oGRZEliDB$F-{pHUp=_Pm;XgXy=@2Ul_BvUFT~ijGJH^{ZaRBD=2v zOLeOE8hWlu#>92UTpwz;rMwo}TFUmWvLX;hdY`2V_Zem_J?-uP0C^&epu(^C)lEv` zD1KRFDczmV0)gTiwndBVXh42JJc`kl&}#$94G3U4EWnS?y*U+q3VLg;oJYwD+r~=~ z$oy$8{70tS%4{_2M&9T|tY0~5wRNUg>6T*2ucNqmd%|(B`c@kFZ&T9sVK3QogLXH1 z9KkEB|yuPd8M$tYsXmzPV=0e zw4KJDrDGh6KGF#wfJdcj5e=*%k2`z%S3zN-*-K>iZ4llVZai`LRSkZ|85pbxrz0dO z>qr}SF}~3q^OlV5P1rlXi291rwD4{2r3|-vcG>nW;=)YrKA7wHRTy-60b~YV?J>_=c^#!mmEyF7|GO7nAvZlYc zzMPQ*ChlCntyr2Rb}}g&i0FINH(FiAyR4Erl#xRMywzUd^+b?(ZhPs&Sw8HcEUY-s z)~Q_Ex6P-_2rAehp7k{P)%}gD!DJac(Y4z=Z3?a6b`^wdBOSr7#9%FfuXBZ&E8na_(G_DL`NL4(s{Au!OPh=PE5w_MJD>qUqt;(43h}

}k2+=SuJaoUHd z7Ok}l+ZdeM02q<;xTYOO=4+3(F)tx+-Z}3{r&=}hi)Gx-PBWe{>sGZ*8fzS~0_ayN z>(KjDw{|w}RQqv<*IOZ^Dvkb_nw|l>T^t4b1uKKgzqIsguPw;h#II41ai73bOr&q=x<032rRq1@wwooieooal zR@gZ`f$dxj_iYYQ8Q3#qG3#ANjJ_{;lf?k&ck{{iVw;&ua9DJ%Ru_s;VL2J-dy1lO zsbrh+zttRd= zp|D7$`2pjO4_c{sHb0(7Z<}Zs$r-CQx{QWrON9imA$h@fu zbgEkextQqo=VZeTj)$#SvDWV`QEj4lh9B=TXCU#59+mM2J!yM%MdJ2*|Rb>wR zlh@joT{7vVY2!aA<&ULBGrrPe4Uxyzl(!n(FCy~TAsOA!gVL+DyKeIr(;(8f+L$Dy z9ERsLXkI`7X`;>;X99%A?!wPnztQfYywlqa7&!-==lq)Jf8k^4nw)TdX=qL^6y`}d zE5Gz{%0G{Kvv;b5by!d@ z%k!{3>2BQulyFHsIjYcG$9N=#or7Q$aDC_@?g}NlnQmAS<*_)=THMm&u)WBdPcBJ5 z;A7sXojAoB`Al$6L)M|Wg5}|9RI-I{ygMISZ*kt}*1fli(VhV)VjCNj@J(ekxne^p z>&|LhY3Ef2X+sQu5b9~S_kfQt_>UxtItW;I#tdb62RSt=Gv3ITQL6=9huqwcZYs5_ z?hcH{C%LNzM$&DiK2Gvj5~Sczo}wgcbwRpW6-$GR{{UK{EDW)TXlV&oPX=A?!jX(KQ+fgGK|zoj-C zR+lPyCA)PL+YQ+qx|H5(djcErP*cM`cFXs09)g~sxmNvj=&hZt=5pnaY=S*=+x4szg2GF$I}S-4H=B@tm7%W1 zE84HvW-T9Zr?qD!dr=XH7+iW#(;H*02a?oMhDnzzJkbEhcRlIRLZO2(11sLITM3-|)>la*BL}W})#%}3750$C^Pcp#S1paIK7B1S^zz#v+{{Tw8Wu(8@9IBvL^2DjjW9dkD zGlY9MC!YDHtXt+Kk0AA{0tIv|a(xbIrDKSwxR5Arnf2{JHZ6^%%+lPnC`l1zGtl!+ zZ?ySgB@7r0j2vU}tJ;5y;?agpsCL0p#Rnf+lJ4|gu)X^lg`O;eqm1;YyBa8J9w5}U z7~{9Pon2uYVsgJY9maaqU$I4U>Sn+>8=D-_ExN?5<*$B~R^g{*`DSG~!3uf&=)R*Z z>NfUb{!g%srtBOX^V9OD+Q;RFXp}p3BCEqNC>*gPKaE|r*R;ft!9AfW!}rdo1fOHt zhT8(Q)!e2=Bw&`w{{TI!KJMa6g3TimRN!RRjIr7*tYUUx$0``|KD9#P)RNK{9Zet> z*<+P}5)YXC#Pt*&gBV#GdjQfsx zB=@T}#=={CT<-I8_krn5HY{T~B;)T4^Gp`u;PZnV^u}r2Qf&_^qFu@|rkmwS9H$6y zO*YwNgzc9kgV5HCYqm)i$u!ZBF}o)wkkh#ob6rClG!if;->p_-B-44)V4UaitJ-y) z#A!u*a{t_3@`F%l?U$C$_FA2&SIGNf_JQas^LM(a$L zH}j%#BLotUGoHq#)imR2eWJwkf^HGqk9h_fTY>W3|-a^~v=$sTYSB z#F9aG6oV&r*5~r9d%G)$W)ldVK^RvY1M5%H@8Z*L(Qb7XMUn8slZuHJoyl%3!QbU6 z10)QZrpWNV=ljF^)wb5wRxyh}7aNrQ zX^ia6otsN%b-r^|@?Atbssrq`*L)?Z7Xx9$X43m;G$*VBmz^KVA3{HCHmgw6^ z6xuSfk<<)SQb6kbDQxv3kz146SvIsj%V`6dLld3D1Y^_ls4g^{n?Ii8uUwDtrHbZj zM%@DyW5z+|nz@xl0HN0{xt;d#v(>T6Z0AXY+GZw zRCDzwwOos7xXS=Q9nEHb@te)v&wa+OTU=WV$siz+j8Xzii|8#se3(1BsWnDx#=l@$ zNF9bMV+>B^1~7UIQz5j$btArWKn@8bMUX)R_v~u5#11Ag^PYbiv@in=*+0snUDG!A zCvfYY^Z^y3n|VvJx$V}hB;YxaCVhCRRZ!(Ik=N@PfXL~ zVpy*1=dOCt1V94W{vN>AkA}6Qpz5~z{8pk#ZDkT7NHPvReMMDN^HdIc^*yRokIF2b zo|L}O_d9PC_?N-j&E>wnmQJ(X#!c0{SyT~H|ZquNpR>u>@%DW*)%~j82qev z?@gZR-Xjtx%EtwdQ;$k_3zP!9gsAD?KD3CX0p3n?-ls6_CHmy_%`cU2Bt$%edXs_w z0N0`FSpjuYRhSdrmfI5D2;(?o(TS*~04XTBIqq|c1jP`u$H#I$zO5t}B zxykR^q<<r~jaXpuH7fQdOHrA;T87jp5OFK~9R{)-No(6=TyirS@$);RCak1bo~1 z!S9TArr&8O&@b5J45Rp!f5MvFmhM!CV;ljv=Y#$=1?8Na$Z(*0)sG?V{!_{R{{XE= zG;&CGwnuzttv7px$RaAEc^_Y`D!g-%gN6j=h_QQ;od0J!v-B)yZOC zF$!el`u3+m2gqZ|Cp}MkEQwis%x5E^r@=hUbFv6e&%s<|=h~XR#cQ$~`#}?L50FPZ zbTykk?c5%7TH3n2S1TVZBwUbtRTs3&kU{q5mGv64B8c`2ka#qr7ZQBg!Rem$WpuY+ zn4AJKPrW_uu)9Q7%F|%Cp$-QGg95yiudvPN>}^GIr>#t*-8SxH#~|-t{QgQ z&$wfjq_rYBHYnhprmJuzk`&vLhm(?hDk*KBd2}2eg!if5BA;QREZnEDaL6FkLAWB^HT zN_6m`!R$v`1dx_!ZNpuvnS(gQ3I}~Jr!y19M%9m^z!9@c- zNvB$6{{VBG4E4S+E8O<2H$e9)J0k{E$t3rxaw;sCIqU0Iu1dz+ zl=GfytcBR;9r>inOHq!6GDdPwCa1J)0!aCVFcT@*gFS(%A&e$V1D5DBP%?iguo63R z25Lw|Qi6}1{c1Pz%zQ{x=aSrmPK8<%y}Dz9dzx+B2qZXStT_i6r_K^fY$E*IzV?4g zWyvLpIox{tQ(|$ntCNB6NEo2EbAme>8B1_~8Un0@llTC8)X>|b$L2d6?&KcTOH?h{ zU19TFqU7LuQ%$?aoDbHt^&3>MNLyU-kWi79kGsm1xND} z_j>gvq;5sKJD;=2t&PB+m}ab8s!HZaScPMpw>YX19l6g#>r?r%G$uI7=b)qrg7!r7 z3~G2C4MQ8{g6`y;9Ga2h+a_EebDCsv0Qng_`p`025RcwtJ-)Oeh6Hr==A>mZC@a&a zG{6Wy%+MFP)av@JoN4`^rovH3%Sxvz+4TPaJ*zAQnnlUT>A2JM_b+y()Jq?EiYBK554kp`P8=;?>XB0h#z++ps8a{&b~ai7Mj;6>s666Sm5)Q1s7cNTu<`qTVXb7F5~p4HLL+`IQA z_5T3%)+Cyp{k)RLx>$EHP@wQfxB2f;!winu8RU$TYKU#zv7%XA>NnCU01xlGbUwAE zZGU&A#`e+7HnAbL_xc{x&pOuLEz!gg`<3sCd{e`D35kgF3uK?=0nkWB-PC>IUW(_0zEQm^63-VS=(yTD8LHXPQ;iB|qr%#rvsxxAm&bB)&kgsNEPRC#zJtin3WokN5f< zw=|`Dg~YkL(=>~7YiAnfeD81KIrKfNFxjjA_Atk}$;~=Ddz-uH?E-IRQ-kO|YR$NP z7WPPPWJv;?U>MACl#FEa;sZau1nWMy00K|GS$>QgLf8+_{hN$rYTxnPlg z%N)(+gM~Z0Ro@fo(Q0wrU0U022`=Rk_x}JMm5*m(b$@LuAY~{yW*n^nE0ft+UqPw_ zj?g;F06D-oJ^86MTN!j+Y}{DB(;DEg>P<hnNMGs(S}U=z+r=xLJ6ad&dxZIl*} z;g4ndP)+qSo<_T7WN=w{Y#u>1ExTLWGRk)Og!dep*VD9}6HTK}eWv9V^Y|Kpjh9rgK-@P*T#9D^5@ipD-pSX|8L`J|EIOr)|^BP{{ zV)EljzL6RgQ6z>iy#Q0_D!+|%O?Sd~7TS-Lvszz9UM5fA9SAw^TG~(cd(OYwj#NeS zDPxk|@G3tU_?u1fFO#L(#NsFmXPn`Wy(`{AYUXy2;)JsSBVq%Lx{l-CwlpseCyefQ z_)<{wD*pQ2z!*8eKaF!XdNlTThT({K_iY(o$EW%BsiM8Q&@bBWPI(h{clm5aN7Pf3 z1bT;yd_QBP_(IP^y}OCEd6CSm9tHr%$}?VnX>U5*G(y;fEJosaIO$f_`r}x!w$+Qf z6a*6NTWRzp*43|!ybo!o$9b&j2IEmlv%V$)SdO1BY^z@j29d-nZmzO8pH z#iR&>bf6Rt4hR)TO<85T`y7xn&4LuT$uteSktL?5Z>dM9TVXKgc2_yBhs0Kwmey9D zA$W{pT$I7bBh-JMm7fQRZtP{3O}VzhMnT+AFwT2cl>RAwPFR;vReLaS=mszkTzXJR zR?6d3@jE7$Jkm`0%I`j#0;!*IbYXTxavEx*x;Kht#6g{3Cj2 zguYmp&cx3`549f`^sOXn@=c`bNi?Mf@e^ljdU1jIP&c`6#U3HH*Da&)&Y$I!fWFx` z@ObpDS4_|@EVYPjo-NSHAQCZNfYo@dp#IG_R?bpl{{TNHBeiMRc;4dBLt}VVu>Sxo zhCJZ^0Gv=4Gc{XzG_6WmwAo6g;uwyD2DEH%FRygdeF4kFL6uJ+`qOT88+Vpib(p-i zxRW9F`}eIaKF37Rpt+XEQr;&`tsd<2^!~I4rq!p6JSK|__cL#}kNr$Ypk(8aPo{l; zD&_SH>pg2wy0G#*o0pIii0}g+LVpUQcj4VZF*G`CtgS9VKgG{uT7Eaue5h@8gbQry zs~g0}4j6wvl?)!`i#;^>bHnZ zq?OCuG?_f^IVaw%#`afO`%Ju*%y*co<9l< zWjF+SR*lX6t#4@ty=Q7JqLzKKrzENFe-6KeE!bJJD$d!Yx@&8eW-R0}!Ql2aC5EYU zqRz}ARz3G9IOe)tM)Sk4TF0m?VJ4Vk%W;f1w|dBnK%2x5EwnDi;g@=W{6KXX^``X} z%+|7KbtMZdpFDuGWOlC0!afu}9%S)Evn{2mfaQl)9N>aI@;@5mv<(jK*3GT&Rid2< z7?-xe#Y?65 zc5AN&+)b&j%b*m(`|v;qoc8Jbs`F_+DezZ|ty&E(Xr>XY#xk5dZO(b>q;pevuf*DX z+U?D%ScQ(|3o0SuGt^Zr#@*S=r-(Hh8)nljZWO@os(1DDsO{%n8u_iYL^E4TerA)F z$EZE4Ld(Mzekqh#X*aRu0P~|fVM*)i4O#L2i>K*&UABv1ZpK)gq>{U3h~pg7-2rCT zRPZj3pj>I%9-Zb-cI33OkV*nQzfZ!sgwQVU=MY)0@t&iS2NTg$umTb3{|#P#bq;g_zIWB_L3PbRHM7bp&tYs4%x1LUx%){{RUUjPf5lC5h*6v8AhHz4t2kW5Tx@hlpjfy0@9)nF|*@jN+0# zcUkZp(_7jgD$-yuKv)i#71`VP@535LhlZPfVU1aosC8gNhfiW>FBob!;@Z9zH1W-lp&A$|QdiP({r;|^art%Kf z3&}0(R{kFN7gE*qon9{ySv(Qi+5-&VcMqPJ>*_xdR<&i+w9Nw7$2KeTneldrMh5_b ze^1V;YhM_3d#h2W+Snoq#w2mb$4n9adQw7VD}NPg8ZDU91;nh8O|f>d_2Z{X=ElZ9y{Qn++oWj@NXOHqWa=7pnxIz` z=VIgJ=kPTbg|ut=HAm4}*|$s&kXRf8)QtD-Kow^2j<>A8nV^zcIgpjkKM(WPu6XA_ zZ9h-bt}bpv-7FZo^5e%oq;(ZmKM?rK;qI+5xO7xj!?8ecr?AKKtvkJbO+~-8btl}i zbx$+>QvXUk+%R z2Be9u%c+Rvw3LV&8!|H{r*H>~-h7)BHe^C8^JoA~6I%QIqMKRJxbI zG0knHYLdK@DU2wS%wCbm+WD;K(GDZkAG5G54*{4EWbdvsTp>;y5o~a>o>L0m&FABABnPq&k1Z zTdxRTNZ)3*mf>@}JVA~RT>2W$*LAn?72o!??b;M|B0S&(9mnTR)buSw#24!J5hKqp z&2Se4jCDT#wW%+Kt+dYx-D#JIvJ-GTsTFaI@s4`r(kq(e9ux50j3KpwI;PY2i*!E2 z{PnJD#M*rK8kE--D$*lK^W^pPH519HX^xg=S!00?&;xL5b5e#4CM#>5T_#vAMpj71 za%qusCqc2g)%2Uibzvp9%v2!+4|Dldy*I`)MFQF0gb@MC(?X6*_K9T?gj68z&p>$TT-pgOFQSm#$Po?z zE^&^SrK=w1uB~f(eH^ZrExG0_sUYls$f@-00{#3pZ<5_-5zpn%Bs>Hla1C9vnhQJV zlUB9NE}?-Zk>5W`-u~RvbvtSF9RVSZDWA@kG6J(>=zYIRK+iq8*7bcaQTrH)4ZMRA ze7uuE4{LOfbeP=PJBD~Q*J}O)wDBFXHjOy*P=5JK;E!NUbN({2g4Jv{CY*pc6a;!%gJq>DDYp`A~_O_!w=&q$*+qevK zjt661O^<{8Ar`k5uMPUF1~VhVTO)U2?r3ah8L54m-3*$VvJg6y=Op@4+v=KrvR6#7 zlgxd%KokNE9}%wa^(|z*%qWGW%7|3-?Voz3q1oON99yC%iEYWJDYfNkwQRM2b>;2%|oVqP}H=$FYN7V#jh~HM)4A% zN4W3!)~2VaSn3u^KeHYoGjEr91&KX5>?n5{IjC%Bx=AGknRjMJ2RzoKS2|RhGQ%Pj zbOa$`_lKoldwV|&Lg98gPNOliatt_vvV zBbv#c1-xPmIcMN}xg$SHv#RO0feh((O*wC+02AO<%L|6G-3L(m-iy0~cQkaktu71OeV#UHm=E3$ z%N~_$T+<`)1lo(k-Io<17z8jBG9xGc5`8?TW zvu;=tGJ0eD_o`x!#a(9JNiMv^hnBzxJrDG&-XI!}hmzM>fQENKBolHOhhOQ>y<3WH z6Zf{-^|mL4vaGL<)7GtAT3Ps(*h6ZdggJwMI6V$(0kW;XjITUJY@+hrV^VYF#@&At zQ(EY@dac$i0QtBI$3Ib;*zotmjb}@^ZC>Y1Ni0&*M&3Ca$oWP;Kgzj@E-&rvWP1i} zRh=LEMdSX^{p{@# z%*TFl&rf>Ye-P+#X?HKHVP(lM?vLi_j+HA`4VVJ@@58tAn+d_m{o7z4=hB&Jt=-;T zX7Y7XMov49`1Jn(>(qB!@1u_K7#-2&ayrxW+iQzAd8G2#$haRbO!ezYm8&|dRQPv) zqX~5lVqrSC-w2Fz&#h$ms`BH+8nl-#ccB4d3&s^d3O^t#Hfa2*3dN9I_34_qWgLbE-TF3%@K!db=%+j<0ScD628$WFtR?Hc1ATHO8xJb3AuU@-5*yu61hTnR$l#AaYYj9ziw#Mj)Ki_LG;#9EPfU*0+34OHytDAs+TE?p0VBKG z@w?>Y;OE$XfvoQr_}0cb(#qLkGAv2 ztZ5pYlf@XhP4C9w1~c@fo*P@Mhx=1GX5_NBL)w}4VPzm&LRjM{xB*>0Qp1iu zl}HM3X*ZTrJj|nPoGCox+x4hq*0l>?-P_)>a(86%)cc)h4AH1($F?fwpKD`ue#i1y0A;YOmUAmkT>@j_NgM#u3)lvbz_F*o42s5 z6Isio$|n0txNg9G-%;;K+Mv2`vGc3AQif1)3Y_McTI{*0>pES;O$L&y7-s$E(TbsO zVR>^mlWPOa?xd2VKY^-d2e*{605>qrS{minkuuy^D`%q|jQuKX?l*GfvfV{$@Z2a# zE!d*6a6ls@W~}L!-*35vCY+UL!FFup-l4U(JAWw2!E~k*SW|04?+9jt|qlCw32EE$yU|cy46e zSIz*E{O8*>)6aDUt(5-&Xt!eSI3(vE=hm|{#?kJqj-7bJcbW-s!!e(&b=O*Nhb;6n zZ4qm^X9=~-=L5Jur6k3<#TyUpJ6Tb}jGQ?mIH|Oc4##h@GjJFv;~lG8QPW>Z(Hi1d zSxwXZ;=Bxhp0&)~-``qHmgzoSiN*&#Y0Fd_9VPycc?FYsM5%G|;PQVetp=NUZKu5V z(6BqO3F?13T{8Mnh$ds^6U#Bc6q?4fEUo05wvsS0u(w0%Dgo|h+-r+9skMoJiwwu9 z_Np+)42&fp?`(|cr{PlF>61qyPQNlt2@((M?@VR#ASeg#8}5_P8g~%rEWXU;wg9B` zsBSm;n?^{+Ngb)Sa$ZX;vB{ERd^Sfz^{u@vZ5k5S00|sz`MP~+49Fmb6GqttbDCw( ziY{P)X`#ttGe1)OcPImf7Sfkm)H8%P0foFff*$9HE1p>UN!TGiDNMFu*QAs%7 z+;y#sO+4EqOFp9tgdtop$!zx+thny2{DLk4W0AD52c9$MlwIGC7t2ApUY(mFiu;&T!%zwglfUpZo(83&V7YV^&ad= z9@bK;b0aaw7=5_KLn(%JQ)hFP&&&zQ2mJp4ITuJ6aqvRMo^`~itq~8pXG9339 zC;V!VJ;<&t8t(AMK4#ch0q8r`DV3wh1LpOup?I2n6E;<)4iE580AT01 z=|gP}MI@eSWe0yN)K-nbA!0^ntYd!6j&d7u|2Ajxm`$_;zYXJ_GiY{!6&6x zh{+}xXO^pWvpl(J7jZpKD$FogvHjzKJu~{#dWnf)Aca|^OrFOzbIeKMSg01!K%|~>%(ZH$+`T15IhowM?ZXsD9a1QK_d8(;z zB7Dpa(fZYkWouYVzV1)Wxb>(10Bq@(cIL?2y3VBZ91-j7RGD1NsWkGWeo8X{+?=0E ziaZAy0RBJ$zv%pudm6R&b(TD?PEX#dmjU7gBV(Lo(~vF8H&9z!z<+oPk;c}|QFxfZ zN)pF+LCr+a&C8h?y?Lv;dx$NLMoSQRH3O4kTSFWuWSS=3{ovTa?M$0py`9$A&yc4f z#_kBIH9M z{0Yru$hnb~^OAdascaj{nd38Z`8X#um})v(Z#e{o2_ST+(5EEqUn&{ojpDbV=NECwXTS@1ZLXPaj4yWF&$q9A+;L4hg+Stu7G4;o-OLsJhE7`{= zYz|pD!TQsBu(;d1Y+DAmT>dpq>dXLVY~gTE<5p}$vBJh;$8jU(=f7H&wPm$MgY40~ zYxj!|N%bb4sS=&c={Bg!oD)#pT+eU}OmlxN^;_p0X66l>*N29s~hih2BMtE-s`GLXX{gHu~YEOtgm`^>~(bpouG z-N_^cBt};O*nYKV`)pfmh(HojK4}zEX_7{To#Wow>c^2#U)?3;@tet2RpD^mY8}eG ziJ{b|ebBpZR&0#=($54f2KQs;DEWSF53dzIpW)k$NB7YlPdkaK*Rf4z=GyH@alyg- zsjbFQnHfO&&peD8M214#E=?#?KI{XK*sHOiwTW6*4A|&8(IB}DQb>$*_x-9=Y^6gdRnK?Ug^WbFF$TaD$QKgA;+esrl(zsk|Oi~@IFgVFP^r)utr-)0u zXRp`ss3uYzuP3o2^`wc~Fj16{d((1YX?S-^U1wB^#TYEDC@GWokELnq?{f~ZJ+s89 z@i2_;9Fx+ryfxw$@W!_mvmLriIKD_n+049swfyMZMJVx5eN!?pvZKU8IsI2`qe=B-S1{v~4aqU<9Pph91YfY*; zgarbks_jq!z`*U(KaFP3Z3I_AURlb>WTe5<);-uB3OQ^zZ50zIV zrA1{m>=JER*cMDbJ*d6Z?{BSQSyeW|NB}P{+$ z!9X}5pYW(IUI`-I466G^Gn!@Gn_Zz2f^+w?)}oC;a=}hIQUSu*t|Ae6b3WM7f70mCdFl&V#Vhj>Higzv~Ej`7?)}B(u zyW*sZErg_)!({$7e!|Apj%EOtOm1etL+epp*oz6aJ=}7G-41%vDV5~=9FQ2)su=jo z4qX2LpXF5qV4su{d)2mu%*A>6d-vw2Qyr8tL@mSliH6)dbJTiJBR*2?&JIBDP+d!K z{{Xt%v^PACYH1m!J5-Q6den(**GviOILSRK)xe<_50?X;;NdiZSvs?MnNO^z3Bl$>S?^)vF>IkB2*S83&PB1~pG}N|^N7!2g z^fdWx83>3tAaYNsr*TVC#n+WEGea)&d($4ln$>1v4)@?^oQh-uPL6Twkyc~67V()E z2OhMEP27SDx$GzNEz1Y#xlSrksp?a}ZEo)*a9=oc)~oreH0r@|lfe~w*2V6mWw%V= zWxMl3EUpn8?VRI1MtaoOBYpvL$_EE`rB%L)Xr?ic+w;zQQZ10)`3ZoPYDm^ zt6-nbq=Qttjz1-3IXy)xhEy^-=bp5XMv`3s;Ni|V>p>)scRkXo%Pw||Z5^@gOAJw$ zR(TakILm*YYIo3OwTZSbIp|v?`_^67*34OKqh*QpprlB)h8av7)x*9f7dCj95s3q2x72o~wP77fmbbE6M9QEY{YmGMO}e{#)B)F( zJYZ8;dGj<;HW!k+sU2P~Kzq>l7%Xuv{M?h*HD)2Q0wU$H#RDw1t8$)N1sGKzeQPxE?YOp1aC4khn{gzOfgsCs zlTLem@&UIa_>O1-v9-*8U?AuD)P7s1B=S0s@T-z2w4cZ$B!Eag^WKQ#MRFembzfQp zRU~Z5PJXo_T{8?S{q8w5x4uLC@e)Wpnv+klYncGYQPleO6b+S?Npb@KN#q{?07`R4 zi@DT*dje|Z?w2*B-c`(HTn={+TF02!7U`9M2Pf3j-G$j60QtZl?Pt9;tj6d>9NJ$W@$GXsc8&)1qf*N~XsIUP=UrXtG5%OG;u2k#GB zxobU?6M464%%!VBS;pKCl^lOe$AxbkXtnIs#5+x72Dn^E1DCA+thX$_^ZjX#B0 z=AeoxW|cu+r`M%6EQvR^4?Sv2YoX_PJbcZJ`_gXgX=)~*LuPRY`5-jwt?K z8zhs~q`HnnDK0zm#;n*}+j+62{B0s9RnIsbvs4mhZrKS8tHC_?#ajD1TtGvBc;}k4 zEtp61QXP@A61eC+snJ?}ph*mFL*wN*&V4Fm36<@wP0Xup5FDHeW~Ha4jnIlW-g=S; zdeHFwyISiAZipB-B=yhG)p_8$^IdL}3>@I+Ip>aOTaB|idra<&*wdtDdn|QFT7*sjy?@*qjda?_PlQgDge3IEEk6Le*3l=OG`h!{% z_-g$&%@hvnDsCHBJbI7TsLcYw8Qb^2h~}y4F|eX}xZ9ld$nQ%$F}~+7(Dn4BfwwyK zz&zuE&6}um=Y@8K_n*jj(5)`Kd&+C+_4@4mlvykV3E>rHSVp^FpZ#Bq~ltOb{`_=RN83 zMQIhHBytkUaC6D0a1z|Y;Es1Utwi?jH)M4^DOGxHL%5!KspXVPv7uZ9OgE`H&S|ol zN^3?@y%3Z9RT{*@=aDh*T8nKgpL8C5s(YO&Ez&sdBvz5e?W@-r?@H`_41{kia**^HSc~#}vRt8|06h2Q^s4&y>gJdQ~D`;}PX&I|)`NoK@+yy>3Vv+FerM z#&A5pFzsA)z^brYvP@B%zolDC1(ghh?Kt^!&PVz0Q+84++bQ1CsbW~}e3Ei%!7#{t z&_O*2&q{LIv`e}|LC!xKZ^<3Prp$tVd{PIoX5g*es6s#0j3~uXFc;wg&UqrP%M6O( zTaS?a?3%54a&1XiBMeyJ^FcjGF1}C;WPmvY^`>Bh=8!=8)PiN67Wqa;Qfd!4mJ6S# ztGIO?Cf8EOlHGx*)+cphst+CMA9nKC&P`2hfQCMud7}E2CPy8!p&4vs^P0JJWbgqb z>w&kg^{Bk>pLPiah5_r=wPn;KYh!CFsoTa#=}^M=G3VNcX*`}Yj=uiX_+>}8F-mY$ z{xp{n?v%Ma6ZeO$M(`AVeqwroO^LT~x!6hC+0RV(sLT?pV;x3*wQ?J1=Tb)m1J}I} zA!hl(C#4~#*$hZn9HtI>8VfhvX%Aj-YUR`gR5lM^o9k5NK^q;XIqO;s=yc6@z}LD& zmfj;vlXD&f1}p$E>Q6s__3OoAYRzLU!T!mDM1eXPTP%LHMU?OPSCi^`)afB;NZLv0 zMFcl?F=KhKu?~BC)KZsLcpvWu`M$Mg+(@n&RE{aqDrCOgl6fbbpIVtPySYfIQbyjL zX%akf)aI^5Y_k#>xF?@lY_{emJmlw$(W^n!i6j0Zy+2b@1e#2;rzfr{hj3q%XP#9JK6B90N~$m!uzS!@pn!*A&QDrt z?g8ZY%_w9Gv@hjNNMv`$2>OabdmS^&ZxL&N%3Yfbz*A-2N49wGAHTA2U=c&k7$UINZ(n)V;&0 zoGh+%-?QHr4dxBN9)AK2T%S>EnEbfm2&bq{=BBca&so)@H*6t^fzIRic>O7KjSg6K z<76N0HtfyiPmixro;aYhHs;>fTC|ZTZIU6vK0PZkD3i=+_wt7v9!agg61JZfqbh0B zlNyYbCnu-)gIKUwTwHmVP@IM3@yQi;xVH;?ON)!(io~k(R<(E}(R{1x8F!@211pT} z^`*7Df*5wPoz)!E%YXZumR2B>9tYhWy3h=U=Ty`+0!%V4NgpU&{*`M)yt9&TJ5{z0 z(46ha86*7ulxez3>GL(@p|>yv6!gKWmyk+jmf<1!gLG5L&-wi*A)4B*x^;&UM8I1w z+!*JY&$Y6a8^0<^UTB@mD}nb1p{H2eNpWt{7@1jq_UfL?U!E9+s)bSsKQQK!DLajp zXS7}Ek)DM0s*=HReExr&%6ELnH8l5kI;GiZ!i<~@5Adn2t=8QPY~T}-mOUtGvWxRx z_-e;ZL2tTSqy#jZxX-m`G*j!B3bC+fU;rP)O;f+M8hrS9(p~=mHY4n7RbpKt#yxV{ z0@CLhJqPDP5iX0MN>b_dSlTF|UI{rR`wGf!T4YmcJl`phuLl_C0=hXa?mShcNd}t! zUBOUfSsQ|Vzt62=+i0fdIV`Wn*Nwqu&m@D_G|A?>G<5TGV=B5_fwg(ubGtuYwCzV* z(ycdJ*xAafFZ$Lp4!_}5E^Y27+isU5rW*s1k9wmPlX)HCx4n?Q05P}qH8<3&mJ-79 z_x?O%c^Dpc43W=L1!-z}e2_ql+joV;n|g!qSoU-3x*gHEvzhV<4T45N>-FNb;nXg5 z6*rx{{s-{Vzs8@WKcB&%%7a_j>8=cQW;beoe7rE8T}!=pC`@fEFars$Wpuw7~x z9%QF48-Q0B$K_OfN^LIXmPjxGkd;u`BOboAUr{G=csx_#xNl-eygw`3fJE^%##hq- z9)MLCG#O^On^Lp3c_L{^Zz*yV9+?O56&8c1TWU7b83Tqv@*H5Xrs>`w)wG3sTUi+a zIKw|)X=`$mv17yph_u9?58yIYLC)$$StK6-oYDs+~>2l#ET*&TEVcM-(LN2c!+(6P5<&k*$ zeJO6V7S;T=((YAnjG(&gNASh3wB6UjAd;^sX~6@-AGJu%Xo zCX(<7F#+={UDqJL>qC|iaI^&L~GzRRWsp|TNg;VTyvpZVI$C!y2 zKJ}iLS8&_|HN#A@<-t6WTSo6r@okgY+r+BKK44ge2fb!p#~q|G>Cwi;kw|CibJ$Q1 zR$aD}PnOl#NU}2>tO?{ACeaS4i58m)^FS3Y9*ir{mtSw8$>*XO-g5zfvIS)M3;BZ1Z?9V0nY4xv;!HVc-v9Z<&M(&1(;hn z5=Q2w@kfj&@g#7()=1G3R0WIzJ@ZyB{6DAau+4pGapp}X4inebq0_uCbvBE44e?iv z7jcsq1067GI)gLxuMZ^CoB1zcw});4xnuJl_{UnIb!-*g?U=CL!S$-qTwXycLejPt zLIO(J7|E;C%-UtjZi93ZK5`qiScu}$fF6 zRQFeQHxfRbVq^l$`Dz z`y6+zcv624>91yQ)r&KEWDYlW;-K-qk7sEuuBBkkllfSaar?&{pT@ED`-_c6{Vn9V z+RSnDbI+{{Vvx&-H4#289p;eBkZ^hDsPwLnP_nx4)V6w!t?Gs_7nM`*4ECk)=ZUN| z$Xd_D)`>RcA~|;QhOA9-Z6HYlyS#fImh#&hXR8CyW{ZQi$eErrFaHOrFGwldfq zezj)b#a4Ir&bLeute^&yxb`(JiKPDkVWZuZ%Wc9-BWI7|=CC#E8Lu~8*|*EPaN4;C z{AxOobz1ktyX|jLZ8!T=3s|FAVwsl=a5w|g{{Yuq{*U2JUOi{bk-Wra-d;nHK{+EP zscG6h)~}-7%!~xqq

q09tmN;(bEK#Vt(DD>np_f;)3gLoIl(Od3wPEykA<#T3ht zEG%$p--YzktZ8>~%{-4hQ16CEY@GTZT4tBxC4>GY{wlNc?5AV5%RH~RJ&kv|H;sN7 zd^yr*yzuI^^!G7&Aj%Z^VX_DV*PLh6QFn6BKGQWzCbvs%Qr{#u`o-#}{{YuUzi5}* zicGPwVF&<>VxzjzCz9ezn_yecpK{~VK9$pWn?bj>_YA0Cqz?fxv-K^X<=(lQt0uBCKbHGslkTtctCpT8*7ZxctaTfS*<%=w z<~v(H-{-AsL#Sx_Zn6EJ;)`jcTmzDrH7{CrK1x_vQOI!}Q$Yn$YKHs8-c%C1IE zGt|^Kb~7#`W2jy=jl{w!9k6$fx$j*B8fKH>-wsLP%N<>A&Cnc)Gvyris^1s92^NE` z!S-EGb<4qyg72FIHd+j82qZ8^Pj?~{7%yC z;qg7>I!(NH1UMq%HW+WqsrRNzO|!80rSUJqt>C*q0eChcJS=~Ia>xK&X9EMNw zhwh%7*HPf#59wM|dWNLlAhUR`0-=j`Sb@kOf0Ia^%nch@(c#mcOUM2CxKO9p=~s2A ztvo|{5w`i9Mgrvb9@U-VjW*R@OW0%#iy%2wI5|8D(Eh}hN{{VNnww43a z*PrJ=?8bOk!P=qm6t>rvtsE}9TX6jG`c@{fJlaOBcct5+rN5oa4l|Em^WM50PvXw6 z9gJFBR$(Sp1MO$;9>7;Ow$A%fYb`<8Pa|Zrwo5UfT}`bG(%Lxh;($D5xgA$E-{~I^ zyaD0Q3`cdSrtMUth~r4JxZ?w_-8nzZ*PPni+xT+Xw0LiWMp=nW=V?Cmmv?Ea!LFs` zmXl14hF>Z&Pq6;90nlm|vG|5YTc~`=T~6SGx%%>JYr;MNbnrf+b%cj2)nLZ_7WG zTk(aP_-kJ`dQ`+iIbSYCJ;>w^{c~0{b(h*~Ha-(w4r7?n$&1Y$Ppxq6W2tHB72MWN zaQR}X+dO|t)zdEgL94}?E}BMxzjTg!dk*!nq3AupUR@wwR=w!Teh1t zTcTDC9IQZW{{V;hRnLb%4=*%N5x%p0Jj*+n&krZcRF1ekXm0E-q*T(h%Wo07!{0I;HKe4j0K+dzt>PP5Ej2r>KT2XE$ zr%kBA4*4Koomu()Xt=F%m7a?{+WZz1g0aaU-r2@!Zf zYeV9lF4swo^(#!=tNf0=ea3tDs#k0O00{-@k|tD{_+9@1#qIT=I*q&WCZpmjm(?zu z?mPs?0QVTH`Wf)fp&P-hOz|=R6%SVs zGqK3`9kKou2iY}gtYh&lw2{h=+`95m_RVz{T1AhEE)AMoLma;@=~;Lqsn6?E_?N@_ zSA?`9WoLGb&w=)M4*_|>_C07NyBu-9g~&G!43ZvP)yoT2p6x=ikjw*b=~x<`pQu_$ zFD)B##&XKt$8lXP@>E_<66}E{%N*krX?UcWgP&sHG-5>Ty0ONmEGE^>&CTRK?RV$qYaG#)x%o zE?Zx+*+O09LAzt{KMwWMc*|MQ?sUj}HKCw;L^)S=1e5M@&2zdJhGqWWNvy2=$Yf8P zAp4|s{{TMJD}yOP;aT+y$Fa1XWS2X$o(HB)bZu!Zw}n>2QheO+JGPEM_N+~FTA#t% zt<1Vry6y8bu=O|{4m(zMi}5#Jx|#1TyodWtWcg_xN{sd=r}L&8i*Y^Y_Tt&dkC|I& zj~N{}HEAw%?LO^e)=`XV-#U-HeQQ_4`nH9vN%py>j@njMBhNWMr=@c~DQ`1X^5DCU zVH1@yCP-sIGo+Ws{t(o!{{XQ(IAvH(yGHKZWcyT+{2{y6t}HI}+o2t_BuO(c+{FEV z3gPrUK6clQs$5`w=@_8-aqrtX{S9qN<8Kgne^Xc?zjRp68dII6bCO6M{pbzZZ{ieo z&#y@g3P@HvSa89HGt=o=-X6F&8iQFGn8?eQl19%XpdOWXRRZA2;u9jumo1+$7lEF* z^rWzBMUAfDW!#v_9qH~2(zo$y_-Wm5Ea91ZnFj9Pr~d$2vhF-Wy0yW&mTkb2eX6#x z47WUED}WAuhoV?KTk^QpT&L_iWIdrfv0$xTPzfY!Q^AD za~B$IuBsg&3X(GwEPIpR-jJIT3)uB5GjVvBS2=ui&2QOgnnYG`>rm=8qEsI%AHS2; zLHxf;qits5Z6bpkUo4UZ!72eo0}CZz?Uv=Z)7Fw8*Yj2}vEu_o+Y*K`|;*<`u4 zjS1bBIO<8O8b62bBG9IHkVQHj(ctoMdHU6>S)@ib(jyPt`KnlR(0IH42Da+#BZM1WwCCM?d%Y}Y2IQ)CnG_aRWyNV06OwAVH80R^w zx_5^RzGbX)i6p@wf$Q!0)EfQN7HD9bB5j*<)aQ?F_ZF?S(mwRTA$h}dy82LD!9~dmDL+-#-|^1oPBGgxG-u~t8r!wicjwjd0%5yf8iCi zytk5T3!Snne9BJ%`W#Z+q}wI7w6eapXzs1R4B&&(x*b=;+C`3=18LW(D-^>4)qB=O z>|yQVwOEd0i)@8YTy*+Yr^7<}rQOemuI=5o#LSP3?ZC-7Jvgm82DdVs!I5~QNx4X2 zca{l32OT}}-zYp$-uRbMlUBR63h(o6PJ`46SGeA$MwxM`YqxJ=?r{`_UO?WT%DR0P-|QDjZ7?cS zZrv{<@&5ol>h6W$yGeImMLY;6e>J{*WwGh)=}_viLir0JF25-HQg5K!xXo|GdOO&* zouf@N8HxK+Zuv4g5nSw=NV;d0I5V1y(aAVe+6v%s2J}mk!xtlHO;iK?^eUc+_%@-hVCo$F4-`H=9htkh9BpOi%^o!Q?_Ak zKI6CNL)B?!j_ONho+w$iINsisK8d0=#5a;ik}4R9jrQY^K_AwbUgs+|oY7vGRf{L^ zo@&+q0Gne9-C4)+9XC1TtdRHr`c$WDsfsP>_@%zO&J@9Gn9ZGkz zT^9Y!ffJqFbDDutONR3RV~)P0)%nm&0STO+&VwMdAgT_$tu3UQa3kB5&g}mHAxcBJyK7;mzL3FN z{UPVcW;r2o+tdF5tyQPfrnk0{BnQ!4au2;S-r`#}*r<1P!5PT)rM=WOE5{MfFUoR4 zDq@S=8!>#c9ig~pVIdpNdChnJ4A&#k(i@Afo*2i-2*@OMIj&L*D;sHA?@-)MMhxwSjrwP_JX zK|H&kx;;;ENo(P&jW$LX5S1{J7?wByb*^($)HMq+dnTWBSqq;hWSpoybL&;Ou5EaV zJ8Ml&TOB_6ZVC*vtK8zT;nQx0`R8EHc`Q#jskFm!bizoWX&F>Alh+;VQsU;wX|gny z><=4GM;^we%x&G6FL^DrYNtDMg(HwXsn!qn*-Fk13HewI;;hp$pHt+d^bH$ z(u+uSeI?ontVxK16qNk4-j$fQCbH24NX)3&k-lbQ)caCNWcX;qWU8N+J?jrq@m9K$ z8#tH<(oMlh=C0|w+>&0Ko0Z6XhG2Q-klWOgPqw(YRU1xNa!Bt@p7pf(Ss@{qf(sF! z%C&ymqF%-=plKs!Qa4EBgIRYPY!llm!pvD1WS%pF>Ds9=8TL}iE0}IM+}ZO7Z)(%F z(Ia&8<-CajQb~5@k6}=1Rtsz%OL7rN;oGOZWL!PZ?(L*9ym;elarHGLH0~{f!py38 z=tUN=%8C(@ut!oUG=&jBLN*gQ1f15adcCE>ww?$r5x{J99<=UOjHPj@zMp3%KsY}k z$2+|$yb{SUS!4jSjGPYjuxzEC(X903jI+uM7EHOS`mKbTWt?!%5I*z0is1hM^{U7y z%&8PR9RSGANE!U~cnG-SwHaxM-$zVo%Ma4tVL%GPv%R<7%f+OgC@~0mcsofcuTJUrZ_zJu7_6fGU_)zTyRE+ zc?zqA_89Npx$8@0mia?Sq9Vg|vLBUwbB=4cwD@;b zbLTnl(yChlOI+6Obs7HvfA^GQFVou|m8GIv*=kV5E&b4mBVDXi{p0RwvgnO@JKUEn zJiNFgJpL4aXRq1X7+Y@TISM+QaZ(S+k6*R1vbZ+3mws}dOXDAfWUaYbOo2(~pfx;k zGe)qxF)BKd&!s|_qCM*8cGG}q7C%tbX56W2RYHOr3)Zvb6I?0U?5w9bZiLm8Z?sRG zaOXJmtFh@p`6LXUr14Jr3uaS|5Dn6}I1D!&;L^6JVz&`ls0Et^n0oO}vV|7u?XF33 zz~q8>HE2zg;Yp>qR9;IqI`pkLgmK1v#vE`+UQgppn@qN{Ko0XJ3Ea=cMI(}-c9XfW z*S!+dcNS?(%Eak|6{hL8#`tS5p8(yLSY(YIPOv zMRzRFSv2#@8?Pk1n{hjXCk^Qi3&qr&h;Nd)z7xg9EcmSw4F z?h8qhSp3}9=8tKl-3cvq8`Ot%WWreBm+UH}x^u&3FIGU@?HD|Us7g-XFkl>>eZ6YM zBJi<-#@2gfyGx0Q{{WUpI0LEA;aRC}6XgKlbCXTAm&%o%CeCxa6>3YNEGNs4?{(*q zQz7n%{{Xdh3siV5W?&p(0ZHb~afg~s=RYw$YI_YfJE$9ecaB4D>-bVnXZC3sSf0K5 z)Qq0a+TX};D+N!?c;__@y|h$Ttu2Mnw{PF3;oJ(g^Vz@}bYZoR-6x!y&=VYx}-DppOI z$>yd=^vJB`lIq~A<0zqe`g2w_YdQ4ts>!!-%kDh$=qoPrDa?D|Qpy+u&nG^WTZceE zvKK{+w&lPc^)8#H+{2}A+qn6MF@eetf7YV4mS|-S8vyIc-Ti9ip0jlul#VjH(C;Mh zX*Xsz%9n344em%Ij%pQToQHPH4tYM6ZKF35Htt6}8j9}KRv|P*0y>e=y=mUUxcF~v zWS{Kies^($jx$WUh{vf+tWNFOJXJQ@k}uD+hRU{k`&N?QLSc5hQqtgIiR2%DTAPT5 zQecaE;Fj&{{uNivk)tTB#&T)*mvYUvA%HpH4z$}y)G0|pJo@!Cz>9k^C9+ScslMGD zaS#`re8!gM+9rU^vaaBHT=l3LB4gaEklwV4+_9$K!#%-AlWrFz5y|wYMQuDv&Zm+{ z-R)GZTkO{!a34546a1@2O-gyB63C;Tp++bxV(Ort100~7jCJOVD^nZ*v0STQ&Pd^BMLTnrII5{E zh;tl-0;dF;)c)7JwvJnMRA}ZHaN|Fhy;Ol=l48yeV9J+}X{&(;zVG`WmTgaJI8~j-`S7!H+{%bzczAeGnGWCWOo|O&I195ErGz~Hn9C1Wg9N^Xs4CAnTi zSepvmeJaI-k*CWO7Hnq}tdOdH@iXdkRTkw|$rQmH zxGWjB9;zxS)uj2Ei9g_?_#6x5s(c7 zW#`ncuh7eK#he@h$CFVwS7H6*$2DMSS5Ky;?XV0LkDt#fJ!+Gzg*?SP=ZY@ah%O-u zIU9*Q^H!{UxhxHw>w;S`zokVeP0CMxSd-qRw3gaE!g!dRa6#l6Phs4-Z!=k2m9}MO zBnE!C1Fc6iuXe^wgk!BoZ8ym2gNiQ%mhgY0=jJ&i)TSVnNRO5W*qWhm*<+R`)aR2= zGP3;5E(tg}sctQz*&D~p70Leq14DOXDMWD_92^tIX-($n@S`O9nzwGztL`8-2LOtt zG-63eJRZ0eNrMRGEZ~&E&rDOLj4?i1f?J%51Z+ogeQBy48)+w#*F8O{+(8^p%s@ih zLCF;|Fl5>zC%8VfEsG>-+m|7_@mAtn8Ihy;LD+D|jz?;RxbJVT##@%1GQCx?6(96Nix1vp2wvHEySnDQgU|l>s36)2sXDr zT9P^Bicd82>r5NDv#x1(x*d&|+U#XSxQ}`}jtK2lVw!ktH?XNAb?GPZ_Dv}8P@b$$e?km}lL^fhpQ;&L%<_+>OCxiLc zjm@y0#wNG4mnzIAamwen<^5`f6mNrCJ7|sTInx5WNHt!9>k^$qmH4DkOkg1RlMjX_^ zNsIt;KZsENB9pklU@YkwY%%#;J*iz%6fx)K2BMZD8=zv53NYiO<{ISv9hoqF7EXVlA^H@L|ErPja+?B8@qM$EkAy|Z*!78s%-8TCSMV2 zcIvGy!Hro8k1dEi`qfZgUFET~pS@7Zl3u>Xko4mxJt?Ui(U66{@#i$#A3|4Hqf*;W zbJsn7l`Je30-(qztyg98K0W}c*!901ygzzBRB@!cDH}dk%|&n{jzzVI zVD~4fpe1{f#&>nCcrp6`cTRk z2P=R$tG5sUp`$%GH5rK%7VEhD)n#kBUJI3g6?NP_YI|`UvJB^wmIJ7&%LHcOmNqOm zaaSx;d65;u=Z($zVw8m2X5NFQ$Ea$sTfEAVoRce@C}Geab5ymRI_pW-ZglCvmu!b@ zqm^NS(y2*(bzqEE;=DM47har!1=}V~Y?pXU&aYoGRoS{-Xf_ha* z<@rR$FPj|wYAEF~gSoSw4tttgE9)eYt*)8yPu^ACihRXANv#rFyR=ARFC>xxqu<>2 zty{Yn$CT@a1J}J~&vj{M3d^TFhDRiBBO86{#lE$3G$I+>a{>ObhJLjmIU zikvF;J*zOVPIqo6l4{1IB$5HOhykiNV^+St28}O1L zm0SW&4>de?K2kNuTz556>v5Z7fXsP5)G%ZbsR#qq>wYH!Q>_dW2W+> zE0Su>o#Wd+8a$5qp{+_=mTn!@UC@%u*<+sdN@<=(E>~|jIIA}k3Hc)#9Gb3e@`S5r zJ&CGpqB2aphvz+NXk}zpI3$beb)uUVTq$Y}gKV z{NAL|aC?yz^AMBxR6vvRqF|1uty{0!KXy8?>q#sk*~&It=Y!KUAiFBajDvYR9zQx~ z?*QEDdUdS`;4;IuMEObY>)M$#5#z{Xmd72+jpSr!rC+?b6Q41oDo!(=znxOI zRkfeZZd4rL^HycKNxpVGo=DG1MQdZK8uh)EfwZ1ioq`b`n8cMi$?MzvYQ2@}-b!P* z8*+HuYNg~Ca>W_>H@GZSUv>paX=IZ`tsp*TL1J5hR<2_8XG^78Y1#$H_Jdrc#KeDa z`RaTAwS%inZZ8X>p#T!QJ7=10{-b$4-}ZgWt0J67fz%2>@29&g)@Ve(GqVqvdSlc7 z0If^n3+g%(B#;z&8NO`b_Ny@Km-a9$HnL#v$>*og)kf7+$K}Nc^x*>=L(rQJPvzOP27nDw{ymZGMVHIdvvRomPjuniv3+9es^r(j^q6LRK6b4 ztu;yHlIrND)ynh6MmpBth^Df=wnUdv0$4eKD9LUykH)Ep$kn8Q~Y<4sQ7%Fh#nBBqYjD42X#s#Y$?QGPbMIMq@QqDauHfu)8BTFl z?R0mJz!}k-Zw(>nXd60z1^80p>r`D%(&i;VK`-V5h0X{Ax%T#^c=>d@O@4pu`Qw#= z{p%+}eSQA5%h`B_dwJT=d5jJQ4_ecJMRejzleuJIq^jhc@k29PlWDd#H`cGJLmaQ= zW6db%jGPKv{Z~)aF69Sg(zPDnj*=}@;EoyhHX-Md=O3bEkky8i$VXp5nEX6{RvNsi${tG`l7&OfbD z@wS#))N9u9m6Z{91RZz-n#t0&ZBJW>=`yp)86k1FlC9eV@v4xSUtT88VHcFf8JmK> zv|ng*>9%odG0nJ3$X&#Pj@;GTXj;zxO+Q^i=G^d)*Pe6lP1p4)HK}dw;)#+dmjOE* z(6uJc`V_9bWpU)JRvLOkhWWF|KjBkpvDx^7CQ^H%I2tD=y%q4LP>4QM$AFo z!C$R0tnO^(`&2;gL%~Cwe~mt+9VWd5H*i6s!xBo$yCh}cWOUj({{Z@{0^TWOxR%c8 za1i1)6zdo#)wJVrZFs`uNKA@PKb=W^cN;?xi5N(LhuzOK1y#?0Dg3x+bK!2J{i0>cII1oSuoimF^|ft>hBhCBzvZ5J&umpoohwwg0%)|i zF|!h^xcdJ9kL6ZZOue&$9acnm(U;8HPd`l1kfnQ?J`>a7y3+0i{i*W|xESL&{{Zz< zy!kY%#U|2BD{vc;QNgZUPj1(+MjLKRfHB^-^gH_x79-h$o4VwgvAk%H>V zRk)JZ_jeh|#sMA0B0*fOyaN_pFKTH4h2v4+Xs2rD)DWK0!X6D^k~4O+qnuHKV57ZYQ8W{<;Hm7+>nQ zk{el2d7HQy=Zcq0)HKOZ$E`%`BEQP{z$f25YW>!b^6Ed^an7%AyD|jqD<8ymR+l&P zPp2s`=ZN~1&q@PxS6IHbxR7bhZ~P!B4coU)w7MOGSl&f<6edXA?jeSG6Gu0skg&<_dsad$w{cH# zyXTAsag+S&#q-=-+$!9}#yLLY4s%!SrO`CGCN`H%GTcT4ibe{bPI}V|iJ^GT;>>K4 z7(|S4CXqK_A5Tgyo*T>At>4T0Oy?lyBoD1qw$Lv1LjM5SsH6wL<2d}Qf5g5Oys_}) z8lC1o)*EB0Cfq2Xgvd1A7Ry7>Zf-B8G6@rGafj!2bCX=zAkL~_J@5+hD`L`XjYxlE zytpjD?0;Wcv!hL?tS? z#d9-E(5$rp4ngt08Vk3Lc^)xzr}Rm2Pj5t_DG5 zQbq@Ses#5HrRbJ({{U=3sK>QNGW_l1I5_BPtXfW|W2Q|eqo*`vkCS%{dE<|I!?>R6 z(m`$mBFOumGBOzS&+Acr3s)~(+s}D>B-`^0qbZU7D__IoorWj#Fvcbed;|d@pToi#TU#qYh>E&U#{m z^d0VUdWNB@J-X?$EZ#&+VsPw6{BTG=pEaVl&}fpuYQTM#R2khL=~u5c4KLzE=_T~> z**q>>2p4E4xFh=2pB8yuB$nU9ejc%rjjTkYvw~SiL7!eJr*a7 zI0oU}v(WS&m5rzPaxHE5>DLP+i-*}Bat3+NV~^`j@ZN!aE~)kl-8yHH=gStz!5v8h z{P9fjWyYiM%f`2Mwi=vy@`D@?l|v4I{&b$D8y+^%tYPr|tHp^fVwCyFjnI0ZTIO`( ztF1+E89W50ojX>)gf%aQx~;P6ej=ITo(Z?F z7#YSu8hDrPh2-Sb zFB+{ir(QbdsD^8JQ^9TGU2|ri ze1QZz#H`o}j2?aaR;R|6wDCs03;2HG8@X()mJ7yCa=?3a$Mvr|xRX)S<&rCVsS!lE zTs}XSO3>2veP2Y9KM-j$60K9uAcH2}17jl} z=hm}yAB^55@Lko_g|(9zqM4(7kV61^{{WxTw`~6aXl0K}78+)PvCS)jj$;LKxyf%_ z^ITop!>r%jU0$Lj_mh{GX8q-Byr!3sK#dVlq+l({$B zT3c&&sK;!)tBxBOC#`B~pA+>xa>`9SSjP&u+U6F)DtjMl2)nrN3V5$vGK+0FC%2A5 zRZur4sK+1Yn%w@+y3wPz)U_L3*7p-}yC;5nlixnoQ^B@&J|>pR&qs^Py$5lGzuw1F z`Dcpse~7*ozrFBM}yXr;62sy165EantMWC@XWH@fL@mcv3i9L<>2+gff^{ z?)ro1Mk|?r2mb)VUmUU6x{KIw?507u0(ijcdK&b94tzS-tiBa$9x(B(k<%bcKIHb^R z45n$-61cQf8ic%^Y3#`R4Q5~~|^vzAA{4%_~*5K82`3f zz|}tpXme{G8@Q8DJ0p!x?>Xz(~vhB;z*$G&}O0uP9zOPj>k zQ|VUY%Voj@836X_Q21v{hgr9h&KTr|L`<_6KYP-j9jx%gm)8+yHf*$nckf$pYI-K0 zroGjGVRJ496Q&PSL(~f18S!=Bh2fh{YXLER;7=jkc^vY2^!j3)9t}b-?R$%ej3fb^ zXCIwKZ=%VsLo|?FFVh~K$raXUeiMfG!52-aJaDy}De|2;lRd}j&%FS(Dd|2Cf-9&s z==C-73NtC-ZWV*#Jv}GAn&KATj6PAfocF4_#-Xc7sIPUh-YIsb#=+U2{{UTImf`e! zm@jv$Cgp9-h8%SmJ!$GYkZ7J3y1&vcwG={v*4@rWu5brG=ZdMKd`a;HaHf-~Oag(j zGsq`A4A)t!d_dA4PJJg#w_>xlWmP~hanCi)Sol}_WgZJy*|w0`1a1dBWAQZn!M$`f z9xH7{;?p%NR*1=S8q0KFZ+>ukf$i;GKC$8N1o%?k?QJ#aq}%eODEo-dWdv0-YdftYXNPUoZ5=;;cI2y`icixOQXdK~qo>7ilVye$ zML6}8Hf9_|4kbr|d3wyiu$d>*sMrfP8z$aW-+*VCTB{{UJ~ zLbVgb`aBw2!FwIULo)%pX&{UagjO7~UF!O&wfku^6$9ry0ouAH@e=rxNKX@LQ3JT_ zxEapY9B_R->qkfM_k=~Hy5-)YzHUF!)1e37{3sN)+~Y;no|8QAYe#pL!@3;pALsei z=rye)U9tNey~b^n5TZmY$>SI`S6|Vs?k;Ayw4Ad)@pZ`po$&9%_nOo<7LJN;pFd}a zoEJTK&(eUlhfm-?gnEXVeg6OnRm3p;h2bg{CINyiF7eLP=xqCxgc=)y@TDj1BBpL*;rZFFx4TwEP4SXb?^fx*t) z^S2}V*BPt$TT=ehjsa-%twX-9*F|40Oy5QS03Q$qIgEqQlkLyrT(+yJ>X6;Fte1tis0sn~ z;EdHRO1YP*+Z{UYZz&teFO|<vhalY-<(dMyPXH6``j1az7K?EEe$j zl1keQLy}Ky)*AR`^H9_U){iLjH*RHNk%8BbrEcrD&!OBws%ck@*JLPpA~*p46oYnb z>wXf{JT2g+({J?RfMrpf{m^+8z}{Hj2>$@l%Mt*>u19|Lr+=>Nz9WIHbxlTDQD1y& z(h@=JYR`szM{9c<+3OZ@Z;W7v7~hWB9MB@3yf1W%=x$*HW5l2?aySD&kNDMj{82sq z%IU@+14c`19Z2={tuGRfwoM!ToCxMSqrv;5{DWB9hJ$kj+*ejWsVDC-!lcRUh*00-e*4xwH0uS@oo1ZRM(-8*$On`}~dV`uRt=TOs)V zbr*>p8s=fAxr+x8?L4D;`q#ye(1n$LQZPcFTG5hFxVF=8adRso9vE(HWYsNV)J_suq#e(e zxXH~^@dllFBrCF4ZG`1W>&;AHy|%KCScXBn94j7bCAizvx8U1JV!fU2OC_|Z1e>_Q z$51_MKKoF8I_~B@InKslRUNrw>+Syl*P?HTP$5feh_@DeG3kTvR$kT}a_HVd0+}!i zlhF3a`qF3?8q(=C9X?hKBJPkm=-tItm*OU`I{yG? zm?78~230^A2 z+_KmexeNdehm7~n@qGnUx76-68;Nb%gEEY%J?LO($qbV_!S;iOn|L7o-)ioBC*qrJ zJ)^bp2nGajHx}hbax0s$x3HOAuV(UDc~{0k_CDsA^2I!Cv9WMRUZR2DQ_y^6*7Dh*NEut@;YU&0vLzQQKksE5UGNwlm2oewZzXGqrOxbR9-#NBuB5dZ zdt{F^xTN+IcOio2IC*s(9vGbFtKMC$^u$JZ>_>mCHAIsuE9cs$)9j*Yg3708$>XU# zs6l&>rjaD}&vvS%B4NCf$tR~B>KnM8L<#}h{qIv&?tz9vi=46Oy((A+_`J4U^Ydrc zsC`Uiwx1=Cj)anZs%t$#tXO{SWZVvO+O}^Z(qKk|?TGRP$W-d5(B`um%gt}y@s6j` zrbA@VYIgTHj&%`nmX+6ndti>8s!3unKH}StUEQjS#Uwu{Ahr(TMOL2rWt2uTNAVoe zOk1*jX=b{$Xrn^MBMJ|_PvP{vvXgX>R!g>6m_AgDji;RZ)*ssMWuJ0f@-d7I zkH)q1&0;H9!s<4Jlac3Vh5YHTy~~<*uG&ODYq*4fN%^OX!^Gu6GmQolT10dW<=8Zt4Y@@ijGP4}xo@z#lJ9y8N zlOwn!=AU;EiuPN0l&%~2yZtGakUP$coy4){Jt-svuv%@MFDF95d;3;{%9iY2-OC4T z;k_zrs8dUT24L;gvCU_-+!Mc>xB$fMQT#rliEacsp0jaq?QW{0FSrIyovKEWBnu;K z+^e*dTzzS&rbY8!5LkxiY2!UJOu5>TIB&d9Bizun87g@U3`c|Pd-bNRtj6ceSkFU) z*N*jHO}CmO_KA1rA1-^EzYe=+1i>bZxg(G`&*M$5zz0&E&4a|`GWQ0uco=%W^ z6`r7_Y}q4%T83=!jijzbZa5RzX7Yvc-DskWO_oTr6V8x<(#FV&~Jhy=-fCR?*r+aSFz%-lX@kT3#x7 zMTqJN{{RZkp42Akp<&7E^sAN;tX8F%XFUlu49wCa?BkyFhj(Gt@U*e{fv|C!jyQbD zve_hL{KvgTYSSc#8?eWa#;wgPC_L3Yh(5F;MmC~t)r^U`$KB?V&OT$ zi6oXu6Fc3BE%=(Objx4tb<}5=D@em^JHBtux=6GFx)yF^RJej551il^Ki0WzZX<7R z9709hu1nzLbfvAy3pR|ahL{w_Ivk49v(r+-5J_Vme(~hw`4$ zbh8F1aur5%P+i})s~~e0)guZAZ>?3t^%)yYDP#bg@s{SUY3=rVq+65@m?gbI?M=~R zSGyMXiIrY}92NtURwG68-N43A0P~M(ckTo_t;M|4hlLn!A2xkzAMDw#E|fr^?jI=6 zQ%<_Jc-Xk-o<&x<)aHor7!H{OrAl`JF9X?J$!O9aF&huHvNO}D>rA%|D-=7vhm%c7 z1e1Br`}cJxu4?2^@5{zASl|k#!sOPM_7-snuOlT9i7rngHBS3eic5@Xv9U(a-s_rd zs=Jyp*&yS z_|#TzMCd}~wn6r#ZANP8kS?WbC9_JaBK+vCe(@CNg5J*Er@MWUfwfor`RjrC)9mzl zB#hj`o3ZzsHA4L-xtqxokQ5x}tuiE1Mnson2v1yqD&3M?t>Q~;Hx5H&WPJ@%I%2^( z&RMcD2hG<$qPBD^$!(Ryk?mIMNvV-bQO`0W6@o3KaNgCNCTU(s?l+!J)*`fSE|u;D zyGC}(!-X9EX)kQ#hy5N{cCz*LsRKP^ISS|TCZu?g#`ys}X8_Y4AmNIRMO@RCDPjg> zVB3f!{*`yo3EJIJ{{Yd|m=zmxa!&(4tt@v1Brzyekw+x-1bT{e*B(dj1`m9Zo@$a# zt9sWH^^x}O#(MEns{tPF=KZAmY^9_v&QEbq`6GxU^Pcs7eHsgE$zn+rvcu*iXP~Uh z*oOZAB_EFYG$*L;?n2VU@)kwTI-FIQES^#d!rZCkb4{|-nNZ6*{Dhsn@_+i(em!1Y zGfjIX+xyqtkGJL=V;P~lux+z4_9@^4bS|aFNn!nJid>&MNG8Eh+#1noZtixx^6tU@ zBie?sw3xh@=V>RWT5nW0uxXbuSTK@3vPf02=0+F*e!czbo&3oy%d}u%zE2$Hof`xt zR1ZpRy|U!URO3DRlTrv5Ou3yy!x12YLk{@u^{6g0AMWBLw|rE_^6J@1hDHOa!&FFR zm5iH9F*(nAq{Kl8F8OkO`KxgGNtI+Ibpt+?HH3D_Ia~N}j4&HL3GeSrb%0zvXym9k z$?8QW7b)LQ9FvxXG4p2`=~wJ+666Mv{!*u*=xZy>l(WpLb~AM%ri$ikKz9A^a!+b3 z*-4tRz}D)j{d;@UBaEfvNw*F``N{oi#hOKXrzOmqqM4hGl5>xxEP~llp?Pr2)b>A( zJ2G3;sRikaJLU5Rd1880_gdRs#IS%$qTmzFWf+6q7{l;ey-OXuu%j|770)J<+^gK0 zc_q3aV}ZcO>ru2%5*8rf)R}mYk}yw0NZamVVH<$ntyU}OP>v)rkb}SLR;;cewsuK@ zemNigdY(I5D>#Ro`I8*5IT`v@V5ssl2K&bpq{OdsJwo+vqEzyLBfdHM9CfH8wewW7 zu{_m@EZH54ra?R~q;fzghk$vhxldugXJGxvJq+nP<+6Xp1ICy#jNrxmDq5@*S#WI7&peO181L_ff%@qvmEo#)WJ7Nuo4S*siU)H zfu9&7?-AaZBCN4M;}Q&Eaaq&G5<-!_?^exSo*k%Ixn@1;v5)7&0!Z&e9nk18ZiU@< zcH)*f+irG{2fZwJ0I@(i`ufsDAI&BDF{7b3cP-kmf1jv45=~kA7S-Os1vwyRnynmi zLWWf&sNmD3dF~INB&4%9QfUKsV~gV(98IinE`{dnT3$otO1qG4~efCEaa^5l{`(gnE{oQxmIX!5K%VtN{Lq+Ah#J%Ds#M0_y7^!29Q zJdzN+k?ESAR`UR5$>Tg#tv0~E>DcWd56pR}12rSHbi}d+=jJSG-SieP+x@2A;5vh~ z&rA|3y~GZ;Ef^X4RXLtW%fe1ox{Tygxb8WLGI4?2=Av~iCQ9MDWOkx6fESWa=U2YS zg57RjGln=~PkODwL)~ zOU^!L360%ZYJ1pj7TKaJ^7Ur_025J5wn^1h3liWAR*Z0l-4ib0$AEj)jLx%xOk3q| zmpl{Rre%fLIDWMGqW$QAFUmRNv88C;kdUBpLjt|jAG`s7yUFyXLh{1E#>bv2!_284 z?-a2k9Ml%K2ruI^6#Vq z>yw(hB!RD<@Y{KEIm--SRJW-v<%=H?Y699w;|&m${NuG$x}IB-&m)pS;I}lAT$yAK zk+gA&Pc7!$s18S3H6*hLS+?YT;rU{!-KHkbEuIH8Dy+o<9vOO^(`JZsJApkZkXJieVncOqGg3I2n7WMmoOP&X zZz;F19mzR zZaj3SL18RW2Yl`$jP*4#4Os-ys2*l>kGykGj zjpmczC)b*ac!-RGJ9Ra5SY0T29wMr*hAc2eRk&!SlqU0$*P01;MQb}^(8+fv3Y;Hm zjpupD0dg0G&rwsnx0D;3?-1{?)jI!RCF;m(hhs%)9ORq-GbNcF>=emB!b;)w96cEl{ww@s->>u ziA-!hVUly4Q^nCFiJL4c?{c2RK6!!isn@wRZqj>uo$xif#?8o(F&RGfLheBS05Xtr zX&D&Dh0aesc@;7sxh_`KG-FT}u>eoSw zs2~rQnoCwvZscMR5n0saj(MunMq`r;A79qBA`u8hypFj8k7}u^Y_ww(a|t_c6g|e+ z*tWbQY7$3pTAJS4GvyZau}8X91;(1^w`U`TIqvzwASA&gMj@< zH2ICHFPRYpx{>s%+O^)BKA>dNAz>3|JIKf)pdMt0k8IYR(YS)f zI6mL!D`1j49=PVJh*hLAG0AQlcTdWM%C*sr#{J=Xo|P9S6?lJOl4b zr(aygA5h;YJBs|x)RCOkePhI0&Zvx+*C&oXR?kX-6z&``EALESmn0KWp)DD~@7(^i zB8`#YF(=f}RrES2ZHAwBBxMnvYCqts|1zvzZTme=2SLr1tS|f+ls^PVTt+(|2eH?k=O$WEQP} z_&8zd?rME9%-KgZys`!_$dec!N}lV(wvhO0FBC1#7%<+YcI4xbJ%1XdYpZ#x9yQ?e z^9<01BHhKU=9g^_q$4W~(rt6x{VDhU9lp7;`Wu%I7a*d4l8Jk36wO1;6B5=MI;{{UF2Z)1~Exbq#^rVpLlk09<0h4g#dWvSHdpwqpVwWt@vj$=A zKhN{64R^-+UbAftj27t%L6Ny*#^O&?k8agVPSS5Bzm6GVV;qM*XHmDkQxn*%ntU3h zSb`+;RF9muAb+3bT_xs`2lg~pHWKFLWDT(oOm_Fry>d76n=AR@wNMZe0}iL&tXt~Z z#f75lR#y@A+Q9Nb^`wNA*{!9C?zKW`d{YvIv7B$WDoJ!IXKf zGuI@XOzsLd-axz>>wXvbcf(iruy~^K{Vlf#GL7I3&GSL#4d5TWj=zmq)ci}QS}?TJEk?o)`3F#aDMxU-8F{MM$z>Ik8gG_i zU8d#Vb3dv4{{WR$v5F|}qPDt?#5WEElBv$Y>;C}jr+F!<$M)+vk|Dnh8x6#tP&<7q zX6D`Q^t96Lf{7#;-y&lq_a2pO3KzCIet<3-85FxdLC7SIovQ}!6*pdNHy&YN8OX^4 z@u;mMzmHvgA5bLB&SOR=f=8#VXk0DNhUS{y{tuQ&wv3K*oc{nyR}EPC;?wM-Nu!r^ z-F9d6#Yb2LXjz!;V0}MVg(fhzBXHOt=bymUT|(*&PEE2Q5vk#G!2Bw{xg3(}9&$O| z8=Q^Yx34wOctcOo^=&@tO->g^jZZ$HfAy#n+|IG^z3!WQ*P9(}X8@vO+o$DJTp zO_AZz$cdyooRjk$bUF0)t!w`P8qIc#p;}v&wu$y5mcc%U+Nd2hZME;QUy<_=tvZd{ zbI(rn0%eUY^-Wt#mj3`xxkWLYI*?E0>CI?G;u|R9hDK1ghyprr#5Yr)N^BO|cZV(K zwfjoQw*+wmV;uE0O8)@ECsLhd(j3jZ=8&oRl#YK28y@7^p#Iir9_M1SIc9L2jyii( z{vFZn?KCUFsod={!?|K3mTqy6)~1%j`#(erie5??IZ`Z5d=+xJ-_ua52X|y^UE%(lw9V zYRs`TQf4^`=bocAYVP?iVUE#CI!3Ijz;-9Ey)l(fi7jS?#ir?!N4arvCm3VxQNiK) zwQ(A0H)}kud5C@d`g_t@=rNC_I%bJf;vYSl0eh7OZIdx zBbOxO-j>%|(Bh3`n$aYc)D>Vk4Mwa)+D@r=;XP@t-WGVk&y-sSBvzdMD!A7|wc8OJ zF+aRx^5^SVcfqgkAf2T2DTaoBqH{HX(1cRDW% zcn-$^wiE5iGzY@*EC1$MZGLLuNIG z4QShC3`2rFI`K_CNi_ce;WWJS8a9lNv?<==FbO{2<4}*Wv<3*~+)pljO<33To0QXR zq7i0j(TEwzWj%&J8lk0F-OYLSXOC%Pj6Vc>(ivY=({5u=wA;YaDLC4_bM02I^yG@x z-u3|TmX8h_BMv$IMK(P`??S!1)AbO}Gnn!@80k}|h=!{$dE#Li7$Ebs_XG8&-ND?e z2EVN9w}Vdz%%#|@NJ-FVAan=ur^n&TYdvBub4!FU z+nmVU`{a7oFNrLqd+DRJw_;|Wgab7VJB8afyjkL}4Qm!qTX=QgM2Q4)?m%6i*!q8n ztQ`+Y)a*4ocB^8|oG|gHAVF zyxvuuXP&@%8gF8d&k-fAkF84uwY$V5!-W!Z0qQf_uW1XZT-iROVzMYfxb0$DPg=z)DdD?mEkEHPG9;IaQJC{0o$82-?)ZK#6ZiC=v(lqO< ztHLbpq=V;~({@j8JNBBp(6T7br}2TO}vwzwe($Ru%}^Ze>fN8(N0$Csn&j}!$X+Ve)a zB>HBT#G2)e)}3#r>DJ@Oi7~YfFf-E?mcA5@`QX&_wPJ@pbbU&=_8q812@R!{<^KSa zs+LI#{LXR#J*!2Ak)!DEq{Anl=bW+K%Kjp$_<|7&!r*-60Co+?H4c+$aeH{SP{;GS z@JOaoxk}RBOIgO)T%$>aWBz~6E27ooeLC(7$Rk+ypxjrUK<1#Z)$a6XHn&#F*p%)^ zP;vD1qD!dt`}KR#MWJm&zm}xA#YXYSr+Smxg>tWheI3 z6A2=6PaJ!D`qsyQyd`fIt1Z^Cbg@~aL`5uZoRTrmpy&0Z)%49fM1t!6(&`x$Ku4B` z=4QbI1N`)%?kn8P@#NZ0sbz1cX_5yJp# z-W-on@V))*&C^395t&h>A9kx!1G}R^=zQkjVRGARk=&RXFCeiss;IsNzXv!aJPueznzjbHY(* zI%w3T)FWGah#i)}+1@y4Jie9=MQ88CM|g zJ5S;3NE^A={6FwC+FbHqYTC`H)4~%Xd6_P8zyyDuYm4y-w6oXlL`Y$cU**Zc8TF`a zJVmO0Z!3;B9-wu_UC_1bN1629de%8nxQ;@dLHD4__uR1uhHfsrIcu)zl5P^Q z^Bqn?;PH{`S}^KbbUhMdx9p64EF~-00m*{z8=4k zVB2XUN|-4c0$85h_UlZo%z6Vq|Za!dnENZ+g99q2Jl~Lh?JDByS)n9AK&b zyjHi4JSRVe^-C>GT1yo3Kn$^oNyB5fJvx51$LhW;*L8X1()Ae+$o~MlRXh>f>p<>i z$tBF7dGj$Qn#W2tI>4%SSO!rVUM3vhi$J!@i5i5I>oy)AtRSk&2uH;ZnpuXT?%PFGuQv2SdaQVF8q_YV*0@;;`GbfutX`L>)KdgiR_QpureQXM(ucu)GLQ;hMF2^Ch` z#kSgBleMhNv5*!av5wWZpvk6qjlRitf(a#$9%CK>?Z>aZ0=?ag#*XV=)0Y0#U8RKs zTn0HKsQfCl4`?*kxw@TWB3?|Las4Z|wea%zA56TFWR630Lc1{JdI9NPec}kNC7q{x zeb)ITIO&}9_cYeyV%&p$DKjwvmjfQSz%_3`@YU_Lr15Gt<-)_n;P)nwXm@F$#jHp$ zx%-FjDIAQB!mVlEDY(_{{{XTsoQ$DuqrM00L)4pqO4ZY&zKck= z*AmuCn4^#h^VdCr9`!w=X>E7?o2W(vCS_)3^dJ7e(zX0id1a^Au9>Aszh-v8F_1~m zIb-Y1NZCC901|u$V;mCL_dIYHM3D^k?pEp*VZ0Iv1P-JeAIi1-Qw6qx@aIg?uk7GSZP}z!PtH$p z-h-j9H#(-N;q6#n=(>ckG=t{|#BwN)#G3BB(#xz(=ejrFEJ-=~(+7Q#UgJ^J?sbsH zcaj-LOq?kL8Ldq}#k${yH4y_!CfZfNlCAE9|dtqnxvKA|ke3ClU*9Lg8cX+{4NkeaOjol9w zt)}R(YPP???LsDZ+FCL& zM|^YmRxQtlbqS-M;@U4U%)6O41?$cQXCyvN$d-5IpRRvO*Mj2XM4ni#gpRBMRA%<; zRJScVlj_=Sy^fpxu?@VjN~#d9bF_aFU-MqVj}OxexK*9KS|Ue*Mye0 zEKEcI$soql>sKti6zg2P+-b$+h?fjhqMg8>mx`r-abyjUsAu4SeH{NzS&DFWMm;dKMu7^ zQJMNquc+MWLfY!|%Ovf*ZhRAty?>o`x@M>0X+kccs6DxjaQVgw@7JYZY1%HA1*+<{ z(=4HzbAPf=VV*@ta{;+$l_OKU<7gBsmwTE%H=jYfSfkOdn&JzAyUorDka!3A{xySX zqgbrQ=~L(5oOBjiyJm_=Sn<^NKGm=Nk)-Li=1a*#Njygh9tj7w zYG1@78qVmYS+$K$3xO13lxENsD*#?l*)%DN@^oGdIvTD72QiN^9Wt^ObV zdV_wDVpD4vSvtzC&^2YEcIkoB(xP2+QfLL8-N9(pvJ@Zlni%hP7jU%u z(kRKuI2rBUpwJ@B@?f4mVyB|^=ComJYk87ulxB^_7z2*IYFn6e8!s|#GIS-dWQ=tL z3Res2Kzu+f31)~ATWD-_Cy(n@^(BN&wH=s|^Ro#j`LCOCBTEz486;}(HaRUh4ofv*}+lVB1$p;>!)px#+3hksN$6$sKX@ss8}8V3ojL56V3ctwD7sm!B+T=90a@j(c%!6I+ANKQ@2Ks&?=^a^~UOj(BG8>sBqq`^mXt zS0v{hD#Vi7n6Occ9P^Hpo47K>UL?J-w-DMyqvgS7>-be9x_w4gj$&Ammd`mfU^;AJ zjm`f6>Z`We4c3(tPjdq(mAbg^j?^v5V;agg+DHM%LIyKQd!`n&`)o1|`-;gMHzc0p z(x*Co_3U8983Q;j-N@v0tMTb~w%StP+(Gk~;xaNx{A$FRk)pz6l1Q!zMMI6{dYW6! zLRF8;wwDKYB=^UqTAx<7m5-Q#Nc-Cpj(X$#YSpHf2CmAF7%Bld91)LtY<$--6V8={ zjQp0vGY)F}5GA8|%E(Vlk)E|atKs9O!m@^A=5x<)OjbmXG%_8|a8F$4nnJ#&tov_b zV(XCKc;=mDr&;OtC(qtulkSiPbM-Y>Ow`7iB0a*1a!F-l$2AVS<3zi4wH7Xlq=H94 z5BcjsL|2-cqLqXap}Mfar)l%UFlW1z4l<;QWENj;Y(|YCY~gX5vv&;kmc!*z#9#uw zC<}>uEv#?_M=Vw|wZY=4G*ew!TlsN)<;xs$YGbC{NSl~~NXaF+r$lcpMq!*N+CdDt z{Jkh0(Pr1}cDifD5;Fis=z+$4@_K<(?`C`Dpg{2XZk1hvWV@tCyOky}WGziE>F9Daih{2CHon z>l3|~${u`xPaV1r)XSYc*6?qE-^?E?hT|aql&^FJc`Z}snpQiD1Aux8(0Q9pfnalz z&A2)1&uWhHCbf-|%R9RAIjqOkbsM)4+_K>Y5lVC(^&w`<_Y+NZCT7VSvRgis{Wx1S z{x7fN5W9Z!c{$H)VzfLZ9**eisz|$v;xfk=J?hr4rRc)hu3@=JcjIWt;*Ox(F>jLC zMJLz+V6trt2Lx4XYbBYE^>V6kaaz_|bng_5n|4MUB4QJiGsnyS8beqh9R=B=~6%NJ3>IP2c6Tcx^2R#?GobIyM%p%CdVmQp0MTDvQQ zfJaf&`TqbayB@VI>rEO$f;7Ni-Gbh{nvAaX5pLjmpXF9`tt)7GQ5l)Kdz#F8k^PY_ z2JpSP&rwinHqb+D5Q1XNz=j8?Al3Pn>fRr;HM^r@<=nkTt!3FP?948ej!!IoXbX;p z83cjfjw;MLh_X{80hPbJy-g>Wk*OPU!@peBYkQ6Ie8Y_9o%I4a^_@!YW9H1q401Eg zDv;!?mR5;+u0a&uq0l5@6p0*iDy)YCan5>kO>A^409MqQAml7!w zOwtwUyRfQDsfpY?kr9!G0RI45zh`H<2AE8vIpmsmcM$cNT!`g32dieX%$CWhMGCX+ zW^K$yLG-IKJZ%JrJe4?qdZVNyg?`aGhB1J0$2l~f;dWhzPMT>RX`?ti79))M)UkQ6 zMIq7cN~+?de+xtgu@J<+AAU2aERH**ZlXap&yu` z*@0$kV--oaptmKmj!U`ZRA2y8mNjVUl0j?d4oEzM)Y4emT%q{bG%_Whyl15pw17{2lIrOWV?UYt=!ElUM&0Oq$ zzok>u42@4zSc!pGJGuFn`qezcac?{eAQEI{Na$+Ds*_4%X*pF~arMq>kDCk*(;^bj z-J3a~JptSde`JsEWDmWXktCHAKH@pztK3f|&TH(_|+A`dsbXF|fXQd%MO1D<_f)dvfZQIv9L8Ye zls!teqUkz|tTIb+rfx`63i4o)oR#LXrqu5)r!q_!mpwCDJ|^*9j;Cyzc8jGYtWl>2 zW?1^<{{WtqTSC+0o)dpGq@DQ2IR60aR5hqQ$t`W7l1xh5LHp+wE~9m##HPmC^Cr^8 zv(M8MuNRi(f|4_WPg<=dvn}y(naJvU)rHDd*I#akk2n^`Ow;_CVt}N|Ps|7-x6+pK zG=}Ng7}X!B0CuKLtzBHgBAJ25w|Yw8_Z=+l8EIBdSp55sdRQJYaFWUkD<7EEXo;1M z;huRWoY5R@=V?7jr6AnZp7z1v3I+*3C>{QlYS%ePjmbMxxWUFpwP)P3XU#_AyJFjXTXsjG9^tWkp# zhGW6UI2Br5JiG4>K*vncV7Xq%tnhhqjjf-XCpB^_OJoYuXO1{*RTo)OUnqUxdmd?0 z;hYtiHhCG%RhXQ$Ce$K96m1sbUC8I0(Qj^JIUw&mVAQ%)Hwda`m2ji?c{#xM6`+>Z zms6XGNoD9rY!gqETSYBYB@aS*KaEzN-C_9% zJC8w*b4t$P&#t7&p_8MF_*H*)4*kv7&<{gY?jx0=5#XGhk}0vzHutcOcH{kG=YVR( zxe^Rs2yqx%U`o7c)ItiS2~pOhmKfRcsK{YN}`un7fvnD zJF-XyfFYcpD7iW3tu`pw4gCAoj+6mLj^JTEa0&b>#oOuZ94)8s4mOoN0QUT9WveL} zqftv_K4d$%BL~;DSG15Bin<2fkU{sST_bKIKb=;Pvgc{%JP}eivA1{OOU5ZZu#d~x zhEn)tZYwVJnkdX6^MlFFAk;3(8-KMqEOYm>&q|FWVhTAe&nK=;J;}Rb*vccDC9$3f zJ*wRIc78#K{QiyKHAdz>;dnhUP|O04l}}nXVm3TK3*R)*2?59@6#CQ?X;&7mMa*xv zC*~uJ)om^Atu9Q^hEhA{y~c>r?6WhIhbhkO>5yluf6|@3~Wk z9OU$+id&5`b&@5^bs6tSceymy*D!wY$GbhisUo(L;z0;*qbG6cRwnTU<@%5!i#q@z zW9f>sq1eFFrTyHPg_~=+>`er=WL??_i=i8UIL?1sqjel&9D;Is9`(_9g2zlt$BrFV zEs6_{PUF}Pn67&1M9=p}$~g6>b|NUPywJFAN&D4c+gu|J-OnekYCCh}t`vRlgw~v_ zXNf`qk;ZY>o~%ukEs>gkv$KU)VY!b%?^fc1JinOP3fNqCsLVlICz5{k7Vwwd7%bQv zkaLPwVa$cpOakA`fG*~5nNK*yW#E}Sz_}Sb=B+BS%F4s5diADX85S=(6ytUIBXS0M`qIfHleW{tDeIb&90?U>f!wVa$IR`?IXO9} zEO9y74}NI9wPkrAafXTZk?&1Gwmrv*0r`P62v05Io$eJ>_FaRZ_n@=$^Yh-PO+`Wx z8ubJ-Q*BU7CdMP@>61ga`iPG#?dEyBuUwwhJ;kD7WqCH1Qh92Y<3oF6w;3UFNNnb) zU0Rvj%xsok04Jp)$t`Vn$lC~Evm?}V-lcmRhL6mN2vFNt=b`UaK5J=w#FOV3IUmZj zA=X}IXM1nFNy7VkP}mSie2`TM$QZ_IvaV)Xf}DfvR#6PnGq!$X&-hgLT4=U`E&&I4 zJm;Q0sdH*JBzkmn;l;|LkaAdesBK~Y07>$|1mlq3(yqg5_j*E0YMEmrZp(9w41GJ) zns%J=NF;l5U3)U~{&=M+2&XhM!6;IshUjtM(ydz?iy&Pi+H-yE)dQe_I4gv;g; z)N(V)_p6Yba=&|aeNTF!c3?<6&CTZS00210a%s^d<}L7^xhs>!HpDI29%Dw)^*kD> zJPYMuDcl%sK9wTeJmfT=cyK`MYG}^==wsdM%{$zsxR%<5_NM7-^N@F7bJne~iW}#K zWNm=$Y@T@U#Z}T%W~m*^MmD1y=czu`zp3aJH+G|1x76bgB$$!`!yj@x)X1W}o}Z*h zWw}%4`B`wRLYx6u63F*^?spRM$XT-4>soW>)ygb=0p$0r@gzmD6hw2-(qU_kj@^}T zqn_FRwJOIi8?pgBA77CyE2L=BC3T3^l?uccGjyMh4i?&0#0F0xP z*z?UcXi?*08z-)6Tj&``Dl$pNb5&<81B4wh$sfw9%m_AcayiXOaF-E)9S%qxy?Ppq z$g#1&C)b?*Rbj3Y<{<7#KD{ZHM3OjD6q0gjR68B4z@FrDO0g};^1_zSe)S{TrLG5> zy0x`2vqcsp;1*to@T>ZLjmEL9!K3N+{$#gP1XTk$G`g*fc2nFz<_3-lOm86QduQ5~ z?5?IeVlWku}bf=CV2^`UA@ zX3^U&+iVnOIc{rZtu7?CTNtANBJq~s@tpJ4x#YcqRQo|CB~=?rjN}hm+qv<)_x5(y zaq020#xU+z4N_Xz&6~`EA-^HW{px*>mnxWX^C9HtBCJXk<2%rU*wKALSGkz$ zv9TyPrdwUivj|nci;crPdR3dX1LX~zcc?4{xW7hlep9#%dR0A%FJ2&>gzdpmf(NZW z$09toMsfisnz?mvr^{@&R(D(Xf2>k@4gM8Zb~3s88kF@K)sV`L(y?6g_p*9bE0~nA z0f`IVugxmk#wBo1nE1{*(;^XE0#SM&m{PsLy-IeM4;+M*-JSt8X>JfpmIIoBh?Shg zobK#8QUNYlY{||EC#5z9gwcmUO7I6#c@;w9U5a80*S$#_%Gg=h=NRD9%?x{YDJPzD zLn%9z<2w@v(yr*&3b&X+mkXa<)GG${Wjqz_>MF*cEHEKNv;sh+yp)9XkhhVq+Ay*E z{yplfk&@-WIBuCeD&r0NBuDMe?sH9+AIKl2{6Wpg7E_2TZ1k+?>iTAh63H)kl z0Xvr?B=i*`THDKQ%xcqT0g2;2wC`pFHpEEaG8_;`TEC)b?Ixy>DsL>nDj(uB>m585 z*dg;Bj~>*w$$4ddEu^)QHwP;wXqMyF2Ir zMN6pbI)tdNCEL3w+QrUK=UK)4`CQ2y;<->s4J@Cgc*p^%bLR z0!N(S9>$<9?nMXOD#xMCOeG93RFADEn6Z=QvYvQ8wEKeyjJ$Ix!sL_Mf~}~nB8bD0 zf@+lV5xAA)=9ngsw$m=)dY+Wo8!SS%KkZXYRDl$%cnk|{t+>&rlb4tO0`{0s& zDn(Q&gVcVtPFjiXGvx<+8ql%O<+>hpva+BA<-64)t{;X2oDxq;>0yLCDdqjrfNu3T z=970}cFaj*Gh2CZzsMWrC%LL~#8hk<$f9sn5W{&PTQzyN0_IjK``U8RqqJW>eb^9Eb-2B~fNmt5Ez~?g5?Ds74WckB2u=y6 zS?MraxSH)G2_VX@>Avt3B`$RaX)$gTeXEf1i_dYeJfZu~;;Zm>zW2Q0&;I`LVyvRx&_NpcGze1>E9 zNBCDued60qHq*<{gEX=xXLjIZ@Iatfb~1FWV(-J(GC>YlX9EX-ewC!!qDl?cq>NO~ zpS@Fpq>eiF{{RZbwzr#Cl2ZeNHqzx>{(`k_qD?cwv;DbMaTj+iHlD(?Zl#jxL{|#f$l3|$dsGwY*LG7f z-IW2lFY$hKBAX)9Rqrjn(y-?lI3t5v3*wDTX}@8H>CrcTs=)aPXi;2g=`77BnyHM(*n_|xm62y1^~J;4Oy&6-J$=5kkdwIh65(&sNTYTn9nOSt z>}y-gYZ=z|%0}Of&Gr7ZM$YPOCU=RW=x{;jnv#8fW@R$iumEiinCCs|H+Bt@OX3Tg z`^lvdW<^}N+nk?F4*vB#SLv)6pH#IVM!;>50CFibe+@O3p%$|=%J%cNWJ35VMLSlH z_mf^P5Uhj;&m8mCsgrYAbqx+xI!dF(!#YjB<=bglMJu72K z@a6u$rcHNav^*+Y0^G8WwO&in1SZ^|^MDy+LIzdTZSFKpK*G1G@vsGU9-m)&xofE2 z+#q-*VyFmidE%kBx3$%7nk(YKxE$lD{{T6zhex*4d^u?}u*{^&q(>Ha9(tZ>>OIj? z%I`_FwU1g05xPL?1C7j~hdKAGeNyfXb*8s0#zn!5wKG|^xYMp=zqf%*G7%(!a6%E= z9ln(&t)@1O3_c{8+s&CbECAqO`%)I{evPE+5L(W44Lquv>|aJU#>G(0f(8{{Rksmq}Gke%9bA8`3g&DL-1nOBtcM3FVTFAPjeM1t5BwM#A63 zkVP$x&zCrV-==z>QR!M9Fp=TYt@Ph9TID`XsU8_{M_*G|*AIQ++tT)E+gVB@V+L>GN*GzpE16%?i-XjyX7f*Z_GwENnC;2u6}6$~2ULs49K zaw%?`Qnmvek^%SbY1$=>Q|Y%~+7^M@IP>>=j(Dfds-@MGaK@z(lV~ya`cgwMuI=VG zQY?1AD*JUmja$=n+4X3rRdEckp>H5qpCf)O+E((ftdNsY~qPJGe@4iA=6!Mtv1#5WoE^Y4ZL}Ed11FL=RI%nVfDcmTyxVkwPAB{ti~;dLn!H-fGW4z8^f3K z>Du0(=A)hKj-x#}^yY>j)$R2wD^%4?0esaMa=a1KkIVEl>n{;%l0hYnyADWY8+j+8 z=B0f~(@_W}jh+R_5wx9i{Ho@K@b_A}bE;`}kL=++u%i|#bd zD&Z~#zTfnPJU`3N9RIE zXK6oPl1OE;L{niRgbi=5__JVU4*Usjgo z*aF01dyH1sgCdReOS@e{Ag3N|WyUZ^Z>h~!*ECy=BTu&RG!jM{W48o#Be&&Mhm0;Y zNE*spVHqHRRdJE-MFDm*Qq^xnv0Ig8g@N`t&#g}^R~jYE7c)ZB!zflNALbeFlhUij z2);4eO&}v~kLEsd3=g>EC>T62?-F_M0{ zsycVW_Sg5tX@09TNX0W5Y~!49(x2e1BgBJS8a?irE16XNo=+#L_v_NTn|%&n18Smc zO+q+kSly(JTX7(YQrHtYKN4sH$Kmag>h8a9HydO5ijt?=xNAEr>Gcy~C9@whn3$Y{ z?km0VEuV<|Qv!I3%Iz*8wYmv&8=T;taslMvamRB`)MD_su(r9fyaMV~W|>cB8Q>1z zg-UMa75oqJ2U_r6pwYOM;D$1#9rB0hI%nRc@n6Kd{{V;rC)DMa$+vP=CtyZN^)-yJ zCZQunjK$axIs3%)t#1zaWvukM6J1QpCAnyeFC*(oiLy->z*<*|8Xauv_Rz@`;M|F3 z*mi@?KfT8}_Nsppt@IZ0b;|f*o$bgQ7_ce;9N_o*)&{L*J*o>yCSkTfMqB259FBeJ z&Hk5lX`@Ke_> z)vGa9Z!JnC`?Gs3CC5(u50ks@wbNBxM8n5Ah3S0QX<~=t` zzI3=!Ov@<1)ZK2O;VFH_rhTFT04DfjN zt~*ii)%E;KZ)0ocNW6ywC5b$6D%$8xr)rA;vyq~>!wsMkoN|7h>tf5qHs1}rN-jn4 zxJFF*W3CTT?e(ZgH6r+f;0ygP!TMDCv>sld(Uy27Tu6XmVEb1WYTAUit8XR5fDW;% zoM(#M@h6J4Zxw34+w$GJFFssh@~Xa-rJ~&ULVE_+byRrnRIpv#DLCVguk)rOF5gm% z!rmY{M~F1(XJ0FRWf=RzjyrZgjcID$EV940i%-+6U1B8pn43Fu+zQ6lb&XHNy0n^> zq``|ILB}`)0OqWC0xdXd!%fv8l4P8e5+LPC=zq_>F`6-W0PBks`bFBt3;y(HmE-*X z0EIW=Cx@ht;?`?$r^}2ZGGKB$@${z4b#dXV*{tnu9I8$G#&etx!msK&b>5?({{X^H zvB@R8b8Wkk0U-hCe?R3x4CizR^$V>=T`p-PRs(t$9-XuGuG`_)#yj5u-Z=3kgcJVh zk|||}f0fv0J^FM06_AnmUMQ0G-boVX*o-dx?l?FkckNhut&PU2WLaIAqMey zwG3OU#}bfGZr~4dTQ=IhvEi9v)NL%1RL1fp0hPrq!up5&AvfBefNph5sG2*AHQfYE zHnsuzxb8p3xxF)0zqV9F17xfLQ=Ec$;=1i~;wG!&8)Sl6Ryc^zV<{uGM6*vnyQYjiF3h@3{t^091keQT<`wD7f-i8^TaS(V5VD{=|I zITbIA{5xRUCAOIyv5i4nErF6$diSnpLXPEiOT9Yk4DOj!W1JEPKj+q)+-EhYXvukK zAhg)>Zrm_>VAhxROqTjIdYp1dl1AZA9P`{(w~G8JsrU=T?PsZ^WU8x;hj7}!5%s5k zYAfmD{v=n08~2KTgnc_x5ud5+ms*_5quk#&$f{9`5sZ3{^p-+<9YLbiz>*T+$gZ4c zu~FK#^luAT_?uO?@g0`EZv)$pIpCZEWZTZrIv=6+rudfab?sv6HajfekIh_Rg(4?nhDsk8*%~k=hD0FD%Zfe7Ml-_G+3AI z?HRXd+CX;)2Xh5H3~|p|Ta4i}Jz{?jOk}&W2Pjp8_o%M5Sv5=JJkye!zDUX8eT7e~ z+Don7+)m)1v<&>;!}J5CRns&nBhqf8yhkjU@>u-5f;m3jY3My#HFR$X&1tS3RO}FW zf=7&u;GRd}PVsJ^rpK&2*6==AGQ=)dcYI@|U}|0})pYol!VC6PY^ymL1oj5DG)-z# zXAYU-dBkPdV(TAs{EYroUg%6T%^p2#NV-T&f?H<7^PiOTBB9c?-|PizX`nM>0fd7% zBD(pnd@bP%SC>!JR_X3wA!SBWk&KLhf0bc>!Ze;S4X9Y$sFGy;>Nm>7{SSJUE8W=4 z)UK_*)VEVc5K8%6kU;g$cs03W;214oUlGG`9I14hfJe&?y+P~iQTW$O(X@{UX_nVf z2bwt80gc6Z=t%4T0PC(w9cNl=*llgD{J1vlNb}Hp4%GUN?9V;cqEtDPA2SZ??O5MywY8o&-Xay-9G-%#N8#`7 z9Z4>&;W8Bs%AAaQ)cz>Z(=gp6I4&@t9#;b#{c-wG+h})p5+#U5CB2(|=0o>D#_z(T zv(QjWi+EIFvK;&M=BVina&Ml(MSO+arA9&R*R^%u4!m1q;ZGFXKC={4TRfp>Nn;EY zXOcmwD5H0x&8OWj+JIDMz>u7b{uNtPGa25-_97c-EKft4?|fsV>6ZG9#kGuPTMbFp zds4%NCm;o5(*zzps(%{%DZIY$29@z*+TUyjQ)zMYaKraqfA&hJmMQ zvXyz&Bin~b8ClsDG*D)Oss`{bW?2mJM{U0oh&UTE`?oSw(OKK}GJ?hV|YYinDk zXjcrb+#?=O{{UW}qG?)|o8knSa;btO4nKsBKDA3qxBE_!EyQrT6>I{>InPWQM)A$f zwUgJk9wdALLOjL|*YWxiyWA#ipMb;N_)G$6xy*Yb(1e!N>Z!hQPkbeql_8rX~FT#4Bi+6S})Z%ms zBPTm??@4)gt!dGvs@#^2Pm}k|O3%wi`55)C zPT$YBzG*iG4U&Fb`&3+KQ)!{Dtr*Teb?kY`?e9sd_+D)XM*h#ZlW<~ok5O5ve|2Rd z%WrbwN8SUVG(NX=smCb0yv%{HxykER7iT^8eMaAEF?0!?h^K?kA6nnF^DXwtI^>*W z9&%}rL2jxRX;iWdF>b$|K1tH{F$^b$I9zoelr0M8E}dq_Q<3!KiDN3iD`$-LB-VAl zn;qrGLEZ_$Aor^}H-@ea@=nr&8n!`r$jHWUeJT3PQP|8=O~U-yIO;_Yaqdn$Gaiv_ zBeq9#BXC1-57gE*+&A_*oQ*2EmOQH~FBtU|t)^<1cQL)Bfww0h6Vz75hc250t*Pp$ zvh9h}V~$B-fO(}W-s0vtjZ(|YRMcU}R|BU^nx|{6snpJ&DB5=cyRJ|4tvzz+Ua*1; zBw>cw!0lCE!>rRodkAIuvg~=?j^E0Mcd(qUZs~p-U1L^zX>~ZEEPm?_r@tbbi!%zmTJj%v3C^)p{uZAH}tm}t&e4^De}b*)<+BHL6D${dhWbeR73fBj#bRZSp|-opvn zr{>x}oi3{Pu(#O`=;J6h5ycNs<}B(G#tXu!f(hd}$K_co_SMuQn(_OQn9~Cu^=1cX z?aMP38?bP4bM9*Jzqc0Q-J@2{7;NYB{I=o{n&7Z>_w8>4zx1@h+ zECm!fR}IfUU&5|hX%P6QO)@RKl9=Qe1MdYL$^NyyWui-?cv|}E`o-ghTm%x1GwHJUeG0j?ak$^~vB%hbmin9bu2va9JzVPplYG_wx zPLFG87NW6gvKV49F)pKk-sk-HtG9F7=!F8^8B$1FX(N%InXL)#G;u0LX&%`L`?CDm zqQxWDe9K7@8FRImuOg9L%*cXx@8DT*PS8X9`_$3F0AV;#+3D&lO7lsRMX(X!WN9A= za5AgvYZ_*MIbwEY9dbRX-%!2F*Opf|T4}zvjJDRpAnQ@VqouX9w^vfMOwsN{amxYJ z{XfR6rlf2XToxNP{Mj5I!kvHOJ1gxyEj*Rp-3~c4x{l^6>vu8R6t|FJzl@CJQ*8md zlM*)Eo~=dAqK5LLjE#$cG18eBo*n{_%zjop=78q8y1HJM4wS{N!^|5YbIv_$Jv2#f z^#KHOgho=_`hl8{N?B*s0i3ZRO0myM>g+9SblJwIE!r?uB?o?ueb zvJ!B4Z2DD*Z?7+8BH&3O7{=$$GwGVUBg3g?NG(W>Ux0bfy;`)=EgoOB!sPs zrApjaWvwpr`#);JimJ{D56>d2>)M6pyKwff-l$XrF<#lBz>;6H#)Ov~cg{N1G_<;o z{9B7=P0C61!1eU40_7Ld<+ivGkR(y}qz8(>1?A43+wB~q1O7%TNi~fs$`CIgkg*sg z53NU}O=ow0v0c8{PYmiS1T*)-Ruw&*fbDyOR2*;ZAmnsx6CxQ=AOg52R z4bE~2=bA{Y&>W^$9CJ^W^sqz2v3PI^+pCA*bSNaQH) zY8zQZplA5`2DB|Loe`%I5~|oO^`ogR-IJLjgs8c3k}wWG&$U50fI3$ug(R8;H z1C?Ew>Uz_nw?%-kW0E*vDcOT$dTpHFH0Y#-Q@Hw8&X=l39+fl^SSOm`{{W=N>x>at z(cj%!Mq`Yftlu)8^|zF_L$1J!-bQcXIZT+s2?3Uz}r{ z(koPq^;sS^#zO!{2DCKPnXKc2THLwwH1Ch@$p6=RU{Qi;K9An#pJs%Krd;c+4UzD-pmBFID?TCcP>c9kIJ&)3$iq)jIcU+ylK;+eFH5;pj4)T(u{Og`GS{g*J z6rNDydE}Fw$JVO)1(_@sj;O5Y*lwGLKmNM2f2c`e5-e;2vu!6H)n@+yQn!{EEt(_d zE}x$tuHZ5;2dVd@E3(enE}|h=bH+t5LH1BPPkx!Sq0G7_|b*onr*+pv6M4=pIvxAI!8e}%cGO)(`j(hj3w|XV~43bL-2+`yc zeduY9_cI}}{?W9K>H_5RyXDBuR&)>$8zkrEV0u=&meQ=uHs=A3`1drwey8|16=jm2-=SZR((~-ajwOrEeblKd+dohdxPVZ6$R%MOn zK1d}=`F-iwFLP!$v()UdWL7thJWNkLDhoI*2CHx8o#@O?6m`I*@SWV+P5ie}Tlu0y z+Umovu&Wx6iZ3L&cx>&XfNkdyfW#U}F*jm_`fu58OhvL8v-5fy&YjvvDr908h07C) zvv)1k)WDY|nX=5(T)_h59EQ(x*EM>Q|<3*Tr2$ufp4az}iBTAJ?05de4x&7YX&qK4ecL^1G5 zzz3}scMf73o28Oao>)0QE`4bsw=W|zz(WtXO(gO8QyAUD6elbWaY+TsM=zZwTOf=U z;QeT`4to|7;^;~mB1diwX=&p6NF$O*!}TPNpIU;#5GCAuj-+)5(zhVfe$#By!y+h* zjpgJ3^XLy+R$}HZ*qsgIeMeE7F1$*+xF@$AtC#T-Tgj~NwQaB}=L4O@`_%f(J1ySb zX9pzx&*H^UXWJKHz~s}jdxtr1NS4nsOFStf#}Ny>j{g8!&bqmb$YX%u9-wxq1+SY62j|xnRdz!o z!{oQ#z$ey@Q8^8Q0QW?`dG19@_R>t`BAz>9qmot%79*D3mM7A$SDvZWJ&V4ib){%sy?26{n=RR#x>g38JAQRU;s>1kqT%R@<3__A}dRIsP00?fa zV`+r8Nz8-&V=gi2iZpKkYd7<5Rgps+9lZ~z$*-%+crQQApsG-!`CnIM`JP5EIKW`F zuTe#LvzxZGo#tdnTL?PisjK>an=y9za7j5WUG|soyHK^e7cXMZ3ywo{Q|c>Wz856X z6iWqN&AT8FbN)5;G(0QLsz&uC82rx)uN-6)rEhD0G@Cr?Xg{#RUx^k_=K&cq0rfwf zW!=R)-5aABB%Hez_4F0$68s<5VuZ3>$otzQG+^WFR4+amYSwmat7soD_nmtB^{T1i zR&N-4wIbio{D()4vK&OzRb-!?*XD4^q{(*>b%}meJn$Hv`Sq-st>p8JD5rNkZm#vb zC#l;^@yljV4w>gQld1Sw!+GY{2N(ska2SMt{%$09v#!VuI4$mP<()j{vCWKj*z>Nf8;0 zkH^#6zGk%0QOM)i*Sa4XT3I3Ix9A?-B0FK8sCB~;L zHoz}9Bv(6DHjJ*zad|=fw&XW)NR~(UlX;_RjPB3rPJqX0rLFbaDRvzI+~@jKsT8d$OSpzt%AZOV z?q=^{t!`sDmm{e;J?XIF+{nO_T5(xhv5?6jZN!n2&QD6L)^8kyx2fnV)LqQ|pxD+m zm=}GjSOVv^c&RlV23uRNv`Edf_*f1amMbY;N!!5n?kZQix;Cq6B&4cmXk(wq)pALP zwIfLgjiXljgV!}@N=W61naeDk{MqYOtUr2WGe?DE!6vOmvfPlTc6*;%99c?fnsE&l z+-)MI`<>z%l{k5IgMZLQ2`UkHjx+7I;it2bV4++>DedkplXfJTs{kF}U7 z0I&GexG;4PqS|=k^CCR-G}#(yVlervH+;t@jE_pQ584&CZ6|*uo|Pc85-TL2sbTWB zUTEZO&)P2LTLU-D2`8p$8|=x%pX&!-tz45$XzjME<(0-s@9Ra*oFr|<> z9?^Fz>10bhkpK%i;Z8a0T2W}%R`8i6ftLpa5z?zzK(;ci&`s{W$o_S^XMHuqE?JIP z5W_u1SF@25tEquJOcbuda(U*NYb=)65zJp`&&)kVY00N~Pr66Svl2P}bx%>ZK#ez5 zUP+<(Wu)?r89lt7;?go&Y#&S<#*01TF9**M8 zW)lz{ik-|(J@HGChqgjo!{NL6UsN|z?rvm_4_5D4x}=sfO^aK0jd8-eZa)0g{X;=E zS1Ak!&GSzO7~|j4q|!V&3t!GJ5!DX_vClZ|O41*-DO+eV-Dw|giAYwMuHotiX^*7c zTd$ccWG-{N99K(dT04L2{e@wVgh9q}-k{cH6WOX;+WhDb$a|WJZV{~IBLmO7jQq~S za3du4r4c6Vk&sV0HKh)UZq_@OM&FD&lk$W8X+N+_PxeR-T1LWxa!K?Ro0g|ycSd!@ zNQ&*W6+9j@MYf-Da$=4+*}TJ#lyW@^z1>Nbv-7n*D1%nGsNmggDC?OG}D%U-;lkCM_v z{hFJsyaIXv?6hl>yF3X zlaO^jIPkB*xc>m*9~PJPuN;BCX2d7HV zzVO@^s%)>UB9<}B0~jEY?NRMj%28+L-;X{OU*28Z+*?LMNfBQtE(jQ}H`Tr!Txh{Q z*Bs11+Bc3t1N{DV`d#CH*~drMV|%S8z(oCgrCr63Z>4@UUfVr##iE+?KU4ZS5{T+2ks>nC*a=<-UTg+%cZm*^{)cc_Wa0D^J42N8-C- za-i_rc*)#)Ri6@gKT(fbmhbHB(8x(v#y)KH`qr1ZE+#FNgdR|KVn`T#^r-LbZ6ILK z%B&b9oVc5JIsSE5uxiPql165Y&Q4GH6=zM3boSt#%RA-RKnT(^5a7|{V&h{?ubKjn{n`~_}e(v(p>C)yF!!}94z(0jaV;L^Y zSd!f_Shm`gt1CylzDW1>scpPVJ(`0A3A`NS^Pf(%UEFBZk+wF_#1q%rv}{AqXT6u_ z1mU{ZF1qtccjrwJUHy6B8tF7ElxW&CUBi>t)|`iZN9G3@xy}j09M*Kr2*2A9B})*d ztzO;0o7ZPJKb>YraL`C4C^rVm?&q3X*q1Ap?4-Z8oXZMIp%{$%)Y4wv$Vqu0Kg2rz zbqQA0Vh3sQ=U&UGT8?{rh<@~Rkh8;pu>_vpSxND2&arkbrT0k4)1!aptB3N zRGVWIJd?p4#aq-L%eP?9z*En9P3lW`BbN6>vV_M92bOo*e8bBAHJs`e_DJJgg=}+9 zduVQLRh2fj@KL+d?xc82O3l7TJheOA7UNzIEeyND=cvv(sN<60ZZ`9=$T>T@)txqL zi-~0piIiN1VV}~OF=-|tlzVL$$@Zk%DA@4!zP=sS>~*-?X}6QiB=ApeD}Mg~{uLge zWYn#7yO@l!nI*E8KfL7R;l8!WTtykS0#+#N&fIfV%yBe9v&lb*s1kQ$^}Rw1i}|Cl zLL(g;*Xdbe5QEC{iO9(&r<@dW%n2m&#M0s3Av*#PoCa?7Z(&G;`MyV%4+GY!v`inK za(W)Qtw?PR!uc=)&AYu^VYEiJ0VMg`?-Sar+wF}ocwl2pMs10mGeFH7lV=h5lxjg}>E?9)deqXIyywqcoJ&~zU-Sbd= zo_PpJ;V-*^k6HmS+APXE*me)zV=ao(@&m<(QSzLVS@2jJb}qksoDrUtt1Yai$ay@s ze4e!R+)q|yYC;Jj5k(mdk{su)QCMV(VU9rKn$$}se6;Ym0Q&W&PJyIx95@-{o}Z0V zcRM5BI4(%juyjM3BN6KKWCUdJ3;)bv3=o5rre4GkWJDpXWz3 zYL0N&>$-{IFh&wK1iNLslk_x+870y)d3nLlAK_BTZt_LJC9{^ns#2FTG1xfZ8c}_6 zpSxZ&!9D5fHtsB;<7OLq&px@UkjLf3O2_js$*P7a7==8NGgqu0369ATh6WUt>q8`c zh1}82=OP{tUqe%Co-R79URB+kVnjIeM^p8t+$3=2V+491dd0l9m4jp)mgJuFZpv(F znJ1Ktlg>#etu^5-DA)-M;AHxmg5FOq;~n#kO>RbQ5;b_^Vp9bA=dWJ$F{g61^i5;_ zi92Dia;^8jDyEJVx9uk&^Pcsst6o~`4);2W9quwDKn4%KDyErgZgmxaf$R_I#Z~ka zw<8wP$g1+T81ez{Q(K7wZIE!knKdQdtkM}6h7P3gf6o%29`*9^n$gmctXFwV76&Na^etIPL#f={UGBS(x|YT>)3rr;V-#(;ebU5( zoaT*3d_`Fx3Z!Fj9sdBys>?IEJEYG&GeZdsl1x6;{{Z#VRz^{S>%}@y3le!G)bLvg z<88o>IQ1r}5N}7L8)zCmPfeC7O6P0v(VtIq{uN#gTKdQ}n@G$HV4nD`j~;lw&&2x2 z+H5yN3`nuWvPru=Pb78fPvcZH%{tpyl0><pj&MMzvbJ_#iCIH+z~3wUC(NjI_sxq#|@2dz^!FB`_*TNRX(yBX)bKB8{r zlCfQ*M6vJ4=h)STMT1U))G%oj5JH@s=dDFGuh>eqFj#T{JXL3g;{3B)h766KIVTjK z#9AkDe++<0wO2nj@y6tjc! zW;BL3@>Nr6tDZ+w#Za3atlT8`l6`?ccPk%|^%<<|O&M?GFh!Gtf&t_a{Hp$epMK#2yZWynG4A%&g0i79qGDl>}a8GapnP%bDn9jU6w9wPCZAC6o!klE_h8=1cZ3JPOIM2*aQ%UMOyOP*>H<6icrd4RjDjfd+ zoYLxAfz_t-FfgAfC42i-3FDR~XWfM-=BcdXX&1~5pHe6snAJs2Iae)RVL8~hppbm6Ti-2a$hE)isb3nB2*cWWq3W02kTPb zVOjJYsX;CM)Yn$AoHG`VFgXL${{Yuc(_xC@(r9n3-5GgDC!=~`RrI!#Ns8NEL=J_% zWMhy{eJQ%5+UfvaXn95t`{8DR}qC6dr$DgUiXz3TP1B*NO zOxDtJLGDFb7FtfBq-}ePcDPq0$U2H?l+S2%>uKXvEt4T0o`$MdurltU;uW)kTRjPy z2xX6Ba5J~K$gElP%j>9Oy|9eDX_b+SXP^H7SM#UG43o^zx;ET=rMvsnAl4<(UTa%9 z0~cUfk0SsALH)k)rVQ7L6^>cf%|!vKf>C zkTM8AhHIMfjl?$>Hg?}*1yVDaBm;0c>4DJy0EKLLzUy7r#Ph`@%V%yd9ub0A`}L|i zFNZZR5O{h`ENOB}I=Opk*XH?0T;t!@(v{nGDHz&ji*YWc=CcKjm~8}pbq=GZ+`)fw zX&RhHPtJ!U^Qqyup3>LLy$u|>A%k{4*r_cixLwK^fc<&S;Al*jb2`|`EuY%qnTx9Y z`@8zombbR#tg6CKL!PzJwYHaRrYt0k$T~OO_5T10%hdE~=ak&(miuC6CPqJXTO9>A zxNbHLTTRuZlS{aPk(iLGPfU+m-0*&b{fTQWjOE=L7c9hQj-s$Hw9##+L#ea@Zt%*= zKZon;af4MXbv;t%;8=^<8KeXfN$c2g^`L1LHCrJC^QZ1tEWd1H_Sm1G=@^Pi<$)L?)*L17ez9+A6qxOC^i02--~QDwmHGsb;?`qjL96Q?6pK=|X1bCz-6}5zFb+8$l)6`mMYIM8G{~E4efD3UaO@9Z zO_Yjvuc`Pi#4}sz_hL~SU^8?+_@<3|X#|&d>R)^1h&dzw0IgSKx0}S*uM~wuvu7OR zX~$}o$H7{To1$tL`oV}aax8Yx6PA77#p%cOq^?fv==>#P@Q%mq-X)(}m(4cpB(`Ib zy!HP8J+oZbiXye~&ao`INobi6{g}u);B@?JpG6u~*ox`!bMt~Y2imsg)8NyDTGgH0 zpS>Hh&BC7J2ADH9L(^oqwURrBy zBF7LVzVTJh){8-JsDll%@({7(k;gO#Qns;aacQV6<;lujB9(k~_0Re3S0fr-t*gan zIF@Nh-q^+mr8879%cVe&%w(8D%AR@6XX)0`!Kf=q5|wQEoa6E|4WjGl@=Q{DQdyL4 zkujb{Z0g!gxBMg8exti>(=#v*akzF|br}Bu8iENme+KJKD1eR7{EIRSl{|y({{ZW$ zf46n}o47S8bniOXc)}FU4rmq3$Gp0_xmz}E&$A>c$OG}KnoY&Eo!ddKt6`)YOD`j( zbvnm~^o!>nG_{9eG3I+{@s&Ne?la%sxy@fryVYJzZEcE14KF{a52ub9QUVDW=UH8XYlGo<*6=tC2EQLtW^3xUt$S2W)Y-FS~onWCHb z$V8Blob9W&K4Fp9QnVKW;l6nZCa(JgIB%rcZej@FRl%=(;czzZV2{0YaZ{y+M_@(>;V&r z3rIbG`qk1!b7g6xTVLwBO1;EbVnkVNhOE&l)s2b8Z4r2#@W z;UqkHRu}8kG0Ph>lWHHFi0(e?SjN)g(uKaW#NlS zH5Svg`zfFaAm19O-Rn{|&Sz87=diJhSF{ocjt=%1YAOel+YF?GQ{*M0OONI?liPH>rEQ{uQP8Kn5@`T z1C#Wv9dAXK!rG*I)|03|oXV{6rgtN8kPmUdtxXJF>0Wi7uK_vvt>g>IAK}yWsP&Cz z*)C)IbOn`@_h)uH)m(N%?)-1!uZS`(nXO(;a#4%KyT0)NXFk0%S3EG92Du|x_>x7p zhBaZo%f?s^0l?>)x#6D;=~`6Z+t$+VMj0YT&c?_80A8?l>lf8L(F{Mi-dJ0A09i$962CBC-Q(o2=gFk#LYsXoB}09un*)AZZh$fMUM8)tClM{ICU zQhm9uo*xfrzYeSnHoClbM(hz2#B;e1QcrrOv?r-r4-@zx_*yL@dqy|$0u9da0Xg*T z*T1E4x|O=>dcm}1`I`Ytj=AHlMdAxt<4NVYmJ*^|Mufx3W3mc{Ea1hh1pL}@Kw%*rC;A_GsChnV^wf6d-47?!Ru08yo)%`lO}w< zqm2ImTG^Y#7y7;AR=T4+t0CPZB!pufGClaKvcqRI$4=E?DGY%5Z5ZVmkejiK6gM(y z{{U%)S&GNGi5SPdY)A1P%G$~;BGbdm6~u89_Q-}ekURU-nw{R8Vd1f*+D2SDm_(a~ zT<4yj{A=Pr z5knoMO$-sjtX>$`1ZS~6l}kml(sk)n-CUJ;`2cq(wtH7m;4g(b)~~EVsmZ5K_I`FQ zgl&N190UG)S3TpM1vFn4>PJL_%YxqHYQFp)I?-mv-O;75O*&c|I1_S4epF+~J%Ig9 zV8w5!+jy2Zp|mWfKbdooJ$h4gNcBx-&gS;o^hqe2teGUQ917mhd~4y&J!Y`hbaNfv z&7tDtx!`1z(~dt1EX?nznRx}*hP6pxib1#y%1ZH*&VO9e&8TV`<0@N5u13nDuu?-JAa>A;k9ecb`q%xmJsfCOCKAD z*XdnmnP;zEcxmrI zeQ8;Xm~N3R!}iD}&Z8V;6Tf_M3rnS;T!a+kyP)w94kO>^?A$LimMsd#~7D zL^dkL98B4Apm0t*RzJf(hMI=6c-D=lJ6X;gO)~PRNF*^nnHa7ILAuto>kD04(o(GN znE>uH-n}B*x3EO&=uPb757^sU>C3fEQfB)UAoyw{i+0{}8lQV-Lb)$t~SWutg) zJR#-*JU0yck-^CH1a%+dOE0__ zhLlSbh|4NQxCHvtHK|H#sm)zmB#{+&P{*7eY9f*g53!s!K_#+D{OaF|w9D%aX68FP zck+kLyRO>L)7n|%mR#jy89dd+GG#PBMzpwtQI2H*qb9;nJ8Ne0?)$^{Uull!O@{%w z7G0p#IT&mx@%$*vo;mcdLF9@a?9VBS`k{Qch*uIuEULn%9^vCwpcu8WO4^ ze%<)*LXM?#+}!adqXx5buLCQH*J)tCcyt6G^U|wmdKpo2FRh3T87YH+J?lG7hT_)2 zF489|ppr?>J#$+R;&fd+LTzy%O@kdM9%_88-2+HFOtZ|XvwIG}AcH;b~ z0nZ~FfIp2;)1exz#85Z|sx}W?~?`e4iVn}Dt z$uCgY=~K$nE7~5L@Y}}rP4M39!~XyhJUK1gc2^!{oR(~>H*Af~+k;eoJ^s>Ac&ox% zcf-#C>5XTksXl5*qjNt8JaNab6~xKo*41>ur!9)xt}`>oo^jhAwOD9yc%M%4H1$P% z?hU&K^6y6^nAJp3v6>hh4MmxvZl^qsD`NA*+C(}i)U<1bnmL9fQaM6>{{RZFHl1*q zWOfnhyPhyinIAR>eEL%OEo~06bd7EoHunmAyt&G@IR5}X)oPrH_K3!VNRo7sZE(z) zB$Lv%G}4jVBV0no!sC8AAEi1;?EEo2kwqD4C1bmA&OL$cPQJO4;@x$PHWl)*wnZG{ z=}pC^Csr)2s%zH}-YQRVFWKhcwm$1)sRQz>nsvp7mPN*~36dol3hYMUeSK=nt%b#s z6_0C$1(kp~KE|_kdnq*=AF;!icz$3B&rWeeixZv6wa*gT-ubq=G(lr3H=fz{$KzSL zkA^C}wUzJf3@s zt*Pmf23f4`RI(fuOy}#(bZvb$k)ugxZ5)>_-#5&D=oOy;S%PXp*n=YAf^$QIY#l{& zH&1JsrTa9yiN<#tOHUAKntbnXb7EdxoH6BVr_=59wvDcQg@#ebUtenHb$u0{OP?v! zGjs%Jkb6SYa4neoSd_^h z_Xamm;OUM zYxY-7j8nILsTZx6IqSpc#9S3la6TQcMoW;LE&55 zYqhW=4) za_~zcxsQgy&tLxlT~Tz5NZ)5n2@ZY0r|hM2B~ww_UZE^R+elYy0;NjT`|UAx=xpo^ z9$O<1E^gaF?t9c<@P=OM+H+l5%BmHH?b*paau4*Yw+V4;W?>5cWGm&5F#ODYu|%o6 zhqN(ui%9HdyS7Nd-#&L^o(4Y($d=YfR5%RVk0c7zw9~IO4OOGRx+?MxT3qFiZ`b+M zww7{PUz=OVi3yy$4gnphs%@3+P`(+~b&m{?PC$+a2}_vUxUIhM{p-ZF6=lywEdH2e%*H)rAHma{&t}V zjKrZv4;=c6v8-vDZPG2qlX!{%JCwI1pZ@?=E&hvg_=?^lU$U=WJ$-94OSip-c`dGO z+(N{aa=&!;9Q)DiC2)1olQp@ASY{`C?ZTeGdJ5aoS}S-(^_oZ+E@v+$a(#tIGA*p( zri3=dCphM==~oc!7byppj0&)q7&-JEs{M?CS6xh-okITrQq{cCav5YBLJ`;L?^e@J zv@&eD198Z5$Mp8C8;=aKv|7{*GL6DG;M6*eywfAjV`gIf?H|gUr672Swr5Xi4C(en z0T6tlf4V;khfUHR*73GB{&1z2I3Bg7Z>BpVGUO4-&suZ3+rzyhZbvvg=A+oL`(vHd z9(XR?fshYe^{SSVOLZU&>VC zxtpmiuvo+j%HUziY=iWwO<-TBUgIb5RTa^)(8I;c!Q~1ZP(ydPVZNfU}@fBG3eb_s5?M%40Spqte+3lX9 zoucW2&UyAB+bJwbZcciG`3jds-(fnz;r{>&L##_Y4*R5v7n!ru0D4xAm!!!YQ9{x= z6E0&6cs})K!`E=fc``?C}SQN59yHx4&9@U}Sgq%)}1bxk@6r293< zWGr?$7$@+on|~3(44z`K+CRK|4{F4nx68@M9SsV@licw@?9QRQW2U6z?CtW%H(>Yw z01AqK7TU_&*_nyY0=c3%#(DNMfairc&UrKoTb-4jt)@;VAg2oTMR5KT_@Qm3X5U(}ZM%o>hc(&XYx)kUr8lh4w#Zu~-A7-N;W=t1dD zcXH68IViAm$KQQ&FtY>CBL!F23VAnmPc&^M`6ulVUe)w;@>zdq)RM73? zm1DbEJk}13t)543YAy|2v%cO3X(xPzUJ-hlirg*a%B_`L_3Km}r)MwapPi0ZA9VUw zzJUs~ZW}8!-YY@jimD?IlOGA>hi~`xa{^3p}Dg!>FrP z$QC0UOgi^9e^j4geQ7f-?B;UYhTn5eeJbkR!kiG??+1ZhE}5rV+{Dw(eF8sKSAWpe zjZaRpTWH>0M%g4Og;al+^r2y--osdx+{3cfA+XyG#6tw&x{g5l)!8)NG~6}YH=Dh9 zHJv7#3dQ%39P9s zn7EVXCp`1gx@+qdg4LvxRJ4?l!mAb|@T|3hENwE3w>ys+qBu!Oll6$1s|!Zu413Az zky2a`(Ti1uM&6_jbM>o+3u#E*FyFh39=}?BvRK7@w~czSkgN&&!=5?{w}YQy`*ix5 zk?E1%+QkwAA0IPgzH0QkHPw`B9mzgm89Y{uV@HX@+v@2fs3af+bNJKd)T}O4Twh%T zV7NPuX!@N_!R^;`It?|}Cze2Vf%6Rd_ov5gYhpL~d)u9*j&oB!rKQOlDqO1u&PYA| zDm!=4=lP#d5$*?RIbp>Q1yoUB+9KGsT{y@Fj2Rj-1h$f>(WBjFyUb(Ks>25EG*jCUsy$0;=7HLEr6#3BduR^ zAXPko!z3DVTxqXxqUHAvaC3})b!IJN!m`?{T)`(Gg!zFdpVFGbP4owd^Ag${Xj6ND zOK=O07O3h!V7$+g<+&p}aZkCqv64lZC6$l^@-_!Q)|wkliZ3{ zYUyTq09>^fELJ5$l3oEeE+5=aCbn$f-1^xLa;DK_JuyVU-4 z-VS%zUsy&9!02LBW+BJ%depB3k-EfOzD7u^f7<$daQT8JX*2VDl;^(D(GA4rMJ%Hv zU}N*7@N?`hti|;pOLcg>sTUx(aniIrE8+2fD=JEb6Fa!ZDfS*B@U62L+R{l_FD}j3 z--@-Q_`kzicBooADRxa81F~Fz*uXS>N^hY4u$d2uygu=wt&#!RpBV(_v8-cbaSN2W zQ|1%%066?Be^u9QytNjnP6kfnP(|b0B)V8{bYNp3o#8<$)jv_IeSzW?%-eY5h12a2 zzQ%kq_xGqZD@didm&k@e5ONjd9Fgl<*S;{*CAlB*Es{XP2LLGg{{Wv_t#Pi{O$j2v zqJmchz3a_b6^cU7sdzseuh~;gg+Qp9mbw0e+%gqx0(Ncf2?}YyVbn+@~JH+d! zvxscsCCOC`p@`$Pbv^~OyYU*BS3sSd$iKYZkOw^n^siqg;XL0wtfPmAyM1K#U*~Si zQ=c1};V=|kTJuqg>dtB{15{|OXM;_765>z+JY;m^HQRV^;U1~>mAaO32Il#Zmv(*g zUX7u662{69+KrNllLi+*Qx?6i20RaC1M%?5O8I6BHaOVQ$86+b)L!Pf$HTf-< z=jMJl#9kocv9ffqGH|D}dR_i@R_$hTx_`sXD%LgoN0}>a8;Zls|*f!hB7(!SwSjCMaasfn#Otgba6gv7D=2u5>^RCka= zH!lu9*#r4h$%i=SgUKhoO6na;9;4H}Q;p2MqwZ5kAZOnqeeS)gt>%MkGWljc3FS|3 zO42F7^1v)VojO7`m3)@RTy?2%yDQpC_qoez9viox7OevOq>-MZHHWW!FG=0ZFc$eR z9Zo^#Jv-NTJaCX4Dc$edqmn6<{G{g@I5ib1sm9G6xNLqS4K8YPY56n3d{^+-R=1W* zpR&q9z{-z)ed~+y4vRLQziec%Ju}baUr^oYcGq_eGb?UvZYqDLdg1;id@{1s%W67u z1SD;62M6ja;dqOIa=hZ4r5LDG=+}Qf=jk~QAJ>IdPaCXzt^Q}tS31m*-nF|$jhtkj zzu{1-{WWc$|l#BYZhhJ|-G+aw^&`Gu8m20LVSuRgtkdpTYSByIly#tAk2 z@t^SSVUc1zop|W>eHr^E3mHclLN#egG|tb(I=+!`=qzQ)5e1N|{EdOZ1GQq!tVOeE zkTJ(K%ri+F5Eznr^GBLMI5qPxMtX5~X7Jb0%jHL&1~XP-)%^AH$sbzf#OwwKujfvR z@>srQe*FDu4qBbonyWNhHf|*HaaWCmQ8r7EK;#~^!CUx`Q@5B+9K)voj`e=e#(KN4 zH&Y{Iee6?PnZ1tED_55uS`yubSZfxQ5^)(Nha>!Jm$dPQtuScC!slTDw%~(`xofIw zD;l!gVT%06u6~u-Mk-nyl`geL`rF>LWs^*h>r*bdthda!n+QCG2D{^ha%K*zOto>LT}gCp;DMEfes=q#AIhA3GkGCW zvo0~21~dBhtoPNgQd2RVw;sIpq=#9*WppV6WsD9;N)5fXg484Nz2wSq6Ni6iZj42%#Sq&l7Isb91?$os_RhnBk;&k$)v zrQ8Sdno%Gfb}8#uAux zR&={_pH`+xscKRdX)k18&yb+~+J90Z;u|_Cd_Ae$q~XLSJ-Lq!^O7^gRI%{XlU$2= zUu=ak%I5kt;?))J8m5r`&FTR zbt)8T&g6JA|c zX`OVroQ?xT_%|lIGzn?1BBZdEj|xsmIL1YKSAaidYdgF6ov&K%?HI4h0a5Rf{(ma^ zJK=ZiYocgzq46B85DCO6`?x;7mF)f&@E?Kv4{EmBZj}OU97`$!PhcySP2BY%sy=@3 zckG@evt_%n8)QwaS#g8Yw_Y>NdT)e(XAg(|7HDoJv3aKe5O?sWzu{h#F&utOE;|wEE19qzU3%IDkCzh#SAZ$a_^GMNPQ*HW=Z^9aKb0UwQ8 zB(KeiZ`xW;tApH{r66eV&y0VwWOK)HsAzW4NTnF;3zkue`7`22z%5G3!sJV5vR8fa z5S;!w?O&u97cs>XgBf*oAcB2s&ORo59kTI$k-tq<7kD^AoSx)Xk(rx6FML_yy;DhW zrZ$aZZ=PTPC5JVQf8$MVV5a8vr097$!2<)YTKae6XTe*GDWx|$X;(*KBy0%WM{4=+ z#a|9i*=9*Rwjh|n;|x1jL}heCRyjkdK`f6n?sDyyVtV@4cC)Eia}}h;v&$dl{b~(< z%Ie92&G(lCfOC^r7gER^xNbj{YM7p^o#tlB`nOY2o4Fy}1CR*knylAO2P@4(HN(Hk zO;y0LHlaHr#AGZ7Oqz{uIVHb3ub)rnO?M-K*Xu|No_u)RfagB6t9O>d@=toD8=t%1 zzci)xFvsR-14Sp^+gq(#hW7FTraPBhbiu4-o%e3tob{`g@_B>x~krvu{n(r>eLx6dx;tzdgiTLO*NijW#I9H z-h@hQhThJ2t`buj+qB`mYI!Xsy|_zaNd%9Z9{$xG#rucZw3W{U9z|;SaKienz0K68 z$n!AxY#e_|nFDQ^Qbc2&t@_~8E-lw%J1!4@THoP%d$g_e08RQd4hYy_aO&8ZKaDbrUK3vj! zjzn@?%FaVcyK(uL(uw@JJiXwBI3#+~M;eqNfy;N#Lrjj`ETu`$JprL^B+WaUSk0_g z5h*@nVaexjtxK!et;F!WFfzp)AZ|Sidi`pYSMyq{#N#QyBRxkH_Sfc~5oil-Q@9^~ z)l%52+=k%EWM!3s+^w}o;CZTW%`D6N<}SzY7Ce(uUdM597@3@sTO^ZDwYT|6b{vcx zj)szKnQlBPZCA%Z_lcy=Tt)|8+3QiLAxgGNgV@%xY4TWjMfJ;zJ?(LQ98m$)bI|1f z0G=s5#F>=w$gW~|yYrLMrnZju-r&Hcivz(^oc>jL>>f!Zi)YM-_n+*0A4+A7sA>F% zlrIDaC#4||wq&`4nWJQxWckZ=;TkW&;0KLFbcJrq$zy(8%a8t@AMC(i@w7LexnGlaq{@ z_k5&w$nEu|b`mPXJMjk9qBgUC7WNMqxMCmlU%30;(yVo5c7109t>U8-Aq)+!)i zq;f+M%|NcwedzcdNvU9nxGZ@fb*YooHDvZzYi)F_(qnd4ch`!wHMCo@%orZv)^WFM zkgpNWIW=x0vNC1P?BH`lD28(q$YYC;JJJaX#v^nje*p)jB(boQ20WA0`_<^ft&xqa zfgkhN9OvsuO_VV0lH?A1p0tZ@rcms}?dOwEbSd(TwXCcrQ0K^6;|ivUBR$VQBToz1h;7{?jbK6s&dNMAp2AJfVrsZ6V1J! z0JNawFEyEUEXGs3fEc0uOxDaa-KmVaPeFG2FosWPg~k?c4CE?<9&cO|pEXai0GG;YUJVthIHj{jN0$ZMDu!l5Xqm zRAmNs1Dy9csLY@sIOiPm(wdXH3@Fay)}4XwO(m4O2#tXt^Y2c!@?c>s=vlXXxIHOJ zR8kx;W7p=W)Pf2(IX|rq_9;7>m-mog?UkWY;c>eiYd%jmX_{yLc>4 zb4`_Gf0i{Q6Ou`(CR>%t;GBcW=QPqIQIbAv_B|>_hDgR(9%=`DhijofT5N2V$qAo! z%{BLL+z>H=4V#+*X7dUDeD-${V@E zWb;)P2<n`F`%!DF%#sBvU(loDNQY8&qkcx3`xqfaxOAlNo19R4-VY8LWp zK$zpqtmT6t!6(v=qjtG&JyL7OZ?v#{o}QJZqRnHX-i<=~<7yS;0oSki)<{!t6mnfa zzF-{2y?-BCt#IFNxJYC?yWj!Zjo8WO#m4KqW-gB*Dp+%l+*FWhkxyi9UftNn`6oEw z)G$bN>yNYE9if|{;%Z*5eo(oLfaDHI^{VT8Y*o^IK@p1$irq)3_NAM| zH}koO#jzSf#3ON&*NT!I9ADhWs94}W@AQ!;-70&GbTo>)xZ8O5UW;i|1dwFN-^2d^ z>#K4_Wv9xv#${z@=gL3hOX4|f<+z3`HN(XoHwO8BfL3+AtLl>*bDjO09N>4Qd$GvW zp29`AX*9@Qbsa+W0Dn5w*Yqf?G}}Ew3ucbx$NEe~vcR61_pJRR#ZTd;mdnd&OrQi} zIOEsf{A$b^?xm<|9&V{>c1$>B5KhDMpGowpX?ItP{nxcM;wt>qqV(F zH0lt=B&iWmCefT^<269b8FmtaGJ2`!n%=Xx(JU=q(kqwQa{%)eL(#$GAN^`2uwLv) zbuBfl+TQgUr8!91Uuntcefg>|>4s(+O1iYH+Z5*+KE%@`w~{I0n$UUKm$~G68rAVW zk87pcT3xgf{i*)|dy~K<5PwcTIuTaXtzluWXqQvkO}R{DqsJ{_3Pj@x7$P7f&C}a!nXbd@pZg$G`4^I}YNdJw+{z3q*IhTXt{@Fc~#H{l)Kwbtz*vk+f()01Suk zj2d4JYrYt{W?T1fItK+v{w#GL=hB(3O>M2;+}v87z@6D)_du#7%GI@N$rxVUz@`Zt zoTpXhq|{#YJXX(( zd=$361k$I}n**l~Rau2l( zF442%4LVIT!}@--AdAnH2$~q=JCNssPpJO@3guf@@ea8pqI>5iDR&6i=XO00>sK!| zi=9?McXKIJIo*@Tv8QOd3Qel5+Ur*FL}VUj?oJ0&??CTz?}jftF|7k*uMn)wpD~X^ zT7D+iEbm%9Cr_5%OIvjag%D?tQ~X`|tPN{Qw~JW0mIqge%am|1YSx#dKD}u>SX_fN zBalV`{7nOIQ#yYP+za-S%MTmpX49NwuhO$_UVS>@ys1oYw+*$uef=w>i6rpWkZrXI zL(K2AF8q<2uXCZ=84?{tqAkl0-Zx?2@}ONz-7RnKY~;VRIg;G-y#3)@o*(gNg>?3Z zQq?TwGo$ees498yn!9b`OKl>`?pQShXn+8)896-w2d#2?g@mzO?twBH&hef>q}v>s z(Cb>ptK*G+YqfM#Er`)m?vCHixBNY)YCayemdC_460|ZylwdQK?0>K0Ty2a^WZ!MJ z-MsSIKg;y4gG}+hx2YDezdml|3S5o`I(~k%$s+M({Q6duj|)gzXY%(0&~?ovtasXO zoo%n&GD{Sxk19svIqH8J)Ut}#Sk%)()Y%c;u%2&1>tk+I{0265=;^_XP7SV7eC~6t=|uPG1N5;U(Svf z`!k)WuDQl>+*hS|H{j;Cqv|vN0AlHkRn#W)&gJSr^r_|=yJw$I@cL)cl-pWulY#RB zPr0ZxuZQ}{)>hu?-Qtj>>oTj?f;sVNMXKVr@B=OLi z`=d|zN#HFh-K@0G8b;(dI6k7fI}ZazJWgzM8+Th_%!_V6oepA>J_OPK0AoAGwYsrg zBKAj~>1SDEUW_nKJvtA_*H2~pE&NTkv+{?9ryeqF@BAEO~!?6VvKFDl_{Y-e~un_+6ET zLt%LOd)L|xYSNBFjyWXM7uqruSBhQj=zAaQO-Ob=2=VXicj7tjpHzcDm_U&@Vz6+m za0li2b6hu!zh#T$_-XcCTTAk8q0EbOEO;PxQU`pB{U(|Vh@mk}2bhjY!5mf}h`c?Z z_?E%!Z}g~6eNb{otzR|8WAUo)Eo(^CAH#aAvVyYhUz;9a>5=PQUW^yOI>bX;RJgNX z8DeqsbJo7J{j0xYJqJ}|<4*|LM{lTDyGa~7Ndz9a9qZ!H9C(#A?PhH@;v)=}l5CZC zau{)gkALM?dx_mMtMNy~ohI*0n@sSwnk}Ncm_5U+K~5C=S2=y)X*^G57O~+wg^sy4MYekW;3ru-e!CAzq_{?S{fM~)}VixI{PdUXE)Ij=tO=#KvYR*Of} zZmuOhQCme>NL4>dl092clHj%F*`IU#%6TK&yWa`;Tf&!CBg8XmQCT`1nB+NS9ZB{T z(CEm)*NF#%ylH3PtG4jZ#NQ4))=<9jF+kG}IL3Ofd>YdDzx!0_diRA#fW97hYD-Nd zg=?mnS2C6ERCF27=UBfG;j!^QiCX7Rlun5NK+%ke4n6*r&&A-WH0zd%`%ZNdE@O7! ze~k)+y92DPxno7}g|CRFx7PGa^*BJzB$EM7d0ZOV@jjPtcd0a5W3-DLS(bAi6t+4K zU#)rgpIPwb?bWTcq9xss8A$rp&Vl1yW+>X$`MlT|;Z;v1PgVs?Fv}s9PR_tuUhSGd`;o~A4hYi#kJa8$Pn_t zanE|@@2u@SOL-;4WMmJRXBqVTYLy9FV?S=2(31ZE#x|Plk;$mq#;`Uyg?6&zuN^=A zRjZ`dnoi@OKaEGA_&Nsgz4~2R<-D=>X8t8^Pbd0&S2!coQr#iE zhC#St)jQ*i)B3!hLprtf(U zEzYDq2|alB;;wj4NYix7xb<7|^FkD|zgA03w^iRF;++ zuXVW1E8}LfrRYD|6I;KPBd?hxZH7i221iQ5zLZ>Fz0RW}81A1rW1IumB-ZVRg418W zc{Hi^dGEQFU>Jt~06)&Qz9M)w(mx1kai!c>8j6=$#jqGq2*5wBL&MLS)-Bdu%>Mul zXu1}Qq!Sy)R!GTJM$SIH>xuCOg?}Tx>=21J$U+4janqXUbbSw7g7!3?DH(TSB~iDx zL+e{sJFR5jd1`i}5Cf<36|8Wxdm|}gBDZHA+DwyZ*7}vzx<@|J7_VL{B;4OisjiyL z%Nqhq5A(%(4zE0y3@vRfK)2XV){6vZsUDS?Y2bC%wJ7|lnj;uyZ+vt9ezg9pKBhlg zjrS<&K67ddV|8yVk!R$Svg5xs+>5<3-$b8Cvv)pl{o@zt2U=ojFkiGGBf(V)#12k4 zuR!>H@WuSx}Rvd`B zqi2lqkAF(k_{rfU(=GJfQZ-YkGLd^C4!r>5(0^KdI)=6I`&X9I&KXbc-5DJGpo81# z+On^FL$B)^s@`h|Ol+H29&kNtHA1AGhiA9bQvyG?YjRDXYWFg}K=N014nX4-oNe!P z37<{WVh#%PgU&vqy?PFvWu)2aU)s;*Mi7iMa#$WRGgy8w(x=unnXWB@7}dy9t;^(h ztyUIN=w|ULy&24Ddd0D4)8anNF`OR5C#7lV9tqXFLE;DolM}qz-628pgdCDc=xaHA z7pvRc$#EW<-dl3=XRCItdpnI+M$`O@eL>=RPFrh`Kpy`9)83oG)O`%zDc?hT;=hLN zEcLM_ld3nHA|kYrf>f|vwg~)xTEWoto4*)Zy|d2ge`4f@U`cQ}?d|-lV?yx+DPWd* z+~#!zu$ws{k5TmL`Bz(O;tz(t7}F!Wv%F=vxU(xPu0bJ=ah(4Ep0vKFR{I((O73vJ z8~B0Y&kfu?#s2_?f3&1h$^%S7sXS*LPpGVmpA%i{w|5ijal(S&VK*c=a$9(kv02;M|tJs<1QrVp^!`%&hF{nr4$Q@Q0 zVs+uOoQxi|mEu{ib!NJ`(`95O@ZnAX?}6z|u+lXhQrJfyi*2Bdh;5QN`F-lZlFH6$ zY;Uy)nG#GHk+K|)gnx}6Q>fjnQp6^;W@d~1ttOh%%p8dRRSexdKdoM~_@{ZH+O3Od zu}I(*d73<&lk1*qR^s!+@>_prTFnbgWBCfc4o|4>T=IBs)YhLFr-@Z8?%+t$7U>@u6<^u4 z?Ry8-<9*Iz^TZn57C+hEQHoEx>Q6sYR4lDTvM<|CTlkm^7Wb}$Up@oWF22>L+}uX; zH_8KUU8CPMpK~vVt1^_dWL76XdmqeIs~e9Fq^oxBV==A?4U^A2R^6A4d@p5bvuK%_xOo>C^%T}$ zRlS4jOSzMCrfqRGpgX}0fH~dXv^0HFS=DC#%enpLPILT6>rwvz!dao-JIwaQ7|1|W z;1NyJd|#z$&-RNeQmo8=X5@_4k1eTVCy6ht8hy@UzKsh10JgmdtiKW4*y^EdywJi4 zx5h!_dsEZII#t}{-aLcMB!>g})HnK%hc+~@tg7nZDF+0R$jxQ_omTr4v0i0=5BOUC z>sOXLYgdxhp)ArbI0K);mMdh_q>=3Ib1yht@I4O|eSBxOD36!0oQ7oJwtaC_Vb?5f zE+l5KV);KYJds*|XH~WAHHuB{Hp=T%Vz*P=l7UEOE6LBjZrQD?XIQ`_Ui2=ytoO{-s{w>q4SIccV zkr?ER=bx`?p*8#w{{Y9lWbQvPJmRV4m0v(uwBF{pSGMebky&lv| za>}ovZx;b^R{ z&6bh$`*KR)WqAggJYRl*@3pta%mA?twBA=xKDLg!%T3cYn^cO{>l4ZQvOUioYR8B? z7Y~L!Egh}qtqj-k4Wc;If)|s{dYo4cZQ@(V9M5b9Tb4QKdsFVbSFT;kWW2mGmh9s= z{AgKCOX??!Ef}SeSEesF(rNvh}P(uHMBey|avGEDvK--gu)>zl>Y5 zE)~NwcjB#N^&8wv7HH14(dub0+1;(>!n%%`t8n;Q-sWZ7bg?9F_hmfRA@Nnm+Q7Gk zM18mi9+dOqZA80CxHA#3b> z=9_PSW{_RPcP9Yn2B~Tc_ZF*VZ8DL#oM$J~isr91jbiOn?K+8L9}35*9{#kJS9dn@ ziKBK5+4=hBw^>5v^C#<)?rrHd6WVIY_KS6BWsQrjbMpbgt(dfDbZISZ`ES117t%BohH2` zTD`!BPBErOC6H&2dZ3;jzES7Q%jBGb!1J2Vj%jxsOU{29B-F1Uh2r~30I@lC>6%f> z{pE8R<7AIh@Mpu%5MFpjS#*1ta@SERhg^UOtPdJ^e^v0mif%MrYgV^uVQwQt!C~w4 zucE(V--b|pO7IL86Wp(wFPCd0p1!ul9+T{W>`f>&B)jFe9`wFcMDh+v$;)@A{h1WQ>y90S`Goekx!s~E)0z#ekLn&!NvkAzXd&Oq-=xx*F` z5=(sC^IHD^WvOUrv2$;8rJuwaEtF2FkYofgZhu;-J;seax(l1&GJb4zUrNlkne^+} z?n0xO+&B(50)4^jP|G7o&myi1u{d7UolLSYk)p*rnitb)2@=I=Ad_k4L)Z1DMXc#C z#x3q-BnbjyA3i ze_DL{zJ!bRAu9lfYw^YNJ8|1SwIseG zStEVzxma_B>Hal}u*S#B-O28IRfU0-2*(G$Yh{-DTAEu=Za}xn}g4M)kg&vx(AA%a?0P^i1(yKwt426CCovLjS*v>b5JhT`MK-Q z){;Y(-McwFoYVf#r@KRVnKI()_VC5z$ETyS1M{<-jQdn}8pN@{*3&P^lkzWbO0VSx zI6Q&W=cP7IBs}w+4AWQ`C2I|0q|5W``i0~%M=iW($&9L%PzmqPtv^ihh24~U#CjqZuJ|TN^iB^%*I2W0XQDjdMWg)KLg#_%M{P3 z&!|SxFe7M;0vNZyPu8;-QVJQG%RDeR?ag_KT#Ap%|9-?Y}H*jkaU32{MY1_!jQ_gzRPfToY$UN>J1#5)SUOOmq*sr#u22>Pkz+UuwMsk+2QP{Cn5ZP^THC zq2kt*lMtke+)l-tC+{56r=Al5`}Lq>?;$`|LH?Hhl+rm4+X;WKlv+;LJ|rlTNqOkzJ&ZD#wHK9)AjvV==0;ckfU03h;XRif;~> zy{owdma5rV20?GerIHJEgLjh6C}0ql;0h#l&fq?#g3++YIX!BI-Jwfk%Fi2H4?+Ffa{UEpeh(1ed!u8yK!HpYDPjk7QyRHQ@G<{>*>;x!z+ze zcOrjMPvU7%21B@?&ZLq&V<$P|ier4F0T(?g{eYcuh_S2rp>oZ}YJHAVkH;pOv56Q1 z)Sg%TDqk*Gl&S7|nofnu_RNh`gURE*d(z%TvM=6^!T#!>=S0rH?c7MmQ|s+feC61& zCq3x;y6Qh{#Eun3+#5V#W2mVR0CtjdpISD9{ZFM5qmjYn9`$O9L-x$oxYaCf?4yb_ zad4mO7?48`U#(_K8*gP|f5M`UR!1(Yo_Y7FqzuQe-s{azuvZhT*cOLi*g6ar%}Z!^ zM1E7AplD=T;p8o*KqaI`6HaY5g6I${ib2bi;1_u~pz{f*TNF)qdn>jpz)}?k;LP6zE z1R6makYzEpPk&0TL_T4F;j*KH!Oatlt_dJ7T5({YsT}_RDt5slHd`EWYIzX(u0j^v zg6EP(06)&75D0Ln#zNh5)Kki$5ZLR%9+fFj`NEugb)?{0nMO#NCfuKaJ*pj;z zhWpiSIOLb+0P=a=O}RvlGn2?TB-b@aT+%bt;{0vkT|31ZQ)!nr$^x<2@7p~q=D&*n z01I!tKX)c=-J=dlNP2)heQWF6%T;78AhI;kSdK7g% z!Q|6e6U%zg&Cgz^*1SWq={1iwMb!qI|^_Z_x@Dx zvK1KsobgH0PpG9*OohSddiVCEOz!!Hc&VNpp^I|cxg^s@@4j=MoYVFwhdB%igDW5; zdiNgHJ-Ji>Ng*eA8T&G8y8Q9Uccl4%f)wq} zRPoQD>s>GGf%`9C>d?Wjc!~wvBP(RC52ygw+1?$|v~331Sm@ehQTc1II-m{5)34UP za}`~5J*pJiTAp3--}X%KkB04S*ITv^5~s^*qXaNK4@&kQ5BPgZ(qe0EGfavIifua- zXCt{i>U%3^k-vPb+&rT7s3WP<#j2sLDpVZJJ4*8Mrdv2#- z=b!)_;+9FHSc#QMlXo9V!R~cOL?rSA&z8zbA2A%_v*eyPV~}&)lT+NtN@gN*4>_u? zL~H__p5)d|w#F{uk^&R%O)N-TD2hf$EKORpvT3l18#yPS9M?^x{6CiAmVH55DDl8y zgZ}{6tmU~$+~zGbi6`PUAmfeQ>eY{h@1~j7IGZR(-5tH_y0_7E-8cyBq00s*a}If{ zDQ>EyfCfiUFluCKauaw?`Z88aasc%dl4vt3?J8@pF@y8AcQ;;YH%MF+SdZh)V9{yZ z;%Cz@RF-%hN2%l*sLH!p_YR*mdJML~(Y*BpXF10?{#nlTP{-bBCOU z7(jE5YOD_+WhJ@CYS`9vhtp(j@isZfAC+RrtOyFHaH>yHHFF=v{tWQnjqHtxsrPS2@l}KhmPQwzbr4Uc*p~ zNgF8uH)`54GkTwrzYu;DO{QE)5qNhjK)zy$vQ`s?)P_Ky9N zV%6aP0EC-HwpZH3$kC2*=tps175rcLQGcWA5{cpR)k)gMJma4J)zKN;hkKp{JZujG zIp?icU`BE~@=bIa?um0{aLFWC>&0SRAw%gTaN32 zwU1iSwZGc=C#QPEjk4WO<5nSiU1Y|XF zkzW`v5bpFn>q-w2-9(DAF699IX>~I;Bxs_Hgnyf_xTc$l<=SL9ZQ-{!aw@DB3p$B< z@Au?Yuz)C!eow#ks~yc!Y{->o-kWhlk5yRq+6MduRDIpm&A0U2LuAbT2Zip*rU!En-- zB~Cp__o*IePt6*$6WOXK5w_yvEI(g!L#S&}IG2UF=q z#f9aoeU@u?PIAS?M;qKlB4?6$82*N@UR~Z?OXptBOp-3NVx4Dv>fS8j4K$?aW-h5jMv*4`An@Yjemkt{6W#1%&580rb=-N79zl9JO6 zvEOqubroi7E4bu}5`!|tTc%D04{^41X?3Mr_(ARbDQ78$;%}XX@ zd^9CkcO$(;;mvbV@crw_6|{3B@K#0XT6*V;r`D~N?=7Q=kQ@Xb*S#G{GbgwRfh1$k z1e&eqMUxx9$a|i&`+z2iZeo=f9;>^Wr6lPKZ*m(4I5ko%*|lp+dru{8i;NQ4J#$sI zx7or(1ZtybKrys>e>&*wG)oNmRunq8-zqp!I9YZy$Hk-fCBhK+_85!c)r zomnz^nJo#9Pm$S9a!5Q=uC8YC{$uyLlisY{MvQ#;quPVEX_80wmE2>`%h$ajtx78z zBDUlp`<}H7>k&EgJ3lywXUj(QiFc|me)}y&pjdIczIpmYj z){__l`Dinqq>e>Xx`0fqjHQQ9Q%_Q5xmrz^Ku69q%L-Pux3`f3DLimV=~7wSta5ox zxb@CzOQ?fj2K-Gl!q*_!8bTMj=QU=-?TvaMw;5MIF(dlZASh3i0WH+~)u&TuG<#V} zy5u)-ed$YbhulC?GRLM*`4qRp+TzY8iIg_otOs5@pRe?#yJndgISvN~vzcTZd7vLd zNE;!Z7+}wq3o&k6JX8<5Aj2e*c_yt$NlZHi135KQ&4xls<%#Z1HMt9N6_wSF;7)ps z&>gpNoRi4S5~xp@8jJ(i^QwCeTbdHWw@M`w5X;*=X@VgOfVs&X%`(a6z$G&^XIijCPq&y4Uzmr)i7@5Xq5wD zyB_`O!>h6^(JA2IsUEdo0c62m^`oYhb}Bwx^)1an(`2@R%7u@fa5(8wURuV9y(Y_( z^Cmh{+7YMfanE@hYz>pN<0IOvc!y4fWd6|8wH1~Lx!MQL4^y8?rMV?YZy`vHmZ2A! z5#)~l0D-LeBDIHlTW8D9%1>NW(n}FR5-9`tnT~SR0R&1x$qnB$NPSJ~of25kE9I#lxni+__7M;u>qLmzH(I@E16(npm!2cOEQ zhQm*dXOL;LuutA>R?c#1%O%E>ei>v}bbRtXJ*s<2V~z!919t3_jGWVM?&8&CCBmeH zo;lgUI*5ZExVDys7F1k*+~5kEQ;y&?Z6lcjE^x$>J786L;uc$3)R5=p&#eP1+gi$w{%QgbPI;+} z^IF33t8mBlroGY0bp($7)VA}z>Dc>rbr@c8^`K%!6z%?y>yXDAjcG+Kji3|T#zoG| z5KeGCY719_IE9(wGTeIeN#YG2??k;pZiZ!$DON$oTO1lv2JXn7B)bMR`^?-DbBxy3 zn1&q{tuExv!b!3PL)X;etN5!$Izua1$Q{)crSH^MWE$no;S<0CDt~%MP(=ycY@YK_ zy3?my8;M^AO|O%ju{h7+Ry7R`^lS+(1duy!icXmT{)U~W>KZ-eyW4nz2)9Pz;*+~% z^e4aL=~4K??hQtG?Ce|jQ1T8>EB=3#G46)=n^_vhrzhCdM{LNLP`Jw|!OlO=H4dNQ z`L(8aZB?AAlEif$rlHd9CAzv>NaJmfl3yGyKbfnSTI+aekMbqU~^PZ-erQ4;+`vmE@yWt(r+&%jL0G=wLcaj5bCOa79(T z)i1RdSH8ZIY%$rriQAsIt2*0DuWB<-DFR8HkfZLY>;Uamt*j+YHDbBO@JQc|c%oN8iJsyC}{Fao_1w2JzL?#kaHD>(GAn@K#Rb_CEE|Y5HE3qWE@e zH@l8gD~tjL^(UrD=DA6tnb~EskN59|T#j>$R$*Og=K6gvSe8|2W(9d5Hym@`qfjhr z+AgD^>MqZ3=Xs1>X179AkLlD^y;H;Yw%Sa3{Cmqwfwdo@_aCia@c#gdZ*(}Mvzb-n zX_TtSH*wJQ>DH&$yg_rWOtCmbja&C?5`aHSr0z?1FlF&3wLOTkj(jSw&Wq8Ar|8h= z+Wcl|Wf4yRByMbEj>G)+siM=`k(_2_>75-N-$~WJ9H2L8*O)MiLS>#)>&&dVOlfiE7stA&ip9$bZ%=e>&B* z)a>o8!q03L;aI9o)PR4;qfnDDu8rP_a(Q%RJe>5V$$Ncqwr9DLY;vg0kTcf<-nB37 z?I!afx{%2w#JEP4x%V|=Pta{VKWQiSm5a#I$NPYiebzns>?kCQPQ38F!lm8#RGeol zpmyok{P9(MLSWSHUU&fCApuU_wMym(x04MK!8s$ZQ&Qj9PaUi`&^FdTGHv9HanJef zOsm|`@ZX0nXRy4szq6BLMB7=;21g^UbGmq*DTT7X8^|i%vC^%#iS>yY;kUe*ywWlY ze2w)V=dDd^r`qbredD?`cr4NN>INwV*y*0@OSz6~r7}S<+%R%}nXN(Mi#rQvu+wbV zB&-aNo|yE5fyOFZ)E(JNPSmZZ%#q=tenYoI+PZH6_%>U; zRdpy*Pc5VkA^sDN*{)+l&^0LIpY3*!6eysk@49;QALp%KwAb~`4h54>y=5EuKyWcs z9Zs*}Qblv(_x{b(giKI**9tL)z~~40=~|x(d?%=C^Z8J;w?8pEqz5F9-=$UXPMxf3 zv%#p|f2755<)(b#ueE(0@KfRajoT>GY}C0RC<6cvGn$F9{iAo_cft$(YwXZTXSXa1 zhZ)*^>*FfpXWkht8io$FnQaw7wPmJ=1(GqQW3U=g%1P_pdAIkMXYWPt)Ut z&h*P3;<@EBRJAH;It7J?8g`u&BOO8viqeY7qc|rgzG~7c=j1|Iaqm(jMcsq&PhV=u z$(q=#LWsigjPg%YP`WF-40q(#gV{11j!y1D6(ho)SCWt@XX9}n%7oa=)`B;TkO;vE7z>nUTnw@HksREJ#TV;JY9erx{#!9{;%OaA}{-ramy@GX>i+Pf_);tXCUS#@1P+DR_1Bp}N(bRFw^_R{^4^?!lBDw|)?ZDnmb z>Sugy`@EC>KasB<@cyvS9LXsQo`m!CuG}uQ70!G^_YX;u?@W$DY~jmiaD4Wu7sMCP zlCNl4QZ2bo`8;Re-m>(Im@loAuPxONfBwB`i145l86&vwUuA~FQ>hJ6^R?^B60u@0 z7td-GrkJVx#Z4c?Jxrf4SfQ0vjq)AX^y0H*M460}wp%zk^`OE5B}f2gJXc!4(`!U> z%NILtO)rWy3#p@?^1|KSW5k7`A3J)~pYWF5IwKtx)EwZL;Q+7Js|cB*%5%8nWPe&? zMDdNy&JGI>l}j(A_l~3b?MrT3lf<%zF1l^GZP_K^8O<^u6W^qc=TEl`&AE!i20bu4 zR0Rv<`CN6${&c%Y!vUT-+$xp^7x+l})ZbFWc+Xf@KW1bhv%Lu5QcdE`NR)K3wmW0l zf;sESs^L%}*g($=an_?`>Y#C+D!!vn`9&;Dp6q$?2DliQRy9sY1XIU|HFuF^mf$mE z0D+!;DlLo2=Z-qlRY*BR$?2LF3K!U)tiI*=d~xDSduO(`mK7zrkR}h)iY@$S;<)2v z`*M~ooyB>qxfV2F4s)JOND!zlGtW_7P{7i*s8Ynqt<70HTdK%_HPL4WK4BOj)KU1a z#A+RGH3Kub;#VIqstiE~Y0tT&h=yYr$vMe5=}F)zbVd@y$-T`r@ix0YBbMux955Wv z+U};aEyP!6b_ik5HC`)qLy+TlbL&qLx)MxZDdRPp!9l%_d14!9PvG5h_+Q(3&=%h+ zjPAzCpal;_q>J5|I|CaG;#vN^|(vy8i&dMnuwn)w-KVpYr7f!bf76{4yIAJ3&_4%o; zyZO&i)2(+bEISeHh+%uSVosquWR2v?a!BrdYJ0gat|AE2IRlKdoZxn%G)TTuLCNQD zT64^#WHwHFnr{rd3)`|drnyBbvarhFu6t1>v7OcCk)$ADOpU-E!k^|MmfeHTe$;uY z215dK&oq5mb|1H7YhsDIZb=Q3hR5=!c}$sQX4-OFduF6p5-wC9a%wXnEwC?caYxmI z+qMvlkC!EJgU?U!ru~uzj4a@l$=j1t$9W8KYzy-N$?R$&Hs&lBIrXFJiubVHW=AcZ zyJVq0$0t8ZOM7VHees42f7&_4P5aHcjPMOJe5l3wCpjn5n!_uS>oL~|SQ%teR~Q7- zVT?vgt_N)T(Jj=i8zX{LoZ~&{MSYk(NT%?~lB+RdjTa@DhCJ;&lTIwm{#ik_xgY`h z)Mbcbu1P%u4z&2@j591ji6ieGwB8=$b&27QBrMS;I6PExeDR3(5=S|vtjxIxGQ{=k zPm|9^#Fznx){m>9dr~5&9$TIP#_WGOu@%gyraUeOLPlzzWCa5Mu`ep)`G6 z6sr;!W>7ZcuQVjCfM<;Q^GIKMwi}W6iRb?S)lN|ZpkPk!cMQ|^3f2^=w#OyNQ}bYh z-#twYByuqXoaZEy)}S#U1sG!{nl}z21d@L$m529(+A_qFE0Rb)*ffzbk2`bklTmY= z9!Ni}Jd#wnC+KPYT@z~GvraO-HKDnjr z1uDa&lZ8_FBxBy3lQA1lUs{QzGLUwh`U6UHoMWEnG%6a4+LL*=JVu=4^)&N$u$0a* z>T0VU%ea;}J#$SZ!46j>p8l17#Rs)4D=yw&2iW98D6$`nP=Z{^gTs82N=oco)0vr2wqM}q#JXx zT!k*o2rbxWgT+COfZ$~3mdzMGhds_{_BY&K(UJy%fec9JtswHF8;}x7$690az!~?T zHqdtWJxyP-LiU81EOsb3@9RO^$P4oxNi@RDB>8zg>11%jX;Yl?Y5ORLv|yMlMnJ*p zY2IN-%wYHDKhx5qK>lD-x!~fS=W6bA&UyB!bdwjfkj>??-23y=mvZ@m+CQ5ICX}Y- z9;d0Oolu{=OB2*l?8ogHG?_35C$BvBr@~tsk5kapQKBjZP)Hqlr)*|yF94oT^r6#4 zx{b2TYt@5w`^VOqbU$+GvvDJ#?M)Bw >{arRQ-z$`kR#-UM7nAWKI8TT*z6O;w; z&5g<0yRX-9Utx}aO7idcD%(82w3V6y!y01aJ+gayfA#CsKj55}(aYi6IQNr_rD9L< zeJjhq;HutSpS0`+Ci$C6k1Bg|ar}?vUTsQ$@TC>~Y;T2=cnKdh$`Fs30RikWtZ4is0Y=_7^IB66(670x>QQ#PXw>f9e{v49C(r=Gc{qNf1k z@HCw?$>+GmhX_CiaU2sy*NJd>Bd%)GjsmFm&#gr?Y@n1}l6`ok?4mwxNYf_*F`Uv& zp$-^ipL&usbtS;Z)|)rV`?$$H>Xj(mwKhfN6OuXg%|a2efHTipuy;aBk&xX@HRDpH zMsQDF^{S+Ka>czWSxMU9bz|$&vaJis#VInh ztWM@(lE;84fGAEuB=yPfLjolEx|7zF?j(**d(^5?G*e=4=Voz8%zuea4>X)FLzCA& zl^_x_;NzaPPMljSo&!9fFA^{U+k8fI!WUfATMYMu& zbL+=6JnpE-sgm3So`$C?4=d}*s3eRMM#4yH90Q%)WJv7C4bFMZO3bj~SqaY>q=^eQkBP(qxZqtc{~Ka`HV^H14_I~Z0# zcVj%{&~okb4!(n>N|`wr&%P=%8Z#Zch6gz`a_U-}6Yj2ajQ2h1r4m8%^&LU)?@}_9 z1HZ0MT8ybf!Ry|K1#zi6j`K#{p+Ww%leBT5l36zHINeDcXdImI4^vq_F4nE=ZGy_+ z5J^xE%uRXt`l^K{+1G}PsZk>@$GY>yIMVDT<@uGzZ}6^rPK*g#&0WB$JDIr$-lELY zO!CPlS=g2&=jm2a0>*ar$2IxxD-h~UpHV`SiX)mte1pjp$k1ScoSt$`Bd#2fX>vfu zIU}6c9qxB62wMe?;7QLosUnIpM&sYty)m|yBxfhN?@kIy8$su_10$5K;(5+-^`?Wz z*RE;u#{OX&bCbn4X(W!OfDD}V?M%lw2a)SfAc2xQ^G47cll3$SvhJ089i_d*X5Y+t zQ)-fa=e<#0G8?XW#Ue8Uf#1D5BXQ3sJkpTwaFIcNF~_9{qj<^S_03Bne5wXH>z=uy z_R`|Y;M?59$lF1~4xo&TeiUwj>P3Yvarx8|C?AhnvLf6GEA^<|G7lYnYI=yO;c<{Y zwB8RmIn7NXZQ%FsQM!^z2l1!WV+h=T9%&nKIX{gl4V;nJy&RBE-o5iwO@ukf0C(h? zQX91cZ?9i^PPe@4);P!B>U)~4Z5UuB1o7B+s|ZPMd-f-#eKZnhiqlM;!{9#A$j0;1p>^`m5DCdS z=cP>SixR+F=0AHJ^G#(1K*1z;6-#nloydYNIL=7xp0otcK|hU1pbWM;p0vg)r)l-3 z?{Qt+YN(SW1IMihf#l8)UPfwRlgoGKlh&CWs;*tnBcZ6arC#Gm`HMN~d7;xhgULN< zOM~-uC%K`x1RkTgqQKb`INiAQ&nA^sK`vW4>&+nmo!?#UyKGv;kP4o-Sgo988&v+ik;$adkm^*N_*1Yit)J!ohi zn zGBKR}gpRE!%%uz<;>*zh|qPeB0uEcH90F9E& zc*i)epuPrtH9i&4W7S*?Gf2>JsFUb72k27};Tpc&Quk=9*tYJ}ejC3cxSbSqDPCL@A zpejcGqmNo@#_HQ7=hWuEMW+^iSm$;MzINb)w_i$u(<5s(TpnsxQk=mjQ_`HUjikJM zp60p~SaV#g-+26($m>puBqWA>@lzOZLN0OC8V(1&zZe2RQ>7 z#VD3ZawH|P-!^H%ccvM#M^jBCB9vj0Y3@E@mjQV@GfX7~xgpNxJ?X$mMgTeOnmo3U zn9qKr(xt_fdyI767ju1SHp>!xjgU`MS3HYPvh4wjvFnBY9Ao*38-Wna*&=go@+Tqif$W3(g^E+xpx{43-ijb0Of4eM7H#R8IdN9tERX1^HmM*hn)HatMcgVzWILYf)5et%Y)6%}j3hxugmV#uDm4-cYS2W9Kox&;-UACzn zwOkcu+H%Ibui4|_{m;aIiPoMOv`1!@#sEAHoS$x$)lqw=ElFxFi}3b)kk05yA9#*) z?kObj1lIRoWik!iyJUUU^fmUZAF@uPpje2nth2OjVv!N^4l!Al-?I*(d8p>gO#4Xl zRyPd})&!oQo}drqUVCe~l$`q?Dd~R-?r!62>*y6DWZerG&&}!o0PCy|7HF|(viVzn z@xZ~(J&Er`7enB2TkJX6liK9d_~4zJJd(@mK9Br)j<$)dz>;xQN}v z*_sq>%!kmQrYh;$TN5WF^*pZOS9@R_XT3%`u{>n=smkP%TLky+YB&{SxLCl>=f~q( zqdCdga*SXqlEm_AVW!+%A2Qi`ADMBUwY49FrjF1N^35kHxOJ~z_$m86-fLP>)=isD zB$F&}8Vs>GW>G!hCn&*U>Kscr&;jr-iIj=4O|(Jnc5h+#CbRJ!{iHXD@_T zpAkGw0d*2b9Kniu4D~g{XdWNC*EPuWD=U97<(CX&`q$oHvX{V%4+nU7UVVfkI;%6D zTc@pfnVuy=E-3ae7QsXWwb!5HB|Cp~*q%VR{{!92GP?9~{yo@op= z@Ro8$KN_AWfno-5er%s!)zSDXKx>Ele6j+Lald8&_N)yzHT)Cdn|(etznw#o(|07d zzH4%3Yne=v0%Y&cU&5S`s8i%1Uc-)*_@N9B89m6TmgS-mZPnR&_U}xV5Xw(E@6B16 zB_ys$2hxEU+6wc>G>sOht1KR3jf8g1N99U{fzPiMbVj>=Zn^7A_I=ToE7qmP#hMf8l9Ujh4hPb?lOuVI19P94=dDy;q&-yy%|qc8{Fo*b_LXFclEVD&X^Wo7wPpMGm= zPbh&>W?_-b8s{wTmmr?st#3r|%^J#+Dd2EeXFcg^*sP5!d%H;4)uLw^`CmAx~NIi~wRXHQJokK@9-N?b^92rJvz+iEouX>S0av_aJC)CoUCN*907w40l)G?PN(O~;3D-|r8`{yG* zwXvt&=+{ufbro|wYEgdj+1;Ju!Ke+h-vCl(R+)FH&l1HBE^tAFFpb)CP1ueWQZ>K%fWI6`hl{|yqp^EtKTZLjd84NQ? zJxQ}=q*b2YNSvMH?%>oYrWp2;LFbZcS#^bd>`?*r1Cv#vxcf?+U=mI@9<(xs2^r>A zlV}0D$U0TIZ7uH*$rI!gox-ZUrVhs^o_Rdhl(rA2X>piHB4Trs$fO26=#Jh~YX>JB zoK z6~-=MC%SdO8Z!8#eDsfKjfU=0U{G;!C(QZ#6RA6)NDaP57 z%k6B0jr#*u+m_MEh6B1u2I(K3JAp$Wj>V(i&DBpg;8wunRL|Qpih9L7yztk*B z2yCEXy?$nMl0AhtxLip!85FZeljz5(s$k1B*nT_HtoO{tSY&rKJ9sWr3 zi#Fk(Z%#2n+-7Bz$zvNrqvvJ-lh}9uloWFMv%zMfJH$Zq{)cM!95L2Bq7uRo_e0NmbRc0@*XqPnjP6UcO1(! ztCk%PdcS0~aB=RZWQ54BlJRbeI#ZS67jFxOE`|N#xrBb^xLdGrM zH=s1I$o^T~oadi}fKog$4aWfe zd;M!SQL}3$OPh2JDRH@nVe8FSH+R?fXC#(AYK+O`Eg9H=<&WJQnzbg7mg^nW+7JlJ zkoe}STS_6AMKoj_WHo3vh#>Ogf@@TCjkhRjOtdY<^JfU1b>ZMfodev@}P9^`~&X*(AE2nJiLh@v!pC z7yat;amQM(HLbLF=$c);;x-@%$=%n|v*WgXLBvm=Gd;hpS<`$+Eta1xpV{#$KT>!e zoX|_$=`}4H??Hkag;hxy2^(kPz>X(wE4EpZ>}ts zml1HV&V(Pvs(r6xd3TnI=nu@oPJsFf>i#Eqmfzw>i!~j4O-70amo_n$1zATQVkCoZ%a8dhtMOavNKDFW3lV+T7)h zZRx%txYG2;vb=}w1P#I87|7{ax@@{`nFG6A?J^z2V}be9SNAYZinh`hEJ(_n=hBnZ zI+`|qEt^ww751G9ZUk}9UYz@XN{&Ad+i1FjUPm-;ga$Dmy5_UZkJ;^f>5dzBIO|bQ zd2@9*jphIr0ZSeSr6svi*te=$6?tz`CGuUjVlnqUjY$TIbv*)2PTp4YVH<*|&Q$fP zR+CMq&zs~fzGv?SbDH0m#XcMs0yq{#Nk-g8I9z&~q2EI*O}QI(MKLY3fuHd0Ow%2% zZd$`uwfQr{u>08e@7A4dr`$FAjV3>|E4bxPI3~I;9%#_ntP$JGvgjh4f}{Apl$+E^ zkX!!%Vfa$U^3qLK6*pGpt?iU$i9BQQ{L-nh=Mzf<>2C>(M&^a#SAhvP96v4ZdwM{v+sO|6m(yS$JaxsJL>q339{y8PI zgUo2g;(@x3{c6Ug<1H`5cL}Cl?6}-bIazLvQ7#VP!;POXW zp4U%pPT*Qwl4NjjpmI%6DG9g6F$qez$9{>(P{<*3?A@OvgOL(P?4YTD~fq)6<2lc4F zqvq6!tt@pbX>Op1$gH2b+t-?}rbQjzi*;>1oXadk6r3JY9Y?)OaSLcSHun%r7B}Q0 zHb*@1R&Kmk4F3RVj>^|&S7;-HgX`->*ra0IYZoyjcJa-W#?txfed@GL0$q7m&RJM| z-1E(7E}w0AZjqSPfIFBT#B}1UT-d`cjCSG_^Euxj>U}@YwOB37`fAx}YvtNtD=7KN zB=hb5dR3iA!#BE>yGy1IHPD73Imk7a;rk1QntN;Mil84Z;4{GNYk$M~{GJ+V8c9n= zQv?&v4FY}f-%QEE0*x)rF*Sv6IfrFB%g5+o!IH^TiV9G zbE`R(m2m7T9cCa-lZ_L?$ZB(HOy^ZM0Y zD@(Y&v6t<8q1Z@P9^d597P(&EO1l6dLEgTXQbTJH5E63dp!TabDAqT{BivB`0LxtQ z^ggvk^?9wf*xKCYIN0x8_dfmcS{8G}vV^`u(YJqo-Dn-`>}vl2!aaR+q>X09-*A;j zE=WIxZ0on0PK}{yf7&rb+KjQvlShrGlk%}2PM=Dzr)tZmXtSFe(%xAaPpwhbG%a() z2sO)6lghuq@ADr04@v>p#k^OQ-rnsMWOe9A-R=lK*0yvX4Zf8Txz#ULHV~FZE()K^ zt!ntQLD1~H4QuAU+wnP(6~+UCIRN!NKMWsQOy1MK#M&ov0aGvb6V=( zZ4-%AvJ0+pj@%m6*8D#OidcB6-sOj#^2-CRK4ITI{c6^UHh{M=+v`D)ZQ;jb`1Gxx z7hLE!S_C?FjwF^rbO@c;ecn0>Pg0(!gg_$qjYVF9gy-UC2o2j!=D7fV{31t z#kyrO1>zi!Ig1_UY!2`I^9U&hYJiK9)vHYMy$5(Va4GHS}nIyjz>l0=B1Ot&AH1M+BAG3cF(J1j95?f( zH_UKJIqWH2!jN{6&QCNKXC%aoo^k;Z&Sy=X^(-LKgF*KohMO+8CWsfuzajWI`^;0{{Y%^;C=7FuZc70 zDI2wv&P;5|epd9)>-pF2E}^GGJ+nn}gzp?@wS1HNdVb2*zY}~Ld92!LzGdzU331L; ze7LTPa^;d}iLPa1^GCv7ATY=vo?W0g8^Fl}*1NYvl@;Kda=Py4sjn{7bp2n!+T_|k zt!DQ3Hu7weHyv<$eQUVzUb+3OVTGmvcJ29xIP5Fz^1NJXLzV7)=01d@d78;=!9X#R z#Pjs279dN16S#%%pQUZ=?ng6|FX=i({-TmwTG+J9jI%0aE(baP06nS})8ud6 ztb5D02ROw?rfawQJk9oLTQY(lp2T3)%Y9SqZT7X9cMrL^XRjS7Q46yw>KC+?qH&xb znC7dQ^7{&mlgTx1`gw09Y3E?>-h&zUs?50=G3Ot}Lx|j)6?YZbdC%jTca`O?PgBh- zF_#46IHxw_le4`vwIiD4e=aFoYhj0>r@VN^-p7HOZcmz2MV{p5q*q2b*^`>;P(zb; z9ptMWzE~<%XSao_c(k{{R~B=}txoz$cPx-TwgKqLA80`&Vj0HQ}`TeaAWHXFrxd zO7Pj^Sjjji{qA|CflKPqJ$mzg(?YO90bnu*b4#*R8`yQ}Mlx{f**!v@^h&!|YM-u8 zdhN0@QfyBy|VK+CU!s)0N{_+Nyap&4(v($A^|K1e3|hriE?W81)&=7P$GC;S;JD$>mRab~rC5r5lC^A2B^m zOz#^;P?4Wne7X;qu3d6nPbBmvnISuaCQ0s3G^RFUKyIh8rj>OpH)NC6mnb)KSPJ~i zMol=PvD_m(@(HM8-piRVI`fWdLd@SFIVZgqCw4Y=P;r_gkVZakOa6kK@G_0wUVCKF zu?!6K=t-lLLI4#EM4W^8(gtz3u_Kx#Mh}45&w6XKZP>@5&T~${N~}o)07f~@Hb~Cw z?d|zgupPrJxX-Oh@fA;y0(~>ym4w+$XUZ{_@1Mq>7hJHzVCOyPG5p}Ram_tK<7@Rj z4HkD7HOQZK?6ASkIqyiN!*9>kGi9w<0h;*g-0c_%1Jrr)`Hu3 z>JMsifP{iFM+LpdCm{i18Ra^O~2a z?{Ti`nL98=7j6e#FNezb&}V#ryO0D_>em-|(y2X=H=faj(+SCv2c8m~jI39elu=c(fvVH0PkCYj|n z($3`Lq0LG{mOBB?d89jVRgQD%UbT-dL@6L{cAdwAp7ik+^sSOL zTMjVABM=YG-zJfwk;5DgI-JwFKogLApIU5zhuz?3o_g0kSo_6aQnRNyR|c44EWm@F z4r;{8j0sggT4Za_2e&4KUB+z^9kR!_)Sq8!LA7{ddh*_tF^q1>QpEQsr8J=3z&Y=l zOrNX;By*ZZh$-5{f;yb>PhHF~z>S%k9sS<&b zNc`z`5T&!pG@t@|lbTk}Sf1ymY1xAj7trzVPgvJ}{z-3s4K!>W&*xJw-QeeUJocq# z1WvffNXb9mH3J3Cefp8otrEZ-o=rt6U~|{{)XGS>w#cM)F&?J_rh3-_;=N6^Em@!k zDG9&?a!L3906i{J3Nz0&YUUxh zg$F0|s?4VZm2M9vlWnrdwl?m+T68bBpeOOE77U?kK&6fcT=P_=eE?0lkhlbM&S+Sb zTXOg7Qpx;WuiR_0PR)=r@HfLcF*qxiyXn?0fgC7IO$?861<>HR)h+4xLnT;%W0h^gSl%ykjk# z_pKEO-!?LRYn|}z-cef~!n!MYH~hp8QR`o#;ib<_GvuqM8m$TtgOW4r&ot$5Vh)Ep zvy)F^B~84KUs{7|@r>u8u9KY#@&Gq0e;0aVuJCelO(p?s=e{{TY1>IVLF?~BOsA?J z8vKD>PVSVOesbG$jt{juJdb1VLCDDs$#{}{ZtpEd*2frlJuq~C5NIgy| zBwd^!CqDFoK+%sdF+2{m#(cR754}qx?o4zdqgaW5mpMEPp7cFIGDRdNJ%2htc8r0~ za%oixf;WcsG@*alIqow+o76(g>ygHI$GtRdbJGkDBQ+yrHty%HY9hooLTA(i$fC)y z^A1pRw>dq5G)tFrzv@Z#p=4H3@(@q04IfSpdXwu@BvtM?N{ER7?aw6o)J_W@Zq+;t z`2PSp_XUYnaEQ!1Hfx3W z2jRIiZva}0kjpfXD>69gyST3P2H3bgIuq8vqcWT{aQH}5TO@xp@g_1@yxy%#-Cf(! zY(U7_ARn)_G{Rj(YTT2SYLU)KUD<4Nib$Igo#Pnlb6)gfcq&bh`Ef%o-11K+J?dEz z6r48W{Hix-i68=Ue)n-or9@^jrOD?x=B%z^tQ6(5k&e0VQAZmIcQ7YDy{cH0I++M6 zy${x!ySHa|ZZk%O+)ITy;Pg2)6p&2LN&MuQo=W9Ao1TdoQfcp zG7i#tH5AbnO!fNrH8Vu()yrq@wrF9;J$-8#tD42O%!~Nv+ysXi;1gdy{?s}JjPadc z46hrQ$yo9qBe}1kZmiK;Y`0R#<&F{s!U4}u>Y zExb{Bi}qJduH`{scOJWYaKrGQj%pJU|Utd$m9}nfy`FlqAXRN-LVq>u_B;@&u;~+OubKC1#1HxB&ua9EzmX8zMdGg_eBPWi$ zb?7V0aDt07l;+xJ*xn`o0D`vIc-O*r`YwU+#`McH41#-ttr%i3G6B!8<=5BaFBoc? zzlybsuMle1fhU~g{Jl891Ly!BL0?DwI{lU&7@tnR)223aD@zkV_%2jnsXdN*dsm%& zWB6MyhHh>}wvRow%&S|@Lo(ykimV%ox~X zM2{k{5_HDlpQ*=6=dQP`u3Q31>b21P9r4=yH~5jKc&b}pJ{V_4xLvtBRfBi*ARc() zyG*J{So%`$_HNK@{Biw|@cqfbi}#;4(asO`ueAOXXrBf?6Zi*BxYa(+ZY^T-lse(} zwm5Hdh4$mUc~`>L_&fVZ8!r}VI{mSnNPt_Jw#o@P%K_K=W37EDeeeUrcbZH(RgLU2 z`A~)ec`|tFK|I%knPMDjD5iB_FzTw5lRU%4{vr5t@zcW>QutoZJ6%TBCfgjSzc^l7 zf#@sq`}VB19vajWN^8}YZ{7ux-ErSIZ+?Hmze0W|#o*6@nmxv)YkjArvc&AjxC9>L zk9z#a_~YZfW8!DTDQ*nOB2RV_$`Kw2QgA;y+YePbcj#wQ%6z>}_x3OND&8T~+r=7! zm5u&XGM|?K@<+e_0A9ZC@coQhZkrv97zq*u`3D3J_2j>@=Yb&bcZIBDWl|d5cSe3} zao^D9y_yZhw<7VnzCRmT)~?z0m|9-Wl3hvJ3oiJ_-r$b)G;$<(0zKIssWG)M6Ti)x zMv*`)r;u=ST$XIxn^JXFb>V@h1l!B!lgTEKHdHFcK|POJnFczXp8nJ{Qf}fhumdbu zo(QO9alGIG&#g=Zha+u8<@u5b;~6KdLq^5j3f4>em5KwCyXGWx9qZ9_eK~Ax{Fv7u zft(*o#PA=7j5g}*r<~-0U1Sl*5)#9A)O4# zZh&#gr>uK`?mOV~Q1y{XkUB4#%)sz|^$5OW?#2N<&swk`I|=Kad8a`UB$mhJPm~jP zBM=tk$G{(%rCgOOf)09A(@Io@L?_mR$3?+CL8*Eddz9`Fw&v~JRXO5Ne(vF({R~>7>b;+V#M`UH0TP0bz zIL~@p1A3ZvcQL$M;slmCJB3<|mw|x;b9Ko4D=xxZM7sewYWT@x)2j&YuGUo?Ng zK-|lsSUfBjHm#7|dYbK{eo`Li=J$%?p5IoH8X&3(1DeNfxl#JopNL*p)Ta*QsFAP< z_cfTuuk)>hFpM5EOTfu0a!+$XLC;=j0UIro>S#O@o|*NfI2q*i^`yWg zfoOAy@T)i4~LI0Vt04s-8HigAKIw1<=J+LhQ3jgAN; zo_f{GNnMwd(D76Uq@5I$l_?UP!z7q1`h<8BH0mob@R*j_+KS&iYl4?QxWjM?DzuD#pLy9cN3vxwCt1$rJpu z6Y{X`K{d^fGTeqnJAvGc4@26l-FTy1x{=M+r1Ec2zF8;b!2baC{&hcSn~_`l1}Qhmln+7XPmA9=NbI!8<7E>iZ($! z6IW}QfV?dM0yQM{C%3&v3&|iQM+LoVH!mExWZ;T*q?nT*-u)_ijh5kngXcNV_j6P3 z+6Lp!In6AQv)jgzDGnQeI+Ib)mBLE-JcEx~8@nl8qkF@iEYft%4(~+Oba=JV^NSqzFArDs~N+GHyc$REVVx4lm)A{~qOv&obfOKiB+3XXIVT_edO%arC$qh>O)pWpP}_@r+-(E;)eTzTO}3qzPj+B_@h880 zQ{K^R?w$bw^CHSQ-I6_Ot>WQTkq8;|pibbuvQ4qxJ#rY@Yr_`shMny3k1;=WJW4LY4BiF4@IzeqCNi5}qACw-a z*i}Y-!~mH&;)YGjx(+TclF{W=-=0Y1p2Do(!uyIdVSzt;y=Pj__G`H3RoqdxW7pT3 zy>X~D{4FEL^D~pU9%_@gidT&nm#_>KI49PsTYx42DamfNJj=bCbb#RIpB=jF`$CXZ zzXv@H4`Pvcn|91717o9AStNWlyiQeHjt(l-q$(nIE#D_Ssu@-;Ugl#Rk~7vXxct)k)|sXL7p2(4C}ZSn_+)J;;AD zE^v*v47fcq2tE4K=OcfbbAWn#)N;sK4+A{mn0}O-ZG(D{N?h>g4c?reHUZ#w%|uBj za|~zH)3=~r27P& zA4*$}!bNLwEx($nxj;E=e>zKhDeqyBTkpayWs!O)_dn;YTep(x&IX7NmH5H!TAmq# zTmJwLY8p+HsS}n5BxJv^phr7(XJ;T(wsqcDBvy2m^GmuYqb$e!wYPPrNn-wO%9zTJ zm^T%bcX1x(Xit8l`c*B&Yc8pEK1|RE$v<>9c&RN6aY^QuIVY2!T4>QV3kjuzRvRUW z${5t=1a--vkF#b!M$gSuWfH~2DI-4FGU2)Tvx>2$=;HTHxw(>X&l8P^I!{qpZqTHRfq~9P^r+%D5h>p@vJ?D9 zw5@dC?MGFXHQYK9I{VZ&=G-Z_kLK7&IHzHC9J-aJn-P-AHZn?Z@;DrQDzuiLYq65* z*b_IH2^+skjtGUs{zKRf`itpuTOL6> zv%w!qb)ALX&4b_BVnG%QC_J3|R-_uF8g-;|L%2xm^Ab4>f0a|vv7ULVw@hBDCM zyJrCUW~8~*wKu%osU)O6Ho1KHgV@!(D|!4&cIYN)rFSgEwn%U5nzwVOU+MPmrs{1U zm;h*0r_2=e`gft~#d4!*npT|O+Dv6fkncoekh%4&&2vkgQS}R0+CW%&hp9QKZtf?w zyF`{b8+K8F8T@&zJCOP?6RfE4O&%4%x4Z>eqQ>lX&U;en-XPT3 zS4?Zy4U@D3j2}T-clw;sS$&q;5FnIp+@l+gd)91vb*;prc-7WLZ=C1zpk{@Rrm@}& zJz;W$jJfNY*uIOx_Rz~EzPhf`xG5Q4MtD5?R#v5^i@4RSm`d2>gOYy=rwy#v@_nA= z0651^gR%br>(SIq(3?*d*9{Gn;zU7!<#{;nYW(IHqJgayl6Z&A%Gf8In#j@h`;QMi zmN%Ay;aeljVUgF0y1MK)Qkf-zQJ9^GIxPYnF1@O17vdQvmO#89CnQs#mdf?jHI>@2 zx0r>1#zD?8_*KmV!}6`?_SMbQa6*6Qpvf#c4m+Nojb!Uq(aUjndF8V>Z{4Xm$?gZr*cHD34NRRlC^d9#-+zv##uKp^-0E-Q8H^6&c2RpGx1j(PF#N zA=IS8xN+s~TyiOD+@zsrnj`v<5&_lx|w8AHkJC7g?{(0xn z*B;M#bqu=9q{>ydNJnpa1lzekhoZWDLgLY*byi>>+9Jt(_8=k)6hT9RV;w>@tfb-koTb=>NO-tZiB5TVzbnDMDH$cp+TOB%M{PwH% zHu{_p!Kp{5H1SH<;N)kwADwR9>RLUVW@d&sZe>8eR^CB9g(9wJ=$gIen2BwBcCs{& z_n33XxUX*bM`)Uj&8%?751!*2x)JPu&wBG;2zUuRYVpUX-7^swT0niVNh=QQr4%_kcMM?Qdehd_ z$f3Z&Za{9ht+S;_qjE*_DdZLD^%SNUrHzYi7~pLkKQ5HQ?8i38xfuhsIyEYHv|#bq z9M!|8&CIHEgV*aw*2PA~QgS-ytrks+_bM3om)f{p*-&}M_|$9)xMeJG+?;i*A{LC{ ziN~jUaah zudRFcmxnvl@OjTQ$oydNTwWm1TUFETf?h-XYB}}oKptK8kn6Y)!MjpKLXpWNilL|9 zwg|d%9SIM(bUyWe%sJn*5$#&XR%Y2duq(!Y8gAU=G+!pxzYn6L#$OugSmLPxf)w{tkHl@5EncCrr4UWsx@QC!jvLuKX@0RHm7QYF^eh zD|k;)(RIB&W1jnD$pOm9bM7iT+@zaEK4J2Zde@$Kb6$IEk+q73KQE~s_1)OWxAs41 zIl(vsJc|7bF2$@xG<<$94=Pm|G+U!nxy2y~!7NY9$)$a%7WE`?$vx^lvNC|@Zh7Xt zgn9ct2;^51hf~wNJfit|BdO=S{B) zW>!uI0gXO(1h>tGE%{Vv0yaV1dEMTaNs>lEP=g>ISc+uX2j$LvNvD9WjkkhFIW)rT zAqX+(PhbB4RTjG^c0}8^ZY}Maau&x2JoKb>b^s54w2D9&+ClaAuC%ndP3TX#dC%6I zjF}lco(ZVqCGy872fsl|>b(bZ-?c=Oxy>!hGDcJc$ILxyHUuySC!bn;QvBFpN%cJ9 zp3*5HNnTGm>rk(AJG-0S35x6cawmdNzz`WR$mhL%t@|293V7b$C|fFs%*~#s2EH!v zFpTOD#TtcAG%#guc=fNfzhnXz#P<6J)@T@($F*|i3ix)8d@cUEkDI^Xp?3*&`&MeK z7&gl&6z%PZ$6E13V9v@8R34_ibN1aIX#Jm~+Y}<^UGAWs1oDAUL`&82=ND{sd ze@|Lw#od9^cPGErh6%PYhT?Y~^yT?7Se$gvwGAJZ6f==XY#Sp|31J*&QE$$HZu(19=_C$K>+e{K5l8UMOe!M#BMtdKvI3NJ$zr9dBSqlxx=56_h zYGN5l+&T3%GY!j*`NmB)6)TankUAPt)Ow-lt>pZJ<~?&jSK&b$h~(3eiVfH~CYo7U zw{0VyC_;L&Hw7@Q>raGk1ePZzmT45OM11Ggmfz;%11G7bAR9*`C$=+40|Cex&-v|MQU3r1Pl%`OMHJgWGHG#v{?O+*~cGR_N;l65!4=ivT0&2`B1p@Bz2^2op|-lN9Rb4 zSr1Wxd9sppb;rp5;bM?CZtuh3^bImw`u+XX742dO>kIkv9{Cp9Ps zZW#^<&ovyX!S`fi*A(xms$@vuxn6dPcb4Q~v4fs-QIrBquI_sC)|@y#r>7@@S|;vm z7je=A*ianfsWi_lvh5^}bInN#sBAjtoM$wpL71U&^7_^l8|Em1qvsomIONh8!N?u@ z)TiWUG75}jl6`rpG*=@BX&{0RsWl?EmPsdZJxTSb+->GY+!*j_7!?>$PVagOvtylu zWR6cm#WfYkE{7m>&s@?2hU<^UhGW1+2h>m}xTzj;#C*-tl0fk`*IXXpim_+}jl7OV zaZ%oaX7d=G&CfIr`Vfb~-GV*MD;$En2 z+ta;q&;$|rorvbU4~%h`G@Bc47)^4=zHlpziyvhm=dFA$XNy>zLz39~Y$Y#c3j~al zk`uRIda)!jz=-?}%aDC4ylA0tGuJ(9W@zp&^vHxI11kLBu76td9_MYywY?(eOVRYr zUGJ^tO-3hS97L9gsm9jNelzqUvjdDB=Omnpb=+4Gh~ShtjREraHvsp=FmC92ntP&^ z%`Ah9r8|d?~_(31{A+ibNuQ#lm;rjd)3W4IHi+ps(JMF z?NRD6MI3+yJF<6k-hv9K2;&`l)5EAFqu8yn1^CXG`o^#JN*4qI51CiL1&2YXHn8k6K2xTXQCz|hVV-ce4 zKYRnY*Xa38M^g-sk<1mUvSJR>NId({sG*MKBaR8~DgOX3B%i!^&w5aIrb*rX?`ri= zJc-qCO0UXzrp7VyPnt-&gxCwjE&T%{I9tOI1m`{KJ&M_4I`S$-WzKv3XvWtef%&)wnR>oiT(vBIh7up4q6*Il+)_R{G%$sHX$2;9-g(~RL9k*?%C)pDobO*n!-^dhaUL!6&;m=-|H6Ie!{`!`Ht=e zIj=?5yda8_z5^&@$l%rvfuKWcsxTJVtdwpGP?UX!0|7LWT~c z`Xv7VBkCWA&@`SDv-1>%`#OMg&mPs$eU={~RIwxs5_{J@;925_PPMm@iNr$~Ij)mG zD=_Dr8veh+L-%UO@%A~tZqZIu2>B!d(xQwtn0hWJGx|dr!EwP_v=c|LYy2B*ubi@Ejx|bF*^{b$-z_n z>MMfQ*N>2B4-{86)uRq)KoHQV`-D{^`gL9XgR?HR}=haXzxd~m|!!}^#ZS8cm6 zU%=OunCnTOMUYNV$3d>^^FAK&6UqIrDzH#`WP1`Sh6pCF_>4O1Q=|3V$3IePk8Qcn zrfc}48q>v2+g<)=^_Cr4D(Fn{$j`kee70%80nbxNzz+TY04n4<1LejJbKKH_>L^v* zNhhi5X>b6+J?H^4q~O!jk}<*WObf}u&JS90v0zR+)j|8lQo2UwjseETBol%&$u-hi z0{ALO!02n6@cTzBZH75k$35$$vEXiCaNTS444t<{A2*BsA$la_1;EJsYr6fMd@W() zPmWp-hV=m_ku~B8HlD?Xdi`sMx7aw(QP9%@uCt1c6FBr#c{{TwzKiHe$)rW{bXt}ko z6={MSe=1jm$yhU&@V3mV&kNn_I|JcC=G4F3RT zy<_3@x4tF4yMo?X;VU_GBWe$rkFOcXuPUWjB-47F^(obJO>BGThBQxucbZK4Rh6_z z?+I3xFu76(e?iAu_y_jZi%ZhZqa}^5=aObcK569V8T_lg_+9ajNt5=M)HEAE8KjzQ zw)2Sm$(wJOxKS8AIm;iw`&Wwo+I}i`)aBCPm=p~DW;t#@&*@Qwv}!vJt$5X>yQAhg zN_o-+a&qT)JkkOsMuAZ7DtJ+nY0CpP(HjHCcM-|&SNts6c<~Ll_QQE_yvihSamIg2 z^j+FH-mP5vkNydt@IS>KBk{6ocehv8wxs~GUq5J&WMu6J*N%O9abKjqDE*#%N2O@3 zbz$O5p%{z-i-+IOUUOeQe#BbO?CIfu4O?m&XN>J0@<`Grw}Lx#Q*sy?m}dbCxBhd)aj=3lF88_dYuPp#B2gYO&7xhNGwy zIba^`n|9x?E6=5Tb>d$Kt)InRI?~?H%Xuz>i5@)Q1{uijk^&=-@U5H05qE^2n**K(E3UOc8hupY z`q#sDcipPh#}r1dE~v6Ck8m0XkFrqb-zt^r^MO-`2cNx1I7CxB{Lqy5t1 zK*Ej%S+Igzxr8XWW(T*Wb6-<+u@XiJ5uBDi#ae?-W{KTTE5XllYQ~?ZOp>+i(Z`he zRwwAd^)<0&V?T&)XEyOfi4;5CV;BpL)h||7?C0Zvu0)`5!S&5JS-PF^faTX0ode?nOCR4%4-px(~P1OEVYdQ?&-PT(+C)~`Ge?+>1b zvFlOG7Vc1Tdiz#vZP}T#g34HcHyj*#)j3lKC%2)i_hEtgz$!nBJXIN(bG&|aGT5u! ztty7d+sWzgQ8KUwJQ7EGu*c;xG5QSDQ@W~i#_G-9_X7{&9mm^wcOkcPQo+VF9@QwRc(A_p-{P??`uh)f|D5-nZ%?#&e%u^wt<--zT1Fa!Zf# zr75`eqjm#$1DaO^bDzeMm*xsT8dAXj00}hKj0P9MBOK?GK^Z)Q%_`$0Z9j>ilh3U- zz*HmdllAAV4fl>e5^0$P`keKr5tE954!sRNJZC*~?@R~Zlh-u(@yJkfp0ojS-yq>f z<64?M^p=+aFiJi{j`fjj>R1ELPfFO*?fl!+8*(>u?rT9e(AO86;y8~v=}4>RZDKj^ zij9&_IGva|=OY09Ju1vd{$2>f6G1GKPtt$1wP{3-ANRYoEG4N$G#0)(H8o_ z8b`QrtO#teJRX=e4xtUp-TkekVuR($JN%W{s=L%!32?cS43nlUrm>?Dzu2iB}S zv7D(Ldg7}?J-Bz47)nXV8S6&!8fDufZ3e3wv{@|JagWxUJ-y3ZymDJKc_fYAov~FN z?%xu`t=Ez}Q^m#J$tITIoxt+PueA?wyCS%N2?`?aJ?aK54jg_sscr5TF-<&xw6X5k zr;*yGF~Y=v06xa3MMbzW5CnTiP0h|}&=9lvY(ee{)8vLNg`$j;oE~~rXK7W437mCd zQ1=Vm)pdCxGJ}kN5$jb9Z?LjIL(MEVlQp=F&NJSowc989G>jCmCoE`tmWt~<@!`N7 zGlF{6>o?PG?jti=10#%)?kc6tzm^LugM;%hoE0Xud?BM;YnK=C z*r798TltZw>$~vu>-bhZvyUqUMsvv{`qtlp{9OJK*V9v5DCP`>Wr|O^DD+|6Q@HnK z32n7SA*HvG-H*&iBp&&xtr}GYTJ4F>aK||H$E9s}%g1u-l1(O=qgrXcbC$#a=Le`B z)y`R5#VWTu&Q4pVf5xO46!J$M#$#efIX%r+xQaPY7@3am;qEHU%nHB0b-)Lvd8njE zg-MTs{mlT%QKF65Rs>`oY7|LiaL}n?o=sY5T_gy&Ki=c5Ux8LjcEkZNF|)k_dX3S8 zyB{>11M}jp+|6XSKj?tq{o>s7Nu^lJb*4!boM9L-F2|B^Dm2n=Z7tUB4@UK=AnV00T#7F4CvmX^Sx?K=Lno~ed{*mQJ5ke@zfqgIw{O71Y?jn<26lb}Jtl>U#eG_38IkuF$knDcrfh6#*xyO48;O8YRzqHZELr2cTG*mQ>mqPG9@D&mOg2=2NwtE;8I7T7kXFmq=1Y0OVke-nAvQy0B+y z2Lr!zQ>;xS86z!>=b8m`M*C1(i)Cx(StKcg&wA%?A_3Xa`kJ{a zEYcmh=aOoy$~PE*IL~@%>J~Z;TU)!Ht1yc?FxqT($!;!{(lLyl z54}~kuz0n>D>t2Mjmk*p@fDk41lMr+u6G>pYW#PLZD=3_lB9xj`cP7C<=eaYMa=&I zXSNaNqc0d8>mTe-a*Z{p+yi{>yyBJbrIzqpl;HBjx9eK^To!tDskTt5zdY{Yg%{MW zYn3#_fJR)h00%+%zLsHyB-Arc9<6ltm(Il(03YI94l;Yxx4K-B!fz}UnHBj!-^#AF zg|yl%deE_GWJV#6UpNud>OZYL*)83i>=sQ0!TU>=UB?Hw9jaUP)GY1%>o>$(xnao_ zYT{^bE>_Cg5+uf7cl50-4@P*4!L4LQ`7r_xdU5sUlN;E{(~=wOVRlJy=L|r`YW2jN5^JgBJqj9awvwJ$Zw3-GaLEsDsyr&mP=IYIMsBPD1SSn0(&YHJher6YDJTBbJh0SzWpxY6{d_ zQuZ<~w`SgAFZ#jt9+a0CdRpBZ`4FqOObpe*WHdVq%L(L)Hw0}A*(}4b{{TI!3i)nh zoJ}M}QTWGP8U*zuyk^uUX>bnX!2+Fcr(HH*j@j-=2@N;!Wv$)#fh9=EI}CFvEX4YLbe&Q zjMKP@>^v!{PjZ)b=nMq*JfC`_;wwhByOi2Q&4J5RiF`yBQto z+0|{d=|0J=>8~nG%jL?gj42%R-~RxuNPE3W^nEh(U(j#0dw23>w1>2ZM_fOALqR{O%dsulj!=U z_?#;bnR+nkS~t4N-daa!WBuGCfJag&GkcUgPw{8On$5u*z*ZYYtYa#wI0N7Ds#Z&5 zt<)(=YQKs!%MCkQmTfvP%A;!R_8k8J zDgm4N#=B<*keXJE1Y1|+-6nm9N~7WHEmB_*+dciHmlAII$vFgZn#j;}v*Hzv?Uqq6 z1gLl9DDTC3C&SnT)0=zM33Cw&v4Mth*n{a)cG&u!q41-^BTMn2jv`$dcS2Kvlj=Qx z`t|o0?8)GEwb4JfZmkaPLb+TW-~Oyu&cCy-!`rVDYS7smb0afu$_`ZV*1qEK&W~@P zXtvr-oO?XD$D8wg=Eo$~bCIM~kt9~k0WxQHa&l_*g{!T`RSOpbY3q`EQ%q~PG>@G1 z#b42N87!}%SRfn3q0yvW!GP_G#p+$or@g=_-E@l>20T@U?8H{c?>`hYFv`dXUQjx?j7&dxUN>Xhsy=pFb;5Y)~Y;vcP`PNuS(LnR&$nLm!Ka?ieOAHxk)N9yYcc zcPEc}(i@QXG$U`6#utOs)X<`A^2QtyhV}Z2g$rZMW1RH^wOfVNK?DL1LMwYVLqsoa z<)Ozs@z3+9UDiAyLU(rtuC~@CPII4Hj_v}ASdN{=HMu1>g=7poy!@w=-`c!C_NDj{ z;lGLA4ZPAdFAR(0J+Tr1GF7_~>5u7N=ljONCzI>iqr10`>e^d&3zr*Mp5wh;^%?jx z@oVAFiGB`zL%HyVzilJ|BxZq%oEY+U<2`@LtNsu1Lq|BaCvyJ)80(+#HToUC)8q5YiH!l}ZNhPaY*%y$f-oHbkQB6(k2?IRrV&0dz+#tD&4 zR(Mp9M_kiSQLR}Mu>f(-X(HMb?`{d?)2>cVK*mlvrp8h}a7p^tWHuC@rNzRh$Uz;j zIW(JBCCTdEyqZf_%5GuQ_Z0F0%N0CxlUYl18SWy+!2E~r9&=MH5R<+|B#w=`qXAD$ zo!tE@Xi3@^Va{-KOR1aK-tZc%x~wh{$yr7~JoT@*{{UmVXl{I6Xqh3rmLX5F*Th}} zgnw(tEOKD)#~!(_xj$p(l7AH1NN@_o;I=xFwa1t@^>%V$zu!|nS^c|Xkw0o(aZBWR zP>=xW`?2}gk6cDw_p0FIkZaaIZHt(1KWZNl+%8y;DSGrF6d&bYQE)+Rpp(0+R(MwZ zjC-D}<$9VBY+=)ZoSalmo!A3B^))yu9H#uXdgC<}!k;+44o3ufS88l7?lr*Kmg+iF zVrOBw@&P=WRt13vJ$ln41%6zgeAV2yc1(BAZIxw^c8zbo5R-E#(xoM*Oyl2 z+0|pUoFfSc`B;FNnsM?1%tjB}HUWU=5JXXZTf%}66WkTQGX zjvFj1Bml_lYC!rar^Nxx`=bmZOAKvaD{M^!Q zM_}dfbHVnYLNRv6;hc`NMH@z#RL?!?QdT)PZ97lBM)~s#Mo0wYWYKT}P#kSM`_j9K zNJY;bMrcO)_d4e^aLnFV;Bs;(0VDu1EyuArG}ZGXY$?yGntKhPZaFL}C+pPi@9#_n z^DzEkIH_TFk1X8htwJ4NaI5 zSr?r3{{ZXKKhGxN+r3SSzM|wX!m%{qfQ%~So}d~=Q-H(xxgd6>X*Qezf_fS(!uMe5 zx0y4N4;2!w(gxCV>T1SWmxSEd&g|4>OOVnhZcn{2+({!Z9_9KRieQW>T`_qv&lyy_bT+>n90&&i9%{g7NS0_C8q3TV`4|Vde zAocv}CYUh{Nyoi5JOj&hJm!&#tFtaneQAp0mG@;zl0EyrwYnF_XPf<-Q<#4&qdJ{q=+;SmlNG;za15Z>*0bbzo?@$S3M=y*wOnqup zZ!B*)E!!Qcx{0unFvn;CCB7lXWe0!5iD@@J2=bplpI3$&CxL!z3EUou5fYR)O~4HhIX<1=|Z*+4tvwPxbDD{jr+093K^HKMtYxG zX;*K%)NzwfT&TfZWO~#Hjgh#N46k$PQXCW1;L{|MG**ds0#7~jPmNB@0#CoaJ=ktG zHjXzdE=WBHsU%&o9f#&V^n`6-K*=AEH9Nd<#9OXc_`1;BxrJ|qpLYKM!8onK@SdG? z!{%9tIX;!-{{Zk+VjuRDvvS05(v+Th9FbnN{{RHxb#DmT#N?0Pu^IKRAO8S?rjAT2c-(j=r@KM#%%cNf>Cs5@#o& zCcW$Ed2`%J0196R9rH|BloKm*PimF75r#R*=A#TZ71_^xb*OE!ZVG^~C!Csmh!cY# z28dT;@;NG{+4mvT0aym3g%yRg~d^z^M3tl3axsIR)Z&46F&y;zdsGsZEyhQ# zdzx1k>{Vc>U@_$9u4$4ZV4c5>Nf>58;B(Q3txSp)NnyLxW}Vn|D#QlU<|)rZns1O9 ziOxtJD$Azu6+i%Y$LUNXIRSIeCV<(Hm2%`rB;(NjM!quezK3_?{{RzQd`S3~lh1K_;oyup1rO6a@n4PN zt4g*uRV4L3`wdM-f{I7ZzZf))OHGQ>8QhrTeah_l>N|g)_0DOLAc>WR?ZAP?d9Qo@ zzCIsWcyCDX*NJr7n3mr8qz2tMDA)%Ce=o+o4##UK3CSIKr+b=mu7z2eXxOPurz8-6 z`qi)D{Z7UWCGD=u7Ys@^YcEoe&vfs!44zIZ1pop71QSDd14G1u*{+mYTo($wu;@wa zRCni=>rx{o)0N3R$)Lo{K}6^}pIT{@K&}Im-?=8OI8z9~+{9$mPj9r0q4%jIZ{a_4?H174liR&w3OvUzJZ@O;8cX=7X5Q$m|UxhnO?Tl0oOztSQ6q z1F7fUohK#CigHeKngwl2Dtdx4JDPk@G=SqdJq04J=gNbe)69;te20uQ`qM})lE<9%sFvcsqcTxXKqGXr)x$?P-y;3hNNh|@%jDTu4 zJnuz3@&!zU2Vu0~x*YeWN=CrAVM_y@<7(iK=6P^V=B_I%can_!y zqnuzjbK0kvHDm-xaHb-~yXU1i02>H7&pD}5C>}zSPvxjWQOGBEw-pM43od%m&2cu^ z!x{592kYE_jaamdIXjTEb?3jmMpTcLfzM)VZ^5dQ+)ZzD2r?BuTlS}M8F!HUxhtOe z6;aTSnL3frHLtEJCHq^XTN*KmJqfI~kQE>gtqMb1ij9c52RWw&^MUuzQ_`BO#dZ_k zoPp8H5y3qBP~;DC0ycsRcgHl~0LjTG(w}m%pPD}BpREKtfu7^?rOX1wCQr|t^HN}w zl|1{@W;Yn)sp<`05EBB*;Y$?x+u#2H)lT;f*`G3&cA17xzvWRfusy)5az^JR2nYS4 z)|!YUJ4hKKrcCmXpPfd6-~nhyYtJ<%~pDyLkLF?YLb$gB! z%q5ZX82M_-=D$nj-POuA5x^3e590o(`D zZ2~|8ZRP#zt=dQ#0~qwKW560+)xU(`zjPq^fgheTjw`9Qm(GZ&UCq$f^|l6l^pX69 zn^SbLl1!~UVPB8B-DIf8Fd7y#p( z)Jqz(9m;S9Nj=R^K1eMp00F=?9$HV856lNV)~VdtJCNK;@{cJA=YvO<6@0dQu#gCF)9P&MB4$w~L`TB5r)NZ)s9A~XZ)y7T<^{Fi} z@+oB?b^6m9H;ybY$tQvhIpkR1VRXT+NjSVUEFme zH0c{@APoD{0yCjiY#j5GQ1>rz-5KDFXSE?OAIj=LW7dx^9x$E_JHxuxr>JTsDC4k`=5EYE#s_|JU9PV*kw!p2E<0C`e$(D4x6!^1>Wu`d zvt7=SBDv+p;hcUr{43;mzcK#L$Z-|#W}@xt^pE1v_goi*c&ze;G``Yr(Q5wy1bmx$ zc6AH;i@?N&l;d&diij`GyFFGg5F*zsRoq|r_I{Me~%$t<1e^A9(ZujJopIT`< zzGI%gwB}R&rDuHj=l3h3ISvCj!iuk!N=-p zs4&^dClw@WUB)~5)x%k%I+SYq1px+N7VY7 z={^;BI$wxi5;SiJB=Mr%-XhHMu0UM#$9^l-sV{W%r@8f4?1S+`_Ji=>hhQ2|OW1CR zcw)GOx$@2iROf-;{NlcV@!yMnJox8Ci$c_6OIT3?$YMgQK_`rZ&sz3h*~8$E!+(c5 z6^4_o$!w1ulB{v6jBOa;XQmByo+=*;bf{*$nLNl5zVvJk-^aat)oet)qp}5pr+#jI z`hQIP`|(G@PZ4+@Q63=h-j$2VCRdhXfsW!p$^L(Zc(2F%PY@kaNjyhwD_*pWuO`l< z^~mjCrJg5~;cvvdzxYPXJTGLRLF#Y5tZ6xP*N#_S2 zm3_D1-`Nvd*EHy^b=!$9uVf+SlOzJba(ViGm6`h({?*^JUa|2108Uu7T_VF%*|Y3& z+#)y%2PJSY52q)Nz3bdOY4JN%)ci9g&%@i>D~V)mM)1lSAeiK29Os((ynL$95o>6V zYXuq*rOdT#^Isl*%Ki!P&%^8K^(*^Zed=VA<*qTXfN~GHuaQ0&HKgAeu1|)!}_X8D&n^{%tRl1H{MtMaP$;;^dpIv{Vh zU*_3^o}QJdpt*+WUQ0!k9F3s*@l&OJd4bIGl3I@rDy2{}A5@AR%yRNcw0ZI9Xt zDxC641I{a>_;v9j4+BH0BY0K|=`U?eF?pb3CL;xiJ#abo$Q;!l4?UfRt1D|u=NE*> z3lCG@p1glbHJu%yy%Sm$btLea`F^zzb4^9N@ZPbiD(crq&UvFB>X!<&g>tWFA&7&6 zoM)Qa()FvYGJo{x8K6zUVh4avY1hXa!$-XVS&(}TCa6? zBgy1~panPqdHhd$y>V`WCi0jPITe+0C}-SAkNjKj&s_X3FBc9!efoFO5UF~=1m+5mc%2fa(> zp#YP}>&+V6F2davi3ko^`Qxdt&;J1UDHgqM{wdWoksjIX)HFn%7?a5Qiv0wG_e^G3 zi)~Wa^{>p|+uy{N8sCg{3E_rCk>_w@en=TT`|*#?x+w!~pDE8PN=v%@%yQpas^cFv zYI}eK5y_ba)M5I?@d20ea#0TZamWfVi~i}d8A>+bJx8&Mlz<3 zI-f@j7y~}E{y9DAhk|+Q){qX24CftBdR8NzJN2fiIK~O3E!BkpIdXb1 zG~w@2D`eq8{ORgXJCEm0JwUss$H8`7^VhhoYj$XqPZ=sy@IkE2CRoFHa^!>1``1OL z+S#?A-Q0Kl*{j@{NY-29bqZ!B2LLhJIX<-uMiy<^Jo=ur_@c$X?!jJo%{Jgn0VQ+j zXdyd|m4NwJf^*J$QE3{)q^jre>ruj@MkTUy&VRzCNs=ebjfEe?YGhsXB)GknC-Wm1 zY-2d9acc6+78!6AzVX}5F`|j>i@apyU@+`@ih}AXE#ZfBs&bij2cuMiX5WWW?_9CI zu(ymBLP$8v5IYafs?VZoGqWbCBS4X^LP?NWhf2${)HN$9%=Q;Dq?>k)jf2l>*1TOo z?NU8bc_o>EX7eABo<$cPjLf>Xk|g;+#&|VP&S+*}v66FxTS7=pscWeBx{sHhL9ESE zXdD)d6>NZ4AFWc{P4*zUznHGv6dC85bP)|g*xLD@HsgR#U&gCQtXn;BdQ|#EEhXwi zh})RpW2mIv#j7NjL;lT>85r&<(6(_wLmKjVJw;uL&P$1AGu#8^48J*S_WuAr)cCFA zcJk->n4EOv)q~v5j#Wl0$t3bS(K5Bi5XKbwfD%tnQ&;bF%NuKkOKDlx{(3p@^rl%N z29-(!yb;gysBY{m*h`~Ha_BVTWf36~lid2%Hnf^KHb_Xx=RIoOy2})%Ji6duoZ~d< zkz`=UJbIdJrE-nHSR5gKToM61fl)_wYJkSYw_`XwkH)qnu+lH$N0R+gNYnR-dhYhD znJuFGN09;D*XBJLxnRRKZgt5mI}TzQ5}7D30RD1zD5#^ONF zKDA45xeRFWP3C6@7~P&~@9&!2EXOUAgVfVd!Y;!w$lb`R!%u!&kC^0h?Mb_`u0^Lk zn?!A{;(sx@jo0~NtjDG_Hpb%MnAefL$2s)HNuk(Ax{Aqi!BGLp?^ksjR@JP`a7-~A zz{!)Ip0tKj)Z)IGBFC4v$pIT@C!BLx^4h(t2H($dTH7atGM%ge#_rgtFKv_}lAUS0 zj`u0Ryoh~*+C+%v;ttsr`lT*C=L z9lRscj{g9SU!K#+3O19JA9ueUYP3h?X4|_5fm6+Qa?p%F%mDo;5m-+w7eXjyV8yu^ z;Ewg9sheevEjWCoPFcgvhHxQZ7<*R_p6MH7VV5l92^|gNeKY6Mt#j7EXLCa$W6a8 z@(*+BDzt>g36LGc`t_u@owt0dNbi%)L#Rm~o0z5D{qA}iq{Q!GAu?|)0VlOz(kuqC zsKaKLApN}`oRtmtdBa%DN-Nd&wHOOVN)IYLx zyEPW-zdYO)X5+u%S$Ed%mj3{1mLdyhzgq45Uvc2eeLmMvv6AU-Z=y0uZ!~xj5;y_B zh#tRzt|wa7rqluv9?|85;A3zd@k^+sxq?#S?n0>x00wc4fHU5uSmTLCTxTR>(yUoB zo8bh}WxWH`HF>Yw9`EDJ`lDy=1tqXq_L2D5)+duCJ z1$Tc+!1KqG^OLyy^{H&js}~9~PB|av6(vZutX|xin{)ytWA{fNL&Z?ty*F?9fcdl5 zt?H9cayQE!PjUyPQG*WSEI>U^tpa76DBfsW13cxArAItR&1Fy(vPj=k#>)^Ygq-{J zq3w+D`K`_m&6CXqo0onf8nv=o!C`9;m&WK51n03mO;oqGf3!MIgaF-WgHMv`>Rad` z*cxry5a17L+|)Gx0JW5B6#2+iU=DKLg0wlbDnSU2JRlh3pGvW+Xt7_~K$;wKOFr-4 zEPYRMML}b4b9Ht7mQj*z_}DqkI(w_{4d2aT?#m-D3=b+jy(k%H#U31kP13DrJCf|m z!a#c{$NBvID_~Jdw+#< zR~It)f;n&RiD~6>_#@`YG=*{-O-AoZnlmUQObCu;8PBowHL0sb zq(ir_Y|}g|WRpN*zl{CEurbaLuleS)5&+ANjhOc96 z_fo}SKK0xPAm?|`cBj}_@31jlq8}}Y$sd>2tZRA|#)BQExu=gh+VUXi>c4c3tIt!O zwN`CS=eBK-pEGIsK;)W1cXFfZ*U&{Rgi~xx;DP=%7-j=>j7i5*c{O!xCD$OeNG*uD zkYYp&&0W3l%Gv40*Hu=EW;lqr=OBOjsXMTi=5?%=a4eHf9!4wjZF~TI#Y6p(E%M1V zqcXd7lddYPNpo#;<;!m?dJm;D zM%6WIRBJPFBytggL-qO*)_^Q{yxl>oNJEb`M#%~eI(8$k*Xvbnt>uoyO$72b2JPFr zdsT?V%U!*_={uP9am{Mkwv*xOd!0Qd6H7FEbJQU}U+F+!QX5TH*7hZa)d{$9)w{Q` z^{TPyiKslVyB|2oj2@)bdHg?r1>6@FAimwC1JMZXE2i;=lXYdLTkF;uNkK3sd0I?{ zU`_4lu@M`t0rFp8! z<)pGJISdsKQ`_rXHrLt|mZ-Yj*h`K373anVKUz78u~S*_q`F3vr})Q7zbzEa{*x2% z%Hya5xc8{;JW+Y8TuCmU31ho1J*#WR8rO#WL!?<~dP|}EE1byuZYQ@NrBLvM4tIrKP5wtSc~>EQ9YwCj_5t)ZQ$f!d)8H+}eWBAK@H~ z@%aA$_3GEezYjy<{{Ru*MPYRt2xJaSOZNjPC3D{%ze>l_t#0*LB%aPuF+t8x^Q5J4 zz1VmwNlin?Wrbwe12*EyJu1$X;|~sLFe$#U zCTN@Qj)8|-Y_n;;JeubA+I30Y$qJq6wpwMwuIc)=o@CVF5x_|sq(67ufA#$B>2^!wvNR7P%CogZxgBKQM5yx4!Wnmo2UQ*O`Vlav#eBrad$K z>D*0Rx8Z#c!~PYxeOYxxoV;X&&OH3Y6TtVcFz}6( zw_YKN8?s}HX2QnHyOGe5Uq<{3@I;zEuLP1>2~4gye!2eu3ZA1rulazg-l{3v!9WgpC$Xs2h0j~lH9Z?&w7Hi| z(jL`tyW%gL^vzkcPb~+PoM(Ytf5U$e+gjbdrOe;!lVfMs+Pg$01B`X)?e9ZOT-PUo zcPnlKEy?y3a?llTH1IRX_o~*$HDKqSazX7^tY@;H&TGVVz{GA3d~wItkvA;DT#Q3* z8b&`T?oXv@&7$hDTEVDGrOqI^Bu5}#8HZ2}V%rs9BAw1ugYS{h*Hfo!{vo;3Q$}m% znh0dy3{TF(?LcnI?cu#ZN#x3ba-1^)4o^-htkx-PkBUP z_s2}*r{h@~os4O3EUUQ4MiehSGeC7PZzc)yv~D+d&w8&Zb&ovcoE)0cdqyg)JSBkV zpIXhjbXl0BXKNGRBAW-JD?2A31DxX~qah0qmA7^|sa_yi;y0 z;r0QJMt@qU+TxMST0~wp+=YgDIjPY+zAy(pPg;&R_REEA5_!)#t07M8Y|d+?UdD0| zlq^GY&st=Vs)O_K?^76^8HpM7rps`*8)HZ?03^2T=jlXs7ecJik1KIrYP68P-S5cH zKDn(pR@_P&a>ZEm2bzmjx3^2DYfxDS3%LHYn_}IZ&x`y&4~jIY>@MOAULrXejRbZmtr^{xP z6kj?twl+X+gm)AqYVGo|;N*T3^k+Oa26~@EL*bOD2an?QHT4PH@SIpk&D3BI;skR| z7d}=|dgq>f>5nU8a}U7xsEbL<6~XVCVlQ0X+lXaIK62Q`dI8?3;4#kb2UD7HR4axA zJQW)fIQUUK@a(>AYvTHs@S9Ecm;EbM?$(Vn;Gm{SA*3XeY zYg|9=CE~VollH-b>GG|4oWSIgGJBfz-`clqzqEgfZXt;L_dt+Nalroo4E{CdmrEk& z9G>LX6g~)^l%MYkp@eSIDiYYh&p}X4E8_=;C!ExSaAL^Yx%q`K$Gp3+JaqN0<*F*< z!EpvhC;HP6Hsh0$deX*KLpg4HWc8^RY=1aY^A5G5W`i4%#~ku$RT~EY@@hF&P82EY z&ouqaNh9gaQu7PkLc17&ocfbV^A*7dJ@eL)We)oZBc5|oGL{(~&tCMpiR@Q$Hw~cm z^`$;w z`L}R7;8cuj0{J1&J@ZJ22+rgEX?Cj&hWh(bfL{b;0)A2JKsTu1!bJH_Msc6#NaG-J z&PdHHX^en5{6HhZlj%cuW9l)ZaCrW7gn`RvuRirD#xah2)Mu`6Pi)d1?#URdF=NxV zX|f2w+qC;IJtz@``FZD)xaSn+Rwzq!&lsWHeMAfL5req;QvH*gOm)TxYMbsfz| zhAcn_uQUUY{{S^WET_MEj4Ku(diC|Ew0Y_dao(d>8@4b#YE|wg?mc59;JEpLr0Et-!&L*<7=J=Zsw?xWQ_z{fXO|RdQ-N@ z*gLSNqZ)WZ017(r+)y_lIL6$Q&))w4J!&>w9Os&t!1)D%1xHNOZhX`#c=x2Q%qH%} ztZHA((d(l0^HPob)vJUZDx+r!>iXjF=W3c|8d|X%TyhWmGVjFwtCTjcu9^is~ywqmOf+p1wlE3F_3ck~Wn189 z+~4p`c;IgjS-V{P(oE(!yO0huUQPc11xsSzwGu3ZWi+UAqp0AK{Qm$-_5T3)CUU`R z;mamFno)G&GPwkGJo;z(3i0pwDpl0e{?#q#Ajs08%MPc5n((D}oUc#N?ZVw<(*C<2 zJ>^7)E%m8yVKFKgToTF=UNy*~9=6N!Dfn0J_cQqm| zNy`J1f@)bUjyq&=ipj}bgxew6wZ3nd9P%juD#Rb+^{Lw5YT4rE}Hz zjR<6z3>eP<@(HDxi>Yuq=qYx5id}MX_m6sR?0kW9&rwLUAdhimE1dF3=M)%BWb>2U z(!fZVDv#pi=dE2f#wbZ)ob)HXL!FtjO_fe=FoXG1))xn8dYT(xN&f&IXqBKw-;>C} zrR^1l;xRVhtK%g8RV~oa<ApgTUshK>QKqdWRJWD8LYo3BNZ-lo}|^O z+#0(fk`~TbbDzEGO;0i%M%HCQ%(ro|1FkYMd8>xqs(*J--h~b zx1#CSkFq>Qrc-da<8Mrkob#V*oFVa->|Lf>Tg*^e*+TA1@<423Kj)=(pRy&!gQ;ln zcx|D3o4H>q6?j!ajFb3}@UO<@E7olN4w_1xkDR~Yq`wKK@ZZDD7Uq0UJIy1GP7neP zMtX7S)Pr9aY09GYE#s6GbJcx*ovZb0{tA=u)qmkw_&q+&`}?g_Lc%pa>e+Y$)23_l zBjX2yu09`l_4G+?8V3aAI*@wfrF14tw>j-v(kLe?925LlJq)Nc_f(Zl@ z?rHkTYrB`a0dmZ86kvV=nQ1ov0F|4kdSE(aLUW9qV+Ny?Za^C+9X+aRg6hK~>qf}h zGFX#UeE_C1+_3G>H0akXGTnPr)@I(`=snD3;s@pc@_!mflG;W-5CcoW=}p&%yVo(LZ{34oguhGj83^PaM*1+yt^%#oDaE1Y?5Cc&c(0^BIBe zd(^ClAY_4z@_)`MADcUNWO~yRS9cXqF~H|Mo@rVlho*9Qr^KWq6+%x@SM_Z?Sxm4j zq5SApKu5Udftjcj$&%YTM9yYWhZq?d{Aww4ah{`|aqB^sa{S4(jQ7vwP>FLU zN$1l)txPMa3DIPQ_OGuTdt#Lt4>{+*)}fKH&VHt(hat%zpIX^Y<}-zbl!xHwgMxF? zq_(?zNfGVmA=SYI9{!&6$#58*zc+GCG7x4~bX&24oG`IAM3Z{iL4 z6GE$ZxnEbGVz-yPe1!fch^^hoi4mEY6Ts*yWJ`%IzQ_WxeifAe07~>Phd&B$HOWD3 zF5*YnR{-t&K|OI;*TvGI2Re?)4wfEuYN(`n?Y@Di-zu$@qc9}=#D2c@9>3x1?J#+= zfH~bZ7!!P(;)iSCHq5u&*Q=O_jfR9CN`0>Ap_-M z*noWneAYKTqh6e&PUpEp0aBGjzd_sDzP7sfdue6x z{?_|MwvtD9GAG&cxdSBQ+w!lNH2dS>F97LQ^2+3eOylJ{vz|f!06lBlv@hC%@5KHB zpW(KSu}7#zixs@{FU_=!VNY?*d`;xJzwER(URB1YB=&N}Tic6;tAeitTM| zqHR9g?N24X-O&;95PF>ZdR0@qE?W_JvRymGH`+gibiGR6>i%6c&2?u0`JqV0NN;>s zjzgnZ_{-uI+@{iCZRYau?ZbB+hF ztN#E4eh*2ecxKzj+SQ^-d8^B~;W8MpBY=JD^=<^M3?$_zyRtqbiQzm%Iaft;y_TPE zrTjU$wUC9GXGc@qasL48{OTjhH83r5os6ah3 zDz(DKu2rKgykH#iD`f6#2@tX}kk60`WaF^(rtD5-Nicf~k;re6S0HuGA`saa0Q%8( zY0N~i5~V;S=c%Zsgb7CR&w8}s;!}=u_l|0_L)ue zmW>X;3HLQpM>|ZQWxthLlX+ZjUV4mlsv3pNFe5RPfCdgLlC49klsTkic!GPIcn#7> zq_YJ9n;m$smcMK78CvT8CbIA)jn?(ka`#&Y%Fe?hp7;cc_b-Y5KC;ntn~TXN1>i&E zsXuwS#})EFh;FVm{{RqN>o+@$w-1*rd)MMVF6RoC0+s>~-kaun{g~~4SE~O23HzUh zIZUA`<7T>Yuhsrb=3_FQ*gmw34s*{V)~(NFA_KWd_cd6d0Q;VDYx(x~KV>0qI27U2 z>DTK)UU?J@@(4Wo&;m2#p1Jp+5_%u0p#AJ;k9q=QJ@fBC4hOhjsWm-}K*$w=>&-(N zmN*&q6``hDtGF$m4s-8S4~Y>|RCJmxxJbh;A!)95k#@erszAyNHOz~fWwRvJUmP*cJk(7bKz}iL* zGtWHzYt=tzp9^@$#eNn(4e{=vjhS=jN{4cgFad7<{{YIq5cn7SOMFlGBV}u(ww~Ii zo*^RDE^@&#cmR5J{cF}d2mb&CF8H}WiknIB@587@{H^04Wgw2&Jx6a^`TT7;CwQ$1 z!qlYdbISKV&%F2{qkK8DeR;28h(@89vye*XvF(y8^Zx+;3RCe%N!4}OyeFXEM%$z^ z&LWsT3H2k_kIKEL;+O3m@$2GOguk#xj`q<_05VAs!9L1K9CfdbJ}h__QHt_Qja6ld z);?N%mLuwU{{Z#YmTCGWC)CntjvT&IiiTF;lqvjzxWY@TEn{#Af^@M`t$X#p4R8oN!=7RJ2MTz zbtH~^a((K$ZVkxYxc(xq>JL2fw2h2^4m~QWAq~88PXiUKTRG&eShGZtfhvAbM_SN= zIRF^|{{XZ_Q?iZ5HmNJ@dK%KUOpJ`3r<3bZH*-pRjA*w=2U&C`G@ah^v!j;WS4h~vi@SQq1g*NoGS63*16v1i*tDCami_CeA7Ul zXYn`8cW1w+IQ=QJUg{PXPSY}NbvQ1ZZti}x?K{GEOz~#?}YicOCx#rE?nZh)ujR8@U%Va0_#e;~ta)NN-(VdbO`HK^er@eLFKJirXS~ZoV z!8(n^@=32fg=JS}oEaV4EdKz{G?aB4V@xH8RZZXCBegm!C+B=*4EL$+E~2oXaD+^y zPY3d+`OkC-Sg<;g=}gT#v26rX5;4$qr^yQWjfLtt;+qU?q?m~ePs}P{?0aV&>48U9 zA7{}n<(5r~wyX@Ff#Sa={{U=_UPkfV?9fQf1d=#aW0Ji3j=k&kN8-Mu+IPb}Zt6K% zTkMQCCv5S@>tB#xw63i-q2pVza(-g@TuJwjL&t8_(FLITo?9o)mjs-gl4+fD&nMQT zatY-AbsLO>w4COw8*FRs>c^S`F49Qvz@r6@TaIWva45KjmH?BU{R!rmAoOg~WaBvp zno-Fl4*vkH03mD~6+fLJ`OnHf6GVZ5>&+}=073Pj2K4BA(vgMQI-d0G3=z*>^n?~U zKEItb0n}&nG$0In=RUN(2JXg{6^Y2Ew*u{w{NQ7q-D`JEmQ<6TgQja9&5B{iUiGzM zG;*Pl22W0EjHkJ%HFN}ojOQOWJZ6b5ns|;09P{l^+NctZ$Ld8(FcHdj0z(e8o77R< zwPLFyq|V_LP_ItET8{cVJBe{;A$8BpH)jXE8hjH-vH--G#^?G_%(3OwNava)?prNs zcb4|{^NY(*Ib3W^o)wROdM))Bt*qMK8%^XsL2f{+dR4}SC9Ia3i#8=3gi-g0AcNbm z{OUEgv}JhhpnMfMw5m&8fwCIt9$iTn>O{y+7hN!u?|Y?$=)Mje)tcy|+dZ z+ex`WBZ2MSyvqAantXwg*P7Gt_NC(eI^5eq;+v>#&~1(dnB$(ncBJ_3C*@m>QLR)or(~>0T>k)(S<^)Yn@MnY5uAcfO+Qa**4xV$O@OB>oaFxiT4Zmx zb-nCIWaBJxl83c4!IbY-7Gpl<3fRi$IQmg-Br&imnK=8+SIjy}+cax-USZSB0B-*P z8le|XA1sz6k~`3@ z#GAQLU;^w8N$uR$otBayvlrKmvClE11CyR>H_J&I5)+TFdf%29wLcFFi(8ZzFpwP` z`j25r>c;mV7k{{5A{*bTo`Rs!N1t^(DKds+Y?(RF9R)4?a#&ld%*uSk&Q-Jj0P3uj zy0}Y|BwQTzrneK^yZx0tq-fXCv|H5Sy?b@73p?vb?HWm9cL)wPo=sDmRJ*;mNbV#h z6#oDb$TaIVjjdnKnMcp^4^c_p;I7$jFA~9Jbqw*@v5$sg*lvHjk9xa(<83=svo`uZ zi}q=l?sl1R_377&#J#n(wIVBPgCPnFHV*`jgFR|%crL7W(B_JI0S!< zHtqw=AqLrBg*=n%QzKdlM4~{*tOk8*wVljU&alcsGCu^?#;0v%6h3j=BNqV#ONCH9 zzgj>?b!8ip*5Dp8Kpg?9QCQ17jIl<<^PKm^baEtdXz^NF+B?rYfuxiDo`4VMRZX?d zpMB-LL^N;6^dq0dQybjo@AP%KjARvbY%oT_2fZYW&2JjD#F7heQ!M0wPdGmHp{~h& zKB;qcD&RDSXbJ%5(-oe@j6Y^(<9^~X)Y21WXy5mlE0O$Jq?tox#yXy-wNGKQ(BvGPZ)Je6e|7+?>Z)|1>ikw{-9g6E!UNbW$l zAQs@~Iq6VOwgF@W^KUD|RqZoPH@cK?1`)K4faGvK zwH-mbmmC}GS(Xy1wR`&3JhrmHi7TJI+(K-pGy&PUd@G`(|H)7Z;vAb8jlw2brH6_A%FSC#(MS8$Vz zE(pzCu#q8V)6Oy%Do>#Ftqya0OYshgZjY>9+e+7D5^{LmR4?xrS-Sg9{{Wr(7VC=7 zmKTlp511aH_N#9Jg3291avyi6PxH+K+_7h9ma5I=Gdp$XwMVK+F|vsQ?~6EO$1C48 zExqI4#9WQ8PiEq-T3NxXOx8CnBHIz&?s3H}ZabK^!YHm*Pvr;Z%fnn#QH&>M#QwjsW!s9DcP=c}T;BJPeX~$E{lX z7uxjo)U9VZJoV@BALpeFgC)|uGjpU&@_Bz~g=S$OUZ{VH*jC{zcj9RC2H#<~v^>5^LMR=4)Hdn9=G zPC?vvdgtD`U+oLsRtCC`cH0>t<73Wq)X}Kf1?1b;%72tMQfg}nZgk6pasg1mj`5zH z(QO^BsgXS5Hbaux^s73L*=7m$DL1GXEuQoVmvx^KJ@j8>v>Rh%zE!{&ZhB&$W#PZ= zt6OPw(YER~+)Ex9dV5uW2*oq_g={W#p)@l_-eiZ6gB@vayg>dAyt=j1ZdziF7b-BS zr>+lr293XnP)BIiQKV6c)-T_(H&fcVt7~@EFCHh!WnB7`OqW@>yNB)b%FYQcMsj)n zb)#phX%i8t#E<4|`=^YN+Kb#*)OLsB>x~j-x6~~loe<%H89%LF@i&Vl@gAQ8B5i;$ z0vOK#XVbN0n`t$v8f3Tq_6aG0(z>q?;q%@UEe#yp~ZLfeeMb*6Wk~d8l;;yIBz}*odZl7Lf1>IIEg% zl-fPhTHV0w=C(ICJv|3%EWlVa!>Z{`HlKWgXqXr3HWAqS;IOpQC5|u z;n^}k>9;+{)}21LqWF7Dc=T%qxR&Mc=1hI#+}2K^;VoMCOp{ZCPnZ)X3c|Ty-$7Iz zPKMpCFK);7&796>858609{Hx-+G#!+HwNDIp<9FlZrmES(95)9NZQu-!)x_C7?y;&Rjs5VFSC?q#BX(+Uc1)h7ZBQeYxKWhdlkRHfk#8=O za|W+%CO2}?_BA{!6_w=nnxsKWKGjllxcbv>zj*3W10qJ-l^F0y=e1LM6rIthbMYU< z`ufk|$P22Zo?1iz`J0TK56Y8GUk>XMO{e&w=CF9MNM+7WdT~pl_+f4@;_-Zv?DJo9 zglwv}p;7o&PmQ96ZxvnY8gxoyc?oT=kPb1$O|BO@&l&3e7@NXN;hziQS3LQFdD=UZ z+qV^g;GGWp`$)qiXi`$4Wi6bKP%6KKEe5|EL28mT>k%OT01D%ipI)D>UC}&uCWPY8 zRMXVRxhgO+3F(R?7ccmx(^U9-;==katU1Av;cce}oK#j98sCU!xYjN&rnqR>w2LE_ z2s&^NPTf6en#QAH;vIZLb2~b@9%RqP(m>xJEik-hmM%) z4FGf>3^Y#(YW8PY)pb}B;@VG|Hhru??g!Ssk@zFw<<`7mVGf+~yivp*m^OIh^yBrf zki0z%BUZP$uz21hJ~yN1Do?F_{{Z_N+37+rw_Hb;Hv1HX2S4FbJD*4RV$~voC~TlA zq9MaKB=@L}ROTUge$_FT9`?3ziSE^{5xz%*Y zr#!rB5yQAjv1h5SgWD&S}nzL^rsKVry=bqIqSVj1H1=h$H9MlZfb%jzW#~l~B#PY1QM-}H zC+Sthc!rD3d=cxTg;3Qc^T>|d)YLp?QP|=59bs=Xxw|}jD8g9;fSH$ zg|a?V(+B$1HIHB=#tt!>QrHI#yRcWUtya2*+C)DlLCDE&1xmyKOSgWeqPT6#v2l!Y zYb(2zx$~dxsrxk8d|vR)%38)0`7O3rk0T^!^u>N;YF-NQKf(V18{K#d#7!8IG6-xR zoE)A#GC8l?9cNCr)wJ0xZpma}fu7azSNs%f_EL{q(&G4);d>t|P_Q_451%=Dj-JD> z(!K1P8t7vqccJFyG!Gr{xV7Ci0dJd%E9B>Ndy{_5#<4cl6`5CC|1Zh z^#}RXkr>H8HZ$1z*I=iqAZKjnBc3V<-37xTBpuun-1=1LFh~a_k0zWDV8-|@zd0kH z!l9V34p%2>;QixMsI7@fe5(5!tQVNoD`d8fl0J0J@UX9O! zZ5wd>D~mL5>gOc~{?vSX`%wL&f7(06A8BBvZ!yB-BWOR?yw?8!IV9lokSo%^YY2mW z(mp3+DL?5dNC%&|qP*(%+~dsJdh$(Uht`x?=}p>Za93=|oD)ov7b9^8gOiH401>`J zV4em>Xp+R4jEyE0k6iIx$><4`_+@S~?0)V#3PkzX2Lyn4sjuv(wvc?JD}NP3Y|IEx ze_Exvs$;H5#^A&pV~hM^y=txrj6^%P4(F{->KJX? zhU?d@9#+)dxAN^xk+FqtxZ<9pzM~ZHJ3+v~!S|%{hT=)VJ$dg)0?CB~2e}5FB84Ll zlkY{_VsG960&Zp)Jc?TyyF{NDP*J&L0>rJ*yuW@kOfd`)a^ryzrrZ9TryJvy3Z#f{GLc^L;hQtm8%Q^^#h*f*gYKGtAKdYNvAwT_#lJmdeDfV zlqyfD^r{yys_N`OW5B4vL}uKl-!&{Tjr-J$W}Pa8Cj*n-mEFUbiJOd%Ui97TxUb$F zN3BR>{u9rj{*;n!IZ(Lik=#=bVFt{GR?bciY0lxC{FvhhG^~UG6bzo?ffN1LIc#u! zXcsdYVlHWT3Hd7dx5wQm}aT244*J0lh9P9GtT09=bC9(R?3bskH(V| zmZP0>)kp`gJt?vlVA|O~iKm#vpC~7H<|&Y7b~qy=){)e{2>fbGWmN?2$?wfW0ay`` zN6b$&(bV-n&ZanK-QP6X5UxWGO$-D^+Kiwb%zD$OjYk!WMRn8G4Eb2{{RI<4!^a8u{qpVNVf+A)HQoI{1ZGo zcz(tFmdDy0F$bw5KjB_8{{RI;RzJ0*@3f8DMYlQjYVkMD7opce>j2N68B`o&nsk3F zYy>q}*4#h2`xs@+1`g!+4lUmtOBF^<6UE5yfR zp@x>HP8$nWz4;H%t1pCJExRBa2T;+oHudTB^s82%0K8Rqr-yh(WdYO^$R73jCE@Sc z6yB}R+H{EzmT(v+UYW`I*6y489ck%iB5f`AbOBcwA6oO4aSmgtU~4{y<_C(t6l?w* zvU{8DIf`U$Vfy|R#K;v{qeNiQwi#IGn*B}q-TM_a?}TnsOwtglC=Aq8jLt@JiH&RdP{O*i5Z4)2iAiKVpyDK-kO8W2M6Ay5=U-!n90fHpIYXu?;^-2 zYypKMkK(A*4CJ?7l_lJXF=)X%vysp9sAFaT?ilC%;-$R}7NxB|(XQ^5RR~aiX7BA* zb&2n7u3JsGfs{q}T*rZf@7}b26=@Q9t6Ob0Hdd7wJ0J%obJD#7;*Y|OLq>_O&4c-F z<8osFXSdg_eC|Ish8C|YJ*+koRbg|=Eu~{2PW+6M)|XL@K|rChGtgGa@QuJ^+^(#0 z!vhBZ_oZzS?$L~jz!oSD2rO~b3iG3$)10n!Jib@Zz%9QDt_N~YYSD%*u!$K6V2W)$lq*Iazu9v~S6~4RETSssvihF>`D<{mmdjsk8qFL=IOIM)3vua7` za+5;S$YW9Wx%!IN@ZIhAhUQC~MgVzin^|&BMltzUs@Q(c?9j(_wyFn~cz5UV6{~6c zENl9_LRc*rs9%^M&fj{^{b#}_v;Mwj>m;itlV^zdgI&AT;)$n;Vq0({D5-(#$A0xj z>cT}4%OosB!*UMfbj^G6e#z5DKhmwGljhuaFH$M`uk7nRqaik%9H|ME$RCGl=dH&1 zULIVtZvOz+nY}(6uT|PFQ_Q>#;G3@y$7nRIFszxx?8%aO?fO@t+Q=>pWoexcDhE(UzpZ^Ys{A_maiwSpHO89*OFU1O zBLf&CJbGjr`TSoQ&lz3PpuOJbt4oKe;o;9ybLCHkz97?VKV}_6!wm7usl#X#LQ|F? zj@^eJp{qZ!m&IK_Pw^CbZ1&$~l(>#_EMu#-8y(kzN7l9UdrdD)z0~gQE$x~(M5xlF zV{CxuX~FBCTKTWQzA3%%=fzD^S6L+%_V9%$~55NBa;H5U+ z3-P^|gS<8H{x_B#KU9cZ79ogcP;-&nAfM8|KR@8Fp9P}umb>Av9ckKGSak~`msbG% zzGCA8*El2o`LC#c8hFc1_^I(5!(JI(Mrp4Y$#tmilW;NZ!oE7^I2Doq00oxPEp*R- zo*h~A)^q1b5>^}?s=xhe=z_SVvH5-BDefoLVDR;`%FTOlk%*A}@_P!>@s^RPcyCm^ z(JtU<<+h(>fyM}~e{IH-eFmeZTojfWR#=>NBM16dpjqoyzYy(YxYhoBM)Ff7#I20T z=jrQPG-jlE#ofb#Vm))sHU%DV9;ZEPZ^d32xY1`ZTtY(k@(y-tWOK@Xn!O8sT zwge9k1UTRyz3OTu%w%p}Gr_HkZ7S~WV`XlcW(CM+1MZCX>U!d{wj_YC7|VRXo`#;S z04oEB1Cnw{rZhyiCoI_{lUEI#iy3=VEV%iJ=V_*;oxzMvC*

&S`Gam&Cm{Ndtsvc&waqF^J6F{-=EBBs>^Q-}>0G6e zxtJT6WBGvIM926+%RotNA`5aapi|}# z;qQ-XY;3G`{WndwlT%G08&GbO1CTmr8TwWv{{U_AHm4=(+wEysWl(iKrxg3EN4ahA zsmM6Sc>JnqY*$XT3}x9Yg#PPy%mzBr7gJwI(e(WrK)(Lma+eIdt(O@Ld-K}4CVQD5 z%`(5t{i?*;h5n-O+s)>-8w4GM02-bfi%8~WoE2p}DtVLLzUJb?SRdkj@hNtBx{>Cya>l>#{RjWO6AWES<8HiCFuQGMseGpN;P}9G;6sm zW0%c4P8m;1uMdq4v<(%!Os%-`Bf+ZB*lIf5#_n=Km(=n<&S{JUtva>CAu7CJ59wQ4 zR93o8vD9?iY{@AZcjRz6!0IYgw$U`*0`kUNk}nu5M&I5&)Edasgs|Np4`o%n}$Ng4*+7V z>6%=3t}f-4HH8lMc78BA04oF-6vP_yx+_3H?uwvH(yau!mVC3*~cQZ=!@Qyi{Lj&jmD$zz^Ltl0Fs zxvt||cLQ{}VooqCM^#&kJ12Ms=@8@mXbb3cmX?v}dMx&L1xvIzS6m*o%tfZHtid69 zHsF(cE~gXB*-Y@c>-04=5?Sa6 z?UzdL14^W$oy7VN^Xozadkb9(`rUOayYM`ju@YyJJLl4)@pJ=H)#bgnw|^i;p+cPV zj=!CA7mqC0Gt0ED`3ooqw{9zT!%&*W$VlhR3O6)vPdK6K$8z6>ygxpnr%Hl314NtE z*QXw}MRhH4q`0%byORwlF{o|;_WuAq>ixgOV}E3pNo1C0N8utE-bYNDv1{Sz^^4E+ zd46|ZjCwUyv?p^l)=Ox_)7u@A9vdC~>dI>>_K|6}P{RUmK4T1w=ehN*ZGRJZe%nWk zLi5BJyAR?y@A+0Hm7raJ!a;2l+xd~SfgM!jZa$u(p>9gtvoC{njY-QWVUz!AJUceFIH;n zo?ZRyvd0T~ZO+rq->paC%@z%A8DJZuc-5H>c7jJ*wF$i%d{_4|1%~4$2S3M*XZerL zq0}`rRSRt)LaJ6qLAQ2#b&H!jjWXWe4L38EVKnO85!;VmywwJ#-ksvF z3HVjNwmctaHO1BPY@J!Kin!-K{XZ(n{=)GmiRam-X)Mx6#k|x6sI1=$=^Boqt}c~r z50w;$CUSmUioJW|Ul@Ee)}+(CIj^Zlf8MWDfAi1lK{C11YaRyv%$sOB0g=^Y;UDfrgdwjJni+u_Y;}MJ#ehAdcb|`^v}oO*-#TO=3i|mM`>Z zoQ;wCpMP4n;_KPGcdWLhEwYJbn{#DHC$>dT;r{>(<_m~*i{pF#AdN+Z&I zGjD6C3;S8dz%j9h-W~X=J}A;{u5R92SeemI`~#25p_*+<&@q|Zg`5Q=fJaa3SETz* zq_DiUrQwzHD;CDp9cX10{5hvzYF1AqYamo&7jWz<#*5>5bb02`?sVvxW|Ioepq`u& z+*R8@73em`Yh5z!p5ew6A={60>S~nU8G}#(?oo;c&hl_U?ad%+>{~5KPNLSD#ApnT zT^Y^@U+Y~4W3j|4aA<9$MdWoh#E{$Xm$-G0j^mwFlHN(PfncI zBLoWrrIv7+$S&Ov)byrRm5JrEHw3&ek-dp!X3tvIn?i!$!mBt*R^=SC7RCU_0C%d0 z`k#mH5$!4`qCtJi{g!Pdo!b5T{N+j{oIb31KXO| z(sii~vT5ERvk&Da-Rh)q$r;a2{{USlgFH2$U&zp3T)Zl=Gh6LAEZ}4clg3vk#8L_4 zg&=r>tg)+PxgP!gv;%ajBph7 z=B4n~kv;yScc*z_Rp9ww)4v(0?0jqD4+`E(VHLv`Rtkikt52v!nw~z;^xHi;)_pS0 zNUoL;uF7yp?_9=BG@E4A zR`S$O)#As^*S#B*WLfyXL-763gITjeV`I2F=dT}^y)(p<%dWhR@((UT8ZEs5{{RZ5 z;tf9MRo0}w3Ar2$Dh!j&ZfKqhx|c<`k@FmokKDxlNbC7h13f%9cWE4Yjl9v7Jh@iM z`g>JNoXNPxodF<^T9WGS;@%Ow>{dU#EPm}WCz{j?nVb|VSuxJ=d;b7BdV_ZcJ;EQ2c341#|TdeVzu)HG`oV{-(J6~XF>kXxpGe;TW(_>R#`al>M$RAJm6GgGFO zeRh$_Ya+U~cLCK;YF7~@wxI*3*sh&njz$~V{d#l$dsW#qeKSXrNUXIeByfIjEw=(s z^Q!uloupnCUpW$Mmz3|8FX6`@HD&3MnXgvb+HSIp;WO*%vu{q>) z80U}k-mcH4NB;l_duq2f@U_oue5x3PILNP;ts zps(KasRrH5+f7?gj!58^b1FAL+>?%#w7REB9k3sKD$8Y6EhHqpK|TgHIDP+l-9lX9lyh z-3sL{mQS+EA{in^`=tRK)r}WPn&;1gYj#4B{Fxm|sv3s3s8~$)P!a$idO~n9K_^w> z9ZFa(;!Psy)W*4KA{{v5u6R1@UDhI=dx?z8Y^0Z$?{n0j^V+cVKN3dJBHr7p?!hk* z;A4(Wbujp^M9?F5@chjj)5cNAJP>;4)~O^5ag%t$(?hp5enu`tqYSa-fcF0Y8qAbw zejpF1>N8yZrQZrS)g&CYeUQnc=-N zLxJw^e4WaXFsl?WBzYlE5FEj@(po&w7(V9o4}qk^rTN?rW*H zn$u3a7P_^Qf2B#du+HthvHbr43PI4z(BXMBh%UD{$RO|Sj+G_%h;C2P;e_=g_pLDJSzdVjH`TEov=Yi6AZKtuw34;5^bM&A_HkI}_U5beR05(~q zVnO7LYzvEN1=p+gzZp$ci=Q8p~TD%7?477OT5g^-^yPwHySa9X+z_R2xYJBKEV9}h!h z>!?F;#{+Rg&}X$ntBGWVyu5?PaCrmPi;7O5=0OIk`9T_M~< zgO=x<^P1bVxBE?&<0}jf2^^E}?@{V^e`Q6sjwEHsG8P?w!kyb1dJHgKMA^2Omg+VR zp0zfMd3|?0nYagF`GD$k>rb$e86HV3$U-uVr!}>vTKH#3QE_Q>43fa*k%#eOq!KII zYWgj#uJ`Z=)f0GNcsTXVSJw60PZ4SjeH0)oj4)@&UI5^B99A9FPpL!an9FYDpgeV@ zwuV^kjFKq?e{|E_XG7u52H#B7f3{?dEO^>ObZ>gW)mlp(dhSab=}c1)ODldDC%rl! z5Z{zKea@tQ&Uo14r_hd-V#a+o=gWfR6;m$V#C)WEd(sQ)M{RF+c>_$pyed9+_WuAl zr+>tg>_x8wB-z50>yJ}gvO}k7wlmo2+L}w|pocj@}p4zZ=*+Q;X|X(a5xGWmV~ z0K%Qgda?~iOlj?vbhm4XS@2UF;-%8G)v?o_JL^xMwtDxeb^SK;NRB-~-XiZ+Zz>^z zp!LtCQcY6&cxA&hiaurl@&zHQkz6&!q-NqUN-UUgr=ZWJbhe^9YbDjCWHG7iN8ZLp zYQBee;me3fy*MsDaK{IxP66w{s~57{c!Nc4BTrqU&J2i)&PM}2w8>X&r*o?&uX1jZ zEsY_|s-Bf%-@$hJ>OIYz>LvgNEHFU&)_uQ)^_zROx$=R4u~{5uovur$>CN_SGU3A) z;n4LS^cuHzTJa8^x;?x$P)!r;QV0!`n&vJ*hHcX`;oAj^oL1GDxwx~%!>p32B1Z=~ zZ>2JO{VrQ&i&V8ajmMVYbDu&!w1)N=pxW+3Aa7C*b5h579G6JTysF?zyLwXC!)Gk7 zH<^XbRU4&gX_hc)7c<`Z@yfD*JhtcYtqHj69VXJ^3zdc1|jbLu+PtDg~@cnzdEQhxL2rDhe0+a-a=Qrz=XyA|~;*y@sM zO4B+G?8nRqmBle^w*+TN}Ssp=aa>YaO$pln8B$MWK{x6xUQavu(YtJOeQX=_hCzceN zmYkNw?JaJ1Cm0}Pw|ad+JxuUnQe@m4CkNV*A^rI=;{^8{6Hm3XmF~s5M$YVUu||Gx zPkNT>)>~P>cn8xw=AOk~z8bfe zOnZm9@}&e7XO|Uwjswmwgw|6> zbvePAazkUSWxR;f5TN|sPJ7c%;x1|Tl1#o!sTk>78gxw~0+Dj3lHHHvSz1Mf%=?}+ zIbWHH^sBdCAh-(f!wQxuk1&pMDrLBdHEUSmy=cYCo&36w;U}*mv5mZfZ0tWXWFKEj zuVp3M-~1 zob|Y+y0RzO>x z`R(cBtiw)`WssEdJP;4xH^Iaw?_wh%N9U3|D_F zq<8f-65OFbx3xP=L{KmcPS+Ig?Rkos79o}gcOJC(tRTHbmK%@W9;iod+|)O^bttB{b$+0IIjZ|709%ShN_ai7k#>?4Ryj?(~KWS!s6m^XF+ zi7mXOhylCik%mtlY7K7dOp1T9>274&qs(HsJG_&0VvNR@2F7&I4qZImh@^cJ^>dZdscS*}w;of5NAZ)(CB+R=CWZZyWgo z){BLNWDS^-ACMkfy((FdGJ|)wtxE#^n0c~e$!N(APhU(LWVa$17FfYK9sOxABa$~( zYkXv{B>EbTF`P7@YyTC!E#0m}5JDkT^ZZV^BUV;?4FP`-PjnxY~6349%P$Y~PNFe8o4EL+cuIjeRzhRbb+e)3U zzz42-uIw6nFO*&K14v1o>-org-vazubaANDmLVM(Go{*8k`1Z z8RYX%`%J}mv|EAbf29qNcXD9Eh~YUH#!Wf`Jf;%I)beU{x3{_4V+BITgO2Ccpt^{n zc^1q`CZ#blj;9p=hkJ6^My*HBik`I`5$M{s&Hc*|DD}%o$j-%`8N==h? z$~%}Q(SSWo24MVS6#I)}TjXvCJCC8L;EQPm*Kp4#)`adN#1OFCo~_dy)bk)vA}62k zXQ8IYa~h4Pr=~$Bq>Vh|kO|4>o|MOXk)*dtkt>p|!3L%-i-&BGJ5oysMGh6YIRiA) z8%!9lB=L^6*1~rpO<8#|xjB)H8-c(iF=c)kupp z$X%pWCntBeYK3lOk&JE26W*@d*gv0{1{Z1E4^V1T4VX~uGDzl`Wh6dQmptdU^r>Pc z)MYV~)7GRmiy$C!PfT{D7P8uctO>}5L3Ov*4Ye>#n!A&67E(C586;JO{i z+1_$}sSuF)Z=Sd%L_mA+xl4Qbik{f=QQ$QgF!x#~SV>VcXyjgCHM?}{y% ziiLB)>CZ};ZU*h-+BCjZ#jz}|obW~sQ5s#rwwm%K4;Vd2&fL*$eP?BZcWl!r1gQ7z zO}_CJ#I{XkZMjlb+|jA&_)}LIn=EX1FpxJrg*G^4T!7gB0BMKvt5$$+K2&Eoz6E-N@G8lfAk1CZuT$ zF$4rQdFQP@V;D`2z5f6l(_w?pQezn;{M^*7%CluwDJ+CB#!W_SagyAUeq8!h*)5Df zy8PXb6<*>=B2cWP6WrAU8y&l*L_qQ1(xeFeZ{=M+a(-qatV<@8<)ycu z<_C}s0vG#7Pq&NgazX^Ga%9|d^#1@pl*zut=o{^bGo7IFNvgBKyXV|VKg4O~Xv!zs zl(Agwb?x=_qS}F8NMumYgTr8x-kH8a3W9OBoSL5Q@Xo6YU+QcA|L1qdR(Iy$%FpW(fL@ zwGVNvN-{)H;2e%|QOvGTgzwiTq`7h=%wUY3LG4U-!r}X$S}&;C3`+5yPI;-(_Y5|8 z?V5?4FxowTI&vcY;7&(VS9^n66UVky*yo&g?MW;iR(8IBr8QZ1ecYd|20}qx6ZN1Q z(1V%tE^cClbrGotxBrI zmI0V|!Q(VF+PZmb5eyD9nuhMcY;l;|rhmq)MvEgEHWC7#&a0s$WVi8inzt0bRAroT zj3BwH>is4;X!;4a%q`qeqssFQfcxbf0=nC z^Gh5=z6d>VPo+1g4)Rhsw-xGnG_s?`)=n65Gn~_v$r~}iYOq8Se6I=P)|1$)+^2)r zpTyLlDToo*)Y3{LR>J3>dOWEPKm>|NF6>IS=`488Nj*E#&0#&XK6@|9P6s(O+k2m~ zFq6wKfODE`nw0lId5i|$fEsexk(*uHX5K)@){|nO$!`3LkUEtt?UGGLBJRrr)`SF2 zw{Mvm5J|zQ?IPctXLs|cRwv14UuvEi1-pkC_4-qKfojPWZa;quVRAzr=h~~jcd%f< z?mLSfMPF-|k`TmXnn#8=fto{x2OQL`Sy#}{`>%oX9{Hv&2nAa_)tRk8gCiu9%|_Pm z787qc>qhKaj2Ss>eREEH1jsyh$9j#Y+46VKH7sxDpS#!AhKnjNB;N+^dZV;Y%H6bHEyXjB0x@e>NF+FR z1OEWmQA&tTNI9z#CQk>U>MFG41{qV=wIMZjMpT2lcjrpKe`X2D;+(*BUUS!)BY&G~ zesm0$B;yWwC)S;`sUwrkD#l0>W9lfBlw6je1eLc&cAOQaE2|LXmZ*yoOBL(X(xl-s zG1h=3YoVNk@6KvFhA#gAG2_~r`-#ef%_$D&EBTrLXmv&zY~*!4s>QsBKoN|L^H4JH zA3w~0txatKj^E5>U`fVLdH{3fE6ODO=7r>W$c0IeoVk%Rfrr{&tb9QCJpWb)bX$u%2= zUKcsfIiL%094i%UfP2(?{KqS|C(?^Y2nwE!*EKW5sJoPMMn-4?FX1t{KkSoEfj|Uq zC%O95Bn^y=7&*bsG49zxSo#yrdea&I0K7>g z9%vAo@JfnulziD8dVVwj$p-A;{b>_B#(yGccFLTgJo0FZ zZg%AR5^B&7ZOV8(PkLCvQTZre>^GL8Prv_%>gaQZvE+!I&=s1prr0TQU~#VCZaKt+rwk)Pe6K* zaqmegl{p@i6D`I%Q2+?%>jK0?5+eri2)#wygO9Q zGfgdsbXb|k-NeDqbNu*z!fJx0Pk_Ak3{AnPOl1u^4c_x?+ zWmOm%=By-$&=q&*`O?i7&Lzg+dh^zzxjVMXN1U$+A$n(c#(9%vsiB&dA6 z?(f$%RDfFu4_>6y%EYNTJo?iLlH0MzY6RWcEDU+WCRy#B5cw-;-6gDLD#x=XPi_W1N$aS2?DDFhLpTlR?IF z>A}gRL&LKAce{2nn~CZk}ywN1}SB3bDzeMbG)u9 zI2j8b4+puc3Q1h!ob{kW$1}iwMbEBjB)NIyELUz$IHZwt&nMQ9AzU!Y^#+@F0kRS!C#O_^-^bL&&~ zvyAh=t1S}8JHodqC`T$}Kz6sd&os$VWIKsHc{!j=>MyPCY;GjC)GS^SPEbE_RW3-M z_gUZ`J*#WR`qcg>*JajxwGm37TWyPGR19Q{^~g1qX{WT1F_nN|I%k9JK?%E!(rw^| z_)mDdqEZPR@!qX!w(!~9dGJU~Qec7Kny3|vVe$uh)X}6!0gB?-$g+IFm}dcfDegAf zEJI}*X#W6cZWwjX-U6w$^Q56*kUkIao@-89g`AZfD|N?zZYsIaqPn>IKvs+f`H1K- z*wQ6x%;dF6#F5O$!wH|1!G-tDd;T~7}!18#hd{d`bX@c73+WINpQI|-+g9E>( z{{UL3=}^9@d~HH}rAa=c@uuCun8qumm*s(@#T zVb|8P?w`z)j(s;8K*U?`v=816oaFn}%L52uG=p#rllW3vX;(Hyrn`k%SpzE*oC8&) zK3f)7+7Cfa;xFG^-s%%cIm_;1Nybmowmb{q_%$ng?Q>pvqOr71vpTjmI}eZ?^vV8p zjck`Tnt%4(CANnFy$^nCLA+;C}PsV?KVx3@*OhjK~@183dltXb&mCD)sNt!`B}xR7H986R4c#5cCr zHp!=3EPKLW$c%E|=ZXh?N?LW$w#+v1fPqM3-#+!Lqj+&Od$gT(D58=R!!zy5pX-{* z(6qa|%|R{x!#Rkz?`2it2SRB)L}SqWK{OgA)Qtl0tt)47???{w;xC9JUkuuKdr!Jn zxq=_w+y(@N&$mkD^r&xjOPDnHShSPK058uS>b`){>yS-zEK)qtC~2@VxX7*l01WCL z7_z@bWe7~PIXGy``n=}D*jKk+P*_`Vf#h{0{jZ|XQ;JNk;j*B0*c&D7K8 z+{KlM;P=H`*Ji%)KCb$bO0rENVO6>kI%2vn2H#xix;@Hx$4G`3w~KTlMH!Hun9sL* z2K$`o@W!2I;k!>5Ppd|^3^z-spY@78f6uLQ8ieNN@>}pQ(!S>AtoVb(cN)&AKBF-U z<`xAI;0}8V(4WKq0NF8G-RoLJ{{Uo_Rz;I{-XDbmWI8phv*=eknFTzIY{ys66t;dc z*5iS!gsq7qDyqzRKT4kS#I}<~_I)xF6}fC}KHul{rl*GD)PH#$z}&p64#U)n1Xr|; zQcP-al=*>?%AWkzmZyDfX<&5iEmvfVmLs_bij&0C+s_0RmSNb%7+D%_ua2a8b5!(w z4fP9vo?rlAwolyp&<0{@8ok?@qO;&&nFkt=@@q zEtZ*buMTtOe}5e-G7Gu&5%!DQVA(%0UI5SXpm%6p0^<7r09lR)og2%Kb8r3}dz#+U zJXxmLYckwl_+@7x5R*KZEXS*2vaM~jy*^`er$*b^aNc8N=iBq9-D#%nGqsy=!6P{| zH(wErzP`4le9X3sGdxAQu+L9gFL1VornoLME#y0+jUOe8a7W=%X}%k{ zxbVE*GP{wMIA+=-#s^NP^vB~<*y~Vfq$S%1IcUxaX{NP^}Fo`Zv;1oZ0`)0cC(jA&>mMGHzT!j-VuV< z^HqmVST1K0xDDhv`7%KHv(v3u&|%c>?d~sZkQTRa9oXc0W8Sv(e~fA2=_atV@Xe5! z*AH>_eo?sqf(p)XHh6fGv6UK4V z^{6k}=Uu%1)xFg>TreVMa!F<;w{+`R>~wi8u9;nm<~Jw5)A6Palr5mNvDg8`(Sc|R2SP(aI-k(~3_MDoEc~WP2J^OQ7 zx+aq(4;|00Y0>!2bhnnq<}^tLcCw6+YU9tQSXi(2Cg|&#g8N0)ypeH@XRn=2~y!M z5%wxck}?lmboHw~BwYf_!?sac7L!qZ$#Q>$`W$rvviv`#U+U7Pn06T>Bp{4&jA#66 zgQ=G!7f7t#xZz-^`aHL~g5!SQ1YTyW8K8!G;*Waw)%V zj&0v-m7|5%YPV3N@z1p@>P@vFlf)O=!Ggl!SIgLPpmN8t`ii}x+jvTQfo0-knNj{w zM3@BUzkkZDcu&DsHu4`5-(NuX_AUyp;BtD5j`_wbGscHT)-@?Fv{;CN*nGKIb5e7WnN zOjcf>XLG69$uy49w0Usg9)R(?)0|U3;V{>2boq3cGIu@C)~q@X@q>*sQj<^7 zimFEBZq85AqS2FEme}fcfx(RY;koTl+d!_*b9PzT&Ry8`HNU6B9gdpwMhtFE`6YHge6J+ZO{HBl=gNf0 z%=uB@70_$mAGNgb^cuudh}{MmWEjZKI3JFG3U|1fbHVz3kB4NuwbVdwJ_cB%EB9X+ z9M?B4nKVL2vqj$&pny2fKDB>CzPr^gj-GBl(IH*nmgfL+Kb3A-U3g;B>Ps&W+Dj9d z^5x_CGutMBy~-NKg|BEnAGh&0_H2!BK64`S0}N-M#C~;6{9z^ZD7TRLEgIq7yZcu6 zj{Hjxi8Ls@H>Ajm0$}VMENnUvk8kH#+8=;*xvnC;xQj5eg(qe~_n=V=4MRZILs`jn ziLgI(RQ#jd{{V$1wIaZWPA7S80}ep^YA=YoboxJucW7z0GY8I5dJsAOznxRjAv&I# z(#v>v#F+$<$P^X1n-_*J;nyR*xwUAK-{f%_!*T2Mt?gRpQ1Jex9F`Laqm+hXz>%L! zR61vit^qbT*K$at6oV(_1oh+Bt!nA;+uYrY8_9gIc{|r6cK);l^(ngQUMjYIYEUMT zScwrjr}@X}PttAtD+Qy^cjk-OfXpw*&(!p;##OTTSEtVfgtqgW$oKh&kfYNFoc{oY zd4=O$-b{4~i4P=&vZtuer9Pm`nu)m8t|gKsCj?;u=sl{>hP*p1wfkIaR+2{ygE6x& z86N)th^q4F_X9-!+tW1x8>=5RWL{K%g->VVtJ$MESe2X<<@%l8gWJ}JxHCTb;M?3u zJ-yA!CjS7D+aZrWmAwkiGzHeQ%{pmgSu=F+ zhMf$!^QGWQ*~dL8{wvZiVu7^8v0pac<6=u24Z8v71aN&vtyS?|*Y=jIb!|Ejh{boL zeR2jjA6(*>O1z%_(sO`618 zX;ECr?Y)3t#PlHa_o2K)eRVoTaKcT^f?KU2>P07;XwqImBgW_EZ<~tTNcA@G;n$#u z7`F}VTOUzS+W!D%E499}zTKI@j#f;r(bqnedh=S+?jc_dX_F_Bvy!8}dizipB8SEL zWy%W(${Afx%ohZHRh50F>3W^f5}4(3n8L9H=hCd(cv0ll;Fdd~<*R02EqQQ%hOT^3 z(&5nIw!PC+$w$Um{Kas2&OZtSXHTVCX}VOpZMC~Jows#S)bm)@u}YVam?LI6BWP~_ z0M@j$ORY;*NnTPM1uR@PSo>A27gNzR_#}Db2_muDU2=2jih7KpRKcwfV>pvZ1@#4T=ZnICff zICM01ds)Jv&uv9}@U>+7_7u!*wHl;sL{pI3!1@1?ksV$A1^pXpXS zH=)JkTthsn3uMQaJ#kTL_D`ipYjTqO&Y5hCjoy^oUl3eR<*Bw%>iP2rTA@3UE!D+| zU>;SAIB550r}C{Aj^Pn*7BhzA2|739TDnG);Z10|yt^)?V4z5F2hyzgx?4$=G)q@V zk|pw?%K{{9cE|FdmQd6+y(aq5TUptyyxn8~=NxvdxO6Ag0~jv0w&GdenyC(nb7`pg z_Xy=l0i+F_p7m=|)U7njl9oPXo3KuMe}x5GLt4TY)3heB7Ygo-SgGoJaagtzYBsur zS4(M?U_tW$;2&dBXu9;*H@Wh`*f&1@Mk}hex$t%3rOoWZ7FHZLL!Pt^JEM=)udZ~9 zwKiIKRb!B+kVbv#v+G~llNNY9ytY^_2R^m4;+d_rtzKX3^bG*QL_-6ddU|!PeRPSf z?@67Co!qW^eQD~3%_zJ%9n_5>zJ=ohjkzuKKaDe1M3&JlKF%1c{JTamP?=}4n8gLj zQb*l2Expa`%0EE?vhdm&;tf?s7TL_*9Q=Bv1yGNMbMzGRstbElG|s zAs=^lIHUVk>iX?uxK#_c1OwCXrDt**)QT(H#k!2-Zr(C6+r4#~anhq_lU$9ktGFt4 z&N%B?kmsFTP!V7;g=GsU3vSeQ0THLzPZLSn*ns%WMWkC6H z^AV1OdeAGFcU~qb2g{~`D~@J31OwE4YdQAbMdqeSUb!_sp{3j_2Qvv8S0sbfQ&u@` z?U~>klsC*rV^z?k7HTb~X$WQvKIkG0t()qu#N6*2JrW z%MJ)X(y2ghB)o4lxZ2+?PZ+6?$3bZ%Az@S`0m~ZGib*Zh1aL+UeZRt?w$x+Oe8p>W z##Q=|D%LDzg?`N*GuLsZin$yb#ndGE005_+IU=->_&s78wakZngD#E%A^nf*S<~r% zXVc`pH_7sxki(o-G?N?|3Ch1GGy``p>-vOxyhg<{<*Vg$)P6Oc1Pc_KrBX+18hw;; zl33gietJ_&7C*cBuzvTgGD(i5b8PsB{R${+^Y2 z)_W+C(SR(y&T;h=fQ~k`wzG;Ua?-lyRAb0F;~te=r@4~n8>9$;=O?MAO@DDDo?pm* zZ2iuEI+b519Z5Wz&&#P1oSmt;`4ij+;}7oeQEPahB2u$h>QUT~}@xarLe54|t-=+BHpX)g_TZ2_y1P`TmuiaJJ+#bvde+ zR?>g0fELGGP*KtNf_)nOBL2^vSddy&2dAcg&uXD-Xs;{AvHZ-WfLA}2Q_!atH>Iy& z4-}txcL%;Jdra|;lc7g)`evMxJn6T5yt1#L^v{2#DFKT)Fbon@<0OMnp)$DK%)}}A z$*oECn;8LUwBv?MF(*4otm}y4j@4&ZQ0z!#FUYA|jGh*^TXo((Vlq!$)DF=|tPqof z-lGAY+%ghee+PPWDqw_tp&57cwT2B}Q4R8BTr+-jUCv}*-GVsAL8|wc&aW_uzjm*M zE#9nK6l1eu~GmIa9eAH0i2u5K)caleX zV7P0Uf=mc9bz{@=sau0(X)T1~EAr*JBvWqmx3oTNZlu2;gPtn!iAG{@RoAMwTCIIE zpb>yh1~&fyg;0tv^F}~ISid{5(-j|<(n{u6IcDXF=bw7Iv7(@6ZZL8G0N18$gpN+& zPbWW>E8T$kwFi<2Y`mPUx|NK3XE`*2VQ|4)EB^O-MY0Du>z>@=lIcEQFU$G6;)k&H zA0@iL_!1Cv$31?v9o#3)6Qm#?<2mHi4{+|xH@Ds#`c{>_#i!Whd(<)n8RT*Gq?inr zOj@LdJ~6>P&-JQOPb)EF8)1(*?NVOcCHt9?Hh^-7nZOkkFp0P&iR+Bq^m#xf2jno(L5Z+>`03bNJM;?THMEc_)HTUwXH1 za3hOs(4kS!&bc+8J=_;FvMOK}IRI2W#>=U$&359_NQcTl)^|TzjN(K4+;Do-a9TWh zarciIH7A(J2nY)fhZRVX@4I&G`R5f@SRHPBxY3v&Zj|utAZ_8ZyE*Sut>W8;W_)E1 zBl5&Dg4qP;H66kvOcpS}5uL1gG?x>)CJ|0g80(6tx!r~>*Qq(6FLGkCd6-^L zrC5M)0-+?2yNZQwlG;Heh+Gc7yws3gM|8?9vIjY5^`!2=VZ4!F%aSY{@;xflaX~7! z<-udg91&5an@N@@dk&-y^o_bO+fG<@IqOV!WtO+uh~j=Wo@$J+x17Koz&98?nz0`F zLbEYaduFWfMMi1i{0N{=Q$1!84a%%JJZ70K%g#vK$?NS;xiOb52|IJh&T6xVjr_sX z^yYy*%TQy15$JMvb>goy*K(b_Z6}kob5t!SXN}_!l1Dr7`TZ(;2;{hsVnew901tW! zW9GJy$U^5G^UV>mLxRTy4n=7r3wZqNrORWS4%JE83#q)th^mpus83O%pWCwM2yipO zJ!$i`t<|!$icyD5oYc_iGQGywQ*b#wYKEd@Nm>ZlU=zsiS8$NipY>|LiKeFD#?_1ot$IBY9h#0(hpiuF>W$P7gfvqj0$(jyW;EBRx)Pz2tG1 zF~ctYak~`h42ZbNJC1T`iV4eS1Ru_of$l`0sbwINI+IeXZBx!k>yFg&(13U6KD8~H zTgpg&eGX|RK7=t$5w{VNO<7?ZuH-n+C+kUZq{xh9wcQ}cIPF#4q*c#3^#1@Fp=!s= zAmu~z*wR6r!B{gB&t7UMj?!4DKdvg`%>%~FNCXZP^(W9!J2DyWS|gMkA5qq$Mhse4 zz{$->VpjP<$@e^gQMwc)V?XUw+fhBsc2HVOyXSW0xd8HMw{WiC-0lFLgyyRGvqISb zjl-V#sXo^#ka7<_>VSGXMBBpQzolD>{zg*?ILO9WRZlz3QzFNbdbLd&qY&YVKBl4W z7bLX=vGWEw>S|lIvx*)hCD?Sw3smQM5x6`N#!pJFk0YG6ee+ftlU#B_x6PkgmeScJSI$WzCnu3o zt)IEx^5(zw{ZQNyzLg0^F6W*L1-TrpT&m`05DZHu@bJml)Vk)x) zetmsvNTw(ExXC@uHc0Z>BPYEk$rl7Ra(VWqGUEY_!A5%Kqh>idCqG(<$`u0=NdC1T zQp0*@8RWGoY#|agDqX?j^{FAB?D@_c>&X=Pjk&i~i|-By-I7nKsMZM{J+VevnDiY* zB*w*(WtE$3dG$3EmdG$uJG0OK0IIF6pV=WEf5=$>02lJ8o-!lJ&&|mrwK)M*fmhtT zj`^u0%Z>RYj;^{F4pP!c!Ku4yJA9nnTQlkZ5LTQ|eNocPR?=rar8qT?Sp)9h4%Vn3))kCc6V%@CP?bRF5)NsimJK3^N zb~&Mq>|VE<67D3G^ffHkFz0G=aCxd~**X64!KWS{%>$0)`qZt(cE-G3Y`I1KD0VO$ z1Cmb!cBlEW6~OC^A8M||3Fo~jnj&ylI6U)AkOB!_J!ydnLfAcds=k0) zEW3x7oMVDbM{^{F01k2vP7N|QD!5b7(VfJxJx_X&xcD9Xyth7?G-ofn8PBacD3qOr zv6I};@PsGk$Oox30LN6%PE9qVC2}}EwBo#Al5%>QPcSY=>6!uDPdY_xZv3e{){x|! zlj}&?Ex`Jk6;!TB=RULpVl;b6C!TYfPc4c0*8q>bRu(*i#z~+><>Vfj>Sztdh`>Ui zbM>g%3}+9Vo|R%ftlV?!MCh`5 zZW*8EepzwfGa@u*xPlaA!npJ!H5&M_=~Y^w|{0DFA0a^*g9TjPu;o zPB3y$=RjH5MhZ{Q+*EsAeqc#G>PBuk>S`e`&9kn0)glQZxMvwGPCZRIU74~u$5Tv> zHQagc$)^SpvBBzknoDpeVx(^w=b)%nS&K?War#rEB#f8j=ALdEJ{CTslSb?c#|M#; zd(x^0`FY7al4)Oa9OIK#?ZP}kRE`H+bN>L>q3#7S5iD{!&p0$P9mUThx2;@c5u7L= zH*-}RnF#7h^`t(ctZtuqGoF>}lX`Ip>q>QbG>ydK`m5m?^6+bCC$UJAIJ8#R$ zKaEBFN1Wu-+EcS&=RUpY6~>q3l^qT#bCP)L*EBZs&N)3#r7_s3Ae@}@K<>#Eg04x) z%`OAy0PuM<#s2CJ{Y^?EkPY$>K|MtQcEwyUATQ!+2m>T%>S@Gf@}QDBiVTJNbMHWo zoas|oTcoAf$1vQe`P`nzJk+u>O~i499>%q`+2MODSlI18S=*M+dWS^PKhqLhr2XFG zy?sRl87pGb$iRG{a?DRpdepogW}LP*(uF%R&5ZoRoCE%P)m?33g62iE+*O>FIp-fv z)eH?ZAHHJ59-y560Q#xice$tFZ6bYJ#4%{{t43y!ERs0LjCUrZ@esVw^?CI8Zamn8 z%%Pir*gPBx)L$5Aut5^(7A%wO#lw%jPeMWM#Z9#kL+(m6AyRLaq!z7#{kG=yT1TH;Cerr3#cUN8`)Mc9U%W0d0k|@9)*aC;E z5_c+DYNyLKiJgv4RGf_cD*l_{i%Ya?xiuA7p(^qqI6NGlYQB%4$qZ6SbP3uC1xGwp zGd%ID`LZxVPZ`E2xHj%(_ec*}OXq#`tZb>b-#ONBQYY*Ui&h3%d(UAm&FMYUZ8c z-A7RH)z6Kfw%-gmM{i@0Pv=xE*_YwX3TL`$rIHyS7{ELh{{R|hp&iD7;v#ha05#(d z3n(Ng2e8jtk5ASn%UaH?>lr0jU}wE_`aD_&reXg8go^CjM=8roFL6j+OzCu;T73>r z7TQ_8#h075Nn<%NpMPqJ{8A#(jj*#+k&`Uz!D{WiRjznD!k!M7z@8MkOUQ4eE?zm$ z2m8!^gp76kE6ru_{-kd9NV!3R&IbeA{QA;TZHDA=N%p)XjoUmLvX=3rCL4BO#Bt7Q zUlXmJrR-5@`#i*e2~-Z|s_6d!ZPYJBHq2F<@9jMODT510Xa3feqfNtafG%aO7%yE(^t z8xdv=S5xsGA!yo03SGi_^yZ;Rw5OPfUD8i56M^5oZrguncv!5Ojk_zzK|>q!wD&mb zE12;mmFd*w)$F2?<7z8IHXorv;=SV)*B=}G?p38t@cr$?vT`EW>x6uN>Plny~X z>V#)rUrPq3G*MhK#*NUA^NK&T?4+Gu>M1sy?vQh|`~Lu*^!q&<`(8zi-LPknZf5Q) zM_#niw9N(dy*dYZ{KMxkAnqN%tv;ajV{G-9H0x(gDMNXmc*%?(dOgY1oz!kJLyN?Xc@V$+^o1A5(8?Zfv3<&RZ-?Qnqx8QSdTYe8JNBI<5 zneKB8Zq7;I4oz2mYU@+EVR5hq{{U8sF@f~0y$emW)7)y8I*e>$`^g!}Dmu~;V@L57 zpwrE?*2yE}1e~Z0F`V)%3tW5o@BaYPC07neRtG2ZrMh!H)Ytk=oUI$n%C9>K{*}>d z9vb@}g_FcrGe%f~rE`Ki;8JT*vzgK?Z7uIw=J~e9sCIGO)hI6>*5XNRUQ(o!C{xsb zg-kAFyD8?LPfj`KrEJ()X|^(|YK7z786|?`k3fF3!Ml*8633=CwHyVY4VQD;mVIGv zIrP4vC!mpwpZOLH~^l&kLy`Bu{uxohQUyBPCC#RWr#G$ z^>%Au%e^_vdsUARDqd+zrLw4Kop)on9<`g|Yl&mJH@abxG}@v}boW2!)~E3EYC65K zzK#Pc#y@$IK4a(w0QWBVPes&kb)<%N5!ufPRV|zndSG{~?MGR@(lr^httlCnDRDG| zk&*5zTS)Ouwax0=&kT_y2WpTH1anZhj^*SQWMX$FKsx8#(!01^%8K^-{v)bfL?tT3 zWG_FBZD}_?7`XocNd>JgB0I~8G4-n&Wq{MIW`h1NE<3J6W2dL}u2Ov>Z93{=U(8Z- zm+wyCZ|WsHK%_a7ZtSZQ&#|li8PF|%vu>?*Nkc{Ub|u@WVbdSy)~LRfsM`2$4-x5? zFvzaOUJ~4ldj9~P)eCr&SMeRX>2X06U>}#tU!Biv& z2aMK)Gf!qCmg)>EjmOfRX=Q19bkJR-izG*(Zb|eR>qfC0_o%u}rK1Ihb2P5}e9`$=hxAQ{Y z$aCfH^9OF9t!sF1T<{z=>366Jo^!j(&H|wK2cPn3H1`&_GobNj{35rk%@XfLDSyUYPT1&+$-*H+<8%81;-y>U&foH>5$u} z*>7B`?Ajk0$?R#SQ`G6SKMrZvLG{ZWTHY@plJF6NdEg4A@jqIF#@d7pqd*~!D3v5o zbG^X9&NvG%&(4E8ns*HSV1g!BDVZ`j&w75J zp{I$jKFuA`Nm+-?r{*4%1lSj#qyocm&O75ZrKk9_!Ma7nciJ|a4e2)Q zop@Mr^s(FBC>F-$@lri7D zkg;x9fLp73`%)(QmNhRIMW{`2ZE0u{1_NR;@^U?@?up=SLi8@9XLlMHu_nX8W9U6A zBf`2Fx6~z){vX{Z8>M5HBys+Is>Rl+uIX|~9h~lBW#sxC)7_2Tvs1>`(d!z~csUWq z6p(T^W1rVGi=gP2dX}vYpKNfgCLH(Y(yUK)cNMZ;M*(&xsP(6>iMQLg+3a>s00Dv9 z&vWnIorKv1_lfSb3&W?wbLJ#Y>ykNDJYtKOQA9I5Ei7|<;mPQG{V07aOw_X@WJ@{B zuPMj`{OR#qg!2w6e^qvc5JJ1COt-{{ULD zHEkkEuVj|mMP)y5FLU**=JKPGIOg7CEr3I3is??Db7kdDi2hN=(o?NH%Ia5xL;FsF zD(d9NBuW|?F@n7Nb*yoyUM#XnHT0WFA&VSwT^^^cT57@c_$Na&8x~km{$L5tNc`#; z^j$9gY_6dio_yGyd;MsTU6if$7SnCxdG!2=kmTbB>Fxgj)~nHKx~1b}&PD(y^snDzW~S$-1m z<^H30a<1mzsfn?W-%A#dB1LeL5F`hgNzWgL z_|OFe@vn$HKFy)Hh13kJfpEl*0Y0@V>TzGpvTJdd+=ROZ`F*Mu(toz@&BW`82r6(o z=hOUZ{r>=h*GTYe#&(y_MGbBoe9j5$iW|6_HuM=hC*chV{8wuwz0=4FExKcd_Xn+T z`ixJk7t~VSQduR+Bx}YGY*lo!5J?lay706BU7Q z2M?C#{{XF2Z9Fq+G@^F7{{U9y$}!xQyJ#9oq#GKGTzdlkZohwiZyWzMM-S zQVW;lpP;DxM%H&XD3&31#-F@zdNfTlP`*}J;yXw!5kJL_qcqqurOur#!Z3yjBz?fR z9l7ccYUwYo9vfJm-5<_H0r}*&a%&b1a{mBHoz@sso>jwhk?KFjwDf&y)^#@*!1co> zGuP14dWFjHYFe(TcO-XHO6+n#bDyt0>RWwl`zaZvLA|rMwH5An$^5hGG*$v<5KhJ7iBg2>I=UATAn$aH) z`RksBmq*ZUq|ztUE>Hm(0Re7KeXAx-V_JqOG@EH%A!$L7vt)789+dYR*uUZkVVi95 z20)yAr2cfx3r(9+x0Z9hM@RWLHc!7=)Y9&3G{uVJRI`$38=eo|A75Izsp`HNlTLWN zH>Mb5ExJ=T-of<$06nP#G3|V1tLRZrriKn3@KNIoaDKH#-aCx5@Ef`BPPx*r?_I89 ziR5w10D9G^bbFYz=|8k>!nq-k2jpYco7_@mO*+Ef%6HadoE4Ean9KfLRC8d1n_o*3Zf&$D>ziKyQTM$`T-ZiT-TeN$5Lc@l} zGm1vJXSiWBCEi1UgU)?xQ&89J@59>Z@iHQjf$i^92A_L7v&9gM1H`Vm1oahVTq4u% z5zIH1g(Yv97EyxO=kDD#JzwXH!an*h6H^aU)xzX-lPS#@~V>wXGjE_!g#--w$U3tHLt0YKS zReE3^x%TNqwx@J4bTOu$AVGGSX;Ev_~T&a=Gj8gIbn; zGZrw(WcI7)ZNQTqDcq#U_0P9xT81IJK3tFm*y;gRBb*=e-l*vk-rijKGB9LbtWHnU z{{XL3lG@Q1_nV|+kPdo(jbF6V(@cxajRUO1hbJT+QXI$JBu!w~NlEbZF>ekwgwCrJ)O|mxW0UT%6wk3@}vMn!+jl7`}Ztdws!dGT} zr-$z)zXAnpHc#Ep1GPhY4aT3SHL)#~IZ`_F>MKrf8fteMV`)}|M$7UCRQZVGy;rbN zb9C01C}UuDv$^DbX$zrVCYE4cZd+=+ylU;W<0H8v)~D00l;h1$js^pey9f6sVx11h2 z0p6=Ybf$QfU3Q#;$DI08Y+ANPWHHR~`OHRe4tiFtgt1!bX(jNMRr83I)v`x$J*t$( z!Wqimz}Ps;1IOc97F#dvCDSZrh%+|f>e%%6{{RYx?#nlm#JWd_bn&HW)?yZeJIl6y zUci2}LOlY?SR^ z{{Sj)VeV1A66sbAqZ1pI;z{mP?(6b}Bp$x?ers!MOL2Q} z+eu;awmI)qfR@}H{c(z+sK+LvH{2Fr4nPgm_NkD~YuhNVPud_0&l^FeD7b@1xV4c~ zO26t@o}cIXR61^@EtR?nR6lZC+up6*_@%GnTX`&EU@|wT8TO`!c3?H#<<@Zyv5I*&kVbjy(wnF*N0p7h@OkKJWw;Yu>NdqyBZXC*?JfpD z{6Ei1EvKZ7X^t5Q-ub}KQ|LWvdn8J1vuQtNRd;W^ zc+XRgxT>>&z^WX4{{Sv~iY_m29f-S>5*UC1;15AkY-us&kQX4ABc)aK1n!6;7-44Zj8pkt1?Szoy$)t zl(S`ttyzS(GGxeDlhpH0wziF2$uuN(W+#k&Dqh3BrhF4T2XG`1dK1kp)}+$T0RZl9 za(#U&<;|20%C_KnCp^_DW7^+yb}67`h0|^CQ(+wEApI&xj*NnMJy3rr^jq3(J(_JP$+krr7l(V8k>^r+`BOd-tS*$fTRcBR%?5mgvk&WPh{| zT9!-La3P6-z#)&NN_P=78pG+Z%L+h}2}dGD$aX=d}as6RONh9Ax@bX&OXJlh5&DoXAl! zRQ2OET*&e^N#i`w41Dg)Km@jZYFkaf89h%Todz+7P&PJl!}O;@vE52m-;TKe&@1Xl zCQyZd87G|jRjW&TTd6{pP~?9fTvd4Vmy}4+x5%ffHaR`9_*RlSm{IN1V}$+SY!mgQ z^&Qy-?w4_BL5?@UAhAA`pLGqq(md*<6T`ObgIgv*%(JfM-N7XAe+p&lTcx`OwgkVo zIrXVqh|7jiZ3t&IFvgAiY*KczyJ<~a)#J^J>lZ&8Z`NX!|R zuPjfkS@Ji=A-9ubXN-0qN~<)5Kp^!zW35XJY=9gPSY+h#O(|{*ab&YGN@8~1wegVL zAEiM#cn6=qDZd4?(Dthm&e5p^ZaJv!;Ew%WCh3fhd-kPpZtO_pXt)CV(5l5^IqPiEHk z;%(c%ybojAp|!hba+`S-G8)y3HPfNkIrJ3h#O)>+WH(@W{Auw(C0s~8VtSglC8@N8 zusLD}y$R?Kau!&Ge=rKz=*N>(Wm7R@7|-L@pQuScnhc|mFnFkAPhpyU?8`9;7~y)7bDCR7OP)_7wNqePxAPUY$l#JQk|{1> zi4d}Zmgh9(`3?}|vF}Z}joL(r4qHDja%jEDZ{8{_CTNcxPbW2M*4ZG0Ml+MiIjCWg zBN;8uamcBnxOP?whduK{Wd!$z2-L^i;PcjyG=YOOL^1U>ZqC48N#vs_U^oY{sBa*i z2WaP&OYZ8mDcpTW+QDqh7E858B<&@-)KdqRHjK>8^ZcM5^;wt+#Bw1ZAI7b(l)Ge& zxIc|hGOWsxN@FR=>QAjyo@qnmE>3#luij1esactE&#guFNhLm7W3MF8M)D=ne97he zFWpxoB>L9a(=F{MLlnRj&UqQESgicHfORT5gPx|XEX^F@aNjZNTbfOjYv1U)wEA$= zt!!RMckL`HjJCoADCCR`o;j-;XNYyJ25edC8=)<@#~{cD=xV;H<0sXoaXfjzJDF3b zuKnu-{k&C537+_yD;(@M0|m1efRjZm-59OIg!sYNBF!HryX z!C-TmaokcPyI8jt3^$X=9CJwaPjI8lby80w88rhFl5krmf@;mR)7ur1I;rbUz*nu?ld_dmRR3xWR)9^I*OJJ(Z#u! zJBC5@sI9Keqp(8Tm|?z@^LQuSo(LGp?Ns$dw{k0)o_5I?2A`+f#c?AfgDany(uiZ3 zbGx4W(Qg#7GeZ-SN&Bb035E48Nv7${;o+ZQ+H#C|BzLUqi+79WXvr+t80Mz(ou3N% z&sEPNp9W)pCFIUddFF<~pc!Y}iJ;$M>a8T_e z=f5>Tw;^{_J5-GHKJ?beInI44mk@c(Ts}rBNaXV4F|wX}ngZ-YDFBY=-kZ3%<%#F= zsSHR|NJeu{Yi){LbkDC^m+=xZGaD)MP6Cd(reG3Aa1X6VI<~{KoaeEpz^7-;l5^Im zZQOiI$6Nw==B#<^2N9`0gqnst2F5ebBQ+~9Qe!FBlUHH5&CfGs=yZ({mGiqf6!9OD z1FL5=*<#+Cw{zQ?VbrfH2^(=7`ufx2$__cn??|w*lVd3)V4tN*+%L>H%>eadgpCm1 z9E0i&KHF8eh^vK#W2w~29_o?K8UJ}rO&~)!W)r#^Zs-bjlPI~_UDuF(4 zm>lwRlS@6kxyMu7Qd_T=^A$dn3A>M87dXj2wG@mA&*xT5-g0di9;3OaQn+FFk2NF4u}9`Y`3LU|^Hqo;isvV}6p{J(E#Eyl(De<+vojx%kWV!Rb8t95^tyvKBGqm69XITEu!WW*xgXaZs-?qx+29`F^s2*fAd$&E zYAI%xQcRE2-ia{i8<@Y!dXthV!aprbWRsqGrXrk{!N?s+s}Nkp2qI|rD=rwGqv=U* zA(6?GhQSpCkG;;*-@Q%*DVB;t`Sl#sA|Qu$I{WmhEfJLYvPV-&7v(BB=Zw(j9D~o+ zi1#ZNVUl`KChkcV@489NOdJ!igO2pmG4l46%M+aUr`m-%2iK`JC|yNFXPYD(9=`PH zA~C6U1D@WMGr&Zf{LVWHg5pUVIpl+k)n8GhR-MX%SCN`C@|>r7Qn)07NzZx$;1B`H z^rYUSYV1{L)PRdpJZeYChn}>N!{!l%>(|uPvt>MM#4J=CZq7vyxYmYjh)`sX^$ttm z<0C!lCEn8D}Xn-X_qVFpk4 zk4kY|a!z@qCml)aLa38G5Hpf#2DcQ8#Nu*$`O2MyAqK$5WFo_f^H0sug6`8n=t5tWx}q0V`z0%>DAw(jS>F~A2K zPhVP7F5}SW-kS3N0C?v#3QUqY5;5~JSz;@1;Xzov78^9niKb6?a0rq zNEKHsz~J@GF^Zm7B>iXv^#gPnwvq|;q{x)xIR~jGqA2AE^7@~~lsMQM827-NaN!`XRbOi=MJ%(*1`)76MO zSm!)(%>XQi2uKG9kZKYzOA(SySWp7&Es;>&Z4bfZj&nc}I~LA)_NJKkjFHlwgC`@Y z>61VV2@It3$oHTIsa5EI9`xYM$6zF2b);bK+jisDrckOt1Z4X4G}hx85)#|9!0XLT z{EBIPt(GS~wHvy)Myk_J1PY-sDq{7ZV& zjT3{p{{T92DckdOJabgGA?`IxC=b^stvQj{jyj%wX;l>g3Q5W2nw}68nG|G!&U@5| zSmRY+FUmQ`EKNf3ZE?5}kb0W1B=ZWWLP+gQmvcLA9esOIeL$?)M!^R;&owQqj2yN) zbvdZtX>1Ii_KhqkPIJ@i)`srDp5y}Dl6dTDE2+HPd2xU{x2-vxA^9?M+|_9}5<$-= zts;vMN~(DT)TZGTOA*d0lDQoZ<4*Gf9j%|PdeBg^%4R-e=cgH_VUGl7)}-1P@UEOm~ zyD%F-$UW!_wDsrHG!8|FWLVDcyiO_?4C+d9RN$Hw=Bc8M3Llu{3TTWBv2OnWohlu# zh8YLGXdQ;iGxG-Kp>`nr#Am%)WaDu?devz@W1dK=T8->BHyJ0MdB$nOCmB5TIHg#| zc^vziPFUa$oqcIsf#vd`gZLVXWC4iibCZ)#$IG~KbJCl;uI1-F=>X`4;qRUWPq+oz zMnOD-P(t801F5OX9AuxaXenyM>b*~1PHH!GUOIEupX#7@>P<%|Ad)_BY5}Vq-ijr? z(MwAPHh-#iIr&FQSbRfs1AT(xK3J7T3&%c&oesZksz4UU?AuiGwuj`&>N)4NHFW7U zCh{Z50f8j;IiSd&PSNF&7EMZc#6kW*dXZK2DKz!Hk!%$q1vC zC)$tgiL}WYHy^!Rug%F`qOSOU`@?#416H?^Lo9EEj4x0>+W!DO>lapQty5K(QIayo z;r9gxCqG&Nj-F{XGv-{eEswl;HLu~AhLon}DIq3a3doz7p2DEid?j_JcxvBOnmkGz zi7+|GZoNPH)eW=HKG<>8{E8Qx({AD@$@aN*31gK=-#0v956kIWH(npsG>sP9#OBR~ zr1uRJ6M_hLOl||*WPWtWW3h%yh_$;(_iqPrILZFCKC9vreQGpO8T%k@@Wx#HhrS1F z;C@sF>@Ib9Z~j<|B!xFfPebcj@keEGe73ifghJS6>s!7N(c>~jadeo8M&i>B2=}ZH z8NQhph-4ZnViJBu4W50d-HKb9{vsM&x?Q!Nl^V3sIcX**8B_csqwtr7Z?*pb5L?;H zn5~{*lFY!6Gv5QhU&^5H-idX2rpu^X#UW_a$s)f{qp9Yu*?dm8O*%VEC{h*($kPu_ znfl|s46Q@N+A#3ezj1G6dmF_BgaaRc%lz?GZKgNY#{5Ac1xpZD1P^iApQMXxw2@@g z0yM3?T4GqUbnE)pO=)3gp;}J&Gs7g4#8VPUz{u(V>+4e6xSppqWqYSvMlQhxnB_)r zPAZM_Pp(|gc^2*Ei*0k(o8ovPL2stn+eaiY?q;};sLnX6_u6sNY^|;%WBbVW5PE~t z6OU(!}`}Ubsw`_jL9UCvt*=i-1M!9?=ST=j@khs zDwtUFow?_VevxnC`9rR{&k>D=!l}bB?Vi*K)UdpZ!{P?kbfWOe1M=T7Z*gLP>;oOf2e)cZ2l#GXTIySkax$qQAxe&) z;Yqzmsf}UbxHUL8CHIvQH}4yFKc!#zkE!T7*wbw^X#B}-(PA=_hF}LIfyRHrv-L8X zZlKZIhG-Vxq=qR69kP9?);4EMF4|;EDgOYTv#vn@015y+FX1@#>$Ξg~w3JZz2A z1B$5Ho%WF{*#jVM1FZb+9=}@ZA@MzgR!KjHSIf9iR(Cvt-53IUdsZdhpDv>_JdM6d z7_nv@DpufU#~_kVKY3v(B(rp@`a@~@Wb#}i2WK3Baku;`#P(Kp+8Wr;EKMn6vr*yVijhKG|`oO%#`N2^m*tJ%{*L zMW)9WhjVq}OE&u?iGb?ZY@bTT(wf;qYRUJ}@=)OZBz+!>eAkuJIgU z3p|Y2#xvaX=~WY0mdfH=D4%BN*#nXDeh0TotwcXwv0X8Q8hLftar3awG1jZtK{l;z zEU=Kx8glRIDoF(QQwy1iJB|x5>+f19)|w52KAhr4pCFFAO)xXG%iBe_ySBaxCCJ#| zbt0-8AMIIHXPrY_FvCjx4^C=kwlZ&M_LeV_53OiwR=ydv&=T_Q>E*lx{^eHz4`ZJH z0O!(%4YD)g^wKnon=ABFaLtG%2ex|(=i+&6ZbV_3n|8u7c|GdwzO{F$vPW@}Vq7OY zj=gH$l=@Wqffur>vYvN!$>?bf6aBMR@RCJ-_C?jOVM3fY)OV{IuZi1ViM+c_mhyf0 z!N?8Y-kaiEn~hrKZ6lvC!UCx$ApI)GguF9s^0?Ii7S0=!Cfv3=eLB!4$TW=)Pi;tQ zGv1_WZx~@4e-n04KQ2vP@mgB=u30rmr%@_}SrK^LNa{X^`PVP0C)jW0f(w^*UzdJ! ziqN&S)gaO3)}ykN+rcV_pBV)8#~!#e?gqER?+IS`sdXJX^W`>{Z!CMs9&XW-xAHxG zE1r9qV2P4ToNOdED{_B|38)EY(ybEA`FkH6ZqEm$XUCyM;!oY_(-oF>+T@M_G<6-t zv9h*!)=fc~QHKql4Q|DMquW`kXps=CKX^}F!`B@}CyQs(Z9EmE_XYq2_UdM*}_0CK|B6&`r$0ZieiWCp#1#TBq@G(kwhX1a_A|Uvx2` z5Qk?G4m1&fif}cGz6W zTb*B15!+neNXkxB1JB`FHrk!Fjn9>=*evRxV3W#`>sG9vONK?&V3s*vJ`<6J_2cq6 z_NscN?Y5F+?>xu*rZnpKOW<`!awOCxf? z^dxrw06w+KUTISZrMP4S7bNkV3Uz=tTAUVFcQaw!W9Px*x(NJ2z8BW+blEg{9vzrh zn6J!FBZJrf0Ixtda+afQbED{SYKsZ-rZ|Q|G8l|idwp(8_1kwCM@|YT>+TPFy{hXM zJ|&RZP4Zi)9!MW`eR!tWcxLPEX)Hln5^!BxC;tGfCEooi{)<3tblF>1>f+=@NOU#5A$VfslC3bQ*X3A=(rMZ3|slWR^B2FX8}tj@8Ny5=*CZL&m_sB#pku zY-7g_{?PQteruT5w06=wA7ic9-1&1eGBjno4!ISdsCd)GI=z%yRo0;G5`EP!Nnc^; zDFeBgs%h7@*Vj|pD-tBiZ5a7|2jN@VpNBl(3fg#*CXxZSWShA8y8i$#5zF^VlW7-{GNLIP%X@Z;u)qvZzO@=KPw)m z{A$LRb785(H2cUxyFLkL+IsrakAft-x{FfLbZMG1fM0$`2cM-s$5z&sx`bBN5FO~a zc2*oWa6Nze)DNk1Q)sl?U+n0DGB*g=&2yjdkKRkDrPZlooAAr%JJYmCWVxPLB$i1e zRV<-fIQ8PK&Eo;#)q4pneAy*k%GrdGcwX)ZwCknRCAb;q6>i4GwD{jq7YmH@gU$zR(tC+s z<^3At!>?depk+foR)5Y4@w)kMy`pY>6Tin>eAW7&oClcS#sFNW81gnD>ub9 za!6iXH4b?yOJgJOpm?HPB5@VLLQ~u3d(0pm8HN3iZrEIF^VBoU!!0GK>0P%-~w2dax zFAi!E{ia)DE@M&7Ng#lIG5pPQGI(11S(;1h8DR%@T_1yi=mjCW3#?q*$#~-C2X8bS z7e2lJ0AJRs#iN}9Xs=x+ByOKS90jMC0KU?eV}-T&GhXu;Svc!9$Wq8KHi7xPpH{O4PyT4Az;XiMs^LEs`_od zpEcpN)}5{J#4|eQ4d`ooPxxcvy-!!RgGz>Y1aqrB)Qgl+)Q&pwSN{NLtpdiw#b4R_ zWyA+`Sn#qgCdbYWzOp{ufC57^1-wR?%#;1J@QXG_zcMJIc0G^b(XM(5FwN~*Ik}F%3+`$}Rk48`p)OYu?+%yp)2}@&Ueo%cYLqU#f zJunrO)Nw}|&2auDBTUsA(#iI9 z+}XxYzH6yZj9w>S_>q|;dv#)wEJrvef;p%z^vye0Mq7=e_lir*F@k!GnxU8x*Y=M{(&~#*GG{7ONYJ4e^rsV%YDa}?Wfyr>*^s5IXc-;Gs1+9R0{1QE-Bg&`(E zHIA_Z3)^irbSzHwZb2iz@~(#F2sFE!Z;`Prx;y8Pq^Ku9ooMM^E%4T(dmX;43?7pTu@rvPq*_v}=NLq+pzO81LWks*(6P zHM_8p2}=={D0uo2-nZcRad~m#coR;w5-EIzi4Qp)KhLFSc$UXi(6u{@9V%HOF%yPX z=NaiiT?^X%v`OK`(PBWY6nn{Gf={6JtX)3X3;Q&@B_g?yBe@6VC*Gf?YPz-Hd37Sv zIvg~za$D2s^{$)3o-Odrs`*-sS(LdWe0g3zy$vB<+{C`KyzrE_kV_w!7H{3Ycq6tw zt10!j)GnkF#1OCE0M~7QsQ6D;zIko`0DUylWur3g867Y?S2=0n){j}2Q*ArRiCpC+ zJREeYy~&p4Z7RasQIxH{#A}#K6lpNOE=fOHuY7#K#u&!XIswTQQh8F^{%NeCC|#Q* zo(_F0q44*I=GHXRslj~$swoZ}@;K{BZdWt0&)R91@oEN1BP$V3IP3m-tw?WX)28ZIOp)8N9~E z;5guBwCyx&H+f*1G;=Y-iBH@;c%xVX+SWJ&0IEu`1Yr;1K}J$tTSv;L%+1f%qq@=_ z=#_?i$tz%IsrTZRODmBhFom#Ns1<7JY4n*QlHHV&c~UY*AEg57U$^kh)wZ4%NP_H( zcP)W|Rjq#1q#O{Xw*tp1-%66x#Ls_l(cEx4HsO(v#=4z9Oz{4tW^R)57=uU#*N}0? zTppu6g#uS><@GNUYE}+py0cs}g;G!)3eUgQZ*?)2ec3#17{INcA5QwUq*mHp#k`Qi zoCw#Z4i7(3Sb9y3{{Y&97=uA797?Ak5!dO8X))^sOCo+ItIF z?1iEU1A@~>lja`$_x}LvRy^1Db{Ck4o->2HzolQc)^C=_?K8vQNVl?EsKNV3{Wci`KGjM=5gh-_9p@;xgx^?5Y)Flp}MCdl&H&m*Tfq}{=8F0Cw=Q@IcFvwW+L zl|t6uTU+$IhGs`m^DysLnWmNi?xR0mpIUo^<$^}%Ve=8|Nw&={ZfXAj5w9#`YgwR0 z$o~LZ6C|HgO|#UjE@qTnT^4x`es;)Gde$hmc~9=hCph4DsRA{;9!#+TD-uq9C~Rry zZp{eN&`o1-7`FwG@&~`IV`_2TU(dcGBz8RRJ%1X{c`u~NTbBWw*QP4mcVf-rmB?jN zoxRRGQ%d2b#umD=gUMN*HqXpUcl>%(bL%>!vH3SwEaNy`)g|Vln%(PMIv7J9Q#t05 zG=-nck#7Rwasg6#_N2teTETA4liV)QSZ-tK?N_cW?IXyyRU4dr!d4v)v?9N<%T@>qtdgt1}GjVN%QYhaEksbzifX{?%=6BWA``c?0^^oSNtM z2$~t84DzWMVV~z&cGpRItX+2ULELj%45=KFT}&bK3vC}N^{F#&ZX}ZNisOad-n6vM zIva8J{tFoQ0uK#X+Nhf5?nxw0Hy)n9PSq~$g50rg*7uQ<7tR@aW}OC>>_bF^j-5HG zno=8gA%PqDCp_l0BGzxjLec^PC?Mo>OIwJitBEa2krRg81_x~V)o&(p8RZA>R>C?? zcg`}T^(VjMQR?#9st+PiU5DHQq59DG0~+REDlaIKOCGuAk`Ro3Vou|aTndrx8f80B zx2-B$l!W})VcXK1ZI0}{roh(ov~j=8`~b)Fs}UvC7hf_G&A{(i_IEc1;K*glfzLfp zYUp3YdU`_#_KXh`mHp{BC60Q7+KC0pt|cnIbd9)w5k0Dm`hd8aJAC@@P?#Greg>rCnoYQR?r=N4i%mB+8I)d1x{Hs};=zQ- z8Pptg?^mwc))0KxD~u7ib*eKJxME^_^T$2vx|MR(z5CwCi6Cy6!G$ z^!KQ&A&vwE`TqGnw7Q+lkOG#@>Wz%HI#GXkMGameFBsdBKpSLIbDB#@t|ODpL-(9# zC*Kt)K{~Ss1eWCPqDM%V5w8652sp(Jj^_2ArzL}s3X;bR3g)K}#Vl_Gj8B*kZuN`| zQh6KWl16zHWm^Y1u8UaHbt@HSk4K(3wr)F)aD6jEcM)3iMTRXZEm@>gbA;N*CCKZF z&ygHPW#53^&qGG9CZ%UjI>1u$1d)47O#VtxQ z7~_u()0BX|MK+NtImuo5xzhjit4GEPJd_sfuYlxmRyflkM88!xKJ9e0uZVrV0v} z+BPKr02g{2Z3W3M8qml^v}}q&A2Z~ds<8mUPz++ETStB~xD&}WCEF}&rBDJC4hLEm z;ucBL-aG|6h&VM?ONp)`*i@W!H8MnQV|g72!N*!wibyT?N`X#6Qhg|p##=~{wkI3` z^Bi=k;dpMG7-T$-gw;bD%NuTdjPgP6Mx5r}U?q*4FOQVamD&x>2y6u5ywFEnoaU-Y zWhj}F6fK3xHFDJwCL{d#RaBsxa6pO;+Pf&ks719Bt1z^s5OmjXb$d z?tb_9)a$3gaRbdEY>uQFi|mqa3J72i$_FPN^b$op$sj79H^23!rKAQu(E=Z-$<108 z`y8Jq@ih&>Wx|1t!>)RoFLAxb&1*cC?F;#4mrpN>p?Qy7V1dQAOVhe_a46Hu4(sB?+r8u45#lN^`o_W{P=%TTY9-^53)Rl1SYh#k7Ot!ay^E8BH@X2W+4)PJ68H`48| z=2lrY@J0#FPtuj{z@ud$5d$YAp5v#zT#_i~i_D5uByiw*)k!Vow%r7eou4j2<#3<3->`_$jHm|V-ZDmekU=A6DD7f#P}WAd|f zILN7OFtEw)Ws)x;k52gJi+inrEUY&F0C@V;t!)&?%r0Y4r>|O|7`ZJO+@yE?4Nw;^ z?jX3fcvNR``L`aGQ7wx)qLVnDGk$iy~1X-eUJM@2bUiV!!B z^c&l&rt+PM+ku~@RF3qS$dCcM*VeDWZxgG@_N0yag8k`q8+Syj5+>>1Jd!yiXBA5N z&e@;s7VH!g^W^?@JnC+3S}5fNvhnXvv$AMxyql#`cVv-GFx;gMlPTVjA29NG9R)Tx zSUCxigU>Z;?)|K-o;!EydH(?Q)i@`a*%x>q{r1HYVq~cqaxh3I)~;HZA(TDVe|Fte z@_nj1cq5UH814rgo=rO9%3%x1@5#*wnHBCt65Tn44hwKe>qJmXyDD+pBzE_v2$@^= zok_{5TJBhm$bc&2oQiCFmL|O@aSCi5GPRo`6DIJ-cH_Gz)~qWmm<^t|WA9IUc*<^8 zEJxn=s8_j5au-O(WRoQ06v$*&eXID2lJ+N>*qFv!cOL%LRU&vCji+}#dV^Lb?#Zp; zoF1Nh}9k(k7)eNKr@`Js4)43-5B$jPCv=6bjd5DRDV$xhJ0HtclQ| zmD`c;Ot`dM?nm#`o|O*Imn^yYxaO182es6*x0G0p;}rWH){;EJOrcyhS2;eEk=qd< zGA;?^FMq8UcN-UKj{K8Tc01~6e{9-|M)E8KY{%wy5Sb4y!G zkS*`rsxq9mY17zPSzB#O<&nwxPI)8Vsca40%!lvc<1TTG1I2}Flq0Z3EUIz4-k#dc%SKcX%v-Ar znk|*5%Cdaf=xDf!ESYXpsvnz{!EZ{C!lEXU=L>*N4Mj2}N*yvJ?rH8MyRw@3g$0Vk;)DI$vh0BV-rHQIPB zf(fZr$tA((y-TLs3!+u!MICbIAC*ma6}OZY2^EfTSx-~xOjjt$C(J<3Nuo3p0=&00 z`7IosqrW*c*vW@2&QIPVfVpKYq=`XwU=Koj)KhtdN-68=YDjg55G}L77=~@`PhU^Q zm(N?00}wdpH7@+XR*6cMQVu;2T6B^k#j|J3#OETVc%omr{QY{9W)}=*b13BtWIqW^@1cDgI zOlKG;uj4@_Hz+kj(5pHcAcsiSCr+8U3LrsbI<*&t?IbtHDKT*2fHa@~nP&Y2@sJgw3Jk@cbGV-X}0 z3H7K<#Jfe#4_wri(wOcPF&N#FO6H~B24)u{o0Uo)b*gPSpm3^ z9zL1PD&daSUcF5QEaP&Vo=qwtRoXlH{{WsUWELNlE4DNDefc!U0I6=HI3wPgcSk80 zBzLC<7$rgL>rD_MosEDFN$O~6$4t{-kD0pAvH7_>@O$Q&N!T&Z1Fut3!idL%O)*FY z)*-RnQzG7^@J>%B(vrC)iS72uxf^zRni4>{V!ZSezi3oa2;`o=)WIrwq@H~`rJ-?4;Wyk}Nh|e zJo_4w)_g`&cTv~9EYiT3U8J4AGxPxV9`vQS$l{rhpI=npmv8V)$7Bx>`E?WrXn{3Q^?oB1^FK=~-(Mmrf2RN$JN_i{6JpiXgJ3MY?Q{$IFe1lJ-GF!K*%^{ zkI)+gpZUOf>s9TQrEo~n&0grlei9!>*KQ;+HY6zN9@|Gt(>SFP#72V$z zO^jrcVS{>$1M5-!sY2`r@igdJS+UPPw2CaMGm(#4mF?Tg z$N(O=Cz^;z>-SHwsNQ#Zep1Jtxydxvtjt-1Dq}qNH3%DWcX3u3gD&R$bL&vaz{WBO zr!B^{V?4~sw5dLZq*X)1x9LJbfw&I7w8GLME1rG((z9iR%%GfiG;MM>D9IgjQSWv? zH$3&tSc*-(agOwC$d=-%Auv4MEWZW>o^Xhxj7?QT;J^7`KU~t@?^bBVh&U>00>efKGGGHQe+q&w2(;pOCTqPp8tRj^dnMK!)4I&+?Q!_dTgDt<_}OgLZh&y=YrS7VVCB@69F6v#S+E$nTE0r_@dA zXMLO}C-LTy&eh7Eznw`efypEDs0m`&KD~R>WnGF!@w#5Vx$8t^E0iNUyVQV2Mq^x$ zb4zU!$jo!e@99z?5wZEg=A*g7aJ=WOSv!#IZb2NHhIAVaM<%ImJF*z$Wdsg$*V?9B zcV2yJIHSSa`qab)Q_nppmg7%SDP?9QPh9iwOKb^p2*_^vrZiXuTodSOOEvQMDI=bQ znlGT9tdXsqP7z7ZdX6~^a$&k0gHkiFI~W}LlTirH?6)V_(z_8dv?!s0B=h+5xWJ57K82raH4n!scbOUTW=bZGY z9u-lyJl3tuI&HaTw!M*tK2+QNYJ)f={J1-~WBwEk5V9~BC+0o#nmo^!%Q)cjPkL8% z-a$QmDl?9D{$_$!;^cBOocEwO95Z$3Y8jts;~-lDy zR1Rs%a5pz3bu`C(j!%ASkT6hBOan@&cK}T9M<*ZpJys)}YL2E7)h= zptw>S1EH%03}_P{jWN_?3^Siv0EmMa3=T=_nv_cWaC!Bp@s1pQ1wJqtc9!S9O#{B5 zTyjr2Jxw8X#_W?$c$;Y|bI%#1cx3?KbKf)#yPda&bj7>4bAJ?8sD(t6#~4hG+hlRA z;j??R20@d%ai||xwKktD5_!eBA%+0@R*s=Hx z2DQ*5ib>yY4qKYOntH_wnMehP$}!D4{{T<>3xOE^AIhV#TZ@~Nh5^07!KO&)o$8p{Aa^Pa6)bAp=vNloNe(YeV zBcbg=dXvqmqjlonvdcVrN^M(&D9pWfo}#hryft~K-6fT>V7p|v;2wGbPw?%FUuw|X zO$j@t3OW1PtNOctVQU+#WG^MWq(>}Ikhna9*#7|asozrWTJdBWUXS6cZ7SUp?df%y zE)?X2>9qP+KM=XRy;!%%^GA`EZ2Q!a%O&m1w>PFqo=LpMJ$bFPv$4@Fzqi&<_WsY|a(a%r{3}LHPJMPQw~&Phe|FjAjo(c8Vf$7`%<14}6}S zR}(3ljne|)SSer>(x0yuWA_7SF$f-rN)}1SbmfS z?0%1@Pp9c~YmIQ=A^DZh6{Bb4O+_?C(h?MFN8H2=Z5h?SiIE|Ip{l)N(+yOR?}QdE9sXBEXqij zxXK=w2h*BOw93AR4HSu~-3devHVjK1GRixO)zLK%3cbzKUD>=h7S08>@)*m;e++xo z`&~aw&@Eo}3)68W?p{PE=1zX?bGnU-$#(Nt#CFKpIXwvW6w|l|i1ll~6UcQPLJ))~ z+6P>N7-bu8MN& zO{e-4#ekEwT3!)U8u za&AzuDx>BL)SBn59$TBU1Twep8yi{jbN)4>rcHf&2lk+enTveyjGS~edNZI$7@FM_ z-ETf&$0|D1jzSfZWCw%E$DyD#HtaMTn~w@l;wc_A`#Atc-+1~QdRIRe ziLNh$X-~^}nTbH}*8;Wm-xmJ>YD8?b`%xXZz`+9{Od2uJ^f_far41(b&T;Eid~9uRH8*<_0w>EN zsp{R&9`##9(*DuBv*8v!#N(1^ChV@cB&?a5IAP$TtJ+$4a~6G`iCDM@tK( zWLZcp7fzqzPc)X-^4hc6&u$r5?hG3Q9)SM}^_L`bpE#5__GJmTb z!Sy|Aj+bRU;fu>rmwlw?l1H$qlXoo}qTb`h23RkzR#_Rw1cwdz)Y@Kyp!jMV%N=>% zNqnLsh(AU>4t|v$scU1R>9J}Sx1`)khxbj+NH{$H70kAv`qZ)uwsCM}12__;}{vf`mKAt3LU+l12Ix$?APvSY}BDO3o zEcM6{*AAP$Wp2B@F;p&XW=&!(V%<}2ad4REJL0SAmrWJ>u9)tjmn5&PP_A!$L6*Z+ z@b#{pY32F0;gP$Z#}&qCR+mv-eTrLrE8#MCeyc9ze-Iqr%jL+!fx#pE{{ULp@XK0wdKs-GOpB!|?;-}k`hQvg&5gV%b!Qf> zZ>XfVDJD!(Mnfxl=eRXrU9uYU{j4xE=WiVkrv|9%7O>gs6ScCdrr;DJ@JIRnRkN;X zmpUhhI?miO_V$JtLXB5j>=XM#VKvF zC*IFOPkOm;;vel65f}=PgC{+6S{g>5r)!cJu5ZyCHZc5j?V3clsO!0!IM-0U$Xkz? zu<4%o_NBAb^tKX9q~o8J_!+C3%iB$&$)nq{oveI@C+>RUu{4hh>M?kRA2vl;Bm>O@ zsbk+hpW#7UlSAOhwJV2xF?`ue7IPzU_-CaqxuE@v!wChzKiX?7pSW(Ddw(NVd_`@l z_)}MF4LQ~2Oou<w(t(I^HykaDN7^`pc7DDb=!&8VF*nq&oIiP#W_+#00w z-*~%Sm9E}D-kqtvxL(u)WSWMx4~XVz;lf7QJ2S##uLt?m+E$HcDf?7cfl0G zI)8`e@V}oG&5jp%GM2-J`X1e@nDH&nrDD?D>5>?hN5qR7^j}JBP2Am*)aw@pD;si8 zBrZCiYL~*Q%FA5@pXNx+e1q<5Z%)yr z@m1rXxwTg>gB;EmB>w>Q>P=Du;cW%{H>&CAk}Tv28w8wz(9=V1G6%E_3zT8nm5yS`GDZ?3XVuUewDATSy2`2x*VY0{b0$PU4glnX_*TvK zzu}F3#$W6`Eo~hn20*7g5$pbXRln@JKNOenR*d1ZAlSvQ3I6COui;X758+RY;rN4Z zZu&R;NzB46M99A>TyR0`LGSKp0|!m;EuV_K$n=}|mg{b07g7?fkM8;&l_tNSzK`KY z&~<+zOQPT;h}(b%rZLY-gW?6Prh(#{{To2krrQ+lvRK;~T;uWe&%I*V9a~Ykl6Sk3 zZzKTw+a%}EQ@(&z)2zf=q&j$njDH^9!@YEuTAA>b>h0S(Wej$Xs(pRwx(A80dl+Un zmI*V4!Zh3zH4Vj#-XoqlVx3k-97GSxx7g6Zm30phN2p$=r!AW#PNjU3*#WwCsU?Fz z6ItsEa$4mH7;cOHBCW~bUl3>(uXExpBt;9c^7qOzTd@P&b6mEg0>N}73HCAvP49C$2|W4EL8Os%Tric!KVF{?7?6#BtLl8blSeH1*Cdx7Y?w7 zz(#D3Z|hcup*_X?mQzCH#s*oBU!`bSXnr5Qy7O;LsVrcX%$QvIAJg@w7a`NHBDc7V z-a=V241tGluX??Cu4#Ai%WJ1;i4<~8y$A#__U4)5Zf!O~5 zTC1%~sc0f^vAlvz4akkt*ngh&Q%&&|!)Z<~a>=;vW*H#WFe&(ADce>SkSm#FUR0mU zt#vm4025om-|;R!5r*bvlopy^R1SwDy+fw!J{QtL-&w-Xd2)eEI)V^)Bhxhm_AjY< zvRcH>ub-K@+w6V&&;@;OP(C5LI)*@2lW|2KFVKA|Z6`{#hUGOpM%x=DcYMd_KMK{4 z!sAKs!X~u}3yC)vq)s>;GCO~hTxG)Q(A^7|q;0_Cf$!A+06Ik9Lqk>5?r&tCDop6~ zH$l?v-tNNX=NAk&Klfi9KQBtco;I0eYjxb|mf8k$^s6?S{9oEIXxhv#9juNvG5}lY zT6Vh9_$umC{ft1JPGq=E#4ob@iUf*Ue429T@2oDFELTVrE3n!?9-^qt;(bc^?YNsU zxI2#HoyNL-Qb@IZFl(B0={37C6DUu*-N)Cz<5=?eO3PFEtZ#g+>$w$&Kq%BDYnfsg z-)vHrRA%IXoC@F5BDb@&XmoqCBsdEpVb5OU{Pe1RBTX_(xMI`JWJoaN3=E76icbq^ zm(%J7#zW=(pydw!20f|u7NqvR2fde5K2V8<{NN0*&tvaaynW%Q?B`wQbA%h&PC4!= z_MZ^EN$~#wT4#qxw7DpxBTO^TbI)3Cv8-wyI*jXDya8mILh`J90pGVuHEc!&wf?4c zD6Eojhk~X<*0!{t65Cnovqj>YBQ8l$;~y#fX_kH+(eAF})nn9(yi#(l&fI@G#PP7Z zlUyP*ge8k$bsebcS+#xRI}J`h>}zcti)C^UX8>{66;98?^Q)w`w(6>^6}jgJpwDW| z@co6Zq_ZpDv~GYnanV5k03Yj8zlpUAdt+{AF8*$Ehn^1~r6HEQWpHip+SbvT8d-ww zQJk>q0jmBOwwCsLt2L3Gq4|dMlG*AhEwAI1%$IJ=vax1k$p`%Aw5;_V3eZT}DmzNU z4$Ro=K%T>9y-=fkBX~bKCy`Y3i+g7%Vcb;Zx0dOjTGf35O;}>aHhCCsWRI!()cV(k zOgF&tSjvz_Bh^q43b*~-FI_M zZYImRewS;jOx|_GX)2*PcEMwgfK~IQU-)-Vb)IB(xLl_0ah~|?R5d#QY@wOa%;f=? zqx2)8s`j^6w^!{I#O%N)8=E*jm11u~3#7kOx=Ei0?y((fUe5mZ-pw4dZ6oFkI@KvP z2<;>WnSL))@gM4^FiW-I1Cz8a6>BXEf`k zW>Bdi3gjNW>S%3NJ0@_%Fyw>l{b{GDjJs_*=E~z_=vfHLw{!KWn&xQlngDPzFiki6 zc|73@DPVF7XQAi;t0vmsY$UPbP{01FC949}ni&0fu#AFGFLT9Jx1n9cKKuPVDnYXM42MXaAnKx39YEq?}}?6fwajqSRDNxi_vxa!Yzs-ITR4TJXSp57m=QTne%A%5}CCW$>nO{7TnfW+=O zKPk^@w=arsp^963SVrgL%pXr`%z4nun9#0Ufu5BzLH2{3^SkCb>q`2A)RAsxxwjF{ z!0tPa2RWyF`cgZ=Kg}TftTOS))yxxf~ixRx;J>YZCzrsL06UrBHB75IJsgbI0XM zJjvt=%tv0Priq}46)lt9g-g|lv1fJmTX^DD=l!mr)tG#5;)L4^O%&w`$DBz%-&&&y zGkmQgkh#gm4k@C^3wi8LRGtHVw9^9J#;@J*8NzG{K zn)%c2-Dinrj$m?ejC#~J_c~MgvI|LANc%uW!4$=POyx2?!m>xa?i-Z)*8165!EGa5 zua)L=xE_bU*0PL0W|T{8cCK){xj&5>>*~|l#J4vaqgO1-jnmU2hBofUPhi&w-c+h1 zRU~ig$G@#-NvF*OLhf;h%U~1Qu4(#x<=j~^gjpN+ersS7I`L85>T3O&l!SI)TkYaRCgd7cJ7IhHJ|pe5YZ%hQaGiXcuU)1)P>v2L9$V7aYeY z-mJ^{OxR{8rw2S$o4rc@<}nnHlg}cd+98>QfG`IH_F?KNFzQx>NI@f=$Em5z=^*7c zmFmhV!bs$~+qhux0I4FeF*#-2`;K~Mlt#5?ri*7|e(3`<6DDw5j?~>!#yvc3SwSa; z!*u$Jp(VtTKb;$uVcd1iK=a%@o^+Ce8a_Zj}i~%^{8J>l3RiT?l|WZ z%LI@|<;ERXIl<}2O3{i_Z5v(B2_$eBw_nPDAXjK&OLf9vW0A@Hf6q!!J?A8oxq4(A zlaG3RoZo4=`$Vb9_2#d~X&8mPl>wDsG7rtifms2^8cG{dubP@%e4N(1Lwx z<+T3*yD-Kv*O5`U43x@8m&qM7P{#<0V4Q|0fXA&}$L{|Cd4NI5G=<`6KX=LJB-MzO zZmexkCDpH)mg5Jfy;izgNoI3z5dp?mb7vJb#ekW2z)KU<6W*Y>jqOlDFaf|DzZwU* zQdkT{SjV`YgqkG#OpFUDDo;^KDUwHIQb=Be)%z`i+smFW;slycVFV52#D8{jrvMtA zwJ7dwjjXn%Sd4Go`P6qZqq7Kz#_kCfS#2fzNtQXu2a*qZiqDFwNC`|O7|IM z_01~zqhoGRgeped_TsC_Y_~fZ813gd9Zzbj_C`yG!jM(4L8+SMp^FN~H8*TRY7s|d z!Zl)BZ_Vs|DyZk?4U^OGs{q|AIz4g0&rai2y%VX20^r^qRGdD-p8%!hj&xLHR z8^3Cn{{X~x+N|v&*fJLT-!}yM^I6bad9wKpAqONYF`+GHj%JEjh$?xiy~dr1W<`}l z1oh`VY0nSwAN^e3$($?8dUs0)vjFHgMK=BUpfnEBiK^{GX?qBd=% zvTzMVSwRCGI+AIj?jR*TUA_HkOK8|<%O| zJj%)r17PPEH6%t)D47o@vFlH9m7Sf~jotETBfDr6f~4g0Q@SKWbe(h3tGqsA{Da|71GB5uC zuBTgwd>6L`*{8X82egfvNkzMoiupLsO;&kTg$9u1LpI)YC&-j9Y&*=3c$c zHdON1{Fy!RRwbEL2mq7o-l`c3Ibu0IO-@{8aJ*%?t9gf8I3{XrCFgoHg@yFCy{WzWNW))wh05RQjiG&QgNQSq>!+Xvu8cX zJkvMzAmrwgS7h^QAyBD>A71oCz&_4?Z+aAyl1Dk~Q^bhlbDUuEO*g4SN)~c3P7hLQ zHj4u&anEy8%AY8~IW)jNTrfBXu6k5;2FO&ECj%s&eW~ut`1dr70unQf_cX?D;yKU1 zdbVasoRAwQ(w`RH+>CS9nQG=oAn-@6OsT!ZSS0$?t;c;wPPW^FB$(rxuRLHdC=apC zI!I*PaEBS{X%{32$>ZLfi@n6&SD(z9dR<7PZU-m5L-RZ2f4l4tW*G7^5Z zQcxMVIT`h-)m)EXT8W_m<%d&NjK(B+ZO8Sgpk>bPx%KT$$b}1$pGsIl@5uz0>Fr8e znh$4e=eg@sMh8&2BvT0EgZSo?BN!*~%_V!2X4tZ@C*}LUT3~Esm8IUKaK!HQsHAeE z0MnJlc9{3MU`_^TMlyWNIr`LMGENBK^))g^>V^LRoitdbMh+K}dCf-4jDUW;(}~~7 z>))D<%7!Ekoq4H~)K2V08#?6r{b|PRtbSPPPf}@;$+TlZYZU%koFatkK=eGs%;g391qJwi1 z&5=(6ION)#XVlOGRW>%_+!Mj68Oa~s=hmAm|poE)EOtu6`P2_d_h9m*tucRxM*)wYYw;EaAXMhS#Xml+tt_u*SG>5rhN+;w2xOdGmRZvdePkfqaJ;&xLBx1*cea>o=)IgG) zV;p9l!Bs$I$s?^r{8$aoy#`$Tq~!Y=P2GU3>Ioe4>q{RExg*?j_2!zTar0;Y0Ix!^ zR>Wlg08>XnyOx_0M}`MJxu_4x$Zmg?9%B~C9G`kloN`Wjnn1N_p!`T$Afc+hfAxttn1&GJWZ&30WtRE zyM;WTSD2z@@FTgJ&j17Z_g&Ak}$s@1o6&ILZw4(W*?1LL0?dx zEIMTF=QNQ5MnL}ndZk##2@R3h&{bFE3-u(@)sF43S0wGnCXz%Ui6g1*Y3Tzm%sI^; zD*}7xrB=wHsHB~PjQX08HrB%v#W7c5InGJrJ!wG%Zs$Jcpv;|EI_@VVj()Wp5O^a8 zH4?g)*a`aQtw>ZA``!DVln-(_K;5*1pIp-r6(j&pJo@Adc+|f(UY_9My@`>+~j@+{qB=R+DNPir7H`c_n?IfzbQbrPa##UjG35G^A!?-!aB;M<3_uQ|S8D zrIn*zz|o|x{{SSLa8!=GdQx9$F!;Vlzm>s}dESQwk59&s(|0oU7MQe`Q{jZKjp`2E zp2DTk?k%*`Hya6la7%ahuCnS43&TGTE;M`la%YuT+oXpqt;h_0JDT%*d#RWt4IwPY zXveS6RcQCWF1--bnxq0-NcXzI}@j_Dn7v}14{^(Bcn%BE&gU5GgCStc8ll$(1ATzQyPQJy~v z+rMfEEWi&N@^! z6Kgu&x|cE?#0{vMxC78vXQbbFD@hL@h@rTaeYwOqE1sPCeQ4c`#n&`FQ^I;>ro0hz zagv0LoP8<;)Th*D7ZI$UVHj|E%Y6l2@n?(QUXd+KAuX)_Sc4JJ_r+-ZHI5Gl__95B zS7>K~bUQ3&I1Vw8Lm#@ssQh};6qw%+={_ISVu>}BXy#W8vNK~O9!L4~r16EsSGRj@ zejdw(jcYvHR)K;Eh!8VZYPbujtn4rZlg79en$CNTm_v08%U2UN0u?DkFS;fXx6duQ{l4;MkNi_d$_d&!jCzWr9qrZ2eVyk!Nhg!kfyHQQ+P&7d`@jrvw2W0fi6m3BZw=kq+<$7{ zS_24tFPL~I+MV?!l4yEfk8lChHC2vovY}tReT_@5TiIROHl1pYKsk;>j=hdMRyT-k zUh7g5Ldb!aI9|jbYTeRw%|ibGN_(qSLo6w|j{JsSPijIR;6DiKm%8j9+Im!x+9L@b zN90M*LF@dghl`|w+r@gFzMBDt-Yb%^#~b4V@T(Rd5Ov$g(@KqIiBZa=fZ&5l`bC}1 z=h}5E#)@gdS!Iyqll|jBZeq%ek+t91k5JR~5ocv_m)Z)vWRk%94)kd<>Uykic@4SB5@g-fjD<-D(%GyTX`Q$>g4Q3U!dT)7>W2VsNq@ z$sq0if2CN`{4qAL<4)7xbE*rEgN7D6O8P(4WP1JC6&_>YAFs7YsWYsY zSee-FUtD@n%PH%cYo*)WEsKI!unYNuaaQBebqKsQ7mMxfS(D7b1N*@L0H4aYPNQw% zeOK(d#h8`ihm>Z{>~K%#RIhwio+gOeS;ggA?<*VtU@ztMq)AHG##*n5Ba+7IIb+^I zEKWv!0jrkQR+j=xTlv`;l&K@OeQDku@ai_Ou9}=tTiXIj*~1VxQTkTD#62}{^y__R zMz$|5;4g|dAmjnZQ_rP4yN&K)-)OMux_Gj-m3+4wQ(@zdDlZLao+28AR~A-2WGMdt zy=hm1JJz3pd>O6yyIs2Q>GihWpaKwYyccjxaKd|_1 z<<>&Us3hPxy~_mh^uKTt#~hrF&}MW?_=FzB-1M=TSDNhNSgu0}fg{{Sk^m&AGsSPNYa9LUl9q}6{CS%1PWqgv@&wXur+ByTwz?O=^);aSEVFo0JwfJ@-tG_stYi33>M9|9t$0FJw7#*9bdU!d z-ymn6D(qe()BHmPz_=1yZaZa(doDRSq*K+Iu=s~u)UF}YH4R2{j&hkP? zX$#~N->>=WRPHCam3KCq2A*v!@FL!KIXU{~tHq#P%oclT5JrE95=cMLQrPH{Nqyuy z2;h7W$B+r*r=>bQOIXm|)*D!)gd+gk@CfOVNC`YT~;8#PXPvM;fVb|=X^DY_&!lR6q9BuYAU5T?6%UfMA z&86y=sM0Ht_#mhw-ky`C^R3P@2P%63>59vi+VfM?X1}zwG6{)tA?I#-gP-eN4uzsz zuZB*csXo?#ov5RrC)fPkq$aEPCZa+IQ@ARriK$`Y3BvR}nlKJQKqo_TN z9XcH^QMhRJT|wemTm5{TD92y(^{qSY148h|ojgq>cLs5kl)gp}e0KG!o*_2z*`!wS zvnn9vm*yuGfgRi4TqT9XpcxJV$=IHsN*JT4l39SK$x`aaAP(cUD_-7vof;@^65t6Y z&e(I%k6MdE)hx8VQfp0HNpmH$VTLjZ?e!GxPgZ?QmRo4z3gm_U?r9a&HBTP+lU#6` z!y|0UraM%+HnE{S-~3LaWNZ>#4yT~w`uo&J!neyjI*|%t7-<5Kc|L}-^*c%4=1F5* zeA!eTN3}8~xwo$0Y1-|x*=X^|%?pCExWNPIRjs@=s6G5QHnDjq4kv8!_4lK~x@7mZ zv$xtp$r|Ode($k0v#9)9{>JeC0QMAGJ)oI}3s>_n6$emPxzB#o{X)2#egWfjo{t~UjjVd@XHZ}?}! zgTj{A@ZG_^-Yu5PDwD9D<38Wcxchl-^Z=Tckt-~*C=M8NxOb?pekS;%TEG7QiIlGM zINB8tLq@1>?G4qewF{3n;?g#SqkQDQ59@$B{b<*;%c(6bwU~pjX!gvmx!Om3_Z6|C z>)IS}vGJ{hiy2epuI;BMxg+UTJVB`GzAw{`lb{8L*c_l!&mAb;iu#;Q)}Zo$BZMOX zwTcXG`r@jr26quQcOFSo&1%WvZD{ItjrJm{(qcw_n@4|osp1rd%IV^?a`|!tFKT*R zcWst`vz7}rwcCfs&A9ZcR@S#Kas|4d^?)%0JXNm?+umz3y_?UulzrlQdRDXCXu4gD zcJe%aVn|H#4u`j2%9i7M18SPTgJfH~NDPkP3>OEEzl9{;BVBw;E%an>YzWlw82>NQV`NBX^i**LBcw@lVO9aiTSu|qd3dYV37>?l6Z$OUbC8vZUoZMYRv6V># zpLpQ_KXiS4Y9A4_cdY9a$g;&h`i@D*rbnl(V_nIw=(?2pdg=@bW6c^!M!Hlbwq4${RQU5;>1Uc}Ur-lhKl58g$qT)5Py5gUau z$a9d2dK2g~>0L&f@RG*T)atsAiR@#Ga6h`2dobr7<2A%tSj}Yt*})~;*0H&eM%WHUGtNa+(RAzki?&O6iNGa@ZU!pjiPG9T zsUQ7fA=hr)KWb;0B&)sCo}}lc zYU&ym`@r_moM&rp^{DQmOE^uTOgYNC15(&FRgPUhY!bn66_}Nk0PE;#OT7Z=oUn<& zBXD-)RT#8wLKvD`74r;joVTTPGiw@#gCs&A%nAa_Cm@s8gHGXllzzyu=!}!XOoQ$^ zuP58yu&x^J>5?cJfG!7@+#K_o=_TKmBU69*?Hnvr7!A3Xn?~ zTLhm${(Dg^g64g+(Ar$ZVW=Y*yx*EoypLXL4O?5YOvR^2vj==R#x_%R?x@~!5;mPVn zK(fzaB8xc+?dK%tKVMpFH`+r@FDwoy0*$rv-d-7wVBqh^yx zA;cbU-OpT{b;WaEW`!M{Pyo&Iuc7HzKeLU(2Ve-s2lb}YY;D}1P`-;(zH8{m1++>exo$d|0e7SAEdo;kd1Zx)J+>WO;1iJ0WkzkOkM0*wI z^QmLip}Clt?E)s;ZDYHfbJn4IGjTCyLc{sgJ;A$^EXgY@dEoJb-id9Aki>ETKQf-9 z+M~UPHjNwvB!PZk!kY}ThXIexjD2%L+(J!j98wnF^6ukt{(X%}d0;Dr@UTz4rf-fLhXC#HLfd?q+Q);2qmYQ$DQXPYe8^(L99 zTCA*02y>1-=_KwVUot5pG9k_Z&ovxYu;kp%rNfM`IK@Y14Y4vGmDKHU{NVj5_Z}il z5k0vjm;tdzKY*$edWxEa_Mwu@va24e#zj8HSQ(4lz+XL1e=3SdVVV5cnDTHz?NdW) z$%REc^cnti43_Wv6hj<{(|M(GMtC0Bs_k#*s>-ntPaA*zRXn;y;F+?S3y^cqHEPu- z(=Ar&DO5;CGI=@rR6RoXWf<)yh%>P7f~50IcV%$MF;_0NOYK7WB)Nk=WPt_%8-N|L z--@>$pBXz(0G@rRpdz%so(oU1ADS@RihvGHI%zCrb&@p0H{M_F{V8X(F-TyEc*h5| zQI}79X$P4hW)1g+P!mh1J#R1B8(sU~S)0^ah-Lo$z>5M;ZT2RT5NoIV=`0MYAI*)Rt#r4I+jvy?mvZ&{Pf6i-a+U79+ zUBYB92Oq+Hed=vHNYL(t;`aN?+@s49HZs}&01VYzyLF28XjAS94$?b;Ky?!C;@bA) zS^`Ln@OJ&t)R9#t(H2{SExdVW$Y(E_y*{5DaoOq#BNU%QYVrZm-+DWaK zYNBPNE0Ck!fMy-lvqOkVhA4P-^zTuE+qRNG&#ha8J?ClvPGTmZs zHX%RCfv2e3Y2MCtnL@Ef+@y^2?hjgg^F7_eOy_p~Sau&uXW3)~{JevP`qj%zc%V|! z43-?9N(AqHO6{Yg+nG`M&nu4ApCnA``Cz+B!YA4jK;)CpK4jBPIJl8K(7k`dXvyrTO+)i z7D5T`4FRdJv5mZ(e1;BN1mt7#ro2CFwg?@8R?j@~Q6;SM7id=_a3^u8foo#J89TY4MA0C&P#H1@ z-Z`k`xs5el?|-%jAPvJ9J*p`+7oChr9|w*PdXX;Ri^_)P*UeuwORh#h2b_9PGWE6ry?Jp@} z=0?dThp4VL3lzAKeyJm#!!@)ze`dS^ZAGd9i6tTRlH?OKG}BT{fUKS~F3rlUO5 z$+gJo@m=+cQxmgqCk)hTWKmo8M1o_(o+i4w}e)yQygbKa!|@=*p( zc^;I-5#%I!&NA8SQ(3H#NM|P?^d!=4fQW@j-lv|2){xzp$s`bQ!6vQh8iW#*g)KrT zPEL6ysZRq-3025A>U&hXj^w&b@X2(^cEoR7gWENBZ8((sN{lEu%OCTa%C{>3Rk(z( z=-EA~w-*z;WK8>W@`7s654hVnc_JAK`&g6I`%+uk%^L|%Pkz+ccOb#fUz;YiEa%gw zh3zg_#^p(k`rwYldQy`Mkex-X;0kTk`SszM5(vkM#U zZQm<{*Z%;mRdy|@CP=W%F`l%O5gI~b+*$D1=bmas2qSEQN$ZaFTX+`++`bQT-OXE# z*c=`~>P1is6fGMGP>;LU6({zpLQHIMNWu4_XNi;u*p50HuRJL}7>}6nNIcLz$q=Ni z=C3Hjra#UrqPFnfsV9dbzO#uYh{}A#faSsUAbo#RT$@U56bA(5@yBm^p5MgU-j?KP z_pX7*%r_DA#R0jmFPxUn)7nabPSNHj3924S$?^b4a7n4;@qVSR6huB~OtE;lP+ob@#n;6EyILC$kRV{YO^%w3~#f?JB2Eu?bH z2i@m6s!ueEc9L>EJ5nvp%ViAQFgYTaT)OcI2Ik~rgPK&dSg|l91knQ(as@tF;|ik<=XV{VHVj1}r-ITU*Je%Vr)qvF3cEKHSq2`wx2r;1i98t2LzZ z0MUkF*Z%<5P_l@Q8F}}rGrPIoU3@&%b>9xz_>;qJ46#6kE|Fx*4@@Zk06i-cRMK^C z59pFftk{^I@$nM4!+;Mw9+j7*_=8^3i@~RB6Ga@38KY8gNcQ|{X>RXzIeg7iP?lml z%ON=L#(GiIyWGT{*<+hymgAGpC(@;B(o+R~W;iu_Qu1Y)+9f0&2ONr}b7j+KUiB&J zK7wt7`? z(6XJ8{LR^qN`+x0WD>;n6iOH`40`^Q({~o4JT8nKGuPIno++mC;|y7jd(~a?D`i~r zeQMp6^2ViRQp6s)t6_3WcQC*dzs$Y8J*p}0-Nw>!&jO{A;w!T9L~u)Up1=Kij%#%Q zH<~gkYrc@;UjjyEdnxQ?XqML^FE;!qx2Jf8ILaR~Q>ox6V=Q0TJ{ zHO61sk~rP-yb?&@nrYml8RxwN)r~Qe@`0S5xurzp;Afsq3zEbW$!863B3b1KOK)Xpu%XK2LgVQ^^nqudPEfvp;@w$?ZWeayMe9uQ{iw$lT*T z`KE>pcpr^CynbHM)EbxZ1;uGpj0Dd;Y0DIE@{!5)rL?n_>RqrQC#fC3I(w@?SspXL zJd@kns^BM((Hww%iKSiJwyGTC<_3W=8H_hk&T4yP6E7?Y9AuuA9Y@e=1Vg)VKBqMW z#IcviIU}jfO>%(L11ZhXzbbCcZFi&4H(o=H6P%^q1&Km_O1(#lF@K+X>| z!0#huv7kdFU9uGCkxzwqD!`vZQ!HVSnK>kNrUU`X2I@a5OH=B!TiNWuF@Qq=j&2=TR>yqtyx#l zM%bCpIjC8;H}m$VxRI3ny*rvsgZR{(_n=)yIu$t?=A5#qCviB>%|kicv~%fAgpm2o z1vbkz%(Es4{w}7h%C<3DnJ1hECjbGG54}YU0LS~kymQ4vBvQU}9D?mccXT_s#da(m*NBr#`Za7fDg)M& zo^XAtE_1a`PkOO;F49Ibp4q5ibwsvE; zVfC9N)U>@;4C8C0&w?7Wbt^{GO4!XbPa==rv^=RlUiC9-x8bQCG ztvj$=2&5^=KU~vfkUYDGUgQc%0p0*^y>n58JB|VR(A#5ddxN+#jFLF^r^LBmm~uxy zT8l2M3Z9pf6@16 zl6!Tgw;LmgV?&7!1}Z7zF~69qdFh&%M$StRe!le?Nmw5@w|@0sXhm=VKQK8Y@tO;| zju(#f=I1J){Y@c^I3p({`qL$DMJdlLNd(h#jy-wpjob;dx3nM<P_T{*>q-KGSFU>Ifv{EI^8v^_(yUvbG4=PUL^zLd>(rWP%D)4p16E@jcvA@+ zp7e_m0|y;>=B1WJJqOK>O-5Yg?rwc^(tvvh0O0jLv^$mI&V9v320e37tAKEGN?Jfk#&T#A+#he=E=k2ky~B0&^{HiuFji5> z>rk=5!ya;YJ*WZewQ@IS*P3&N+479`$*5G7Br(o=)5P0B1ZO?y3$Tv~m2bkCBzbJ( zKjTkg9ApA1Bp7Uyk=q^Vh3-b-Tx9Tnl{z57HmE0_q|%J%pI&p;m6U}qo=Nqj4&$0K zqa^Z8G0|6X@0?V>Xo!u6<~ZfO>M64!KDoyRfvv=eUQlp5@^jLZF4M3MX|WG3MmZ-w zwDw6Ej(^QXFmD$A7IUjaMfe_M$D=z*<+_ zNXVdVb`Su$W639zPh7FU;Ch;jxB#i-lj}}Xxk~pZoX`>6=&bMl-?kgscWoHKIRojM z&dsOVpeXdXrDGl0r#sqzvTq%~`q7C%4ff)?{V{oU28SLFy`$ z7kivUx!lKs4JiSo9o5_j*73=OVS>zicd2I5FQC)cS4(GWV%Rbf!TNgt0QKr`58G&( zjNx@VmPP36x3AW=d{g4sMvR^l(d@Bq8_S8Axn&-MvCT-1e^QpuWOHf8NXw7(g(oWh z?F_6$u`%oGRGx!PmgCkh zv|F2tRv@DttUq{gvKMCsBdd;Yfu69QZ$DOz!$1iEtIp7$H2K{C-rgc01g$p?J^5 z9v?{Tg~LLOa+y41*i^dyu`aK)-Cs1LJC4>MXXt7@TH@bcyGwPpSYFc+Fj3bXsdNty zUFvg4T?|mf$8@p|3B>}FGrq$$-cZco1zHm$0EW8OZU zW{WIGAWP}&D?D7Zal74aWmXwuK$~P%YkRCnVva_VK)*N5+OKJPlp1psnjsvzmt;?v-9i`Rli)b=YLmm%Y06I`zuEt#WrvCs*vRfMR)3cpyv1T$iHL-1BrTA*zSRU18X|0(-^K-X%L+TAMDrp`m z@W!0t{sx&ZBf^#gARhHkQMkDA1?|SR_ohNz51eDK*S%tFdwCc|2<7ks_cgn79qyBD zZ6J&o=OD4`v~)BD^e$+6MWnhV<;DElWsXmiC%>oGvF&_GdbZ7HB&5Q!6~i~Ld>YW6 z^H92GNZ2j1zsfdqjCVhsO`~YqMdYm4as@IrB7AWE+3bJDfnMb(T3sUHCDrUp+s=m! z-Sga5m96c(UT4{ui1C8?z$4sM-xxldtm#^Am8RN747Pitm5Y+eq^rh4antqde zepbpja_sw3H90JOy?tsZ^}(jx{{UrNMy6COg_U{kIj-A6)Vvj=M7o;m5VZXh9Zovq z{PwO^_eqmoyqi$btxLVcgzwwa*YfK`oxn)$AV4IVPzm`#KTegYr(46Iq|XdW{&ZwN zeC{9T@~C`A;q50*@J+X$GRb`sV0{ip=T)@50&PdblIu~GCJw3_p1o@3ljH4k!}>kOj}6HY zT(B?Zu{%#rGtz=vWxpBP!Jv4KbK&NeG!Ky~xjYfi;mtPNL)G;yHeF)Q*{(Kx%m*jy zRrDVfrLDxV>%hx3!5?Rp(;%Vm@6USNUl8g38d)tgy+NaUk>$-Ka(tv7qz>PQy*|@}Ak}kIM(~q-fa&hor%!=<^+J2@ts56yvWwIIL-(?AJ!(d1NTf zmJD(ZJL0Zs`o-3`#@I;g@yCG!xAZlwrd)V-;?3ak7M51)8&QLNs4>siJaa)exk;Mu z!*+4%UuBMaTbUKMxX2jf9Coc+?;k^}MGHcS4#`A-AwH>UO`}X2X&;~f8A0a0^uYaT>L%`V5qKiY#nup}n5T4%#z}YW z3yz$2seUBKqUk;h)4VIF$G-Z-v-YUu+#L>ck=N6XdRBLeu5UEE>8-pys5&CzMJjrZ z{M9>%@BB}vw!Lj_Bc+(~?n6G}ym!xUe|j|qt1`4ZRJ7I_)@z1qS(FHlND57KYp&{k z1=nMnO=iek$GGlMk=F;UV_53elG%AOz)PY1(F?#ITG6wG^{a?%iOZjrH*=5knj5<- zscLT)-FVXBbq^5gCha1PkSH5=eLXp@gTlHeftudV`rBGX)D(ZIl;dga0sbS8>si`2 zhmV6JxRX_1GTLC^vZ%l(>;8GJBJR>PxqFCIrX|WTCy|_kM(l5Mtnqx1c%Q`V@!UqL z5T;1z!@nK4to;MS*EW+}-D?g4#}Dq59DLrt=hl#Ea$M+9>j+rNBq7|M(7Y?+3kd-62#Q5--azLkBkTCppA^HT+*s-Q7L>b! zLPU+YoVH2lHHG4Yg*A!WNmVc@3K~A7_dIp2PY1b~1=~mF7?6|rQ@9zv7tm(9@lep( zCl1k)z%N1TnzM6rsOUHBbldp>M=BV0KZn2at$TlokOz?2>8T8?3W?cs#b&^5Z?Am4 zR`FmG6S`fyg3-GI8MRx_5s$ZP4EHxs^O8y6bj4b+*Ssn(;%#o(&C034PvJd>KZR`A z=$4-kt>@KWSBB-T)5}PQQX9A*=dE!X<)otWT}az8T!IEOkwD(3Nh4bL%H^%4xmf0g zL*y?_%i6U(AMpHXy2Zom6E~GK1gjJ1IsAH8BXg%*SzFrbu}sjrNx{z~V}VPkc-zFY z?6#Wwa6`~>k^VGw6AS6}D6gkWE9-=gIe&H{l!Z9|0AyCBzKwNtqJP3!ad3R1SWV|1 zK^)a@4&GV)t{F8hB6&cOobbNpwtP*iX?E5!_;X1MI!ifeST;aCMrU(n_C7Gv zvj_St1)Kqb2P^7*4G*S8;+>YBWu$VkbSW{~BwX==IL|*`E1W(bCi*$-{!jVn!;_KI z0Q9YWN+phD`v{Rqj1o(77$nOT{fO+9VT8Zy()mxC1p1gG0Bq53DOJfyd3ap!CPqfNbZI`%}>H zu%v*v8-l;yUJYzX<0jSSOE}?@D2yY`kN8yg=kxsP9}+?K@|O`#j*8e>Lg1b{W52Fw z@V134I*;0KiD+D4h0Z;(?@Uirbow8IZ1uR-cv{$qn<3?Im=Wz%{voBh%$jA5+Weyq z(Iz($S(==>uAe-U=+_M%A1Dy@2ZAbd4xy;&BUY9I#5q|GdLQRV70i7fPnzRik4}tj z^8wu>^d9E5&Z#GbwHC09tFZ(V)Qok;XtnVSHdbONELK~BxC|sxS~dP3YHfnKcUZc8Q&5)Y z%u*cZC^4E_7%ZL%joB*0r#)(=v@#}}723eb8RO>l^!`<9E0k}RSa&Lqx(*8(24>0P zGjAb=+(;p22*rsDk^KJv`qg-*=FFsx+~n4lkKt>5WIVG7p^OZHJAV=A0Pppy+Wn=Q zTS2G82x(y$mPpPy_n?=oigwo;ZKjnZdVX4IWyJpgLEL|y)ldEqeMeZdmQ60@Br=`o zagvAM*0ek?pxi#W5Y%8Y+cryMf}`K?tKKTVi^9GnfL~2CCP$fV}e7BC$FKb z-BQlh@;IinS)*vNxi{o79Z&i7s~Q!h&Bfiq-C8+qs@5pAXHyQ&{F?rF6QF#D9nS6mwj7Yr>*C=~TuW0fLe+2=v8qS5U>MME9lH z?i~E9o&i0vPj*RuUl2j5+evn^xOab@TlllIeHg<2`d#VW-gA@l>`to!Ppx5;8h`J5zm-R$>Qm^VMvf@wf_V1NZz_|$at;TlARofIZ}?8UIW@o8 zG*1gPwamYN2evvbK)M{g$B%XR7yCByRgg!yS@F;L;;BLf#wCyvALdqJ$oH)a{TAO) zX%;w$k+ZjNzg*JXSiBlkdU~KUK^aBK&QHIs0l9NVnhhHAE9JS2i6sHb5Dy#*p{!_E zmowcY6QSK9C<15mtT>)^)MJ8HGDs#F7|$cxy6X=WTj-YsH9IpR9PYuv1XVr8uEOul z(sXEV{?GFySYQ+mN3~G6_?6-->o|10yip?Xpp%o^H2d!rMdG(~NlM$RV4yf)J-uq2 zIxN@EBUq>_6LMLw#eHc#N>?kg$9Hl2`BZs_=XV*~>T2Y6R<=OdL3XUJGrfC{UOLoz z)NLynV76F5KkAfYZyhSOhLMYszC^6f0R$Wjck54}JCNOI`iu=Bwuwg0L1yHh{{W3% z@lKf~g{)I8(Ll-g12zL#Ewt>la*#s_nZkUC>N zl_a~|$b#zP@k-3xgPhf?Um@5n$jQ$>^=j8dirRA(#L+~cmfXjJah!41s@#Dk*Pj48 zS-K8D`cqqn+Ahfjz2Y^ybUXo^(SgyJ(x`Y-AY@ z2jFW?JeJGhIrc!$Bd2$`qh0*OqS+2_ikf`Ip{vL==@!CErMCHheY6W z&V6dkI)$a&Ut`pRBIAh=asi_EVB2WLwY7JJ#_yFl!Rz=_%!>qV6Dnm7Tyyx;vd?L6 zDYdncnNa=X)ctC`&9sxq;ugt~vUhXM3vu)%u!0>{AnPD2xT_rZ_N%F)ois|9X}Gw; zl5>wtd(iZGOjQjK+`{(qd2&X6V}N~q zD(#)a>G3RVyMl~_JpTZm)ihT&0`tk#1CqlkR~&tFQEJc$uDt7Gh=TF+b4vP&?ib!B zwvg>xLWB^d%TSgFS7B*x>Ap|9y|M4t*0b6Org199Uk}a?L)N7)EJRHJAm^N(hKUmQ zEL}a-xi*qYBxTM@_V%h6b1*E8%YIcfa$L^uBQ{T0EO|96N~;zmA2H4;#g_rw;=38r#~G;t!`qLR`k1Q#!^s2FF9_8q`AD3$&6@=AjutiiUV7ac}1ez<&x*`l6%vvjM2X6 z-9~!vT;tajCYLvvx?A)rGm;muu8A}q7wiX7v(_!P?dCRrpKl3YM&#!{zvt3{xio5cbH&~ozR(lF+S(XQQ@h17FCoqcU&6Gmm%+LZ zgzmKX^+vnDx?P4T8-ze|KR@OxhP=}Q^2_E8;BHPZdsMg9D{ca{#Eo)eCDfCV=x818 zI^oWpWE$O27hnMk#%m(-OV76(nHX(xv|)N2_oSYC+t|gjyI~w0H?3QM%WZMyTyvA0 z^%vUxQux`b?o7ERgUgHbEPGnv;szs;W1>rFN8?>5_vxjX_hpQTHc z!MlraPN5ujuO}TnX>Q|@GO*;f>|;GUQ$n`DvPjL2xop!x zxdry0zHQdTxZ9V1fAFT-$hv$RBP0-W)~&4RXr}~`oE~{J#Fovje$e2c0F?xcG3`Tc z;83|~=9Q*-8NoUEn>jwzz_CLwn=&(wtQ7NF(_6x0Y03f5Md_N&x=9`;nIkyd0U7Rk zQ@z2nOG*C3`*08;D{whyd~GMCZE1cCyt=(>T{_Zfa6L{!MZ=_Hu3BGOfEH z`X8lqI!DA066pp)vX(CyQ6d~3eRJR5mcUllR&`YdF7dpdV(mUnWMnL-;jN2-r^;V7RVHk?r-8b;+!NB##lwYN8UKAy55Wg zBU3<1H~=;dGCGQ7wUn0r7rfNww3GtDU~QZeo_`PVpb8ecY8fQC^9BYA0xAoLn3I*{ zw_NjzZLQStOsOoR<|Hv{yA7$4ndFs9WOJO7XdU!2ZYp;E$iB? z#7Zt(b1!l_;-Q*PHNa3n2iAr$u}>)_Gs)l|TC)&cf;TxMzIyxAgGG{NLvDAojy=ik zOr0(x1{ol4m!b9l06fxqiQSQ1Ol^^cyq_`P5;Ago)G*sz?odM=!zGO|967{}R59SU zVOmg2wj-9{atj``_Y!v@^L&Oo`jdgrT5OkoaU&T3dUU9s?mNT1LC9_aH9ed{S907x zQc3E4s3>)mO7jGnN}*wso@)HM62o@hMx_+7yJZ-#8%MaT*_`=|4;vKZgUK~kJE0~e zkP_|Co}>II+(oOad4AmSf?FLM9ci-4(a1I&xF0a7)5J{%)Yy#4&gV?#tI2S0C3~xc z8>DVFjDCK!JwRplp>urUr7Q9-0aXBz@9Jr`d7ed$S1e8jY0G0T&RKdM4tk1g+tUjN zTyjXDFLGP4_Irkbr4FijWk1fIA~DIhqc9_>Jt~A@jF`>|IV?|F)VI9yE#ybW)7_|Q z<0JFTQ?0x)~es?7y5&y>5dc~*x*%%Yk4GZv&2T@xPo(n zeJP)33mL*U)c2{`irSUnjZ5!#`@_8~(X>)1M#v{P%|&obO41cM2k#H)DrH#!@WT-1CyUh22%5~JjYSj9`$jpEPTD{ zkDPaUiaj<(Q9No$bC5HfRg2c0tvulo031FC>xuxWD991+Jg+?UrP5%CB(SsXD}oid zsco&Ye8*C7D#fgkMCD{r^Nzb}8@mx=-Q741&tZ;gh3(0>xnbqAGB?g@{Bc6;e6Yl0 z`_&c2g2U#0p4rkdf?3;fKaD%;LrpTOOo^E#I2rtERAqL;w(Or;&$@Y8h)(SqNO@;-1=b3uL$pkWWEDRE8IQr0h5c>rL!5Ey%*-83T?DMGd6w z8OrC9Oq(DMCecY4Ypc}g?!rKWOaq4Lt7V6#oYRcJ%kf`gPMLK%| zk$K&4am@j8nSv%IloEaF2Z_UD9QWp}NLo0~7q5DTGNhfvXSp?L+(WISV~__V(Im4Y zl_LYx(hJ3eh0lLpl#e)>iV>fBNC5@J2~cLlem+sucS(Zw=IOnz8|C zl!20Z8YDiWZtbNKpDi+b)O$*gnNK}yLMS5g%#um-ZRzN0t;^*KG0uCRT4{}@$V{Y= zft#W4%_?D-Dd#7eEu%?h2Rwj9NiD3gV8)pwbAwD0cOGH4WM@DFXODVoTT0*tUgnu9 z%7ZKjIOe9)=3yU};O{xcM<$EhtK6v0(Y6Zb)~r}u7LY>O8?(vvs4pdrNJ{BV4m&0#D7>l(;|u1D>?I_O~RH-kR(rJH&YB)`IsVlHru?#(AfO^Auo= znk2Vu<&)@XV;EAUPJ2@7OWb3tZYn){(_@ZAW_KMAIjNc=$Q@5P=BD!k#pTG~cZ?pK z(w5>IZ*X8BoRQb@sV+4Ee4{aKAYhVts1TyD7(U0^k)tt<;2a)$(R-BL(6YHtn79}? z9;TMv&_f_Ge9GOAP-vHaL~J?76&zZP(iV(kYaZhyel>E= zG?h|kKX{**o@&D65k?qwJXCYCZQ23+YSg}7@&N>sP~64y9^=>2fZdgrGC=@jo~Dri zNi&T4)6d8W89!QyS+l=xGJ4QiIP(_@axy(?NTd*qInU$Xh)6N+ZhmgGlNE2cyc5Ya zG8CBKBSg(PDlqnCb3=bpKz38ZL% zbJYH{hEKic1D<)!9ZeIt5OSopGmfr)5V3$Y~kC%LHM zI1CtaPg)Cr#OJB+O)zMDQmoCnvz+oVMgeo>6Owb2OhBLq{as`{%tr+EOyCIvS>#H)L{>2P;bv01^Xd z-kxAw?(5GvG}%<|AP)TVLj?66WL)j__4T1tzXW%p3w4m4&A9f*YL+N=a8EwDsV?Ih z0KYIDIHXA&N@D{!83vspP{arC*Vd6rhTKOzGfw)AyRqhA23d|f(^^pJg=~}5njan8 zIXsGJRms{9J!103|vCX)eF6Y`v# z)H6crH}=g;t&_WUdr={b$F%dGN&v1VAQ6m`deLtndA?ve;*o&dK+oY#c)&ZyT=maN z0JSE;)c&jABv-1KNob}BBOAL}jf&n8v>d?7aL1Lqm&VQvu0ol}b zU+=xw(^8RyNd><&M-dierhe0fES;e)~94->b?8-r*JBi`Eo~6 zd8RlADtS50SeUY|88gm*8mvd}HxtKtLnD_U=f6YEC}FpQ$E_m-X$TG1wJD8U?NQHu zX}!jnidoxWI3(1pO66aZ>rrfD_fI*e6WcjCN|>+S9VbP z^UX3vG5myQ1FmQ#k+b8VJkvqXSI$pL8a7~XdLDVFMiv*tW1h6$;5h#8lEr~5_;2j z4Oq3Gb7$ketu_g{d z>rAHf9MANBGX%p60M%OHC9<;jNx1HCZ8qbs0t|{4h>cF8MDT6d8A2X z%3eLa`_mFM-?@n-o_VN|{%jM9w2_8DK;-aE1*9`*40h!6>p^m-AZON_lBB8Y^`%!T zz_2Vge8W9QdIm;G-azM?GIB>OK6@bOrN<)A9DrwJD>p1@w{~*#F;hypx{;#@!GjF36?0S4?Ck7HN^>AN1%F)C>+6VgnTfEOn1uj> zdy3A~E^c+113u&Y_o{YwQoZ1s z7DB3W+l~%>tDx}5hyKs!>KfUE#_^R%0Iog?U{-;7bl$d&lFq}xocFCJDb0> zY4E?Bfw*U({{RZw&@@=}vmkqwSztoPc_(kEtep<``!ZOy2Fpb6hgMwfuIA3$O&f3Y z!xE*!{_(OhzRU#-N=G?ysJ4@0Z6R36n~yiXJ*y&A^WPFFJoT=}#d;;P*+*w#Br=t9 z#Kt~l9kOd1TC%g#{5T?c&1b`7aUALw02jz5 zy7#H9^Ko_|l2Ugm+wIMCsN=#Ky6B!Ej@o2}R(PAs&RLf@B=y0-^x}?#P27uG@blSd zHu|bgb1v^SG0G9s^Zu2M74zJum34NnxZzQH^Y!am*FGq1Nu;)WbL3>6cnjR)@U3Y) zF{NmBk!#WjWPqq;hE@U39Z2immojW@<}FslJc8{`=t$Z#-_+)()b$gs?M=a!GBzUg z7^T%z>ytjDuquLnL`B8`;8nj0Xfny8T}Kyo zxHgX&GR{W;_WIQ?5kqsQYO)Jp$f>}7>;cc=R##i`HlG4nE~_fUyaiL%qqd*zlL)m7 zfEr0KWRtdgJ-m}?nxfdYeg6$`$CW7Kux&Hu2kg;AAkXzoS(`+P@akzYB zU?E!3)_gy4rs-Dt;kk}Wd!vQePC*@i&$U2qCAiz>0!bNT??BbbwE5(Ljj!5?R>)N+ zjMb}n4Ta2lh2^991np+WB~wqiZFOkeNxZTH-`nY1cZ*@-dl>I*envsF?BTc|b^2CS*PY^-8SIDeobacUkz1Y` z(SNeMJ1gp2zSAQH;C;i;j34vTfG_wr;o@Dq_j;bQZ*Oc6@hj&IjN>DwO>sUVw7<61 zB(XqN1aipHla_4O%zh%)8sqypAU;z`@`eYWLTcW#r%$3>M=rNw>ay){4;zsBAL~P8 zZ6hB}x41fI_Kc*mM%diV%Kd$QwV@A-p}YwMcv%yO=TpPu-`0!U?IPpM8apwC&LxbH zart!h{A#t2hAwYz?UPMtQZoc{oyY6~sfS;MbQ9-i02-TcBs$-o)){3}ye zo5TJd(`WGYwVabY_%hD222I1#vA!YCrolXNcw#pP2hNrM0G=x|O23m-Um@l)OM#9% z)H~cl$D^uUDno`wZRJ>G=j&S9jqUG*?jg9bV(qjtj?11-MNqWY;`TT$gE-Tgbe~f#xE3$)uaZS9g(lAsfsG=40R2 z6(ifMN+2=(+kR8;Lw6w2ZE`z%Q)Q+NfG;#6v%7fY)t(H(^5yZ#6|5!Ewncqma)~maD9*SbkF6K8 z3$pHy;_VmV-lHw1zoV_nm1KOT?2LQ#`qnRsJ|kZEuGJxpJh&s1bXNEuyIk}>_^5R$ zM!Rt$-bKuZZb9gMY8@*@w$rUHA-$12#&TjTrz4K%zi-NdoQs+UgXUbruE!GLk(`8l zGJtv?^XXCR>UA0Z*=1`YqLQO0l1KBbWx2bvC1Jd9F@if|>026Jq4psXUq)UgBOLXn zC95p>dq#bC!y1+4(zH))8k={1HxhA-es!N^tKHn&jXLSbBPtP}sp(s~?~1GwPVzLH z7m%SCR=^qcKEI7+TKHc3RE4!2GBO98H)Q?NdVx;ln?4viMx}NAm8;L?#V5$*{o+2o ztGv-{J|Fxa@ZPDYwZdOMqD~?6#Ejrw=Z!3^Ep*#x8WNKakd^M;&2#a< zlU~DXB&~pU=Xv3eeD%SEsFMs;a4_q(vPF3xg_qmh%`cCCAzBIircE%hniWX!lK z7i%dU$*AmgYtII2;#)ZbrqZk!lb$)G)%7bM5?m{5x3WbZ(n$}sM|vLR7?(Q6w{XW! zyu6lF-IYxB_vi7goexvc?d5_W5@|kq1+oZWIyO6x z=ULNP9a>h7-aXQ}{n=jU+t!`#E4%7TcdlCBY9~q2H1n;T_yqa`{(97NYue6)8W?V+5f?4x#OJ8vy(^59 z;-%UV!@o{ZgJFPb*erU(&W~!&W&R^c^D9pZs(6mmhV)XR)_m)_7{~`9&_WN z`uDBR4fyB49uta7-weXi-ChD@S@7Ol;EXBi2ely-lf_VInpn~9tx{ucCe;D5a1YJT z=lN4DE}_-$B#P$Q(l<{sCM%G6>FvcXjp3bV#J5CPTKTfvhh~=}A-bH`UVH7Nem z+gi8TsM*|Ngh}gfTLs zO3bJiuY3$u%}P|T)#QZgW=}K%6r6~`9RRJ19}o`;qR*;)=ssqN*Y5+s;)1m@EPN#% zr{Re81#=9`Y|M^+{_NuiJoXiwJbkT;L7~s8I>d?PI0p=K>Uxj=09{`3Uyj#Vw^=l6 zUmz2WgmmYvSBF8g*Cm20YlUl>WE+&H2iKYyh$3riYvh9TI@_@Uh)zH~aa{u2+2~^C z%Rsw%;G3T{#u=BkM{1A7%q72T3tM#(TO!88JT?a>Bdt);pw<5Xw4=9#mxe~cUcAx~ zHP?b>xV2*n7})&VbI&~0pA%@wWovF2Y@f-*=ohb{8RY)}^{Upoj?dQIAc=4G7 zE=csOZCAv9@Q~f6u{)MhxI^Cqj8$J<2K6(V`sF9`ZtvR+0;rL*k4#pq8noUUy@fTs z9c5C#Ib)oHG7c*KgW;_^U(=_*ECbodlB%+TTn@iVhvEcHs5}~!R`LaM6nl^AJ?Pzv zO|q|ud{Z8%sP?vztV*Z%awkmlfsU9pizc5grb)GQMh7G@t6CnB9mLl*I+Sm*(6mGo z)Kv{;8_hc4$!z2lPztc&vrgKRdy?HsRu!;`nE7DtcK#}dO}Etb3-KMKhdZ#~a%y{B zChl0x$a5jVX5**lTKWdDrCM0#)&Q4MADPG8rD|qV zdO#n>2<_=o>AoMAT%Ktnx>E8fA)5!0>)x!L4*NvY9?Mtqqm{mFb=*CV(xjc)*m!Ek z!I~L=6KU_h?(QNZg`~+T0G_o@ z&s)@^iFEm22(OK#*0tA;W!EfUPPYYB{$h%_sK$NSzOxcjij3!i7n<#Zi&eVz;Hu>wyOYg~p{ ztl*bV^J8aRyPmlnar#vi*7Y9@PdeFMGP@jX&qX~)G)I2YI?F7%&lqD!HD#?n`&#i8 z+G$sMi%P@g^4deU9f=f@eUjI4zm+x#HV_zOpKr>yG`%as_Q<-{oj9K5P;UU>#pY+-=leJPQ6UsAQy zq1B;8z;TsxkjgrbddbnW`?t5bH#Ty{sO3o<65rO6PZw&seT3R5m7N+u4&}}VxA@Vk z9qwE4F}}5uS#;Yr5t7XaiMj%M4ECt}Eq`~YX`=cI2aZ87M>0vc@Oh%oR7FVA?6%Q? zkUMv+`x|{HO|X{dM${pbdjjhx-pd|9A577EiYn}m>*6$4vP+_fnJ-FZ42k!N>C&v| z3*pZai8TKJ842K*Y1-EBk{cX#tWOf@HkSIbYL>R{sAB!>t_ciJJx@-+RJxU&%%a{?)elqa-QdH4VQ#I9r$wUJv?6A$*6@}p?U|(K;g0UJ-tnNzld~+beA_$ z&-=TBm`aRe+x+@fD_w6_Yxvt$zIjuB?+k}H_pRGM653hcZPhg6b!xzng}OFyDG9Nb zT7A=aQ9MG;xeUfOIOiZ|{GVzq{9xBm#iK2smdw~jUgxe){{ULHt+j0v#86yl5!$pi zioon)l%B zO(N##GF*&Gl8xIAFbF564?mqT>tiPFHoKHwESVVN1FyYlX`8fFT}Iwe@{n>jXBh-? zD?U;*souum`KB2N%8sKwy=v98_KQ4h@_yx<2i?H~`cqo5Jy{xfhf=?1w7g=w{$1hn zPvJyX7EoOTj!?NmOh>54db&hV-tE!{Uk1^!uwz`!tV6mhLm1dF|D_$50#D zd8(KDFXGH{D9-`$nl%_6?^C+DwOJM^8|5x|81}007K2S|w2ZWB%H9t)yGnMu@6r4naK*3F%SKr;7$QhT&Ws zkQC(pHG^|)axNBlTLidL9A|=gr_BeN3C20c`R!CS?JG)--7M1L4>iGK3_~n)*C)Ml7FN2J zovtLBeZfakL#mu)f1K3bAivdZXG`5aOsV_gL6SXa2FtfLzws{q&Jlu&30$6)&$_*= zspgqBtL3oS$NvCYv~2J1wHRGmC3#eCEHjLHQOohNww;leWZCGT$~0U({{WQh+t9Ww&vU=}^U_q*0CeEsp-RPWA@wiS(T= zT}tc7v}Fa9l3diY!*C)v9F7SGKdnJCJ-m^N85_(gmMh!0PSs*2yL~cieEiyNaq#xFo zQEtq;jX@(*9kr+|0S?8fBDzUq+G4@aCZ&r_6VA5TBr){l)T^XDzUaKNdY*dmObWLx z4X_N4mOXLYR1$$Rjm*r%WDS6xd+qR`P8dA>GKDg-+E+%8@(M$S04ms zJ91Q>z3R2a)Y7Si`W^{2EO)l^y9GuHJ^IuB%#sf=2=(O9Q*6Isd2Kv#+s$mCsKZHB zuWvNxS#0fOCk=wh#YDkwZClA4uTl?s&aknXDM&Fs;t66o1M5IsW$l5vP0&6V9=WNm z+E}9v8uY;YsbskOJe|+EsqEkF2+_aH3w~5y;=S2lPr8=viYO$Gr!`~Gf+AK@yMX(o z)W+T`I1K7AN2%#qw`q5BOXtjHagC#?^c5Phr>S9P`(qVANhg2@LsmwSa*?gUjdy<* z=BTv$X(4GKyNt&)FSU~#gWokB#wAP%_P_pH6~Z@yD^q3R!aA{MH+Z z?yp&6cG|8F%j!LGR<1AaZ$Ea=kf(uDTe8Cvi-u4}GC;u3^`Yt)R}$tq5+HYHoRjHE zYj>B!uRf&IlM^!-Rl1DPXQf@u5tU-c1h#!XwK5ZBhth_i7s`#x7XWSRpTee|-R-Ro zn?1Jq!!ryV{W+|}W1x_9n5Eq#7kBfE4d0JX<;5nKs_GJI3q8qaM;o65p$FENK_zl% zEZR$@mQu@sfTz~1%Q&@CO0#4h^=8*m(WHuXpFe2N3P(bF)hR45Cs`UxzdVJ?DlP~E zvGu048_^AIbZT;2thVKLAVM+I9<^>Of3&%1lO(X?Ju4#0+EAiN6sbRbPpwSy$QKc@ z3PuSH$o#3cC95yq+=W+-r?cbo2xlR)EM+;Jn=vmw1|wB+oiW;$@zmGL8nV_)^8)B-Lp9=f0ZTQi|yo%?aXRl zt`z_v{uM%51=b~Of_XVTY7)5Fatp_~%=<>?>z-;)E;Y9%LIWO6L2M#|MOKsz{G6I> z_S1=onV9l%&lskdhrD~E{{UB#LF#EN;Sjhp!UzK-KV$AHOs%jNDvZH!Kqonl?82s`J{UQvI4KOq;)nO;XrJX)hPcD45ASlj~0qNa&0XM|0~@eS&|qGS363Q}>&_ zPjJBzQYkj`%?xAOSGS3YT<4FQoYiZ28D|VPWC9O82cIJ(+ONh|Qi{^AMj)tbWjM-22Ln6p? z!y{yKOg>yzjKU_`5bt5m2fb3akjo-X0uro+es2DsjVA6plh3ByOEKRGC`T+?9Qst6 zov6213|0;|MoE`E9%|i{@>{?y?wq#R_L6#&>rI+eHvly1NkT|1&`>9-iy@P6Py-zG z1FdQ4w)Qirj^Wokc~XBqv`3~ROXtHWIOw%P@;T+4%3=cqfKjtjw*p(0iCyhte2$Bs zN{%~1i@DEJ!4(uzNxK;NyOKN8l<{Hw-r9*_NU7b-?0zMPs~S9XeFzW#|%Su8w^hw=ZYtWXN&;d zILN0-8ChE@Ne9-Y5wfqCr<2(GP%<@)tYB;){{Rstq=DU>MGLRZ-1ntTQdhQ;%k0Ap zcL_1`etqfse13BvRtn$}cOIDLra&YX*DExUT*ks$b`0*i%Dhe3lZcd2IWRdWvBZT1-%aFx=;PNG+9cafKAbA-6| zN#t^KKoZ-^tim}4aBy+frk>f4+;hPBPC5D?TCBN&3u7dA^{MTz*5l+TK<-T@^#SoT z@$8747UYh#v8P;!ppxEb)z$w1iyWz}`$!GUzGFBba!p&gwwgb<+%n{2-izIghf-K0 zh?s|dm7Oe7l8zYUn!7GGv~o6gb z``8r=UHz&xa}nMTuUeGD4ZvAtK!sF>Z1wL?sGg-a54@|XA2upfVj?kvgWjQs1mbB7|NfUJo?m@ z_N_I_B7DkNWS(l3sxfCr+)8|J^KInH^jH{K`HwK)yOp{4F{ao7d#QQsf7yl&V5W7<@Hn5Vk} zp!s9jB9oKX9MxOH8nM_8ezj&-QIH!v)hS|?r6+0UsXeKr?gW2imUqg;a%uknXOb=$ z9Fy9v+W!Efzzk&MsV$RCj$v>F&HyBHj+7+s1sT}89mgiNGzYM{w);My!BjTwxhC4?j1pIczp-YYAl>5y@eF9O7ZQwsk|Fx<_N}S(8RfVHA_x z=B!%Tn`>ZIbGf$@-kB`Q9Hf17&S@>nBe&kWiC@RPScX{^79mbc3=>dWNXvFye8GP5 z`uo=K(`_|PCw-ODrPrEELUH@S?dX5To$d{kblbf#ZkiobGY5|f7qfbkQCudUYrE{1 z21yKuDu0I_^vP}B#x^ez+{!>Fnx$riq{D{J(oY>tO1;ePB+y6+a=lN@p48Z+bPchH zC#_kYY^RpWO+g;U;g=rftZm$yBJxA`@=sjUa+uVX>*~G5K1hUdmd=0O^{VArnqlG-TKvc#!HOnJm#&t1;;PS`~!pUR;f8zQ{2L~u?4G{)!VV0RPES+u!CQnD`X$AV8v9l?x@A^=D{9FtKyhLE;8pIW^j zw(_>2C5Y;Knx^*BBw}2Qcju)_+;+JeMySQDIzve6)vAW|E+sctm+Ezn4;9D0M$pREj+xf)nOb8h7>6(mveqj#!P zz`=}Lvfc1|)tB9!ySY6;H31P-dL?#C7+AM+ z*PmKK8F)EjPbW0@2_9qvlixJt`G)3NSJ0uNMISEgdgh&sjs|j0XfZ2%=(+Ae^{1k< zlA!TYDQZWzCmH9`mP=+B+s;oSm18DME`Fx0TSUr?UO>k^O+DCN#XzPu+*dr*(MTi* zdgOl&X=jWygybJmDleH!1#IS%9^%e-kUHbuqGPn<*R480SLPj0Q|nQ0cKrD#tpU_} zR9Pjx?YRZ<|wC6bFnnP?xO{b3Lnruw-8+9X|xu6RY7ks%S1K65~o-jRW?Bw9@ z$tRzBOpg2$PpA?DnRN4mW4t(ur;Y?p$Sh_cRpFSx0|b zcan-Xxg=+vXE2^I z5!m-M2tyO?)YIw&TLFyj;AgL`No8#tk2J`0gN*j2Z~!A5dQ%octQA23b*A-0Pg2}a z?#Ddm2Oa7QfFpKNPu8tRa~mdm^yaGEkt3g4lEx#jJajqYq>YwOn`t;a)Eil!(-x97NC|VkRds4{`A|m0nR!T(yGVu9PK3Mu4>7Uu>`0; zjSQ%j=s90SScnydguA-Lm4Ohdkd6* zv?*o^Gq*iKKZa{FQ4(EUZ?cH(C!7r8nS`xzPV2k2^X>wNZ<+DHt2RX}x1qT^NF-o& z#Z7nNi7osnbuB{h!E=&QEx$2eUux!(^6uqDvAD)hW6dFwo5`oSYlg{IVsgf>EO!uT zi>pLYmp?L-$fsBh6(VSLD>r=L?hlO8&#YR=hQms?@?so>l=VMady3?b;!}BGw$mZX z=N$A0u>Sx(>Rk^>gHgXrS5N~C@-h?;T-27n51!jpTjjfoNc@mMQ;-h_9`z@Sb!U6% zE^Q+6;(xyVr8cyZ6t zq^7P<;hXOa>31L6vsleM@!PVP!vMZ#RRA8MsA|{O{wCC$Rk%g-WpA4U9C}rGt)`5* zSR_Nd;2usZb6W7V{)ynb9~VNyHyegGWRkegABdn5X`22Pv9(VPO$M{3Lbn7tMgt`O z0C%rLT(p{m_i6o=I_*<1K;UO?I%m*TW$_jC*GThD-7u;KGTxQaX%J|-#BDCUaBYi? z+p&TVp~qT>xI3{^!hRy~ed=m@!oek)Mj6>#zg?sM0Iyc{4R+JU7fp3-dlWY1zIfyO zG7dV{KaYH0KCvhKBi57u0HfNd+~9w#J&)5BhosnQHkYd{w0MP^_nh#3C~oX5bY3WH z8~f#tN0v4bI;6*^LFq~2>wP}T-YK+g_YA5xwmLBwAbRzzx!@5>ZX+xXa^+9nKDBtw ze|u#5ot?2U#sgdy2LVCqanhPj;%%ly;jK4a*RLB)w%T13JF~Xjf^-Ui7 z?$t)v?mu>gh8!>B$gPbR;ue){hb0qmg)y=f1b1tsTYWRZDXy+7Kc5sj?lscB;yI+ zj8=A&rAH<4xPn7G5s#Dx=kuw&IpJH2?Iz(hIE;Q%5CbpGoOG;P4R&oRchOb{h&lPW z$m6Cc9oeJeONWN?FS03#SZ8Xt2iBdZqupB_Ue-oo8*Y)1^T!xJ%BstA9Pgb$n_`TK z0qOOv8!dB2(X?Y{XEHm>_r@w-tdmOL;(f*4qCpM5#`%GEKu@5_stFTo7i(=QW^04W zXOd49pKqY*j6t%4&xy|_E~rl+A5Q-Om27y1((ggAwesRmIyW2cJkOXD&IfbT{{Yog zH@LEBr$^GREpH$QpoLi5le@P+O66|!$!#x8Q36DtG2^Eht+?(r-xW_RlQETpfJ?Ry zu1!oL(@npTdb@7oW0zLWu zWhEp7j-ah;cw54@npUM1?cC`US1Xg|o)jMBcA@GreKPY;x$|bdoGg*x1ULtuPxIcR z@rJ8=;)`otG&nzIPc0;3Nei6fuyqS~E;Sk3OOc;!r+Ws$>&Mc%Z9l@gh0lX-JXa9H z+sY$jAmew|hC|%M@UDj(ddpeQaLBSmL1Vxz>V0aZ+iJQNrEzHU7QbQiXyzfE$A@eqv8Uj`XAkW!|f)-`_2}K6bxu zq*d7NwCh`eABio`*`+&Kkd4Ip3WnJrnZ^4$22+eHb*A`+7QVNQEbUB>3uVb8C$9p8 z-O2k#wz;a?Y7G^Yti)Oc3jz`W$9((Lnty|DG`(rHD`zr7kp_sC>N}n)kA}1h?QX*A z-Jmha6b4bhlflL_>;4rUhvM7K2H@$skupNLNg3M!Dese4)N8VJcJw_v{VRvN zvb>&1ujGlCMLEjjf=6$9)6*`s4Sw|K*Z2G702ta4WHM*BxW9M3>+J{u&GWY(J$E#&e4d~hyyLkjR`h!|42KnV)3ywhJ zpZ@?|PpRrntZC8dvBwZL?!jI#H+>2GKU#~%mN2%nInxP_3?CqOB>U7FC6=Lcr_Fs5 zHs}{|amXK9cPNKK(jI+jQtCN^leO|sB>D=IRo$ZJxwVf6A1DXEYC}ynYe-i5V;OIlXB&@N*zksd0ggy@yL6Hgzbnc(Q`d^i zk3m_yIR?26?k*7i`Xir|`j1*z{BNvHV+M<u+4VGW>x1b}wX^WV+JaeL>kYpQL{7NReCPA4UMsxO z^a}`dYZPEEluazI`5*mmF+neKo$rq{y<*esb~6;YIWw~^0qw!=YfC`V^uG{kK2+(M zQG{_zyQi`BJ$bHH4-9JeSLv$SN`l;6C`V=G(Dh-CoyBN)??#Wqx4w6U@0|Rh=Uv$w zkD>My4)-@aRdt~Fd%_n!5sD(uGVvyPBzGpf#@A2tWQjHu9%_Qi+;^^dg$#wA4lQ)*>w#>2`z&D=0b1| zdFS8!DfJC*QqlDP01ImOrfc1D2(FG9R!sAbxTySnB>pJWAr?0Bv@j;em6TzXcnA6M zT<43eJmQ*-q)dw>q(dTc$UUjn8qT$ErmghGStN+^2XZ=Rx1~E=cWiE%qCMs1&9%-} zF&FNn!N&alyj3qYTM56=rC?F9)2S7#e0hJZ*|n|WW>9wwfC=`Rlcbe!4gSse|FvdzpiVWxbbGa z;tS&pk0V6t1QEzK;~aGTXc>{Hz5EeL9hqfT2YC`;arCKDU4HvPf_C#n7Rmw%IR$>c zx&C#hXQk-xG}>2)WP5pHI1wTH-u!hHcg0%ohU`2#JTsuVl(^dJ4t9a->qYewW+Xl- zj`G zL#JG3dDMBQX!%<`Dcpwc%{wh7)54w(xwV(cxt8S$ubIh0dLL@yEiA9?uV5zC(RcjP z5tZA}*5-*8tElSdLAY}Aa(5HPeKX#jFN`ewF{rhL=A14trvqkjgXn$f+_PU(@XeQo z*lO#1%91YRWjk|`o}H?TYge8yxfaltnr?}^smHnMYN*yF@omD3tGp5mY%B7wqSlvz zd=aTy>dWFAyQpoT6MUQ0{odmvfuHMADDF$4UHEbIiR`>m(Ob%{MYdulNgLDf78Rr~&)*pAlT!K5v)>tF~r8~LDdW&7xZu~o`UR!80WjB1XA4B}B20tIq zVLW!SDznB)KJI{b=e=pb+9siX7goD_bhvMxMP1nABZ{gzD(^>YZA!}H1VXIAyBrMh-pI?~#?nnn1(5yL#xgw)X#t-drQVvK zXiJs*G0L2SxlVFEwZ69B*>T5wZ+z%(5J~%{FwLU|3gy&Jmaws?TTr8#45lm7s(jykMq)*4YtgCzY|HRmbSKxtZe&^eSK=JkB5$>clLOrd0Ixv zJNwn7@Xn%jsDdFd$TGzSNFPd@#`d4tTJlS8^Dfl@#P|w8?gdgBy~NghH$I&b#P;qV z9a#4KD<@CWbr`kye{{e$L+w31D{n}&*0qf)d*dOLz~P&7eAqodtxIL%n@HB_Z?sbc z`+m}U&f{T7xwfKsf78i`_)5oeLp(T@YaE4VI9KVXwnlYCEv3!{_ptvRD*2f;I`AX zCi_@Yq;SKpHE!w%^-NenBBO-L9C!EpYM!+n#ipTgBsoANC6T(X)~2~ji+v{BTeOoa za#(!cqp#`xX@hr0r-nQ`e;3+fzLYprrYRDb>6=9C~J!>|nWpyvcGt zLC_9)&$#!imi{ugxU$_eB_&b=upfJX4@%jz@U^D18yOCFLRg)>4_~RJ)RyjX7MBs( zxt8F0iU;p9IV13{uSvQ1U*V@;5Zc_#CU^$uXCn^e;9w4wQtwB!wt`bFyA>lDVa|E! zT*jqiG;bWU!a(1YlWz@<)b}0q7hjTnXIPr@1wk1n3OMGYv9P_G){{RZNcj7oT!h#JR8$cJIDUZ$d%{93#n9p@{XKJ=q@(~}HOQ7z5&-151 z<(D#Lf#Yx$aOqK9Z&!1u6Ze7Ki%FVP^ zh3$$;t%Ag3KJ`mUmg+VZirk--12#@-)y}OZqcgmV%QxKzijzpwE$_VNxVuOUWA|o8 z`^VUh^$oTcIvoneLE;;03+*mQqi4kTP6I@E$>+6Xc+XSQ^-JmPbf|*cETnBt0V9my zcdJ^zi?vJ5FzI%;AyFR;RAV^z@AdbrOC2gnZ=s|{{bi%FK`vfCpz z4oL%%R>B>>E_05#&MC6_+D+jh*gguKuf`7t>sBnCnl>8;y(w-Fat$`#>F!v|I0>_( z4oMw3r^`HWZcCWM9Q?T)3YJNsi)?Qw4B+8O=ZdX&V|8~RFp$~oI*OzWB9bfEh+cAW zh8)$)4H9DF{wqdo95V*U1G&XPr&;VWTgU-rKQi>iIOv=8XZ9*_1lm|Y5pY!_GcBy@! zm_E&;wo5nfGQ9}&_Ng1W1(%JEop1e__86p6r*zJlV0&QpsBNyG*6t&U{_$;;umWih z8P8LSsx;#AnYIDyb5nUR{f+$SPno+aaoA9m$!)fD7hWH<@b%=*+N2VcOkyU^{y6;e z`qwvhlFm`0k0gwhBb@quYP7d^6aMl=V5N4DPfu#QX)uOZ+TaqTP1V$;F;jqIjmiTtKg z(Y8ANG}{iOcClE&e5T?NmLr|qbJ(8r&0;G(7F(-pHzqrg^CGtjJ7To_YHh8cvbQi1 zJaB;{0gTr>bqilIhGV!eJE<*MT*V);NdEvVV4Qy{R*kJ86G((NTsNg@&kER+8#eRU z)k)!7=nm}QedC_rT6SZ(Nb0vU$`l|efsiX&!YgZuGkYOisqNJE%|U6STdYQ7Fbjft z1d)oCNMV*QEkia(e_Cmf_Z@R0vOT0O9L8~l#aD|?3nXtUx!T+Tp1%00w2L;D^}<}D zw!^txb;rN0O>5$vM#{=t3mFlXMj`N{pKSG{-@F6Zi#J<{vKV$JnwL$!ypr}?$gRWS zFd2?O&s++g+R_`SqMG9>&V`vx;N|sr#dZW6fRFbUkgtTWNuoCqm?&Ndun#xIe8^Sejiv zJEIoKGFC<1o)LhhonTGGUSqG{RULEbRN+~zts3SiOKrj2YpjD)(c=b9 zY%l^Ms0irCpgH|3pS!-dyqueO${`rqO&50p3r$fk=Tx~;o@}Fgj;5ceXz;zv*BJt3 zCk2lL`{JEzr$-#ib1Iwz@{Yv%)lF(^n{7f*v&)5mJ=@#YTlMu3~Y!JsgkFILP#Fj8yEM_cBUl|9Ws=K%? z3u)queIw7Zj`2)_V5e_6?0WSTR#r2)mm}spd(}6$SlOBs$ac#%GILgJ-J?$~ROB8H ze0HRpYy#rSMY3su*aL&p?^RvRafjI0_dV)8TTr>VEROOlV~)5V{c3fqy}W*SLns`O z4N(_&FWFlOzVX_?b@i*usmrDmXe0*+S7}^>p1o>}n%$(PGXi-b)MPmQD&_8zad?qj zq>48$0DoFfP}Pk|t*))eo)E6bh6j;LJ-j-6C{?m#86y}z+*Fa<+t}$oQH`2qJi8p= zdSbC&NGsg2c^GM0={U&2Be4}`#LAYwV>^7{oSx#X*hn;u@oHLf zx;E0T>~cPy#+!M44dvS{q&NkDWDECwDv6k_svCMLcP4-~~nClm0aY*|laKOCI2ldY(lM5sYvE<-64{u-q_bWpIVY2ExTPK1}aWa9^#!foO*_r4diJkk~ubQJ%1WoF&7!c z%vLZ!$2AGl1dLfvdc9?*MQlyg&9gDtjF!eTRj(zI&Mojp&y&!epIUdg_ZaEsMdcCG zrh8O2u+MKfEUdd5hCR(YOPX2dad!zt-Z1&-J!9X5u0-^>XzAL12PYn`~JU5cM%1kXI+k@9CS4|+hw;q zN~{&9>MRX_w_0l4u#?lOj*Xu3W>UM`A6l z6KesvShwExK9wSA*6`UhQ}TgMFt9oowd01)@1__!%J7 zDFQ*}d8l`(`GZsJZe_G$!a~6P@K4@8{{ZK`F0HTGYKoSy=_VFJOE^Dx`_KyP&b+(0 zXTtCgTvFHakak7Dp%s2pIs9ovt zNaAS0^F4P|_b^N5kjtDN53LO#w<1*=xafUoda>?AA(|L*oVIesxj+4SbQhA$#y>Hb z_i}psQ(AL7VY7mHr>%=7O5g#=pFrBB<)4&QQ#o)kRX)+?t1mtC zlg&jG54;kL;GS@Cieff&_(;^GGTP--VP7ETtj(stBV}kne1_}L)?Kaj=ACe}Suy?F zXDl1a{Vv zA>OP?j->Y$E4xPCXp3pV!8It8%Rtdd$4miF`#VafAduZjr7MqCK)RjmijjSza)Zu& zYUEnX7WUF3u4Q%Ms$>z-TIpf=30?RhXe`_gPpTxGR{mWn1=j??#CoxqBH#qHE~<`cIWB(NT|lU+!N zB2&TjKgy@Gi5wFmlgD%3gau1vlIc9@I-XZ2?|mtLRR?-!Kc!DGjh}MAKthU-$TBV) zIVX==VZG5I|?z zUpUXNr8Dh1j1w6nz1$0Mqxn>-14c`$bJT-TU9=lZB!fBmRCN?0x)RB#!WgTm^E!q- zGgL zoxV}AhInFWo=`;FAZE$+r_>6geg@;5H$&@I2bCOb^BVf=&s~T7{%oe&Z97^K(Ew%Z5l~P4gyLag;dE)~g7?mCnW= zR;M~Ylo@0pSny3bVmr$q$X4z-H5;)Gj@0bm<&o~jlJ4N!+r0a}=;=+kxtu;Cjj{Yp zTA$>3u_%`~>!0zf8D28Zo@=fN?cSyHVhOPI9nY;7VkKSTKrlLb)IvELEJT~V$0X1q z{hTRvXCQ^~B8(M0^{AImTMJ@kV%Yn~-|0|T-wifanm%^}xMMugapF1eQK4wI0zUV1 zKozef`S(YW>+e!ox~r_N0m0`#)}mA{31gpsT6~O%2uy?A&^Bo`?Up>Km>u7Fy(>ED zqj^7e3Fnhhh<6R)xuPCfL&t9GEAmsO~OjEwLTA>OrQs1bTX$^Ge`t z`PdG4=7pd)a!BqbI8!F<41C8mZCzuGg$Hr!Yc(BAfMk$c9CfV|30_K^W1NbPq>b{D zLRvx8^UYVfj3n$;eoWwVQ(o!<-q^;mg6-3upIXn3d0dU>CpBPQBWd!^dF)LwKvd4* zl4{kwFii_fB;>OXlm7s(P)!WV>Z}0(bIljH5QU7%xz0m$`qhYSFiWZVTbyF3$j(~~ z1_R|qSdRWwjk+A3bIv}Ln|A^CE~o)m$!s3It381-ZY1QMb5_{9F)@O3{70IN;Z3;* z*z?rWCGIpR3FjViNHqpYVgsBLQ^^@%cAhiRki?*F&I#-6Xxy#H@K=HP)RCEXd4O@8 z8aDBr*#@Mv0FNkxf_cqL&;;`t{%JmkG?E2WEI~cZOLG>*!twy`Ri)e%@JT(Xy^2IJ z;F3V()5@?vdn6i;J{xgfN$*c)B)QG`z$I_7slY%#T)3$Cr4)hWd$st{bBO|>=yfDZk-`c10 zm4g-sJ*p5t+BvAZxk;18aNCu$>S{%liNulQdgRq)eW!qV>&;BFs*$i{^gFq#dxzRI zlK#(Vm8{sxy;+YL`c!aT&2toy$Oi20;Pk3a`&<#udh=2vGO>{kAJEYD6MB!CRaiSO z9nDpeKxYg0+J8Rz;*m85F}*DJohyNTCp~>Gd2m&YKBw;jokZHq-9kh zjy=y>j%67+Oy{_*2H8{w-Mc%EaaR&FZ@xMHRbOV_eQIdgxomUlXzDA8G-0x;axv7? zBaM+a<2mnE8C0*#+@7@A8BrMkCnv6Y(vd?Ei9GuA?^7a(*QPRgCZb-L1Dx_ntpt+V z{tqhRA|5^!gJPf_qb#kVn{!P#Ksu97RkxAYM8v2cc>e%}Lv<8zIEXP|M>##|p!rNx z9y{l)QoYG;bDCFRt~Bl$$F4f{H1r`(Tar5GnusY^Do=Wpk+k4* zNMwR`Cjn|`_MC3+YPez5d(*OWyFE{>JF_!D+a=PpkrBKuFi%lc1QJVdwz&a|V<*<2 zX(UNAl7AXRm65|KCAVZ|j-^?&Tzh7UV{Yxrk_qf_Nj5}bvB~UfLVL|OQ`6uLY8K)r zJLFdT!>v_yS;I-udU4dA)G?xql207-OS!g`9DPkl*4qvVV}EQ-XTtuISoo>NA*Rm&+JG zJ~N7z>r72D-Cj$jnnuoc^cXyIMcv6L2+grRTYcfrttcLAZ)E`E6&C!lE$h=X^E_y| zKZ&DeS|gnGO}OVE=7^;v?%nmKyo)Arniwo=fE_O zPCNog{OUk+z8fpwtyqHKI$=|v;wGpP0Pp$Ki1I`*z?ug3ElVT_zEK4FRY?hQc5}@? zB#p&7`twL*$>TWhK!(N-05?AMD!&C=zf(~XI`f=yOx|QTC#S6gTCruzw&g!hdT(A& zUgXq(6USdn(U-z0BvH&och&-+2sw( zl22UKd5+cP4o^cxyYEstKD5}A)O_5o4?NUyhhF^C$t-XOY5*YRo4->~ThyM=7X}ay zJ?X|UpzS0d;>{!(LAiP7sWh_OHcL1sJd!9gE56eCOq_6YQS8`BCjfM*c_ft|{d#gI zoC=Z7eP}H^kdUN_LC+m2jn^&TsjHLQ#(;pQ{{XL5B?SgZ>%pK+XhzAr?#abVZEhIn zY4^=Df^ZIb=B-+x@`(iX{OAsb)s(llcS6)gLW~sWA-#a@Tbf?KsQ6y({JUtR4nBD0 z83*WlR(6%B>5UBQEG`I}3p0#uIOso0d%W6&o?M@N3Ye5#Nucsw+_rWeCHHA3ukHekQkn<8lbt|{ zG0br{E7y1B)Ym6vVJ59`ww`N@w$S-GACIMVHXbL{J|AAmrddlIuoyP4bBuo%eElgE z)bD&v@b|--N5dxZJoj<^rZ)&;5)2&ifIYkYYtL-2Usk_^!V*E5W`&;8UD({JIp?W9 z)b9v*n^3sD7TzU@TkBX#v2_{>f?Mdu=$ln03O{ceGgRAt?ch*)pXKiDx%fm!jQw*^#}Z#1-X0v z6}-Aj+3WJ%MRjR+Y$kO;N0R5Fka^>Z%<&0%u4la#yCPQz4`O=(im9mht5?*eVDtuR62~5^Ze_HVWq&a7$TXg!S2jF5@cw@icryE0yV-3$z8*E1w)v~i zO!dWJSlvtI{{Uv)#7nE~`8nHL{vz>J+Yc{Kg`|#BNLctCbBt2K;Cnq%2ro44Oha_b zy5n+^sB_R`uhyo`vAdZnv9-fk-OiAeEXWAz#9;KQ7Mk{_;n}CtuHr1ql=9{34@}j0@1?t( zHmf6SamM5Kf61U5)P1h2s%oeHCO53)FC3r3wEREfjW5H}i_bPWZXsd=91;g{%|oT8 zpQITz2|%3;WCkaI*yD=E)ojw^_w%OqFT*0>vCn^60_^C#P2wB>0Eyb->Nn_xfD01m z9Cqtk+82f8@a2@Rt~w!JRy>B?o`7~EuQkjrksNwMYF=SkqHYHK0x?x={6#LQ8MVBE zL_mH=4s-d?4Ug1sZmsX8hCA%U&Rd1Y(zGnBHJcqG+r-i|X>Rgoad1h&_Nq1!+T6@y zyO;u}o#UL3Us{{Q`kGG_zK)7a41_3*@DIKy9qwGz{A`oUD`~Mw6nVpyZd~_1)m3y6 z;uwYHgv*%U501G$)thHyG#4RW232MG^HXX!dWMt?_92=j`};U5D0-LB(D4nXnP;QJ zWgqV@a6Zucc*#8en5<1U(&Bwb`zbB=?~nz4$PcYuiqh*)w>REXvM2?KKD^cFb;}(( z2&J*MK`OpwncF+N00ks139LLxsdy7yniq~4;xV*Npy#gugYEq*KI(g$$zJ_hvSQ zVQr$?jb2ORi2)u_Z1x4yY+%RR)X8By!%aZ=39A&k!X2)j@p;T3RROW^yF zCH9&fCxR)J*>fg=1G39nSo>AIR}L7*E--|8Vvro>nzy>dvPBKKlgds)o_+EwX2Vz0 zkO-%>Mwxgg9C~w#n@-V_LBIagi&26|W?YT3a&y;?p!KYu66sPK^M9s5S{d@;gLE_w z=8ep6sxcBS?fj`x(;Wp_@Xv>2g5pbkZz~(bUM|}mAD!I z02-(N014iv(WZ?w{{SX7^1P3sq3R-q?wdBBcvLA!nevhoz{g7Itc*Hln7WO{v6=Jf zfuHMHdS;=gT+Z4bh{23)+>$zyeSgNHxbe)ot4Srjx~L~A5Mu!K7(Key zo`vDd9~U}6F4j46Lk#WSeFv$aY2Ar&Z#9fjv6NrvSkkbfGpHm|2y+M9hD-)!;! z0HhYcKTLM3{xZC=)AWmP3ffF2xPgk7XU+$52(Cj;(ltxZ5gT~Wv6V6~bBuM(J=sN@ zjRp-?VpL9L7BP zf<^^>@l3z*mF}^0H9=~t42Lo*XCvuD6pA`O+o#o2O}n{2yhZ>x&&}HvEZ5e)6q_2f zS;62+nHz_5pQdZ4v(x-Ix)feGOOmGEZn9&R9Y^`~u6x86S6({SCcTEt$>%oWSoHM3 zKh}_3v8wp4+Ts*zG5d+4-1`>GN3BQV3pk)f4)Dn+5+2ycZfh^Xeh<_) z%$nLXcF7sW;oNritb52B-s)enOx|BZwEB9`K5Lyf#0fOLZ%~cAI-QQQlW6YZO{#OdwmXWuqsuei`PZ)$eD7A5=2rH{ ze)Knn?DfS_J2#OyuUI`LBDuc-zM^0&PHJu6Ug_euv4?1D?nP+f8JqFx#(e^Q^xPX%{kF8%wA>pbiKly*)v_OGCo*-(9lliX0%waNSR}S=3j0 zh|v%3z}@C`3ofba9s>V|M!g0QKsZg?uSD?|-x{&yosKwb+kXyO-dXDRNjltsR75!kJt!ru4vWJ& z*TelX@@-mONe%f>ZQ+n*M{EK8JJ%QDR=3vtQ*o$8mUbsA*FNL?_pIy9INMw_jb_9K z+^##1<|}(r((m+ZOKbUIWNG2tk^zi^o;_$O72}9nX|puZvN<3{fypMQ&#Y_EI)HSoVW7bmNPkGy-94G(~X>3l#3fa&Ut2R3T$Qj9})imWXO}<*_S!V$jCVr zqo{bE-txs4L?H}|i-G0r&)_;$3$(eLPx~w~BZ%8L+mb-^s`?#;#-XZ|}R% zSd%Pgs{K3h{HpDp)cW0<>N?XZsB9^I!mSSW)X(s>jqT0r+4-Z*YzWI7jw^1{#Pj$% z>f=V$tzJfK5?iqC$EQly@Zj);#yxrKS-RGlsCfSXTA##PO_-8F z6Dvg;;C#6Ghp$jFJt|o`wn^e$NjybrHC#rKTzsml(RjuMQPO-HVQ;Bh>yqnsaYqve zDgSkJIxt#_Et5$&ujsq+`$H{{Yq$iYD=u zrM{)C%G!0iO>XiQM_!!zcd5KAmlrTeqgX)RcIiW06Gma%eX8cN&Zm`BMOJ zy+|IFzTP+R=A8_%_+LY~TdRoiB-4;c$icz?06i!mnwI_;ibc<~g+rzpQxt<6V zqP7NdPDvg656YqO)C+%g{i9WF~$c6HCw@&G&eK7)}qW6kcibf(_4~Ow6VOk zi_8{`H{)*ck?HzY#pYAb@1&^s6>^FwJQ5 zT$S?nm6I$!L({!Ctbng!Cb8lJCB4fRk~oXy+brLJ?@paGn_Ew^>!~Zqm>5IRzLmeB z_-jw_bW!-49bse*9!BYNyN-v_xepUH)~PGVj`AJ*lNjcP7a-K9v((R*Zy71j7da=j zGf2`d()Ks|H}1C``;Pz$Zj+_mix!&gOlNW0q;vXJ)YVxRbjLXR#QJ)gI*IB@t=hq1 zESFkx5Rxfis@xB5YdXezyQ_KG6sF75 z!)X!yolJ5BU|6mjyk6)uQcPiSGhH% zzLRwV!G1|H#!EOU4i_I)bHP|_SPloe*rCwHec zLrS~4)pa+sdv<9E%u;qa?T)#nEyb%SU0O>u;gqYSiNWVM^~Gq~$#ZB@cv-g}TD2Uu z_ER!z7Uw0%BsMw!06)r>;H37yX^nx5J~ub54TkKjy6o5bvRlaxTcBiKSo`CxY+C91 zZo6`0c+qwfgNzbSJpTZm)k96w($fAdTNy%!9Q8i6o#JymY|UpY;!b2`&O!VryRm58 z@g=3a(Jh2CZ(X^_>9`)%iEXCHE_}ll12@#?)|W@SxV*6|CgCJw3`pzgS2S%}>N3_d zDg!CVW*O=IXx78H%d2_pvydCOHCh&zP!3inZ!eN@!216Hp7pn>>G@A8dDROJ2|Q++ zo*cZ;qmughz_*+QiZqiY->>6MZbRJ4wEoSwFK>OiCj)nw2Mz7@sHbV}<=++zXt)?7 zf!Jo7tVCmJ8aT-5xq+g>&gvfzNj0Kiw3tC4;2utDm_1nCFGrd?({x62kQx4Ny@#c0 zpBQUC8=ON7#Z@3CG(4_-aa3%)MR#vy_Bm~qM<0Ccm5T%!?Fj_K&%= z0iO8->0Bvu0hlo)W4$rGiv{G0Hn+Bn zf=LVoF3X!+A#%{R&tZ~%af)`IbEL;`A)ZqEvA#h3FRgA#Z)GF~D6Vq=ZK~s^Jq9XW zt|nq@J|fa=ZegC*(Sg7Wc7VD5l~8Ci-bp3Zlrk}y_cDW>r@lQZe-n6XQi5?UrKGYT zWg{uUZ1M#~sOlHm1=2mFp~Q=s6dVoSoKv==W|jVw*1j55lFoZcjslrmoDRRzv$Oyc zLF~TmME#~1H7N=CwuS?xMHan#sWFQ3VsHk)Sdu>zT3S`MpEPnyJ;ZGyE>bdZOH;kX zXvMGD=~|2?`rmwTJ1k|+KnI~dqPe|R_TK9DMYKf`mLzQ)a(hy0CVfJ0l}QS_PDd3C zHl{2FUOHs@(Adz&)0TY5#IaSzJ$)+F1MN1xQ$$)wzGXeeDlfHtnD307k6Mn~QMr!m z?J!DJnDTzKT%ubTF0SukmEw^iZP{mxikMyq9k;?91wSe4lhD=6?Rq^!O!BTTyqOSy zfRB_8{{WpsbD&7J0{i<;-bRsrRE_f3_Z2dFj^ziuise{&4u|-%dY@70QR(_k;;fp5 ztW7E_3~fCPTwe}7mX|Hniu(vX8LcdpPzG5&u#LTt&O zQFR+Zx;p&zu}Y4BV;hif}1 z&JPEz4eC*csl_#ooKqx0NkGK)2lA?IcP-&rT!K$?N(V8au;h1R1Fjo#$f=EdXYum56uW51A=p& zzO^osYjJx!!)P}$4o_Zs){KklRkg5}?Moc7Hr?DF!nWenr_$s%7TZoUkVbz2ny+E0 z&XJuuc1C4CF;u}P@u{VVP6&1T$F(65Cbf5ecjxLS1(XR80rO+0=~to9tgj$zn>)y( zW^C+sJF!q5F*M07?(XdxMUfbcx2Ip}S$dts?R0LTxLDPO6fbXTT3mNFuB`^G1iEs! z%BVhm#Ev+r^i3A>${5;cBV|U*GagPlR!@g-THhcSVoqCkdf&G3wSz3$WOnPE{H*?` z)7F*j8=1PA+(C6M%C;nxiygdTtJ~X2DzwE$KaVE55o@OEcBBcm&OzAE-1a#1tlP^t zbZcG0@EKXUlaO&m^%{DW1UhUQbD)P3d4QHKJ^NKBh|R#s9_Fd)d#1RM6q5zANNzt2 zRhwHGJhZuoScX6bULJRG+v`+S?j#m|Q<+syCkN9%N{3LnYipHYN8RTJq@Tnue{9y~ z*-=b{fV>guPlh;eY*sl~2UZ}H*V=$7i-~81$kFgmP-=T=BY2Cn*uWt`9@Musk=sVG zBeQNjG1S#$4-@hZaB>f=CiMa92b`gtXCs#MH9Xp6mhnd+K*i1mImIk^hC7TOuOTv%0;t=Zf&8i=q?JUNu-r~gIqB<6O}m!#$f3Ig&l&R%P6amkr}Is!&AAle zLW-$y3xY(%VDq&909q{sjz&r2u1{J4Hpu0H#G*Z?cYkm?)%!^#wT#bhc?x+anp=z0 z<@quyu{{YKkH(*;2yPXW0rPOmf2B9vWb1WvsoKJ^M9f#_b_R)zR&lhK1B2I&MQcH! z&lZOsrDGEm3_~*vXBD4&r^|O{^AsC$1`n-5cVW3gKPKi<6F52R=}mhHO~HF;OD`v$ z-qj7XawN@=K?jmeK22)+0$}pKL!X$AYJew4RP!TEr*Apr)q6>&ir_oRxCA*;dey6G zmPFcfgPx}*rMk7#Eg_D3Te8w5MPyg#3I22e3tht`B1Z}&VU35iS1IT44i9Y85+e9D)M>a3yF%cO=7Vh43FaXO9Y{SXA+!75Zca{cO-&8VmV3U;idc*g{EUB| z^)2c>$I7C^5u6h04s+{OLc2~21Cz$$dQ(Z7dA!Y;+IXvwTw19QaBxph-n6=mds$Cq zi=~BRjZO;_#YKI4Z+4l>d4OTF>DW_sOMoS4A#%z7_H$LOtfzS1XBk!MbJnayt96b1 zxYsN&a(ydO=SI|Y%UGwLGvwVa=$vzqJ?jDq+7)jt$oKR;s?=U3)!y1WDJ~vWUK?|E z?SVk<$6YDhBW#d5r7hf|{LK822dxG;k~W^u1Cf%z zb)@$LIKkvx7^PrICqDHmqZHc+IU}uBX>KH#xkhH)o;l5L=r3h4BIpF%n{rP>Os;E` zBXbN39H5bm)q9Jzxsb0NJ9Mj>f)EWidLr9DS>#@jr0@P(KbQ_*u#$20~hL}>dXl-gU7F0 zY?7?1ByYdp(YfvAI>mcS;Ilo!yVEM?RphCzlAo{wB{; zMDVF#SoQSp?mem(gUN4~2cAtry~JooXK!>7;g@Rz^DA?jSynBab zh#i2PyT6?^V4Vmu!f<=yg1VC|2orJ1-OhdLw8hHOG{%qSDN~a{sqhNkX{3<+`L9~(qIRxgV?jhGAN#xw4 zIXrXHm||$aBz5nadd$liU7Y9dW13_m&bV0KOak1JdQ|}^k%9(5ep->IX8QyFe^-kxEF4(;RLngQxYcEm_fPdw(B;aNS)aw*b0 zZy;nR>6&9lD3i=iM}JxXd{FtE1jr``np=S+5_w3ZW4Y@?NKlfe-->UZ^D%iFEKe*? zG(AVTADt%hjK}Ls<_8#I!0LO{f*3>KFeHv@GMup6&)20F4ag)^4qSBip%mwGPWnV(Lk%=vX*PNPp!C!pzsb1Mf&!59Q)O#`sAob}(ZsfMuu`4p4 zUwWD?%5q8fH6P6zWRs5dBV4K|L|prtp%0OW2j9}7a9Oe!ttXgd`J_D2Di!r4j=8CC zP$Y;eM!+slxTb8$z$BdWieO@m_55k^%88xoNjz0tY@8ucj2w<>uI-q@bg49JAzxuuRqkM51$#*%kqY-b1#Mh~q^X>D<%dV}AJm9-rNMrDfB?i?;pL+x0vxVy;RS@KCWNSCg{z8i+m;Zng9GZl?kpHB4* zL6kN@JohzoN|Bc7WH6QkJm#AO^&uch@`2y2G2#k0X0}d{l7l2{6Fi?!#GCblBbuO+o+XttQ!?vu&)#ZuLZTbUpO$zEy_8+ia^H(IrG43_6?qn~4% ziBtwGpRFgjCM;}FfB_vr`qhzk-d-GMZyZ!A>Cuy~si@exoG&E$^GKp&FPYSyPdw5v zKsN0^T-0wf0f4;p#%ePpjNd6eXeL6j+T0$z4rpPeN>b_o*4 zD?~|GBZHHgB$?Zma&mjr0G+!C&Uzfuw&E}jKD9~QBoh!+fI06_ug~9rd8fYiIVY*) z&|@*2Gje)TdaqrMNU7<%jQx_-qg!DA^8&i?<9<<3yAlh@D#2RZ+?k?izY01xj zT9VKz%ena;z3Lc9ey6WPR#6qf0Oh;V5psCpI55eajz@7(JAj)32a2v_kut-f>(5$C zY%>yDCV&!U3JL0S-k}a3g82UcYpqLkrN4yd)}mD*IbMC}3*C+jlk;#rQZlS??4f;R#Tkk zJd;gS-2VVJdivC!S;S|JOHWQq5=Ac$P za7RPUIxu8lS0@LaXfJe5*<3DrdeSSZo;~^Zpt88b4!y~!*ktVhkAGSTYQRf!Pv=Rq zjlgw0jL^F?v=g6GQYvH|jt_j$9Yl?HH$R3cWK{=Y2PT(t#Q1K6)87plU_qw$2e}hW zRYJ00Zc(36-hfY1J>5>;bImHZ%y=aI zX&5rLH)Q%_nnRx5=(t=MzV14oQ&Pc^$FC&Q0;vUo_3uuE&(?^MuoN#y6fN{mO%jB`MAIy>717f#WFac0i`(c@S?z(sN_k~D$fsyt9){-WZzt3vL{Lwap1!qv#1Yxw-9)-fK3s7{vj_OS%_X>% z+bZgJEK#i^+R@2|CmgBABB@x}T{Y_LgZE*+cRdD0Xy_Vco}+g*o2uQlsS%SL?4*L- zvOOz0=UuI?1&7N{< z)ug84*=4^^DP-hG-Or_7v7XOHVJ)1VX7EenzvD^nKBV3s@ot}Jtz|ukA}~OhFU(us zqrPj6ObfAvXU22S9+|4K=<;2D`q99WN6W6--q$p1JvYOUtXIHX^SBO5`(ym|q&;qD z*t*!Pmh;c%E2-cP2chP$^($E70k+~i?sw=KrHb+HoZCoAmwqEEI^))_B$pO*%Lb_o zWDk{i>S^jG>?{@+5owX?`+s!f0I#?5r!28*2_UzZ636${uW##5lf)imBS?}06M(yT z1Mscy3H&g)8lr1j<;%sVKHoBE#^3ZYkzqywD$=cMl&Y!j04iN zwR2^AZ56${2Qcx0$Ulu$@#1Oso-Dk((WhS}2}le;4a&TB=y<5KeJvSdpMkTPu zfKKJF30U6GtBV<@Ww&#;k$}1Ad8s0PMS1VhaSK1|2 zAUtvNp4BXk;f+el2-X|eZ)COx{_1OtqsR_ERqVL!>yu0*$<4jDHbt6Sxi`p1BzDR4 zHN^{Q?Pf#>&*r~V>V0Sxu3eTZ-F{Zo9$@zl=X&?a=dXXQSk&xv{VPNJ9o5=gT{NFF zgZD;0==J*1s@k`PW>&MfStMlziX7mP$gIYW^HrUtRNTs>P!Gk{JzbJS#ioYsGaG*b)QSn5E8m+rH+0-mC= z zR)#&ier*e$)EG*X2 zlFW~^IO+7wEw|at$Jp;uK^%vf2i;NcQkw|xLpDxW zbJCwunLXXbhlh0eEi|wsl70goK>R%`o4bx_B;3(_&cl(@H6@~IHnEy*9&6ihmBxso6{mMG}G8EqzbVHalJ=UN4-sD zrzWl%N#+FS<`N!r>sNAHcOi<}V)EW$+8pFJsK+1Hu3GCJ8A}2njoRf`<~(3A_oh6e z*F%#=eeyHQlPGLvvX6&ndEz$lA0}+&SFaRZ+@$VHace%KFPMk(pgpguo<#CRG8iP{PCefNHAei!ioHs-5N&TlTuP>P*x16Kqc5LU~hp5WCE+hFT zkySz9u<4$k(zkS7Zhr~PiL7Z6+(q~Q0DaE~)9c3_DwTwqY?FPW`C0HVtDn^RRI=Pf ze&WzhuFP<9bGDE+Zt6b~A6wBO(KO9J$h8HGnHXGy@{D)lsMvT~8|kCffJq1JIoiN35J8JQf2w@y&e;&ktp0$;#UCE@}$qW}~$P#mojg!ImpgNX(ZFg&V ztgBeb<~%gTz`j zwx7R1wRq)n0Wuz$@BV!#14l*pyQ*mRro#75wPf>&Y2GZA0AsIiYY$uVwF{~5@1<$p z?YDW4{XZ(wweW?{iR}z_*Fn zF_lKu+;hMj4{Et{t^WYRLwNJEN#uzMg%1D&=qpb{@a2kXgIOO~x(1DPs&Biua%UrU;^g{u&2-v#jC?QgM?@pBgojzL7%3}kwQ zcRHLcHO9x4@}WB@=sH!c2FmBcx+MPq+q!DuCB)&;y-tcb<+1R1J=swld=~jBzhO|3h7wOKV7uz6` zJpMnuMxgE8Sl^aqT*M%$3<@9Giz@aNNzLw)tD^rEwyO0 z`&(`D%5k4Sdg6@-N!71|L1^M><5JR++>dixx@U~7^qYYesc5G9IrhpUk0dWZ0qxj+ z6v5q@dhE9z8@nkV%`8og!;zos%~69@*L*=aywm_wpO`A++a{**9pBsa%ZbKBY}}Azqd<$Sa!pD$*&-0*tOa2V-z3A~HT5GU@ zWhQs+d;&I{5`Al%o;$4@Pz|R{(L}D>m#94PoYnR5UZ*XnvWFxr(F5pYKkMc$-{LZR=vb`Hg+-J+T56>FS)}vUqM%NU3_S(jY`T1 zKqN-8E_tYRja9X`-XV;toPiQ;1HS@`kuA%5=fo{*#8O-7moS)Ri7_Axw6PuVD?>?( z!*{l_+xVv09Fkjja;=`6{uPg<=mJFk-I;cSC{~h2yDu4PUK)k0q?oyJlG!95$F(uG&0mRn6`zO3nW{h?vVh!1M;s2-HjCl?INZmpUg|M~S3l_B zoyCXq&-9=!+AVlv#P*hHr8L2lMl`JQs_B|-T}>zXv}dg8)3;JJ5_6@T8SatQ?1`GzeL@Y(7&DRE}$ zzS>;msBU@n$N16%me7Uu<%H7OG2H~1X?h&}J*xG;j#9=(glX}X3$#e7&$%^sR@C%s z3n?Pe%E(v~A;vT9T+jA>#lsoxU}tmH7o3w%sF|(yh_#vCGdWqEav8d@JYaen+0gtc zYoKVFjkW#ojU|sbX$vu9?0bJI<=zXMeKlHOBDjtJ0C|JYuprbj>sp?zaM9jfJC|Xw z1K&Q?O@^%n>PsH?hItfi+kkoxZ%W_NH5<Y$Vx!HX?=D9Bm_-f<(QrlDW6hmg<&Of|616!KKwD;F)+HA-b z@^GIi=d}guZ1~f6tWWdo621A>z_`) zt!+VQZgiQgQq-jI4bnb;TvdAyi6ceR-%OuR4{&l-vCc;r%~ROTr*kL75nX6{+uP}c zGR83Swnsg1J-MW_zn@fwT}@*WOKhd*i>cuH3emIFthH-mH8{hW4tEpCKIWgK#iRIE z^7~MRSk_b*mt zXqpt0O!0&(5g#<=tqVPEH zSQn96L1vaHpa_=(obyx-uaP`=Qo_JU*bmbbnz09AccxftOw890=Q89vr`;56U7TSj2AVxwe zuPjG%iWcHCY^Rg#%LMW}MgUN`BxmVbmeNP3sb+{iNcl+4-uzP7O$F2q3nDAT_yl7n zi~Bp5gU^N{V<6#=Ow~3r3(pqW>Jk_YlEEBA<&gFK>cy6by0m^}y6#ig2iCD$M!ox3 zaccfoSANIvtI+DYj)ceiB2gaYA&KM3nKzIjy_*e-m>*;O*>j)tC=$QX~0gnp*==&mb!#` z&XkhscHUCEe8F3VJ-F@Kw)`{UzYj%w7PEV)mHRUcE^uEyqrFp)!k^i8mp0_@ibmTM zZTErptPu{eBt>PrAyQ0Do(LfS0QIR$aox0aUNrFB`i0lqEm&=7H>p9x3OqaE8=Id8 zYE$Ymh?q!z%^NQye%&26; zw1X#TrX=+_y*paIhRe!z-?8vFH!1)I}#sQ6WF^oRpo+pZl*>^uH+w=}t#4b`kODz3>@$Iaz0LF#y@FFY-I zd3a^9c{i5dAC<@>kMXKr7SgZvO?oREn_!V5xo~nmVd#B5t84xfJ$t~q{I-_s1;VNv zET4BhF->JM*kdZ3zaizKJWSr$QtbZIgH_N1Pnxpv1@(^XY9D`LeCT=|&X z4O-Q8?HTNwOwt5|306(7(0`oPRPc-R`!q2ELF9qY9jP=MBPOmb?^Z-tI7J;u^`|B+ zNv$-gFTwjWFeLMiaDSy~YLQ%6>6UN(pnlN^Eh9(s0qAqs@z*Y&(SciK}RulQi0m?8syJinay__p4X1U7WGJ zg6THf0I(CBd-~P8Jq1};T3H>|2gb$dayp+{rDLWwz4^AD-^1Y z!xw^Lv6A}Q?c<%-ZqG9~G(Et{xABeJTD6R*R3X7l+qwGHDMUKjqtk`eM46P*2O zSu~?@Fl#w?nHcU2InP0l(x!?gvC-Ze$P~-w5t6)sDTh*fT@u?&%(ohpE*Nc%7n6^z zW#8G{M>9MGsD9)5)KYz_S4Dwl-74u=CnO%{+OXeEo@sF$MVr^X4^fLH z>~jy5NYAOJu2H}ohV72^6wyrxSmcDE$@|@ECs}03js9SLYIRIx_mEty5&{lX68naK z8og(CacyN4+;WG=8;XqJ{{WFs(RDjZ31o|1gK&6A4BY4c0IyE7ywmT*kSY+L!nxa# z{V0~=Dqd@Mx{cIO&$yk;$IBQ2N3Z8orG$5C+uSc-yl`tq4-6p1`e$xQQeU9#D!u)r z^1%0t8)NvG4yXE3+%70c+Rd$CeEhk<_N=>24&+=7N_KsliA;3GXla&-EHg;#`-)^P zI-g@r{@jYr(d}c5yGY&t0EZoE6DQECc%>PnfE?!;2lc3}8shGE3!HJTVunP+D*UOjD|ySFg&x3zglZnG|Y6K)*Z}P0y_65nJH_P-WNGMaZpPHa9%KZ zl@TRc*oGEqzEU%SMNyYny13nBtjeVN_or(5xR@+sk%}ZLBSGpGx za5rR?80L%IOoHoQzVjPQojJ)n(A~htg1*1*-`91wA+g^Es?b@q#>@`U`shibEO@JN6d(<{I`M?YGE zTQ|9kvbR2`wI{0+dX{b@wUShl)>SDYWt2DFHC*Yaq{$dy2X<}MZqrPHJv=?bmykXe zVa9!Gl$wN=nuM077FAQUi4RbHF->k4)Pm~R$^&gVT#RR$f-7G(RZlFQd8^_HP<;75 z=e}w}_VHW!!ANzv(zj|Wpch_5J|_TDq3PP<yY(oaZLA^vRmQ1fB&b#FhuSrEs}YD|dx+aTBgOF{*c$ zlCd%L1JKsCy{Y}L2?CM_-Q%7;YK&S`S8)BP%v7J@JxyKi9ZFG@aPhf0BaV7iiR9BD zf)isrjQcS#VbQ&)F~DX9HRQAxuxDoo`LpvJ)}_9xzEF-x)P*?R zj!jZ^MH{n|>FY(j3otGZL(?$>`RPNNsq7$%r9}GBECzDUNh|I*1kWV|R>!zI?epEAtaBy%seMz7mrBi+u zV+kB|&-I`@d;V^6+!No@pDvswb(LfX1Lp(3y(7it0u_1BdQDslFKF^by%Yn~)@{~G zHva&agMu?jc5X{x%5q0c(_o3C8|RR7-lb~BExZJL@{@z#(w}jAmHRXyf@hQ5nx5kB z&PY7Cqbny;bCHjFZI+uprznEicQYOU>sBJ7HZnHncU<KpGmDLj+YKQ}!n6WD#klHA55GZM;pVt+&HQ(4%_Fj*O~--F(rr1@b>I%Np& zQ@zEqov`Hp0C;AAO|ssXRK^O}!Q@ln+6jg)#)+Gjhwr2$F& zDx}tJd-oz{U^q<$nim|j|Bm{N&v(!_Wq>$z!M(;|8&6G-T3C~08 zSM5BzKw4!P-JG6jk8&$zEis9gYbeGK^Qr#;v|bfxyp&~OwB&U69`$2UyU;Ze6tNhj&IkjH^r)^hneV0&Y1Z;ctl$?@z^RaI%1$kqGOM4PBPOX`Sgcctqs}qO&1qiQ zO=Bb5Tt)M%V2=5!a-&8HDabzb&=4|tk?-usjE-p|wFvoQ-;Zj!XKrC#vVcJur%e(! z-5AX~XjZag&;!B!NLL2e3VJP%TO^q_(ns;#&tTS~_l`h>LABLBj%m zZpZr7Te(mnSditI7G~!Izxnp5ggA8pSdsURX|h}Qi6c~ZA^2?I=e0#~5Q)6hT>dp; zNQ`f>x`Uj9Rj=fcTVzR{;FF3)6=zvIt-$9!X>H*GMJIubWY8WUO3pFrGfIrsW}kNB z-#ib(nIR*D83&;?Zsf&0N9^m8r{*4&5~F8qYq$=i(4E*4=?8L21E|A}e+r)9tPm95 z7o2ld(q@IW$M=uk_NdvJq{M{$&EK^#^((Mw=iUZRdGDIErwk+yhQi|2SqucLoTK#D}kQIqqZ?NVR5*gxv6A@m~LKq#YCjS3bJ>`?DI|PGI@k{ z>E)p#2aeTRNH=0_xnh4hjpmuzm6)D#eX3X=%U!Y@jCM4HM#@x>NY6u4T8S`JHsS_A zJxHqWA~c^ac{Og+&q;jf!S9ov-RQU$?F4uBVO4RrkPm8uRJ3bJc4sBI$mbOe)$x)x zf*+NRM&&%>t0lvjLY$H~C5~tTl0r^+ZV(AZ?B7ED9PHMdD!*RyYI_8)WnWi5iNKYLzO^sw? zck$08)Jk7=_9Oy$2CYYP5nhKaz|AJWHwF27lj~4OhDkCqpf)gintj56_+CltijAXa z*9_U`ucbTO2|S{wdhksy<|~FI`x=R5W#=Re;EJ~jHsDz@tY`wXdv|1m!RmWdzG+f% zkEryklEZBsvmLuj4l`6zCzVM9ARk`z4$OL}E%Oq04yK5pR$?E&ze=1dMF*r|$gV`OY(g-lkhwWVj-Den2pDQ(i-B3@VDj zSw}F9i_3KRYWJ8hLrBGZX9znoTJ?dLoq!MJl zdG)ATR+P3u$n8_vI;6m`$Roc$jRG&)Itf^o-Rt!4Pt_YwxP>BuJe1_fr@wLas$`-P zQI6I>cy^&*$ZlAYdXHLCxD1xw1C@(+{0QcwdwC;VxEcLw!Eq*E(>#vUk%pao!ZV7L z^(ktK@;TYHfIML`YJLffOXqDKuFvqP`WdVwz=sTLGuE`;H-scDEb53|e5LEI< zIi|-G<2wl_y*?>|oQ&p}43QarRy>~hqB7Vh?ezDlxR{nBttG@kBg%u5>(-`Qfe}38 zcRA;p95VoO^`(gzeB^cRYE6>C^Z6yWHZzRV-4}f|64c0&8KNW+(1T8z;^JlvGm_cm ziKKZB2@8zWx_d$9m7O;R822AaQYQM4MrvO9Jm;rGO)@YDnV=m~p}Pr!~4N{H>fHn4Yw+pwrZ_ zmo{-g(OjW%jA44Ved@HW8+@=vKpn}+{OPl9F|v-i>U!hen$8h+?#~>bdT4J}G^3y_ zbIl}qIW73oJh?n&vU}9_!B`lxhU`rZU5{ijS8*c;o~J!(LJ`!4I3x9|7ZzeGXSt2? zss>4_F6G=ZoM*milc@AulBD(Pn%L6xS>&-dfk})$(rXnaDE)JT$u+Uz?-EI;tk!ak z(ag9~-HGo*VjFhTM>DD;q+sJL+09UxmSW6LC#kKQxsb+7Z7O=4XBC%ts9X1AAcM_D zt(LYaFbqljDUZ%Z4tt!`jlsvIFz(z~bDvs5Tb;kdFAbeLM7;Qmt=X2eg$#Cqmm%ci zr@u6|@M>E2sSb*;ytkJ`vi|^h=efuE;=ObBYqPZY`TINS{{R6Z)@-abi)~0O+iC+}FVd256C9#7>)2$|H42nifftWAN(C{&i zr<$d7$0NYJQD-KBs$FPT@Ts_hLmb0!ZKNJRBduocNk7%7Q{JQ0@1(!gE_FHX3(0dS zl12wSnq**-ShjuhMefP!Pc&>-c5-`z?NRO+$tNfDr-x9aerGisa57gu)R}vcvCAAD zeMzN`FufbET-32frFq6jBR;f@fVO$h){VjHBd!ilQ~c?&LL6XzIj64*bJUtlsLyks zT0lg}wC(4uDL^?rduFBxhvpxSYFl{ii+`lZLifiesR~6vG4o^froKz=9P`$sxG^Iz zx5-l6di!RfEsXJ;_Y|AE5@Mp22WjMBLAmp5mrk<;}Jay|x$Z|__nneIbE4ZF& zSpGwjGx+tU$Bc5A_cYlE2+g}3^(UGF;!MMP6`pq< zLbmMVKJ*L>XB;uX>q)U(?m5PI=BzdX?I)?|MMmHu!Rwy%61Nr!Ra*={8lF!n#&C1r zHCu4b>~r3vayR6jaZgf)OSmuY+v}fNh!#I79QQRd6y%fbP{_);P%_kjbby9c+mVh> zdP2EBD91f%$%zhIIU}6Xy7_Vl$;z?(jQ}!9K2w%GFx=Hr*kYlHB-M#mIl`V#twAZ+ zrLoC9XaT2koxwBxXbYd3KBuYcideA21+&F389PY_Jk#m~e=#lVntCu+RtiWxMIlTa z_55gG?zVdHML89F6Jc1A3B@u@mHBx&{At-@UWD){-)=Mjr%v)ab6`C#S9`0ntulB%<(po@jhYA>%&6o8_U&EO|7la4>py z&sqyYIt=Fnk$vrxsS_xXQo87@sIq&UA%5j7F)Sh2l_B>*c zjG-T%Y2Q(;%Fa&xubxh6(#M7Qe>zqQI2a?|oxulp)2$3`jfD&Rx$0^|8wMe{Aksw% z2O#w7b5i7xU09RrNEWEw){fhSSnUKwkY!NhWO`KEEu01!P1{hS1;zvD8e@Zvw`bY^*OC#=b83Aq^*Q332fHku)EW2EwzJgT_%~Qn*sA;k2Fj}&~1kCZVU`PjmKM(6t3F